首页 > 产品中心 > 备件清单706
产品中心
备件清单706
型号:1
品牌:进口
应用:工业设备
单价:¥1
产品描述

Nikon Type MBV-20000-A8  Upper Exchange Arm For Nikon Handler
Nikon Type MBV-20000-A8  Upper Exchange Arm For Nikon Handler
275.00  23d 9h
 
Medite Tes99  Tes 99.420
Medite Tes99 Tes 99.420
1,300.00  17d 1h
 
LEYBOLD AG 892.76 A 91 01 00018 Cold Heads Electrical Manifold MC 4
LEYBOLD AG 892.76 A 91 01 00018 Cold Heads Electrical Manifold MC 4
75.00  3d 18h
 
Applied Materials AMAT SW Photohelic, 1270-00303
Applied Materials AMAT SW Photohelic, 1270-00303
550.00  7d 5h
 
OTI ? UTI 2221 RF Generator 04020 ID-AWM-D-2-2-006
OTI ? UTI 2221 RF Generator 04020 ID-AWM-D-2-2-006
1,750.00  14d 18h
 
NIHON KOSHUHA AMC-9501-CONT5 Controller Ver 5.502H8
NIHON KOSHUHA AMC-9501-CONT5 Controller Ver 5.502H8
650.00  19d 12h
 
R95-3009 Rev D Gasonics Lamp Assembly For Gasonics Aura 3010
R95-3009 Rev D Gasonics Lamp Assembly For Gasonics Aura 3010
3,750.00  10d 17h
 
RF Service RFS500M Controller 17-0006-00 2 03-00012- 9200-0005-02 AWM-G-5-3-006
RF Service RFS500M Controller 17-0006-00 2 03-00012- 9200-0005-02 AWM-G-5-3-006
1,950.00  21d 20h
 
Bay A.T. Gas Distributor PCB AWM-G-E-2-011
Bay A.T. Gas Distributor PCB AWM-G-E-2-011
1,950.00  22d 16h
 
Module Control Siltec Assy 0122-0653 Rev H  64288 PCB AWM-G-E-2-012
Module Control Siltec Assy 0122-0653 Rev H 64288 PCB AWM-G-E-2-012
1,950.00  22d 16h
 
Gasonics? GES PT-9012-286 370704 AWR-039-CC-3-002
Gasonics? GES PT-9012-286 370704 AWR-039-CC-3-002
1,750.00  24d 18h
 
Masterflex Solid State Speed Control -
Masterflex Solid State Speed Control -
325.00  12d 14h
 
Heraeus 11575575 63939 Quartz Cap 777
Heraeus 11575575 63939 Quartz Cap 777
250.00  20d 21h
 
Rudolph 200482 Rev N I/o Board 777
Rudolph 200482 Rev N I/o Board 777
700.00  5d 15h
 
Pfeiffer/Balzers TCF 102 Vent Control
Pfeiffer/Balzers TCF 102 Vent Control
255.00  14d 16h
 
Pfeiffer/Balzers TCV 103/PM Valve Control
Pfeiffer/Balzers TCV 103/PM Valve Control
210.00  14d 16h
 
Amat 0270-20044 Tool Calibration Orienter 8" -  - Applied Materials
Amat 0270-20044 Tool Calibration Orienter 8" -  - Applied Materials
59.99  19d 16h
 
Hewlett Packard 54542a Oscilloscope
Hewlett Packard 54542a Oscilloscope
675.00  29d 15h
 
Optical standard plate
Optical standard plate
150.00  25d 14h
 
Wonik Quartz 1105-401412-51 Quartz Injector
Wonik Quartz 1105-401412-51 Quartz Injector
27.50  2d 12h
 
Blacoh 2400BPF psi/Bar 180/10.3
Blacoh 2400BPF psi/Bar 180/10.3
250.00  7d 16h
 
Tombo 1110-319219-12 Nichias Insulator Heat Jacket
Tombo 1110-319219-12 Nichias Insulator Heat Jacket
27.25  25d 14h
 
Maxon Type 18810-10 Overlay Display 8832CTD-MD / 8836HPO
Maxon Type 18810-10 Overlay Display 8832CTD-MD / 8836HPO
45.25  1d 10h
 
Gvi 63p / Pneumatic Gate Valve / Boc Edwards
Gvi 63p / Pneumatic Gate Valve / Boc Edwards
790.62  23d 17h
 
Smc P49822029 Cvd I/f Pcb
Smc P49822029 Cvd I/f Pcb
390.00  5d 13h
 
Applied Marterials AMAT 3700-04061 1.549 x 0.103 in o-ring Parker Ultra 2-129
Applied Marterials AMAT 3700-04061 1.549 x 0.103 in o-ring Parker Ultra 2-129
33.99  21d 10h
 
Applied Materials 3700-02756 Qty 10
Applied Materials 3700-02756 Qty 10
299.00  16d 16h
 
Cilian 700116 REV F Power Control Board SG-0181
Top-Rated Plus Seller Cilian 700116 REV F Power Control Board SG-0181
114.39  23d 11h
 
Nitto CPU-I/F CPU Interface Logic Board PCB  Working
Nitto CPU-I/F CPU Interface Logic Board PCB  Working
153.17  6d 11h
 
MTCS S12-DN Temperature Controller, 423668
MTCS S12-DN Temperature Controller, 423668
250.00  18d 15h
 
Millipore Absolute Temperature System Teca ThermoElectric Bubbler W2501V501
Millipore Absolute Temperature System Teca ThermoElectric Bubbler W2501V501
2,999.99  29d 13h
 
ASML  4022.636.57283 4022.472.20885 4022.470.23341 SEM-I-558=9A39
ASML  4022.636.57283 4022.472.20885 4022.470.23341 SEM-I-558=9A39
1,999.90  9d 0h
 
ASML  4022.472.20913 4022.472.20884 4022.470.23341 SEM-I-559=9A39
ASML  4022.472.20913 4022.472.20884 4022.470.23341 SEM-I-559=9A39
1,999.90  9d 0h
 
ASML  4022.472.42562 SEM-I-665=9B48
ASML  4022.472.42562 SEM-I-665=9B48
3,999.90  16d 0h
 
Abb Al30 Al30-30-10
Abb Al30 Al30-30-10
180.00  10d 19h
 
TEL PCB STAGE IO MOTHER 3281-000128-13 / Free Expedited Shipping
TEL PCB STAGE IO MOTHER 3281-000128-13 / Free Expedited Shipping
289.00  17d 2h
 
ORBOTECH ORBOTECH-INTR-024227 REV A  /  Free Expedited Shipping
ORBOTECH ORBOTECH-INTR-024227 REV A / Free Expedited Shipping
299.00  11d 21h
 
ASM 232720190.11 REV. F 632720300.00 REV. G / Free Expedited Shipping
ASM 232720190.11 REV. F 632720300.00 REV. G / Free Expedited Shipping
299.00  24d 0h
 
ASM 232720110.11 REV. E 632720110.00 REV. J / Free Expedited Shipping
ASM 232720110.11 REV. E 632720110.00 REV. J / Free Expedited Shipping
299.00  24d 1h
 
ASM 232620014.11 REV. E 632620060.00 REV. E / Free Expedited Shipping
ASM 232620014.11 REV. E 632620060.00 REV. E / Free Expedited Shipping
299.00  24d 1h
 
AUGUST TECHNOLOGY CORP. NSX System I/O PN 200482 Rev L AN 711713 Rev M
AUGUST TECHNOLOGY CORP. NSX System I/O PN 200482 Rev L AN 711713 Rev M
559.00  6d 2h
 
AUGUST TECHNOLOGY MULTI PORT VACUUM PN 702243 Rev B AN 702244 Rev B1
AUGUST TECHNOLOGY MULTI PORT VACUUM PN 702243 Rev B AN 702244 Rev B1
329.00  6d 23h
 
Parker Smb60301 45112b64 Servo Motor
Parker Smb60301 45112b64 Servo Motor
450.00  26d 3h
 
42242 / Diphase Interface Adaptor / Ttc
42242 / Diphase Interface Adaptor / Ttc
241.67  16d 12h
 
404949-002 / Needle-flow Control .171 / Fsi
404949-002 / Needle-flow Control .171 / Fsi
249.90  10d 19h
 
Chamber Slit Door 300MM
Chamber Slit Door 300MM
1,000.00  21d 7h
 
3429 National Wash Wafer Cage Boat, Thermco 4” (25 Slots)
3429 National Wash Wafer Cage Boat, Thermco 4” (25 Slots)
200.00  16d 13h
 
Daymarc 93-1497-01 Keypad Assembly S7031810
Daymarc 93-1497-01 Keypad Assembly S7031810
125.00  6d 9h
 
Did Sprinting Die
Did Sprinting Die
17.96  3d 9h
 
Tokyo Electron 1180-000563-11 PCB Assembly MC-31041R DS1180-000563-11
Tokyo Electron 1180-000563-11 PCB Assembly MC-31041R DS1180-000563-11
89.00  12d 9h
 
Daymarc 91-5347-01 Board Robot Sensor AM-5347-01 MR62489
Daymarc 91-5347-01 Board Robot Sensor AM-5347-01 MR62489
45.00  6d 8h
 
Daymarc 91-9519-01 Circuit Board Assembly 91-D9520 MR63465
Daymarc 91-9519-01 Circuit Board Assembly 91-D9520 MR63465
95.00  7d 9h
 
LSA Anodic 04-712094-02 Shield AY, L/L Ram Novellus 0471209402
LSA Anodic 04-712094-02 Shield AY, L/L Ram Novellus 0471209402
95.00  3d 10h
 
Novellus 674494-01 Spring Retainer Shield Setech 00059552 (Pack Of 10)
Novellus 674494-01 Spring Retainer Shield Setech 00059552 (Pack Of 10)
13.50  4d 13h
 
Nikon 2K264-029 Lateral Pinion Shaft Product No. MEC35000
Nikon 2K264-029 Lateral Pinion Shaft Product No. MEC35000
31.00  10d 14h
 
Novellus 15-032204-00 Valve Support 5-9/16" C-C Bolt Holes 6-3/4" OAL 4-9/16" ID
Novellus 15-032204-00 Valve Support 5-9/16" C-C Bolt Holes 6-3/4" OAL 4-9/16" ID
49.89  20d 13h
 
Novellus 04-714913-01 Reset Cable Assembly REV B LM1420
Novellus 04-714913-01 Reset Cable Assembly REV B LM1420
17.50  4d 14h
 
Tombo 1110-205733-11 Tokyo Electron Type Thermal Insulator
Tombo 1110-205733-11 Tokyo Electron Type Thermal Insulator
98.50  6d 10h
 
Novellus / LSA Anodic 00-684281-00 Split Clamp Set 00-684281
Novellus / LSA Anodic 00-684281-00 Split Clamp Set 00-684281
98.99  15d 9h
 
Cal-Weld 10-00242-00 Steel Tube 10-00242-00/B
Cal-Weld 10-00242-00 Steel Tube 10-00242-00/B
28.25  8d 11h
 
Corman Technologies INC CT-N108-N1 REV.A free ship
Corman Technologies INC CT-N108-N1 REV.A free ship
299.00  25d 1h
 
Lot of Five 5" Square Photo Masks
Lot of Five 5" Square Photo Masks
30.00  24d 19h
 
Lot of 20 HPS Adjustable Thumb Screw Aluminum AL Flange Clamps
Lot of 20 HPS Adjustable Thumb Screw Aluminum AL Flange Clamps
22.19  5d 22h
 
Plate-hot;ct5085-431396-13
Plate-hot;ct5085-431396-13
5,760.00  16d 2h
 
Preowned U1A00001 Board, Astrodyne MSCA-1505, MTCC-5009 Warranty Fast Shipping!
Preowned U1A00001 Board, Astrodyne MSCA-1505, MTCC-5009 Warranty Fast Shipping!
420.00  20d 7h
 
Tokyo Electron ME2-091510-C Quartz Trap DSME2-091510-C
Tokyo Electron ME2-091510-C Quartz Trap DSME2-091510-C
195.00  12d 10h
 
Svg 99-16075-01 Stepper Motor Assy Hurts Model Pas
Svg 99-16075-01 Stepper Motor Assy Hurts Model Pas
835.00  16d 13h
 
Applied Materials Dwyer Pressure Switch , 1270-00789
Applied Materials Dwyer Pressure Switch , 1270-00789
450.00  3d 5h
 
Optical Specialties Inc Polycheck W-laf
Optical Specialties Inc Polycheck W-laf
4,955.00  7d 18h
 
Svg Coated Module
Svg Coated Module
4,000.00  12d 13h
 
Vwr 1601 , 9070500
Vwr 1601 , 9070500
2,950.00  26d 11h
 
Wafer Fixture Plates
Wafer Fixture Plates
1,500.00  29d 13h
 
Zellweger Solomat Piaqe Mp Surveyor Iaq Indoor Air Monitoring Tester
Zellweger Solomat Piaqe Mp Surveyor Iaq Indoor Air Monitoring Tester
189.99  2d 15h
 
565-1042 / Motor-step Ph569-b / Vexta
565-1042 / Motor-step Ph569-b / Vexta
477.75  6d 14h
 
Thermco 5204 LPCVD Foreline Stainless Steel Pipe, 90 Deg Elbow, ISO-80,14"x18.5"
Thermco 5204 LPCVD Foreline Stainless Steel Pipe, 90 Deg Elbow, ISO-80,14"x18.5"
350.00  2d 17h
 
Vac Gas Purification System
Vac Gas Purification System
8,999.00  21d 11h
 
Eco Clair Osr-b300
Eco Clair Osr-b300
7,950.00  21d 13h
 
Asyst Technologies Inc. Assy No. 3200-1200-01 Rev: 006 Board Made In Canada
Asyst Technologies Inc. Assy No. 3200-1200-01 Rev: 006 Board Made In Canada
139.95  13d 16h
 
CF6.75 to CF3.375 Adaptor zero nipple
CF6.75 to CF3.375 Adaptor zero nipple
120.00  20d 0h
 
7002-200-0009 Rev.a Cpu Board & Display Assy 70022000009
7002-200-0009 Rev.a Cpu Board & Display Assy 70022000009
1,000.00  22d 14h
 
Daymarc 93-1556-01 Board Interface Chamber MR13472
Daymarc 93-1556-01 Board Interface Chamber MR13472
215.00  6d 14h
 
Amat 0040-47028 & 0040-01618 & 0040-00796, Working
Amat 0040-47028 & 0040-01618 & 0040-00796, Working
5,000.00  5d 20h
 
Asml  4022.637.43291, 4022.637.43301 172201 J008 0190-002b Sem-i-462=6b46
Asml  4022.637.43291, 4022.637.43301 172201 J008 0190-002b Sem-i-462=6b46
999.90  17d 2h
 
Advantech PC IPC-6806W Came From Working Unit
Advantech PC IPC-6806W Came From Working Unit
585.00  23d 16h
 
Tencor Alphastep 100
Tencor Alphastep 100
6,490.00  22d 10h
 
Bellows;1917660,plunger Bellows
Bellows;1917660,plunger Bellows
1,827.00  13d 0h
 
Industrial Computer Source 7308-24V
Industrial Computer Source 7308-24V
1,750.00  6d 14h
 
ASML 4022.470.2197 Pneumatic Manifold Nikon Reticle Loader  Working
ASML 4022.470.2197 Pneumatic Manifold Nikon Reticle Loader  Working
1,208.11  5d 16h
 
RadiSys 002-1-23158-100 PME SIO-1 PCB Card Bio-Rad Quaestor Q7  Working
RadiSys 002-1-23158-100 PME SIO-1 PCB Card Bio-Rad Quaestor Q7  Working
607.13  12h 32m
 
Schurter FMAD-0937-8010 Power Line Filter
Schurter FMAD-0937-8010 Power Line Filter
612.11  19d 12h
 
Stuart Scientific Roller Mixer Srt 2
Stuart Scientific Roller Mixer Srt 2
650.00  21d 11h
 
ASML 4022.629.01240 Stainless Steel Braided Hose
ASML 4022.629.01240 Stainless Steel Braided Hose
159.00  23d 8h
 
ASM 232720100.11 REV. B 632720100.00 REV. C / Free Expedited Shipping
ASM 232720100.11 REV. B 632720100.00 REV. C / Free Expedited Shipping
289.00  24d 20h
 
BECKHOFF Lof of 10 KL9010 / Free Expedited Shipping
BECKHOFF Lof of 10 KL9010 / Free Expedited Shipping
269.00  6d 23h
 
Brooks Aligner  002-7391-08
Brooks Aligner 002-7391-08
879.00  20d 1h
 
710-650099-20, Pcb, Digital Processor Kla
710-650099-20, Pcb, Digital Processor Kla
1,400.98  1d 15h
 
Vat 28332-ge71-0002/0011 Gate Valve,
Vat 28332-ge71-0002/0011 Gate Valve,
350.00  5d 18h
 
416442-090 / Orifice-ptfe Flow Pickup .090 / Fsi
416442-090 / Orifice-ptfe Flow Pickup .090 / Fsi
173.80  9d 15h
 
W-2036 / Flowmeter 30 L/min Analog Out 4~20ma Dc24v / Tokyo Keisco Co Ltd
W-2036 / Flowmeter 30 L/min Analog Out 4~20ma Dc24v / Tokyo Keisco Co Ltd
120.00  29d 12h
 
Nikon 4S017-945 RCBRCNT board
Nikon 4S017-945 RCBRCNT board
699.00  19d 1h
 
(4)  Setra Pressure Transducers 228-1 & C239
(4)  Setra Pressure Transducers 228-1 & C239
59.00  13d 16h
 
Daymarc 90-9580-01  Control Board Interface MR62471
Daymarc 90-9580-01  Control Board Interface MR62471
95.00  6d 14h
 
Amdz1-6bus-2 / Valve-air Ckd /  Ckd Corporation
Amdz1-6bus-2 / Valve-air Ckd / Ckd Corporation
284.41  4d 16h
 
 FUJI CP32FM/1W Circuit Protector
 FUJI CP32FM/1W Circuit Protector
82.00  16d 15h
 
Alacrity Inc, 003-00626, PCB Board
Alacrity Inc, 003-00626, PCB Board
100.00  16d 17h
 
 MACRO 6301-3  / Free Expedited Shipping
 MACRO 6301-3 / Free Expedited Shipping
199.00  27d 21h
 
Ics-16784-01 Cfq Spooler G1-03
Ics-16784-01 Cfq Spooler G1-03
2,500.00  1d 14h
 
Producer 0200-39289(1) 0200-39361 (3) Isolator TEOS pumping ring 200mm
Producer 0200-39289(1) 0200-39361 (3) Isolator TEOS pumping ring 200mm
3,122.00  3d 20h
 
AF Microscope Objective Lens HTR / Thms Assembly
AF Microscope Objective Lens HTR / Thms Assembly
500.00  24d 13h
 
Daihen DAUMA-10SA
Daihen DAUMA-10SA
1,125.00  18d 14h
 
Benchtop Chemical Bath with Lid
Benchtop Chemical Bath with Lid
400.00  21d 15h
 
 No Box Magnetron 350-6000-05D
 No Box Magnetron 350-6000-05D
50.00  5d 18h
 
Ohkura R OUT HMSU2483 A01 / Free Expedited Shipping
Ohkura R OUT HMSU2483 A01 / Free Expedited Shipping
399.00  25d 20h
 
ASM 232720091.11 REV. B 632720091.00 REV. C / Free Expedited Shipping
ASM 232720091.11 REV. B 632720091.00 REV. C / Free Expedited Shipping
299.00  24d 1h
 
Komatsu Electronics Rcp-3001, 20002050
Komatsu Electronics Rcp-3001, 20002050
730.00  25d 6h
 
Gli International Model 33 Electrodeless Conectivity Analyzer E33a1nn
Gli International Model 33 Electrodeless Conectivity Analyzer E33a1nn
499.99  23d 13h
 
Trumpf PCB Distributor 6 Applied Materials  0463638
Trumpf PCB Distributor 6 Applied Materials 0463638
179.99  12d 16h
 
Tokyo Electron 1110-316736-12 Stopper Half Moon 111031673612
Tokyo Electron 1110-316736-12 Stopper Half Moon 111031673612
150.00  20d 12h
 
Tokyo Electron 1110-312874-12 Stopper Half Moon DS1110-312874-12 111031287412
Tokyo Electron 1110-312874-12 Stopper Half Moon DS1110-312874-12 111031287412
150.00  20d 13h
 
Applied Materials IR Sensor PCB Board, ASSY 0100-14018, SCH 0130-14018, REV B
Applied Materials IR Sensor PCB Board, ASSY 0100-14018, SCH 0130-14018, REV B
374.99  20d 8h
 
Wotan Neust DC Output PCB Circuit Board 24V/0.2A Part# 028012-1027
Wotan Neust DC Output PCB Circuit Board 24V/0.2A Part# 028012-1027
564.88  26d 10h
 
Veriflo 43700659 959100W3PXFSMMF Regulator
Veriflo 43700659 959100W3PXFSMMF Regulator
150.00  23d 18h
 
ASML 859-8272-001 MS2 + ECU System Board  Working
ASML 859-8272-001 MS2 + ECU System Board  Working
708.09  6d 13h
 
Applied Materials 0015-00018 AluminumTiming Belt pulley 10 Groove 3610-01008
Applied Materials 0015-00018 AluminumTiming Belt pulley 10 Groove 3610-01008
20.00  27d 11h
 
ACP 0030-60021 Inner Overlay Applied Materials MEC Tech Type
ACP 0030-60021 Inner Overlay Applied Materials MEC Tech Type
46.00  27d 11h
 
Phase Metrics Gauss Bd Pcb No: 048142000 Rev.b Assy No: 048144202 Board Freeship
Phase Metrics Gauss Bd Pcb No: 048142000 Rev.b Assy No: 048144202 Board Freeship
419.00  16d 4h
 
Varian Servo Cntl Intfc Assy:04-707180-01-d Sch:04-707182-01-a
Varian Servo Cntl Intfc Assy:04-707180-01-d Sch:04-707182-01-a
400.95  12d 21h
 
Abcba0051000 / Komatsu Aic-7-6-t1 Temp Controller / Komatsu Abcba0051000 Aic-7
Abcba0051000 / Komatsu Aic-7-6-t1 Temp Controller / Komatsu Abcba0051000 Aic-7
945.66  1d 13h
 
Edwards IPV25MKS Manual Inline Lever Operation Bellows Valve
Edwards IPV25MKS Manual Inline Lever Operation Bellows Valve
225.00  14d 16h
 
Applied Materials AMAT  TURCK Connector, RSFRKF57/22, 0720-04374
Applied Materials AMAT TURCK Connector, RSFRKF57/22, 0720-04374
55.00  6d 12h
 
Semi-gas Multi Purge Controller Auto Purge M
Semi-gas Multi Purge Controller Auto Purge M
879.99  21d 14h
 
Tokyo Electron 1181-000434-15 I/o Board Mc-31108a 777
Tokyo Electron 1181-000434-15 I/o Board Mc-31108a 777
150.00  22d 20h
 
R01561 00007507 Power Interface 777
R01561 00007507 Power Interface 777
150.00  11d 21h
 
Btu Engineering Corp 3161411 Board Assembly 777
Btu Engineering Corp 3161411 Board Assembly 777
150.00  17d 12h
 
*PREOWNED* Hitachi ILC4-1 PCB Control Board + Warranty & Free Shipping!
*PREOWNED* Hitachi ILC4-1 PCB Control Board + Warranty & Free Shipping!
325.00  27d 7h
 
Pack of 5  ~ PA19373 6 cavity plug applied
Pack of 5 ~ PA19373 6 cavity plug applied
16.19  18d 14h
 
Nordson 210753-10 Rev-f
Nordson 210753-10 Rev-f
123.00  15d 18h
 
Leybold 23F20073 8" target and backing plate. NiV material
Leybold 23F20073 8" target and backing plate. NiV material
1,400.00  8d 16h
 
Cherokee Europe 9415 041 21011 Power Supply PCB Card PE4121/01
Cherokee Europe 9415 041 21011 Power Supply PCB Card PE4121/01
299.99  19d 18h
 
Material Support Resources 0107121-416 Flange Seal
Material Support Resources 0107121-416 Flange Seal
508.12  18d 14h
 
Disco FBPCB-0133 & FBPCB-0084 & EAUA-518600
Disco FBPCB-0133 & FBPCB-0084 & EAUA-518600
529.00  13d 5h
 
Shinkawa IOP-56 board
Shinkawa IOP-56 board
529.00  1d 21h
 
Uti Instruments 04020 Rf Generator Model 2221
Uti Instruments 04020 Rf Generator Model 2221
343.99  16d 12h
 
TGi5-15/100R Ignition Box PRI 100v-120v AC W/ TZi5-15/100R Transformer Spark Cap
TGi5-15/100R Ignition Box PRI 100v-120v AC W/ TZi5-15/100R Transformer Spark Cap
199.00  11d 20h
 
Lucas Labs OVDS 7000 VDS Reference Module OVDS-7010 Working Surplus
Lucas Labs OVDS 7000 VDS Reference Module OVDS-7010 Working Surplus
309.12  22d 14h
 
BTU Engineering 3161524 Video Interface Board PCB Card EPROM V1.1  Working
BTU Engineering 3161524 Video Interface Board PCB Card EPROM V1.1  Working
410.11  10d 16h
 
BTU Engineering 3161524 Video Interface Board PCB Card EPROM V2.3  Working
BTU Engineering 3161524 Video Interface Board PCB Card EPROM V2.3  Working
410.11  10d 16h
 
Tokyo Electron TS3286-004684-11 Harness Arm Clamp Sensor 3286-004684-11
Tokyo Electron TS3286-004684-11 Harness Arm Clamp Sensor 3286-004684-11
39.79  5d 10h
 
Tokyo Electron 1187-000868-13 Clamp F Assembly Quartz Flange DS1187-000868-15
Tokyo Electron 1187-000868-13 Clamp F Assembly Quartz Flange DS1187-000868-15
59.50  17d 8h
 
Acuity Imaging 070-200000 Rev B 0702 4755 Board - Put In V17-  777
Acuity Imaging 070-200000 Rev B 0702 4755 Board - Put In V17- 777
200.00  14d 21h
 
Applied Materials IR Sensor PCB Board, ASSY 0100-14015, SCH 0130-14015, REV C2
Applied Materials IR Sensor PCB Board, ASSY 0100-14015, SCH 0130-14015, REV C2
374.99  20d 8h
 
Profort PVME-501 IAE-21949  / Free Expedited Shipping
Profort PVME-501 IAE-21949 / Free Expedited Shipping
629.00  7d 21h
 
Applied PERSONALITY BOARD ASSY 0660-01634 REV. A / Free Expedited Shipping
Applied PERSONALITY BOARD ASSY 0660-01634 REV. A / Free Expedited Shipping
499.00  14d 22h
 
General Micro Systems, Inc. Pwb: Gmssrpx-01-b & Gmsv36-01-e
General Micro Systems, Inc. Pwb: Gmssrpx-01-b & Gmsv36-01-e
699.00  14d 22h
 
Ohkura AI/AO HMSU248I A02 / Free Expedited Shipping
Ohkura AI/AO HMSU248I A02 / Free Expedited Shipping
590.00  25d 20h
 
Ohkura CAO HMSU2482A 0101 / Free Expedited Shipping
Ohkura CAO HMSU2482A 0101 / Free Expedited Shipping
450.00  25d 20h
 
Ohkura PAI HMSU248OAOI PAI / Free Expedited Shipping
Ohkura PAI HMSU248OAOI PAI / Free Expedited Shipping
639.00  25d 20h
 
NSK IF PCB JA-99001-03 NO.121 / Free Expedited Shipping
NSK IF PCB JA-99001-03 NO.121 / Free Expedited Shipping
459.00  5d 22h
 
0020-34031, Support Cylinder
0020-34031, Support Cylinder
4,109.00  28d 11h
 
Applied Materials IR Sensor PCB Board, ASSY 0100-14014, SCH 0130-14014, REV C2
Applied Materials IR Sensor PCB Board, ASSY 0100-14014, SCH 0130-14014, REV C2
374.99  20d 8h
 
SVG 99-38150-02 Shuttle Arm Assembly, 6.19 Random 90 Series
SVG 99-38150-02 Shuttle Arm Assembly, 6.19 Random 90 Series
874.99  10d 9h
 
Platen 779-2167 T&B,50 Position "D" Connector, AMP TE,2-1437000-5, 622,LH02D
Platen 779-2167 T&B,50 Position "D" Connector, AMP TE,2-1437000-5, 622,LH02D
150.00  4d 12h
 
*PREOWNED* Yashibi IP-252 88,6 Connector Interface PCB Board + Warranty!
*PREOWNED* Yashibi IP-252 88,6 Connector Interface PCB Board + Warranty!
55.00  24d 12h
 
G2 Automated Technologies Gat-swp-6000-a
G2 Automated Technologies Gat-swp-6000-a
8,200.00  28d 16h
 
Manostar FR51, Pressure Gauge
Manostar FR51, Pressure Gauge
35.00  1d 2h
 
MALEMA M-200-F41-033 FLOW SWITCH set @ 1.0 IPM WATER DECREASING
MALEMA M-200-F41-033 FLOW SWITCH set @ 1.0 IPM WATER DECREASING
149.99  14d 16h
 
LAM Research 853-013650-001-2-C222 Exhaust Separator
LAM Research 853-013650-001-2-C222 Exhaust Separator
1,135.00 0 Bids  6d 10h
 
Wallac Interface Board DIC 1055 3760 C
Wallac Interface Board DIC 1055 3760 C
209.75  15d 14h
 
Benchtop Chemical Bath
Benchtop Chemical Bath
350.00  21d 15h
 
Benchtop Chemical Bath
Benchtop Chemical Bath
350.00  21d 15h
 
Benchtop Chemical Bath
Benchtop Chemical Bath
300.00  21d 15h
 
ASML  4022.664.25701 , 4022.476.01361 6 FTSU SEM-I-764=9G21
ASML  4022.664.25701 , 4022.476.01361 6 FTSU SEM-I-764=9G21
699.90  21d 8h
 
Baumer Liquid Level Sensor Ffdk 16p50y0
Baumer Liquid Level Sensor Ffdk 16p50y0
429.00  14d 12h
 
TEL 3208-000043-14 PCB DISPLAY DRIVER 3281-000043-1A / Free Expedited Shipping
TEL 3208-000043-14 PCB DISPLAY DRIVER 3281-000043-1A / Free Expedited Shipping
159.00  15d 3h
 
BTU Engineering 3181180 Video Interface Board PCB Card EPROM V2.1  Working
BTU Engineering 3181180 Video Interface Board PCB Card EPROM V2.1  Working
410.11  10d 17h
 
Applied Materials 7700 System Board W211.108.0.er (rp Automation)
Applied Materials 7700 System Board W211.108.0.er (rp Automation)
24.99  20d 11h
 
Assembleon 5322 466 83084 Cover Plate 777
Assembleon 5322 466 83084 Cover Plate 777
50.00  1d 20h
 
Wonik 1105-301461-11 Pedestal Base 777
Wonik 1105-301461-11 Pedestal Base 777
100.00  25d 21h
 
Wonik Quartz International 1105-201194-31 Pedestal Base 777
Wonik Quartz International 1105-201194-31 Pedestal Base 777
100.00  25d 21h
 
Lsa Cleanpart Amat 00-685951-00 Table Shield 5in 777
Lsa Cleanpart Amat 00-685951-00 Table Shield 5in 777
45.00  20h 19m
 
Scp 8700 Liquid Dispenser Module 583-020-1a 777
Scp 8700 Liquid Dispenser Module 583-020-1a 777
100.00  20h 32m
 
Tokyo Electron Ds2187-320540-11 Quartz Clamp 777
Tokyo Electron Ds2187-320540-11 Quartz Clamp 777
50.00  20h 42m
 
Scp 3107a Process Timer Controller 777
Scp 3107a Process Timer Controller 777
50.00  1d 20h
 
999-0008 Time Process Controller Semifab 777
999-0008 Time Process Controller Semifab 777
100.00  15d 21h
 
11039040R,PHASE MONITOR BOX Repair
11039040R,PHASE MONITOR BOX Repair
2,160.00  13d 19h
 
Asahi 752nk-t1 Erg Box
Asahi 752nk-t1 Erg Box
1,199.95  2d 11h
 
Emergency Bps Robot Parts
Emergency Bps Robot Parts
1,950.00  24d 19h
 
 Probe Board Stiffener 0A384-300047B-003 Aluminum 13.75" x 13.75" x 0.649"
Top-Rated Plus Seller  Probe Board Stiffener 0A384-300047B-003 Aluminum 13.75" x 13.75" x 0.649"
124.99  5d 22h
 
CGI 023RNX0100-XX-01910 Gearhead
CGI 023RNX0100-XX-01910 Gearhead
302.12  15d 13h
 
Eurotherm Controls 6551R10-050041 Input Isolator 65510514531
Eurotherm Controls 6551R10-050041 Input Isolator 65510514531
401.12  12d 10h
 
PIA/E2 V3.0 1052042 Prodrive Board Module 6538-1302-4801
PIA/E2 V3.0 1052042 Prodrive Board Module 6538-1302-4801
299.98  3d 0h
 
Kdf0816 Chain 25ft
Kdf0816 Chain 25ft
280.00  14d 13h
 
Assembly, Differential Transmitter Amat 0100-76252 Equivalent *usa Seller*
Assembly, Differential Transmitter Amat 0100-76252 Equivalent *usa Seller*
339.00  17d 16h
 
Timbre Technologies Inc PAS-T3
Timbre Technologies Inc PAS-T3
700.00  3d 16h
 
Cosel PAASOF-24 Power Supply 24V Lot of 4  Working
Cosel PAASOF-24 Power Supply 24V Lot of 4  Working
157.15  28d 15h
 
0641-0941-01 With 7100-5992-01 Etc For AG Associates Heatpulse ID-AWS-016
0641-0941-01 With 7100-5992-01 Etc For AG Associates Heatpulse ID-AWS-016
3,500.00  11d 16h
 
Chamber Parts AWM-C-4-1-002
Chamber Parts AWM-C-4-1-002
1,750.00  19d 19h
 
G Ai-tronics Corporation 69489-001 Terminal P.c.b. Assy. Single & Multi Use
G Ai-tronics Corporation 69489-001 Terminal P.c.b. Assy. Single & Multi Use
142.50  9d 10h
 
64-116835-a400 / Gear Motor / Nematrue
64-116835-a400 / Gear Motor / Nematrue
799.50  14d 17h
 
SRC SVB-03VME VME Systembus,
SRC SVB-03VME VME Systembus,
100.00  22d 15h
 
Pcb Assy, Opto Switch Amat 0100-09042 H21b1 *usa Seller*
Pcb Assy, Opto Switch Amat 0100-09042 H21b1 *usa Seller*
28.25  9d 12h
 
F-davp125 / Koganei Tokyo Electron Pneumatic Diaphragm Valve / Tel
F-davp125 / Koganei Tokyo Electron Pneumatic Diaphragm Valve / Tel
100.99  20d 13h
 
4309261 / Gauge,pressure,30 / Wika
4309261 / Gauge,pressure,30 / Wika
106.56  9d 16h
 
309211 / Foreline Valve Assy Mcu Plasma, 99a0423 / Eaton
309211 / Foreline Valve Assy Mcu Plasma, 99a0423 / Eaton
1,988.16  27d 11h
 
Novellus / SSI 01-17423-003 SYSTEM MODULE, 02-15581, SSI- C186EB PCB
Novellus / SSI 01-17423-003 SYSTEM MODULE, 02-15581, SSI- C186EB PCB
1,000.00  22d 17h
 
SMC ITV2011-31N3N4-X95 E/P REGULATOR Manifold Assy,Burkert, Sensor Technic
SMC ITV2011-31N3N4-X95 E/P REGULATOR Manifold Assy,Burkert, Sensor Technic
400.95  8d 10h
 
HP 4085B Switching Matrix
HP 4085B Switching Matrix
15,000.00  9d 18h
 
Dynatex International DX-III Scriber Breaker ID-AWS-7-5
Dynatex International DX-III Scriber Breaker ID-AWS-7-5
7,500.00  14d 15h
 
ASML  4022.472.26733 4022.470.08896  4022.470.23341 CCM REMOT SEM-I-562=9A39
ASML  4022.472.26733 4022.470.08896 4022.470.23341 CCM REMOT SEM-I-562=9A39
1,499.90  9d 0h
 
ASML  4022.636.57303 4022.470.08897 4022.470.23341 CORB-X10 SEM-I-563=9A39
ASML  4022.636.57303 4022.470.08897 4022.470.23341 CORB-X10 SEM-I-563=9A39
1,499.90  9d 0h
 
*PREOWNED* Yashibi HCU-3 Isolation Amplifier PCB Board IP-308A 90.2 + Warranty!
*PREOWNED* Yashibi HCU-3 Isolation Amplifier PCB Board IP-308A 90.2 + Warranty!
300.00  15d 12h
 
Applied Materials AFC I/O Board w/ Faceplate, Schem #0130-14011, REV A
Applied Materials AFC I/O Board w/ Faceplate, Schem #0130-14011, REV A
449.99  19d 11h
 
Branson B03407-02 03409-02 Rev V Board 777
Branson B03407-02 03409-02 Rev V Board 777
150.00  17d 12h
 
Lift Pins 06.300ab875 Qty 6
Lift Pins 06.300ab875 Qty 6
594.00  21d 13h
 
Duart Serial Interface 10600521 500035a
Duart Serial Interface 10600521 500035a
420.00  21d 13h
 
TEL Tokyo Electron D124569-S Dark Space Shield Size 12  Surplus
TEL Tokyo Electron D124569-S Dark Space Shield Size 12  Surplus
398.07  5d 10h
 
0641-0829-01) 7310-2423-01 7100-5628-01 7310-4666-01 ?) Pyrometer. DCP Rework
0641-0829-01) 7310-2423-01 7100-5628-01 7310-4666-01 ?) Pyrometer. DCP Rework
2,200.00  17d 14h
 
Axcelis 316631c Quartz Disc 777 - Lot Of 2
Axcelis 316631c Quartz Disc 777 - Lot Of 2
200.00  28d 19h
 
ECI Systems 04-055583-00 Remote Module Kit TW Monitor  Working
ECI Systems 04-055583-00 Remote Module Kit TW Monitor  Working
604.18  9d 14h
 
Utopi-020mx / Minertia, Motor Drive Unit Rm Series Ugrmem-01sakoe / Yaskawa
Utopi-020mx / Minertia, Motor Drive Unit Rm Series Ugrmem-01sakoe / Yaskawa
254.55  3d 16h
 
7200-0945-01 + 7200-0982-03 D Robot Effector Robot ARM AG Associates Heatpulse
7200-0945-01 + 7200-0982-03 D Robot Effector Robot ARM AG Associates Heatpulse
2,700.00  17d 11h
 
SemiLab Model WT-85 Wafer LifeTime Scanner
SemiLab Model WT-85 Wafer LifeTime Scanner
4,995.00  14d 13h
 
SCP 3107 Process Timer
SCP 3107 Process Timer
29.00  5d 19h
 
Bg6-0476-r00 Pcb
Bg6-0476-r00 Pcb
545.00  11d 17h
 
MRC Materials Research A118030 Cyropump Temperature Monitor Cracked Window
MRC Materials Research A118030 Cyropump Temperature Monitor Cracked Window
509.12  26d 12h
 
7100-5747-01B RING, SLIP-FREE 6 Inch
7100-5747-01B RING, SLIP-FREE 6 Inch
1,500.00  10d 15h
 
Material Support Resources 0107121-302 Inner Tube Support
Material Support Resources 0107121-302 Inner Tube Support
508.12  18d 14h
 
Edwards Temperature Management System P/n.aa01390 , Removed From Working Machine
Edwards Temperature Management System P/n.aa01390 , Removed From Working Machine
400.00  24d 0h
 
Applied Materials AMAT SW Photohelic, 1270-00505
Applied Materials AMAT SW Photohelic, 1270-00505
550.00  7d 5h
 
Zimmer MKS3501A
Zimmer MKS3501A
550.00  8d 19h
 
1  Phoenix Contact Mcr-s-10-50-ui-sw-dci Current Transducer ***make Offer***
1  Phoenix Contact Mcr-s-10-50-ui-sw-dci Current Transducer ***make Offer***
59.99  4d 18h
 
4401  Applied Materials P/N: 0100-11000 Analog Input Board
4401 Applied Materials P/N: 0100-11000 Analog Input Board
970.00  6d 12h
 
CFOP extension cable assy 2M a-9817-0302-01 RQ:031120
CFOP extension cable assy 2M a-9817-0302-01 RQ:031120
350.00  18d 8h
 
Oriel 60200 Recirculating Cooler  Working
Oriel 60200 Recirculating Cooler  Working
607.12  23d 14h
 
Vat 0520-00131, Vat Motor, Dps  Actr Stepper Motor For Vat Series
Vat 0520-00131, Vat Motor, Dps Actr Stepper Motor For Vat Series
6,480.00  15d 21h
 
ADVANCE HICV-G130T17-139PN  Diaphragm Pump, MODEL 37454
ADVANCE HICV-G130T17-139PN Diaphragm Pump, MODEL 37454
299.99  8d 16h
 
Tokyo Electron 1105-401418-51 Quartz Injector N2 L=100  DS110540141851
Tokyo Electron 1105-401418-51 Quartz Injector N2 L=100 DS110540141851
75.49  15d 10h
 
Tokyo Electron 1110-211712-11 Heat Insulator DS1110-211712-11 Nichias
Tokyo Electron 1110-211712-11 Heat Insulator DS1110-211712-11 Nichias
98.75  3d 10h
 
Branson C03448 Board 777
Branson C03448 Board 777
150.00  15d 21h
 
Amat 0200-20054, Insultr Qtz 6" @a Smf Pcii
Amat 0200-20054, Insultr Qtz 6" @a Smf Pcii
2,520.00  11h 12m
 
CKD N4S0-T30 Solenoid Valve Manifold N3S010 Lot of 7  Working
CKD N4S0-T30 Solenoid Valve Manifold N3S010 Lot of 7  Working
505.12  5d 10h
 
Novellus / SSI 01-17423-001 TPM CONTROLLER, 02-15581, SSI- C186EB
Novellus / SSI 01-17423-001 TPM CONTROLLER, 02-15581, SSI- C186EB
1,000.00  22d 17h
 
CKD HVB41 48VDC Valve w Cable
CKD HVB41 48VDC Valve w Cable
75.00  16h 2m
 
Mrc Kdf0777 Rev B
Mrc Kdf0777 Rev B
587.00  29d 17h
 
ASML  4022.480.62681 YSTEM VACUUM SENSOR SEM-I-744=9G21
ASML  4022.480.62681 YSTEM VACUUM SENSOR SEM-I-744=9G21
149.90  21d 8h
 
Wggb06s02 / Housing,cartridge,wafer Guard,wggb06s02 / Millpore
Wggb06s02 / Housing,cartridge,wafer Guard,wggb06s02 / Millpore
1,054.99  10d 14h
 
Fluoroware Es-1c-md-xx Signal Channel Control Module
Fluoroware Es-1c-md-xx Signal Channel Control Module
25.95  26d 14h
 
Patlite Wme-afb  24v 0.3a Signal Tower Light
Patlite Wme-afb 24v 0.3a Signal Tower Light
40.95  11d 18h
 
Credence 389-4488-01 389448801 Board
Credence 389-4488-01 389448801 Board
699.30  13d 0h
 
Novellus Type 04-731323-01 Cap Quartz HTR Table 8-1/8" OD GM 1333-04-731323
Novellus Type 04-731323-01 Cap Quartz HTR Table 8-1/8" OD GM 1333-04-731323
150.00  15d 14h
 
Tegal 1513e AC Module Item CE 1028 Parts
Tegal 1513e AC Module Item CE 1028 Parts
2,500.00  10d 19h
 
Tegal 1513e AC Module Item CE 1028 Parts
Tegal 1513e AC Module Item CE 1028 Parts
2,500.00  10d 20h
 
Tegal 1513e Motor Controller Item CE 1093  Parts
Tegal 1513e Motor Controller Item CE 1093 Parts
2,500.00  10d 20h
 
Tegal Bias Power Supply 80-095-164 ID-AWS-012
Tegal Bias Power Supply 80-095-164 ID-AWS-012
2,500.00  11d 15h
 
Tegal T-1000E DC Supply  ID-AWS-012
Tegal T-1000E DC Supply ID-AWS-012
2,500.00  11d 15h
 
Matrix Chamber Bottom Plate  ID-AWS-k-6-3
Matrix Chamber Bottom Plate ID-AWS-k-6-3
1,600.00  12d 19h
 
For Tegal 901e Tegal 903e ? Gas Line ID-AWS-032-002
For Tegal 901e Tegal 903e ? Gas Line ID-AWS-032-002
2,950.00  17d 19h
 
Matrix 303 Matrix 403 Chamber AWM-C-5-1-001
Matrix 303 Matrix 403 Chamber AWM-C-5-1-001
2,750.00  19d 16h
 
Asyst Technologies Mini Environmental Cassette 6 Inch , For Gasoncis AWG-1-7-004
Asyst Technologies Mini Environmental Cassette 6 Inch , For Gasoncis AWG-1-7-004
1,750.00  7d 19h
 
Applied Materials AMAT Vacuum Gauge, 3310-01115
Applied Materials AMAT Vacuum Gauge, 3310-01115
235.00  25d 6h
 
Applied Materials AMAT Vacuum Gauge, 3310-01080
Applied Materials AMAT Vacuum Gauge, 3310-01080
255.00  25d 6h
 
*PREOWNED* Yashibi YCB-014 Board + Warranty & Fast Shipping!
*PREOWNED* Yashibi YCB-014 Board + Warranty & Fast Shipping!
55.00  25d 7h
 
Svg Wafer Indexer Assembly
Svg Wafer Indexer Assembly
2,750.00  6d 14h
 
Lam Research 716-330122-002 Clean Cell Part
Lam Research 716-330122-002 Clean Cell Part
2,300.00  13d 13h
 
Millipore Corp Controller, Photo Cool W2501cc01
Millipore Corp Controller, Photo Cool W2501cc01
349.99  13d 17h
 
Jae Ut3-06nv1rr/dss12-a Display
Jae Ut3-06nv1rr/dss12-a Display
500.95  17d 23h
 
Mattson Wonik Quartz Wsfef02872 Quartz Tank Chamber 18" Diameter
Mattson Wonik Quartz Wsfef02872 Quartz Tank Chamber 18" Diameter
1,759.99  15d 8h
 
Fowler Rectangular Precision Gauge Block Set , .05 - 4
Fowler Rectangular Precision Gauge Block Set , .05 - 4
185.00  22d 7h
 
CSF Technologies 170-12061-00 Hivac Bellow for TAZ Eclipse
CSF Technologies 170-12061-00 Hivac Bellow for TAZ Eclipse
579.09  11d 12h
 
ASML   4022.637.96322,4022.636.35201,4022.636.35171 SEM-I-817=9G21
ASML  4022.637.96322,4022.636.35201,4022.636.35171 SEM-I-817=9G21
299.90  4d 8h
 
ASML  4022.664.25702 FTSU 4022.478.00196,4022.478.00198 SEM-I-311=6B57
ASML  4022.664.25702 FTSU 4022.478.00196,4022.478.00198 SEM-I-311=6B57
699.90  29d 7h
 
ASML NIB 4022.456.01921 ADE Retarder Assy 248nm SEM-I-490=7B13
ASML NIB 4022.456.01921 ADE Retarder Assy 248nm SEM-I-490=7B13
299.90  1d 20h
 
FESTO valve Manifold  ASML 4022.666.00652 CS.1414336.A SEM-I-584=9A38
FESTO valve Manifold  ASML 4022.666.00652 CS.1414336.A SEM-I-584=9A38
499.90  13d 2h
 
Olympus U-AFA1M AQ7810 DV449301
Olympus U-AFA1M AQ7810 DV449301
547.80  23d 7h
 
TEL Tokyo Electron A21110-212028-12 Inner Tube Supporter
TEL Tokyo Electron A21110-212028-12 Inner Tube Supporter
501.12  7d 11h
 
5905 Delta Tau Data Systems Pcb Cpci 4-axis Intface Analog Acc-24c2a 603611-101
5905 Delta Tau Data Systems Pcb Cpci 4-axis Intface Analog Acc-24c2a 603611-101
166.17  29d 21h
 
Baldor N24A 91164064 M35A13-672 Industrial Motor
Baldor N24A 91164064 M35A13-672 Industrial Motor
601.12  9d 16h
 
 Eaton Axcelis Gsd 200 Shield Extraction Graphite
 Eaton Axcelis Gsd 200 Shield Extraction Graphite
129.99  14d 16h
 
OLYMPUS IMH-10 30 Day Warranty
OLYMPUS IMH-10 30 Day Warranty
1,099.00  14d 20h
 
Met One A2432 Manifold Controller 2083049-02
Met One A2432 Manifold Controller 2083049-02
23.81  12d 19h
 
09007-0140 Instrument Air Gauge
Top-Rated Plus Seller 09007-0140 Instrument Air Gauge
39.99  29d 16h
 
VAT 07512-VA24-AAZ1/0039 A-274100 Rectangular Door L-VAT
VAT 07512-VA24-AAZ1/0039 A-274100 Rectangular Door L-VAT
500.00  1d 16h
 
70512512000 / Board Assy Swa1 / Applied Materials Amat
70512512000 / Board Assy Swa1 / Applied Materials Amat
1,654.62  9d 13h
 
70512550000 / Board Assy Mis1 / Applied Materials Amat
70512550000 / Board Assy Mis1 / Applied Materials Amat
1,641.54  9d 13h
 
Daymarc 93-2187 Circuit Board Assembly Elec Diag 93-D2188 MR63472
Daymarc 93-2187 Circuit Board Assembly Elec Diag 93-D2188 MR63472
125.00  7d 10h
 
Daymarc 91-5250-01 Circuit Board Assembly Sensor Distributor MR13476
Daymarc 91-5250-01 Circuit Board Assembly Sensor Distributor MR13476
145.00  7d 11h
 
Amat 0200-02354 Single Ring, Small Dia, Quartz, Hart 300,    Refurbished
Amat 0200-02354 Single Ring, Small Dia, Quartz, Hart 300, Refurbished
1,500.00  9d 18h
 
Scp Global Technolgy Mcs-e 32710351a I/o Expansion Board
Scp Global Technolgy Mcs-e 32710351a I/o Expansion Board
180.95  1d 12h
 
ASML Exciter Lamp 859-0515-006-A  Working
ASML Exciter Lamp 859-0515-006-A  Working
727.09  6d 15h
 
Harness Assy, 005661-00, 451806
Harness Assy, 005661-00, 451806
450.00  9d 11h
 
Edwards  A52844410 Smart Interface Flash Module
Edwards A52844410 Smart Interface Flash Module
650.00  12d 13h
 
Pn 99-03179, Harn Assy, Alm
Pn 99-03179, Harn Assy, Alm
2.22  7d 9h
 
AMAT UNIVERSAL 3M DIAMOND DISK 0190-77499
AMAT UNIVERSAL 3M DIAMOND DISK 0190-77499
495.00  2d 1h
 
*PREOWNED* Hitachi MBN27-2 Backplane Board PCB M-511E + Warranty!
*PREOWNED* Hitachi MBN27-2 Backplane Board PCB M-511E + Warranty!
175.00  29d 9h
 
Refurbish your  AG Associates Heatpulse 210 Rapid Thermal Processing equip
Refurbish your  AG Associates Heatpulse 210 Rapid Thermal Processing equip
27,500.00  5d 16h
 
Omron F39-CN6 Controller Sensor Connector, 452681
Omron F39-CN6 Controller Sensor Connector, 452681
65.00  24d 12h
 
330-0401// Amat Applied 0021-09730 Cover, Molded, Pumping Plate, Dxz
330-0401// Amat Applied 0021-09730 Cover, Molded, Pumping Plate, Dxz
1,500.00  23d 23h
 
Novellus Type BB-103551-89 Brass Gasket CFF HTR Base (Pack Of 3)
Novellus Type BB-103551-89 Brass Gasket CFF HTR Base (Pack Of 3)
100.00  1d 14h
 
Tegal 99-299-001 G PCB  Spare Parts
Tegal 99-299-001 G PCB Spare Parts
1,750.00  10d 19h
 
Lam Research 840-009865-100 766-9866-100 ID-AWS-024
Lam Research 840-009865-100 766-9866-100 ID-AWS-024
2,500.00  12d 17h
 
PIO-24 PC6422-14075 Rev C PC6422 14075 C AWR-039-CC-7-001
PIO-24 PC6422-14075 Rev C PC6422 14075 C AWR-039-CC-7-001
1,750.00  24d 18h
 
SCP MCS Power Tap Module 3270191A
SCP MCS Power Tap Module 3270191A
19.94  18d 14h
 
TOKYO KEISO F99-10027614 Flow Meter
TOKYO KEISO F99-10027614 Flow Meter
32.99  12d 13h
 
ASML NNB 4022.666.40502 CT FW GAS Conditioning ASSY SEM-G-342
ASML NNB 4022.666.40502 CT FW GAS Conditioning ASSY SEM-G-342
999.90  17d 19h
 
Kokusai CX1103M Power Module 100VAC,
Kokusai CX1103M Power Module 100VAC,
124.99  25d 19h
 
Astec 080-25862-1850 Power Supply 9R5-600-381-23-S1850  
Astec 080-25862-1850 Power Supply 9R5-600-381-23-S1850
100.00  20d 19h
 
RVSI scan head P/N: 52335WH
RVSI scan head P/N: 52335WH
479.00  16d 11h
 
BUSTRONIC ASSY. 101VMEJ106-9001 REV.B / Free Expedited Shipping
BUSTRONIC ASSY. 101VMEJ106-9001 REV.B / Free Expedited Shipping
182.00  9d 0h
 
Dsquare D 30299-279-53 0190-71355-06 Intergrated Analog:9945
Dsquare D 30299-279-53 0190-71355-06 Intergrated Analog:9945
199.00  14d 22h
 
TEL INDEXER INTERCONNECT 3281-000083-11 3208-000083-11/Free Expedited Shipping
TEL INDEXER INTERCONNECT 3281-000083-11 3208-000083-11/Free Expedited Shipping
199.00  15d 3h
 
TEL PCB INDEX BASE 3281-000141-12 / Free Expedited Shipping
TEL PCB INDEX BASE 3281-000141-12 / Free Expedited Shipping
199.00  15d 3h
 
TEL PCB LDRCVR INTERLOCK 3208-000140-13 3281-000140-11 /Free Expedited Shipping
TEL PCB LDRCVR INTERLOCK 3208-000140-13 3281-000140-11 /Free Expedited Shipping
179.00  17d 2h
 
 MACRO 6743  / Free Expedited Shipping
 MACRO 6743 / Free Expedited Shipping
199.00  27d 21h
 
ORBOTECH ORBOTECH-DNV0-016087-REV-F / Free Expedited Shipping
ORBOTECH ORBOTECH-DNV0-016087-REV-F / Free Expedited Shipping
199.00  11d 20h
 
ORBOTECH ORBOT-DNV2-015842  /  Free Expedited Shipping
ORBOTECH ORBOT-DNV2-015842 / Free Expedited Shipping
199.00  11d 21h
 
ORBOTECH ORBOT-DNV3-013832-REV. H  /  Free Expedited Shipping
ORBOTECH ORBOT-DNV3-013832-REV. H / Free Expedited Shipping
199.00  11d 21h
 
ORBOTECH ORBOT-DNV1-016389  /  Free Expedited Shipping
ORBOTECH ORBOT-DNV1-016389 / Free Expedited Shipping
199.00  11d 21h
 
Refurbish your  Surface Science Integration SSI Inc RTP Solaris 150
Refurbish your  Surface Science Integration SSI Inc RTP Solaris 150
27,500.00  5d 16h
 
SCHUMACHER ASSY. 1730-3002 REV. K   /  Free Expedited Shipping
SCHUMACHER ASSY. 1730-3002 REV. K / Free Expedited Shipping
179.00  21d 2h
 
SCHUMACHER ASSY. 1730-3003 REV. H   /  Free Expedited Shipping
SCHUMACHER ASSY. 1730-3003 REV. H / Free Expedited Shipping
199.00  21d 2h
 
SCHUMACHER ASSY. 1730-3009 REV. H   /  Free Expedited Shipping
SCHUMACHER ASSY. 1730-3009 REV. H / Free Expedited Shipping
199.00  21d 2h
 
AE APEX 2305738-D 1315201 D  / Free Expedited Shipping
AE APEX 2305738-D 1315201 D / Free Expedited Shipping
219.00  4d 21h
 
USHIO GP SIO5 NO.931004 9607113/U00  / Free Expedited Shipping
USHIO GP SIO5 NO.931004 9607113/U00 / Free Expedited Shipping
209.00  12d 0h
 
AUGUST TECHNOLOGY CORP. SERVO AMPLIFIER  PN 200222 Rev C AN 701223
AUGUST TECHNOLOGY CORP. SERVO AMPLIFIER PN 200222 Rev C AN 701223
269.00  6d 2h
 
AUGUST TECHNOLOGY AXI LT TWR RLY PN 708669 Rev A AN 708670 Rev A
AUGUST TECHNOLOGY AXI LT TWR RLY PN 708669 Rev A AN 708670 Rev A
189.00  7d 0h
 
ADLINK TECHNOLOGY INC. 51-18531-0A10  / Free Expedited Shipping
ADLINK TECHNOLOGY INC. 51-18531-0A10 / Free Expedited Shipping
269.00  1h 21m
 
Acuity Imaging 070-200000 Rev B 0702 4755 Board 777
Acuity Imaging 070-200000 Rev B 0702 4755 Board 777
300.00  14d 21h
 
Phasetronics Inc. Model # P1050-X2-60 Power Control
Phasetronics Inc. Model # P1050-X2-60 Power Control
200.00  11d 21h
 
Omron Sysmac CJIM CPU11 PLC, CJIW-PAZ02, ID211, OC201, OC211
Omron Sysmac CJIM CPU11 PLC, CJIW-PAZ02, ID211, OC201, OC211
450.00  9d 14h
 
Rexroth 1172-200-50 Star Belt Drive
Rexroth 1172-200-50 Star Belt Drive
275.00  14h 34m
 
Refurbish your   Annealsys AS-One Rapid Thermal Process RTP equipment
Refurbish your  Annealsys AS-One Rapid Thermal Process RTP equipment
45,000.00  5d 16h
 
Compumotor AT6260-120V ISA Interface Card
Compumotor AT6260-120V ISA Interface Card
50.00  19d 19h
 
Hyponic Drive Rnyxs-1210-sv-10 Servo Stabilizer,
Hyponic Drive Rnyxs-1210-sv-10 Servo Stabilizer,
1,500.00  28d 20h
 
AMAT 0200-00241 COVER RING, refurbished
AMAT 0200-00241 COVER RING, refurbished
1,900.00  29d 20h
 
Data Translation DTX328 181362-C209 Adder Card PCB Circuit Boards
Data Translation DTX328 181362-C209 Adder Card PCB Circuit Boards
109.31  12d 10h
 
EVG CU5000791 BOND CHUCK 8"/8" Ti, 50Ám, PINS
EVG CU5000791 BOND CHUCK 8"/8" Ti, 50Ám, PINS
7,499.00  12d 9h
 
Rvsi 52493 & 375501 & 51828 & 49335
Rvsi 52493 & 375501 & 51828 & 49335
1,349.00  2d 0h
 
Applied Materials SST129 SPIN OLD CPA
Applied Materials SST129 SPIN OLD CPA
800.00  13d 3h
 
Elkor D 060 Blower motor assy
Elkor D 060 Blower motor assy
150.00  29d 14h
 
Scp Global Technology 3270281b Hcu 2 Heater Control Unit
Scp Global Technology 3270281b Hcu 2 Heater Control Unit
499.95  3d 11h
 
Scp Global Technology 3270281b Hcu 2 Heater Control Unit
Scp Global Technology 3270281b Hcu 2 Heater Control Unit
490.92  3d 11h
 
Bruker BSMS ECL01 Module , BSMS LOCK RECIVER 300 , L-RX 300
Bruker BSMS ECL01 Module , BSMS LOCK RECIVER 300 , L-RX 300
1,388.00  1d 4h
 
Bruker BSMS ECL05 Module , BSMS LOCK TRANS 300 , L-TX 300
Bruker BSMS ECL05 Module , BSMS LOCK TRANS 300 , L-TX 300
1,388.00  1d 4h
 
KEITHLEY KPXI-CON-PM-1.8G+ CPU , Free shipping
KEITHLEY KPXI-CON-PM-1.8G+ CPU , Free shipping
799.90  7d 2h
 
Amat 0020-34171, Plate Perf 100-150mm Nitride Non Anodize
Amat 0020-34171, Plate Perf 100-150mm Nitride Non Anodize
2,992.00  9d 14h
 
Tokyo Electron CT386-440791-2 Nozzle Head Sensor Assembly
Tokyo Electron CT386-440791-2 Nozzle Head Sensor Assembly
110.00  14h 51m
 
Smc Ex120-sdn1
Smc Ex120-sdn1
450.00  10d 18h
 
Svg 80073b Display Board
Svg 80073b Display Board
599.00  14d 18h
 
AMAT 0270-09269 Rev. A MEI 2177 ALIGNMENT FIXTURE
AMAT 0270-09269 Rev. A MEI 2177 ALIGNMENT FIXTURE
80.00  22d 15h
 
United Electric J110 554 Explosion Proof Pressure Switch 0-30 Psi
United Electric J110 554 Explosion Proof Pressure Switch 0-30 Psi
45.00  19h 35m
 
Sienna Technologies Inc, A11711000-01 Board
Sienna Technologies Inc, A11711000-01 Board
199.95  3d 21h
 
Edwards 99-85004-03 Mdu Front Panel,
Edwards 99-85004-03 Mdu Front Panel,
1,500.00  4d 13h
 
OSRAM Opto BPX 61, PIN Photodiode, ML1, TEMP260°,STT, TYM05, 412123
OSRAM Opto BPX 61, PIN Photodiode, ML1, TEMP260°,STT, TYM05, 412123
19.00  20d 17h
 
Blacoh CT1401V
Blacoh CT1401V
225.00  16d 11h
 
AMAT 3440-00087 WOO SAPPHIRE LENS, 25MM x 2.0MM THK,
AMAT 3440-00087 WOO SAPPHIRE LENS, 25MM x 2.0MM THK,
100.00  19d 21h
 
Novtek NTS3001 Control Module *untested*
Novtek NTS3001 Control Module *untested*
2,000.00  6d 20h
 
RVSI 65834 rev C scanner head
RVSI 65834 rev C scanner head
1,049.00  8d 23h
 
EECL123 P/N 768-5024 Board
EECL123 P/N 768-5024 Board
399.90  12d 2h
 
General Micro System Gmssrpx-01-b & Gmsv36-01-f Srpx2266,v36f5761
General Micro System Gmssrpx-01-b & Gmsv36-01-f Srpx2266,v36f5761
1,099.90  17d 3h
 
BECKHOFF BK5220 / Free Expedited Shipping
BECKHOFF BK5220 / Free Expedited Shipping
149.00  7d 0h
 
Disco KN-006 UA-001900 INPUT board
Disco KN-006 UA-001900 INPUT board
229.00  4d 3h
 
Dainipron Screen - File #CECC-2011 - Two Tank Change Controller
Dainipron Screen - File #CECC-2011 - Two Tank Change Controller
569.80  26d 15h
 
Calweld 839-068732-002 Wldmt,he,input Sem-i-138=2m24
Calweld 839-068732-002 Wldmt,he,input Sem-i-138=2m24
299.95  26d 17h
 
Trumpf Nnb 2238658, Hpdlmc, 2246443, 2246442 Hpdl Sem-i-326
Trumpf Nnb 2238658, Hpdlmc, 2246443, 2246442 Hpdl Sem-i-326
499.90  18d 1h
 
General Micro Systems Gmsv36-01-e 0660-01593 Rev A
General Micro Systems Gmsv36-01-e 0660-01593 Rev A
499.90  17d 20h
 
manual for Tegal 804
manual for Tegal 804
75.00  8d 23h
 
IVS PC980124 Pneumatic Control Circuit Board
IVS PC980124 Pneumatic Control Circuit Board
75.00  28d 15h
 
Cidtec Mvc9212d4x1-20 Camera / Controller System 0088-9413
Cidtec Mvc9212d4x1-20 Camera / Controller System 0088-9413
879.99  21d 13h
 
*PREOWNED* Hitachi MBN13-2 Backplane Board PCB M-511E + Warranty!
*PREOWNED* Hitachi MBN13-2 Backplane Board PCB M-511E + Warranty!
145.00  29d 9h
 
TEL Unity II ILK Board 1D81 - 000133 - 11 , TYB 131 - 1/ILK
TEL Unity II ILK Board 1D81 - 000133 - 11 , TYB 131 - 1/ILK
722.00  28d 2h
 
Novellus O-ring 2-267-s Vo747-75 Qty 10
Novellus O-ring 2-267-s Vo747-75 Qty 10
150.00  16d 12h
 
Blacoh PR-CT911V psi/Bar 150/10
Blacoh PR-CT911V psi/Bar 150/10
200.00  7d 16h
 
Amat 0010-00304, Gripper Assy 125mm
Amat 0010-00304, Gripper Assy 125mm
8,720.00  7d 13h
 
ETO ABX-X299 REV. H  /  Free Expedited Shipping
ETO ABX-X299 REV. H / Free Expedited Shipping
119.00  19d 0h
 
Novellus / SSI 01-17423-002 PROCESS MODULE, 02-15581, SSI- C186EB PCB
Novellus / SSI 01-17423-002 PROCESS MODULE, 02-15581, SSI- C186EB PCB
1,000.00  22d 17h
 
Tokyo Electron CT1986-430855-11 PC Cover Sensor Assembly
Tokyo Electron CT1986-430855-11 PC Cover Sensor Assembly
115.00  14h 11m
 
Pl System Support  Card 88291003c Sbc-2b Pwb114555-008 7171b-02
Pl System Support Card 88291003c Sbc-2b Pwb114555-008 7171b-02
200.00  6d 3h
 
324-0401// Amat Applied 0190-15840 4-port Upa, Dnet Only, 300mm Lk Reflexio
324-0401// Amat Applied 0190-15840 4-port Upa, Dnet Only, 300mm Lk Reflexio
2,500.00  2d 2h
 
Mass Flow Controller Unit Instruments Ufc-1200a
Mass Flow Controller Unit Instruments Ufc-1200a
799.00  8d 15h
 
NIKON TPC-42V-0 4S013-224 | PCB BOARD | Pre-Owned
NIKON TPC-42V-0 4S013-224 | PCB BOARD | Pre-Owned
49.99  22d 12h
 
Dspgd100, Mykrolis, Intelliflow Ii, Mass Flow Controller,1each
Dspgd100, Mykrolis, Intelliflow Ii, Mass Flow Controller,1each
349.95  22d 1h
 
Gould Usm21
Gould Usm21
9.09  16d 14h
 
Svg Ltn-19330-01
Svg Ltn-19330-01
699.00  5d 18h
 
Svg 99-16895-01 Upper Housing Assy Dev
Svg 99-16895-01 Upper Housing Assy Dev
325.00  8d 18h
 
Manuals for Gasonics AE2001, 5 manuals/set
Manuals for Gasonics AE2001, 5 manuals/set
350.00  8d 22h
 
SVG Silicon Valley Group 879-8010-002 Interface PCB Lot of 2  Working
SVG Silicon Valley Group 879-8010-002 Interface PCB Lot of 2  Working
180.09  12d 16h
 
 DISCO PAZZ0021 4669531-0001 DPW-021 DP-F05 SIZE 350 x 11T x 60 FREE SHIPPING
 DISCO PAZZ0021 4669531-0001 DPW-021 DP-F05 SIZE 350 x 11T x 60 FREE SHIPPING
2,422.50  27d 11h
 
Sas Air Cleaning Specialist
Sas Air Cleaning Specialist
399.00  22d 13h
 
X13650736-12 - 0211161623 - REV J 1213 TE Board
X13650736-12 - 0211161623 - REV J 1213 TE Board
69.99  14d 7h
 
Nortech Engineering FPI1900-R-RUA Industrial Computer with 30 day warranty
Nortech Engineering FPI1900-R-RUA Industrial Computer with 30 day warranty
1,900.00  21d 17h
 
Amat 0200-00296 Sleeve, Junction Sin
Amat 0200-00296 Sleeve, Junction Sin
595.00  2d 17h
 
Boc Edwards Tempest Nrb851000
Boc Edwards Tempest Nrb851000
18,300.00  16d 19h
 
AMAT 0020-22237 Cover Ring, 8" 424119
AMAT 0020-22237 Cover Ring, 8" 424119
895.00  29d 16h
 
Atmoscan Tube Closure Mechanism,
Atmoscan Tube Closure Mechanism,
1,500.00  8d 14h
 
AF/ σ SIGMA AF/ σ-M  /  Free International Shipping
AF/ σ SIGMA AF/ σ-M / Free International Shipping
1,699.00  2d 0h
 
Tegal 9XX PCB, 99-207-004 REV.C  MBE-4
Top-Rated Plus Seller Tegal 9XX PCB, 99-207-004 REV.C MBE-4
300.00  6d 13h
 
Amat 0190-01681 650 Calibration Reference, 3 Channel H,
Amat 0190-01681 650 Calibration Reference, 3 Channel H,
2,700.00  16d 21h
 
VAT 0310X-CA24-AKK2/0080 A-291932 VAT Rectangular Insert/Door
VAT 0310X-CA24-AKK2/0080 A-291932 VAT Rectangular Insert/Door
500.00  2d 8h
 
VAT 0310X-CA24-AKK2/0015 A-261200 VAT Rectangular Insert/Door
VAT 0310X-CA24-AKK2/0015 A-261200 VAT Rectangular Insert/Door
500.00  2d 8h
 
Applied Materials ASSY. 0100-09179 Interface Board
Applied Materials ASSY. 0100-09179 Interface Board
600.00  8d 16h
 
Seada SA1238A1 110-120V 60hz 0.22A,  
Seada SA1238A1 110-120V 60hz 0.22A,
100.00  16d 23h
 
 7640 Bruce INTEMPσS™ Intelligent Temperature Controller - 9025470-Rev 11
 7640 Bruce INTEMPσS™ Intelligent Temperature Controller - 9025470-Rev 11
2,500.00  2d 23h
 
B&r-automation 8i64t400750.000-1 Acopos Inverter X64
B&r-automation 8i64t400750.000-1 Acopos Inverter X64
450.00  8h 10m
 
Gm8712-31 / Motor, 19.1 Vdc 60.5:1 Ratio / Pittman
Gm8712-31 / Motor, 19.1 Vdc 60.5:1 Ratio / Pittman
64.00  3d 17h
 
Evans Environmental Products E Doc Model E.doc-sd-1sl-004x-d1
Evans Environmental Products E Doc Model E.doc-sd-1sl-004x-d1
1,359.99  15d 9h
 
Fusion Systems PWB 7961 Assy 7971
Fusion Systems PWB 7961 Assy 7971
59.80  8d 14h
 
Thermco LP CVD Front  Flange, 150/156mm,
Thermco LP CVD Front Flange, 150/156mm,
2,500.00  2d 14h
 
604316-14 / Blower, Environ, Teao Motor (csu) / Aviza Technology
604316-14 / Blower, Environ, Teao Motor (csu) / Aviza Technology
1,800.00  11d 18h
 
0010-43023,CRESCENT ASSY, UD,DESICA, 300mm
0010-43023,CRESCENT ASSY, UD,DESICA, 300mm
5,000.00  13d 23h
 
Custom Green Tape Cutter
Custom Green Tape Cutter
1,950.00  29d 15h
 
Daymarc 94-1949-01 Contactor Insert Set/Critical MR63677
Daymarc 94-1949-01 Contactor Insert Set/Critical MR63677
45.00  2d 10h
 
Daymarc 94-1967-01 Contactor Insert ,Set Critical MR63676
Daymarc 94-1967-01 Contactor Insert ,Set Critical MR63676
45.00  2d 11h
 
Daymarc 93-2125-01 Circuit Board Assembly IFC Chamber Board
Daymarc 93-2125-01 Circuit Board Assembly IFC Chamber Board
115.00  7d 10h
 
AMAT 0040-09271 BRACKET, BASE, WAFER LIFT with springs and adjusting screws.
AMAT 0040-09271 BRACKET, BASE, WAFER LIFT with springs and adjusting screws.
139.00  4d 11h
 
Murr Elektronik Output Relay 52102
Murr Elektronik Output Relay 52102
37.40  13d 23h
 
421251100 / Qearmotor,7.5 Hp,invrtr Duty / Lam Research
421251100 / Qearmotor,7.5 Hp,invrtr Duty / Lam Research
2,865.97  10d 14h
 
Refurbish your  Surface Science Integration SSI Inc RTP Solaris 200
Refurbish your  Surface Science Integration SSI Inc RTP Solaris 200
27,500.00  5d 16h
 
Refurbish your  UniTemp VPO-300 VPO-300-HV Rapid Thermal Process
Refurbish your  UniTemp VPO-300 VPO-300-HV Rapid Thermal Process
47,500.00  5d 17h
 
AMAT 0020-22237 Cover Ring, 8" 424124
AMAT 0020-22237 Cover Ring, 8" 424124
895.00  29d 17h
 
SVC Shuttle Arm Assembly
SVC Shuttle Arm Assembly
489.99  11d 12h
 
4429  Applied Materials 0100-00206 PWB ASSY DETECT II EASE/NON-EASE
4429 Applied Materials 0100-00206 PWB ASSY DETECT II EASE/NON-EASE
450.00  12d 18h
 
Innovative 08001-A41-P21-W11-EC1 Robot 0820 Interface * working
Innovative 08001-A41-P21-W11-EC1 Robot 0820 Interface * working
3,500.00  22d 14h
 
PHILIPS KS 94 Temperature Controller KS 94 Type 9407 928 00101
PHILIPS KS 94 Temperature Controller KS 94 Type 9407 928 00101
207.90  23d 20h
 
Amat 0020-26289
Amat 0020-26289
1,600.00  22d 13h
 
ASML  4022.664.25701 FTSU 4022.476.01361 4022.646.92981 SEM-I-314=6B57
ASML  4022.664.25701 FTSU 4022.476.01361 4022.646.92981 SEM-I-314=6B57
699.90  29d 7h
 
Scientific Technologies XIBM MS4336 Minisafe
Scientific Technologies XIBM MS4336 Minisafe
500.00  14d 16h
 
Mec Tech Inc Mec300008-1043r Load Assembly Amat Applied Materials 2334-35107
Mec Tech Inc Mec300008-1043r Load Assembly Amat Applied Materials 2334-35107
327.99  11h 30m
 
Mec Tech Inc Mec300008-1049r Load Assembly Amat Applied Materials 2334-35107
Mec Tech Inc Mec300008-1049r Load Assembly Amat Applied Materials 2334-35107
327.99  11h 39m
 
Houser Lower Linear Assy 99-06033-01 Svg
Houser Lower Linear Assy 99-06033-01 Svg
195.00  8d 17h
 
Applied Materials AMAT Mott Flow Restirctor, 3510-00017
Applied Materials AMAT Mott Flow Restirctor, 3510-00017
165.00  27d 5h
 
HP Indigo CA340-08561  SPRING LOCKER
HP Indigo CA340-08561 SPRING LOCKER
50.00  19d 8h
 
LAM Pneumatic Manifold, V100-97-11A, SMC, 423718
LAM Pneumatic Manifold, V100-97-11A, SMC, 423718
450.00  27d 16h
 
Gas Line With Valves SS-DSV51
Gas Line With Valves SS-DSV51
150.00  21d 21h
 
Thermco H2 Anneal Flange, 225/235mm, 144023-001C3,
Thermco H2 Anneal Flange, 225/235mm, 144023-001C3,
2,500.00  2d 14h
 
GE General Electric Fanuc Circuit Board 44B399243-001 44A399726-G01 44B399829
GE General Electric Fanuc Circuit Board 44B399243-001 44A399726-G01 44B399829
235.36  26d 11h
 
UNIT UFC-1400A Mass Flow Controller, MFC, N2, 500 SCCM, 421063
UNIT UFC-1400A Mass Flow Controller, MFC, N2, 500 SCCM, 421063
102.00  22d 19h
 
Hva 11290-0159x-001
Hva 11290-0159x-001
1,100.00  13d 13h
 
Dressler CESAR 133 D RF GENERATOR
Dressler CESAR 133 D RF GENERATOR
2,888.00  7d 5h
 
LAM Pneumatic Manifold, V100-97-11A, SMC, 423720
LAM Pneumatic Manifold, V100-97-11A, SMC, 423720
450.00  27d 17h
 
span pressure switch 1864363
span pressure switch 1864363
50.00  1d 15h
 
Bruce 2172582 Communicatons Interface Module 120v 777
Bruce 2172582 Communicatons Interface Module 120v 777
200.00  7d 21h
 
Semitec S3030 508-00-00-03-01 Dicing Wheels 777 - Lot Of 10
Semitec S3030 508-00-00-03-01 Dicing Wheels 777 - Lot Of 10
200.00  11d 20h
 
Applied Materials AMAT Custom Elbow, 0050-09377
Applied Materials AMAT Custom Elbow, 0050-09377
195.00  7d 5h
 
Fast Z Controller 001003 Rev A Ultrapointe Corp.
Fast Z Controller 001003 Rev A Ultrapointe Corp.
250.00  19d 21h
 
(1) Ryan Herco PVDF Pressure Regulator 1/2" T SPR 5488.005  SPF-503-TV1
(1) Ryan Herco PVDF Pressure Regulator 1/2" T SPR 5488.005 SPF-503-TV1
125.00  23d 12h
 
Nps Co. Nse 0510 Sensor, Disco P/n Ekfm-910043-01,
Nps Co. Nse 0510 Sensor, Disco P/n Ekfm-910043-01,
350.00  21d 13h
 
Applied Materials 0021-01813 faceplate producer 200MM
Applied Materials 0021-01813 faceplate producer 200MM
888.00  26d 6h
 
SMC Push-To-Connect Tube Fittings-Assortment, 1/8" Tube OD, (lot of 14)
SMC Push-To-Connect Tube Fittings-Assortment, 1/8" Tube OD, (lot of 14)
35.00  19d 13h
 
Fujikin Diaphragm Valve C.No.026502
Fujikin Diaphragm Valve C.No.026502
90.00  16d 9h
 
CKD Pneumatic Manifold N3S010, 0.2-07MPa
CKD Pneumatic Manifold N3S010, 0.2-07MPa
110.00  5d 22h
 
Microsemi APTC60AM18SCG Semiconductor Module 600Vdss 143A - Lot of 3 Units
Microsemi APTC60AM18SCG Semiconductor Module 600Vdss 143A - Lot of 3 Units
249.99  18d 21h
 
 Ampenol D38999/24wc35sn Connector D38999 24wc35sn D3899924wc35sn
 Ampenol D38999/24wc35sn Connector D38999 24wc35sn D3899924wc35sn
33.99  22d 10h
 
0020-33782
0020-33782
895.00  15h 14m
 
 CTI Cryrogenics 8112578G001 Roughing Valve 60-80 PSI FREE SHIPPING
 CTI Cryrogenics 8112578G001 Roughing Valve 60-80 PSI FREE SHIPPING
288.99  3d 14h
 
347-0303// Amat Applied 0190-21222 Applied Matrials Components
347-0303// Amat Applied 0190-21222 Applied Matrials Components
250.00  29d 2h
 
426-0203// Taltec 4170 Touch Screen [/fast]
426-0203// Taltec 4170 Touch Screen [/fast]
300.00  21h 32m
 
442-0501// Oriental Motor Kbld30-a Ac Servo Driver []
442-0501// Oriental Motor Kbld30-a Ac Servo Driver []
400.00  14d 21h
 
Halstrup Walcher REG 21 Differential Pressure Transmitter
Halstrup Walcher REG 21 Differential Pressure Transmitter
100.07  27d 13h
 
Fike .50" Disc Type: SCRD UT, SER#: 9728977, Material: SST
Fike .50" Disc Type: SCRD UT, SER#: 9728977, Material: SST
29.97  18d 15h
 
FutureStar FX1A4-0037-6F   FSI
FutureStar FX1A4-0037-6F  FSI
799.00  29d 18h
 
EMCORE RealTemp RT-01-S-905-133-4-16 Wafer Temperature System FREE SHIPPING
EMCORE RealTemp RT-01-S-905-133-4-16 Wafer Temperature System FREE SHIPPING
849.99  26d 12h
 
 AMAT 0020-27308 Stainless Steel 8" Lower Shield
Top-Rated Plus Seller  AMAT 0020-27308 Stainless Steel 8" Lower Shield
74.99  28d 22h
 
VAT Gate Valve Series 10 Model 14046-TE44  Tag # 10
VAT Gate Valve Series 10 Model 14046-TE44 Tag # 10
1,500.00  16d 14h
 
0021-21890 / Ring, Deposition, 8 Jmf, Ti/tin, Htesc / Applied Materials Amat
0021-21890 / Ring, Deposition, 8 Jmf, Ti/tin, Htesc / Applied Materials Amat
1,737.19  29d 15h
 
(1) Pen Holder Assembly ASM 73008-02691 H1571
(1) Pen Holder Assembly ASM 73008-02691 H1571
65.00  16d 23h
 
Filter Cartridge ASM 1024-615-01 / 4001-7257-02
Filter Cartridge ASM 1024-615-01 / 4001-7257-02
11.00  19d 12h
 
Amat 0021-27304 Ver 001,
Amat 0021-27304 Ver 001,
800.00  13d 13h
 
SCP 3150 Process Controller 583-054-1A ID -AWM-F-1-034
SCP 3150 Process Controller 583-054-1A ID -AWM-F-1-034
750.00  15d 20h
 
Robot Effector Robot ARM AWR-BB-4-003
Robot Effector Robot ARM AWR-BB-4-003
750.00  28d 19h
 
Amat 0021-01572 Faceplate, Giga-fill Sacvd, Refurbished
Amat 0021-01572 Faceplate, Giga-fill Sacvd, Refurbished
1,600.00  19d 22h
 
MJC BAW-0173 Board
MJC BAW-0173 Board
449.90  12d 3h
 
ETEL DSCDP131-111-000 DSC Dual position controller
ETEL DSCDP131-111-000 DSC Dual position controller
999.00  13d 2h
 
Pacific Scientific SC323A032 115/230 1/3 Ph ID-AWM-D-1-002
Pacific Scientific SC323A032 115/230 1/3 Ph ID-AWM-D-1-002
2,500.00  14d 17h
 
PFEIFFER Vacuum B108 JTS ID -AWM-A-5-003
PFEIFFER Vacuum B108 JTS ID -AWM-A-5-003
3,750.00  16d 16h
 
PFEIFFER Vacuum B108 JTS ID -AWM-A-5-004
PFEIFFER Vacuum B108 JTS ID -AWM-A-5-004
3,750.00  16d 16h
 
95-0296 B Touch Screen Monitor for Gasonics Aura 3010,Gasonics L3510 AWG-1-6-002
95-0296 B Touch Screen Monitor for Gasonics Aura 3010,Gasonics L3510 AWG-1-6-002
1,500.00  7d 18h
 
Asyst Technologies?Mini Environmental Cassette 6 Inch , AWG-1-7-003
Asyst Technologies?Mini Environmental Cassette 6 Inch , AWG-1-7-003
1,750.00  7d 19h
 
SensArray Corporation ProcessProbe Instrumented Wafers 1840A-8-5005
SensArray Corporation ProcessProbe Instrumented Wafers 1840A-8-5005
4,500.00  9d 21h
 
930921 / 6000-8536 Board, Dlcu , U5 Token Board /  Ushio
930921 / 6000-8536 Board, Dlcu , U5 Token Board / Ushio
850.68  13d 18h
 
Desktop Trackball 100296-510a W/ Speedline Software
Desktop Trackball 100296-510a W/ Speedline Software
194.39  8d 8h
 
ATTRO HS6637 HS-6637 Ver. 2.1 Single Board Computer
ATTRO HS6637 HS-6637 Ver. 2.1 Single Board Computer
135.00  23d 15h
 
Gasonic NOVELLUS IRIDIA PEP 4800 DL
Gasonic NOVELLUS IRIDIA PEP 4800 DL
150,000.00  15d 4h
 
AMAT APPLIED MATERIALS E11355460 REV.D Plasma Detector E11355470 Rev.B
AMAT APPLIED MATERIALS E11355460 REV.D Plasma Detector E11355470 Rev.B
1,118.00  12d 2h
 
Amat 0020-78460 Shield,
Amat 0020-78460 Shield,
800.00  11d 1h
 
H2 Reg. & Gauge 44-2261-242-019 ID-AWS-017
H2 Reg. & Gauge 44-2261-242-019 ID-AWS-017
759.00  11d 17h
 
Eurotherm 101 Temperature Controller ID-AWS-019
Eurotherm 101 Temperature Controller ID-AWS-019
750.00  11d 18h
 
MKS Barathon 142A-13243 10 Torr ID-AWS-20
MKS Barathon 142A-13243 10 Torr ID-AWS-20
750.00  11d 19h
 
Matrix 106 Asher Heater ID-AWS-L-1-1-001
Matrix 106 Asher Heater ID-AWS-L-1-1-001
1,000.00  13d 14h
 
Linear Instruments 0156-0000 PMS 4,146,828 AWM-F-2-2-005
Linear Instruments 0156-0000 PMS 4,146,828 AWM-F-2-2-005
950.00  19d 14h
 
2100-0007-1000-002 AW-Etch-1000-002 PCB AWM-E-5-2-008
2100-0007-1000-002 AW-Etch-1000-002 PCB AWM-E-5-2-008
1,250.00  22d 16h
 
76h4972 J127w036298 94-3015 Pcb Awm-b-4-5-002
76h4972 J127w036298 94-3015 Pcb Awm-b-4-5-002
1,250.00  22d 18h
 
Component Side B/N A/N290103-200 Rev-A AWM-B-4-5-003
Component Side B/N A/N290103-200 Rev-A AWM-B-4-5-003
1,250.00  22d 18h
 
Component Side A/N290102-400C AWM-B-4-5-006
Component Side A/N290102-400C AWM-B-4-5-006
1,250.00  22d 18h
 
FSI Component Side A/N290020-400 E AWM-B-4-5-007
FSI Component Side A/N290020-400 E AWM-B-4-5-007
1,250.00  22d 18h
 
Siltec Module Control Assy No 0122-0653 Rev M AWM-B-4-5-010
Siltec Module Control Assy No 0122-0653 Rev M AWM-B-4-5-010
1,250.00  22d 18h
 
Super Fine Color CCD CAMERA CV-950 With Cables
Super Fine Color CCD CAMERA CV-950 With Cables
750.00  20d 19h
 
Huttinger Type Is4-13560
Huttinger Type Is4-13560
19,950.00  11d 16h
 
Applied Materials AMAT VCR Elbow Weldment, 0050-84245
Applied Materials AMAT VCR Elbow Weldment, 0050-84245
145.00  7d 5h
 
Manual for UT Stepper 1000
Manual for UT Stepper 1000
200.00  9d 1h
 
Keithley Matrix Card 7071  Working
Keithley Matrix Card 7071  Working
1,005.12  15d 10h
 
Tokyo Electron TEL 1110-213814-13 FRANGE , WATER COOLING ALPHA303DF
Tokyo Electron TEL 1110-213814-13 FRANGE , WATER COOLING ALPHA303DF
1,688.00  7h 10m
 
AMAT Applied Materials 0195-12481 Yamatake Sensing Control
AMAT Applied Materials 0195-12481 Yamatake Sensing Control
3,333.00  12d 3h
 
Tokyo Electron TEL 5085-447903-15 Cover ASSY , HEATER
Tokyo Electron TEL 5085-447903-15 Cover ASSY , HEATER
3,888.00  25d 5h
 
Tokyo Electron TEL 1110-213808-13 FRANGE , WATER COOLING UPPER ALPHA303 STD
Tokyo Electron TEL 1110-213808-13 FRANGE , WATER COOLING UPPER ALPHA303 STD
1,688.00  7h 17m
 
Tokyo Electron Tel 2181-020069-16  Hsdl-vlv-i/f  Board
Tokyo Electron Tel 2181-020069-16 Hsdl-vlv-i/f Board
3,333.00  1d 6h
 
Tubephragm Pump HPT-106-2
Tubephragm Pump HPT-106-2
1,888.00  4d 8h
 
381-600338-3 / 308-600338-2, Indexer I/o Board / Tokyo Electron Tel
381-600338-3 / 308-600338-2, Indexer I/o Board / Tokyo Electron Tel
200.99  6d 13h
 
03-81817-00 / W Pcb, Cmos Cpu Promless / Amat
03-81817-00 / W Pcb, Cmos Cpu Promless / Amat
1,592.49  6d 16h
 
Chuck O-ring Bs1-2044-000
Chuck O-ring Bs1-2044-000
199.00  12d 12h
 
Motion Engineering eXMP-EXP-LCF 1007-0066 REV 2 A039-0001
Motion Engineering eXMP-EXP-LCF 1007-0066 REV 2 A039-0001
30.00  24d 22h
 
Yaskawa Electric  Resistor Unit Model Jusp-ra19 #61D24Pr5
Yaskawa Electric Resistor Unit Model Jusp-ra19 #61D24Pr5
299.99  3d 9h
 
Harrington SPM88 SPM-88 1/2 Inch Union PTFE Fittings Adapters  2 Count Lot
Harrington SPM88 SPM-88 1/2 Inch Union PTFE Fittings Adapters 2 Count Lot
59.99  20d 18h
 
Merlin Gerin C60n2pole
Merlin Gerin C60n2pole
12.39  16d 14h
 
Ckd Gamd402-x0194-03 Pneumatic Actuated Chemical Valves 0-0.3 Mpa
Ckd Gamd402-x0194-03 Pneumatic Actuated Chemical Valves 0-0.3 Mpa
299.99  11h 27m
 
Millipore FC-2979MEP5 Mass Flow Controller 200 SCCM N2  Working
Millipore FC-2979MEP5 Mass Flow Controller 200 SCCM N2  Working
301.15  1d 16h
 
Integrated Designs Chemical Dispense Card A to D 1-139-010
Integrated Designs Chemical Dispense Card A to D 1-139-010
399.00  29d 8h
 
Refurbish your  Surface Science Integration SSI Inc RTP Solaris 150UV
Refurbish your  Surface Science Integration SSI Inc RTP Solaris 150UV
27,500.00  5d 16h
 
AMAT 0020-22237 Cover Ring, 8" 424121
AMAT 0020-22237 Cover Ring, 8" 424121
895.00  29d 17h
 
Pacific Precision Laboratories XY Positioning Controller
Pacific Precision Laboratories XY Positioning Controller
400.00  12d 9h
 
Acatel Turbo Controller Cff 450 - Type . 8220
Acatel Turbo Controller Cff 450 - Type . 8220
450.00  16d 10h
 
Echo Basic I10 Cable 11-01-00300 V1.0
Echo Basic I10 Cable 11-01-00300 V1.0
120.00  21d 12h
 
Acumist Nozzle 06-05-00276
Acumist Nozzle 06-05-00276
145.00  21d 14h
 
Asml  4022.451.8773.1 ,4022.635.25015 Sem-i-451=2m24
Asml  4022.451.8773.1 ,4022.635.25015 Sem-i-451=2m24
199.90  2h 8m
 
Asml  4022.481.43777 4022.451.8773.1 Sem-i-452=2m24
Asml  4022.481.43777 4022.451.8773.1 Sem-i-452=2m24
199.90  2h 4m
 
Asml 4022.481.43787  4022.451.8773.1 Sem-i-453=2m24
Asml 4022.481.43787  4022.451.8773.1 Sem-i-453=2m24
199.90  2h 3m
 
ASML  4022.451.8773.1 4022.481.43795 SEM-I-613=7B13
ASML  4022.451.8773.1 4022.481.43795 SEM-I-613=7B13
199.90  20d 0h
 
Phoenix Contact Power Supply STEP-PS/1AC/48DC/2
Phoenix Contact Power Supply STEP-PS/1AC/48DC/2
48.00  5d 17h
 
NORDSON CoolWave 1102908
NORDSON CoolWave 1102908
170.00  14d 8h
 
6MBI30F-060, Fuji Electric, 30A 600V IGBT MODULE
6MBI30F-060, Fuji Electric, 30A 600V IGBT MODULE
189.89  20d 15h
 
SensArray Corporation ProcessProbe Instrumented Wafers 1840A-8-5009
SensArray Corporation ProcessProbe Instrumented Wafers 1840A-8-5009
5,800.00  9d 21h
 
SensArray Corporation ProcessProbe Instrumented Wafers 1501A-8-5057
SensArray Corporation ProcessProbe Instrumented Wafers 1501A-8-5057
7,500.00  9d 21h
 
Glitch Master 230 Short-Duration Uninterruptible Power Supply, 450475
Glitch Master 230 Short-Duration Uninterruptible Power Supply, 450475
150.00  7d 12h
 
REDUCER TUBE 12mm-1/2M12TUC8-316 V28538
REDUCER TUBE 12mm-1/2M12TUC8-316 V28538
65.00  14d 12h
 
Thornton 740-a01 Resistivity Controller
Thornton 740-a01 Resistivity Controller
199.95  17d 23h
 
Zeiss 347924-9010-1002 L1400 Uniplimth Board Siemens 347524-9010-000 + warranty
Zeiss 347924-9010-1002 L1400 Uniplimth Board Siemens 347524-9010-000 + warranty
2,900.00  1d 15h
 
Axcelis 17192410 Suppresion Plate 777
Axcelis 17192410 Suppresion Plate 777
100.00  13d 20h
 
FOUR AXIS Motion Controller Board P9680-R Rev.A  4001-01 Rev.B, Free shipping
FOUR AXIS Motion Controller Board P9680-R Rev.A 4001-01 Rev.B, Free shipping
219.90  20d 2h
 
Omron E5ES-QHKJ Temperature Controller, 452170
Omron E5ES-QHKJ Temperature Controller, 452170
50.00  22d 14h
 
Filter Parker Polyflow-g Pg-10320-050-1
Filter Parker Polyflow-g Pg-10320-050-1
10.00  14d 13h
 
0040-30144 Rev .010 Carrier Detect Shaft Bellows, Me-2009-07-30-021
0040-30144 Rev .010 Carrier Detect Shaft Bellows, Me-2009-07-30-021
179.99  24d 13h
 
Spectrum 760059 760056 P/N: 014204-020 Board
Spectrum 760059 760056 P/N: 014204-020 Board
299.00  13d 13h
 
Met One A2432 Manifold Controller 2081353-11
Met One A2432 Manifold Controller 2081353-11
22.81  12d 19h
 
TRUMPF NS EMO Auftrennung PA1C 2238655, PA1C, 2245189, 2245188 PA SEM-I-327
TRUMPF NS EMO Auftrennung PA1C 2238655, PA1C, 2245189, 2245188 PA SEM-I-327
499.90  18d 1h
 
TRUMPF Kabelsatz EMO Auftrennung NNB 2238656, PA2C, 2238656, 2245201 SEM-I-328
TRUMPF Kabelsatz EMO Auftrennung NNB 2238656, PA2C, 2238656, 2245201 SEM-I-328
499.90  18d 1h
 
TRUMPF Elektr. Ausrustung EMO Auftr 2238657, PA3C, 2245232, 2245231 PA SEM-I-329
TRUMPF Elektr. Ausrustung EMO Auftr 2238657, PA3C, 2245232, 2245231 PA SEM-I-329
499.90  18d 1h
 
TRUMPF Elektr Ausrustung EMO Auftren NNB 2238654, PA0C 2245148 2245147 SEM-I-330
TRUMPF Elektr Ausrustung EMO Auftren NNB 2238654, PA0C 2245148 2245147 SEM-I-330
499.90  18d 1h
 
Liebert Acv208d100rk Surge Protection System
Liebert Acv208d100rk Surge Protection System
180.95  3d 9h
 
Sunx Rotary Encoder Ore-38-360l05 , Not In Box! Make Offer!
Sunx Rotary Encoder Ore-38-360l05 , Not In Box! Make Offer!
49.95  13d 11h
 
130-0402// Amat Applied 0100-09112 Applied Matrials Components
130-0402// Amat Applied 0100-09112 Applied Matrials Components
850.00  7d 5h
 
Tokyo Electron 1110-205314-11 FLANGE, WATER COOLING(UNDER),
Tokyo Electron 1110-205314-11 FLANGE, WATER COOLING(UNDER),
3,800.00  16d 20h
 
VT Board M-W9205B M-W92058 0671158
VT Board M-W9205B M-W92058 0671158
175.00  21d 13h
 
NOW Technologies SD4AAAE-030506 Smart Probe, 4L/1G,
NOW Technologies SD4AAAE-030506 Smart Probe, 4L/1G,
1,100.00  12d 11h
 
402-0501// Tokyo-rikosha Vscp-60-nspy Controller [/fast]
402-0501// Tokyo-rikosha Vscp-60-nspy Controller [/fast]
600.00  19d 20h
 
Telemecanique XUS-L Test Rod w/ XUS-LZ500 & 2 XUS-LZ218 XUS-LN, 452713
Telemecanique XUS-L Test Rod w/ XUS-LZ500 & 2 XUS-LZ218 XUS-LN, 452713
495.00  10d 14h
 
Swagelok SS-DLFR4-P Diaphragm Valve Assy, 452407
Swagelok SS-DLFR4-P Diaphragm Valve Assy, 452407
295.00  8d 19h
 
1953  2 Applied Materials (01-81912-00 & 01-81912-00/C) Analog Interface Units
1953 2 Applied Materials (01-81912-00 & 01-81912-00/C) Analog Interface Units
3,000.00  13d 20h
 
Applied Materials Amat 0050-40761 Exhaust Weldment
Applied Materials Amat 0050-40761 Exhaust Weldment
599.99  12h 52m
 
Amat Applied Materials 004-49259 Endpoint 321399r18-x3 Tray 300mm
Amat Applied Materials 004-49259 Endpoint 321399r18-x3 Tray 300mm
599.99  13h 40m
 
Amat Applied Materials 0242-40778 Kit Retrofit Final Lines W/ Frc Ch C Sect
Amat Applied Materials 0242-40778 Kit Retrofit Final Lines W/ Frc Ch C Sect
519.99  7d 8h
 
Amat Applied Materials vacuun fitting 0050-45479
Amat Applied Materials vacuun fitting 0050-45479
295.99  2d 15h
 
Amat Applied Materials Atn Exh Cham 0050-33704
Amat Applied Materials Atn Exh Cham 0050-33704
399.99  11d 10h
 
Amat Applied Materials 0050-41050 Rev 00 Vacuum Fitting
Amat Applied Materials 0050-41050 Rev 00 Vacuum Fitting
287.99  7d 8h
 
Amat Applied Materials Vacuum Fitting 0050-36463
Amat Applied Materials Vacuum Fitting 0050-36463
279.99  16d 15h
 
Amat 0200-00937 Ceramic Depostion Ring, Refurbished
Amat 0200-00937 Ceramic Depostion Ring, Refurbished
1,400.00  10d 1h
 
Eaton Axcelis 0298-0069-0001 Chamber Unload Arm 4"
Eaton Axcelis 0298-0069-0001 Chamber Unload Arm 4"
319.99  25d 11h
 
221-313-100 Delat Backing plate,for Perkin-Elmer 4410, 4450,4480
221-313-100 Delat Backing plate,for Perkin-Elmer 4410, 4450,4480
6,500.00  16d 17h
 
221-313-100-T Delat Backing plate,for Perkin-Elmer 4410, 4450,4480
221-313-100-T Delat Backing plate,for Perkin-Elmer 4410, 4450,4480
6,500.00  16d 17h
 
Lam Research 839-443215-001 Elctd,hp Silicon,8",sm Hole ,
Lam Research 839-443215-001 Elctd,hp Silicon,8",sm Hole ,
2,200.00  15d 1h
 
LAM 715-017891-003 PL TOP 300MM 2300 *cleaned
LAM 715-017891-003 PL TOP 300MM 2300 *cleaned
5,000.00  10d 16h
 
Asymtek assy 7200910-3 Rev B ASM7204810G rev D
Asymtek assy 7200910-3 Rev B ASM7204810G rev D
549.00  13d 20h
 
346-0101// Amat Applied 0020-34048 Support, Bellows
346-0101// Amat Applied 0020-34048 Support, Bellows
1,500.00  12d 2h
 
Lintec Mass Flow Controller Lc-3000l Lc-3102l-n0-22swlrad06
Lintec Mass Flow Controller Lc-3000l Lc-3102l-n0-22swlrad06
800.00  23d 18h
 
Amat 0190-31864 Spec., Encoder Read Head Assy. 1-a, Adap ,
Amat 0190-31864 Spec., Encoder Read Head Assy. 1-a, Adap ,
1,500.00  13d 1h
 
124-0403// Amat Applied 0021-01572 Faceplate, Giga-fill Sacvd, Bp
124-0403// Amat Applied 0021-01572 Faceplate, Giga-fill Sacvd, Bp
1,600.00  19d 1h
 
Tegal 9XX PCB, 99-165-003 REV.J, NOS
Top-Rated Plus Seller Tegal 9XX PCB, 99-165-003 REV.J, NOS
300.00  6d 12h
 
DE10002A208V Softswitching  With SST Same Model ID-AWM-D-2-2-002
DE10002A208V Softswitching  With SST Same Model ID-AWM-D-2-2-002
750.00  14d 18h
 
AMAT (Applied Materials) - 0040-31844 ASSY, BELLOWS, LIFT PIN - OEM
AMAT (Applied Materials) - 0040-31844 ASSY, BELLOWS, LIFT PIN - OEM
900.00  8d 13h
 
Credence Visual ATE CD Version 48201 (Part Number: 063-4529-00)
Credence Visual ATE CD Version 48201 (Part Number: 063-4529-00)
450.00  14d 9h
 
Amat 0195-07795 Htr Shft Temp Controller 300mm Se/gt,
Amat 0195-07795 Htr Shft Temp Controller 300mm Se/gt,
3,500.00  23d 5h
 
Amat 0041-02462 Link 2, Corrosion Resistant, 300mm Sbr ,
Amat 0041-02462 Link 2, Corrosion Resistant, 300mm Sbr ,
2,200.00  14d 1h
 
Amat 0040-96349 Support, Upper,
Amat 0040-96349 Support, Upper,
1,700.00  15d 1h
 
Amat 0190-11524 Interlock Module, Cdn500-25, Hart Chambe,
Amat 0190-11524 Interlock Module, Cdn500-25, Hart Chambe,
3,800.00  15d 2h
 
Tokyo Electron 3587-000910-12 Stage Cover Assy, 300,
Tokyo Electron 3587-000910-12 Stage Cover Assy, 300,
2,500.00  19d 5h
 
Tokyo Electron Estl81-000005-v1 91776 Pcb Board,
Tokyo Electron Estl81-000005-v1 91776 Pcb Board,
1,500.00  20d 0h
 
Amat 0010-01648 Clear Lid Assy, 200mm Producer ,
Amat 0010-01648 Clear Lid Assy, 200mm Producer ,
4,200.00  4d 2h
 
AMAT 0940-01021 INDICATOR ELECT 0-1RANGE.0005 RSLN .001 Lot of 5,
AMAT 0940-01021 INDICATOR ELECT 0-1RANGE.0005 RSLN .001 Lot of 5,
1,500.00  4d 14h
 
Amat 0020-27191 Clamp Ring,
Amat 0020-27191 Clamp Ring,
1,500.00  9d 17h
 
Amat 0020-64184 Pumping Plate 200mm,
Amat 0020-64184 Pumping Plate 200mm,
3,500.00  9d 17h
 
Amat 0200-36422 Liner,lower, Gq Nitride,
Amat 0200-36422 Liner,lower, Gq Nitride,
3,800.00  12d 18h
 
Novellus 04-132664-00 Kit 12" Flt Pnl Dsp Ctr Mnt,
Novellus 04-132664-00 Kit 12" Flt Pnl Dsp Ctr Mnt,
3,000.00  20d 0h
 
Amat 0021-03739 Pumping Channel, Anodized, 3 Piece ,
Amat 0021-03739 Pumping Channel, Anodized, 3 Piece ,
2,400.00  22d 21h
 
Amat 0190-24808 3007051207-0736,
Amat 0190-24808 3007051207-0736,
2,500.00  14d 1h
 
Mercury 956328 Assembly Fixture Epd,
Mercury 956328 Assembly Fixture Epd,
1,800.00  4d 23h
 
Amat 0200-89049 Insert 3zone Left,
Amat 0200-89049 Insert 3zone Left,
4,500.00  4d 14h
 
Amat 0020-27773 Pumping Plate 200mm,
Amat 0020-27773 Pumping Plate 200mm,
2,300.00  4d 17h
 
Amat 0200-00915 Insulator, Quartz, Hart 300mm ,
Amat 0200-00915 Insulator, Quartz, Hart 300mm ,
3,500.00  12d 18h
 
Novellus 05-267414-00 Retrofit Kit, Dequal Expre,
Novellus 05-267414-00 Retrofit Kit, Dequal Expre,
2,900.00  2d 20h
 
Amat 0190-07338 Board 9000-31-040,
Amat 0190-07338 Board 9000-31-040,
4,200.00  24d 4h
 
Amat 0021-01571 Faceplate, Giga-fill Sacvd, Usg Cent 26,
Amat 0021-01571 Faceplate, Giga-fill Sacvd, Usg Cent 26,
1,700.00  2d 1h
 
Amat 3620-00252 Filter, Pump Inlet Mounted,
Amat 3620-00252 Filter, Pump Inlet Mounted,
1,500.00  22d 23h
 
Amat 0021-38262 Platen Reference, Leveling/centering Tool,
Amat 0021-38262 Platen Reference, Leveling/centering Tool,
1,500.00  14d 1h
 
994750-0001 70 DG840600169 Assy 994752-000 /994751-000 AWM-B-4-5-004
994750-0001 70 DG840600169 Assy 994752-000 /994751-000 AWM-B-4-5-004
799.00  22d 18h
 
Lot of 4  Pall AB2P05019J Standard Cartridge Tube 20" Filters 5?m
Lot of 4  Pall AB2P05019J Standard Cartridge Tube 20" Filters 5?m
29.99  12d 22h
 
AMAT Applied Materials 0120-92722 Spin Scan Relay PCB Card ()
AMAT Applied Materials 0120-92722 Spin Scan Relay PCB Card ()
420.00  8d 23h
 
Brooks 70063425r Rev J Assy,gripper, Aldr Iv,
Brooks 70063425r Rev J Assy,gripper, Aldr Iv,
4,000.00  21h 6m
 
Amat 0041-02095 300911lnpi1323670,
Amat 0041-02095 300911lnpi1323670,
2,500.00  24d 1h
 
Brooks 70063425r Rev J Refurb,assy,gripper,aldr Iv,
Brooks 70063425r Rev J Refurb,assy,gripper,aldr Iv,
4,000.00  20h 39m
 
Proteus 98004SN6P1 Flow Meter 5 VDC=6.0 GPM
Proteus 98004SN6P1 Flow Meter 5 VDC=6.0 GPM
69.00  12d 14h
 
E0r057586b / I/0 Board / Zmisc
E0r057586b / I/0 Board / Zmisc
1,674.90  14d 17h
 
619c-15277 / 619C BARATRON ISHED / MKS
619c-15277 / 619C BARATRON ISHED / MKS
3,600.00  27d 13h
 
70412556100 / (s)mod. Gun Align-1 Boa / Applied Materials Amat
70412556100 / (s)mod. Gun Align-1 Boa / Applied Materials Amat
2,001.24  7d 16h
 
Moelp00452b / Fiber (ring) (disco) / Disco
Moelp00452b / Fiber (ring) (disco) / Disco
1,584.00  9d 14h
 
Manual for FSI Phoenix
Manual for FSI Phoenix
60.00  8d 23h
 
KOMATSU GRT-612-R-UL with cooling & heating unit,  
KOMATSU GRT-612-R-UL with cooling & heating unit,
3,000.00  28d 21h
 
Tokyo Electron 7623-0053-0001 Load Lock Tray,
Tokyo Electron 7623-0053-0001 Load Lock Tray,
800.00  8d 18h
 
SVG 99-38150-02 Shuttle Arm Assembly, Random 90 Series, No Vacuum Arm
SVG 99-38150-02 Shuttle Arm Assembly, Random 90 Series, No Vacuum Arm
699.99  20d 10h
 
EATON NOVELLUS 372-45020-1 FLOATING DRIVE 200mm
EATON NOVELLUS 372-45020-1 FLOATING DRIVE 200mm
151.99  16d 12h
 
Axcelis Implanter Insulator Block 17133270 (99401) REV H
Axcelis Implanter Insulator Block 17133270 (99401) REV H
170.00  9d 6h
 
Kongsberg Marine TERMINAL BOARD, VOTING, P/N 37937588
Kongsberg Marine TERMINAL BOARD, VOTING, P/N 37937588
299.00  14d 1h
 
Amat Applied Materials 321399r18-x3-slidc Configurable Slidac  
Amat Applied Materials 321399r18-x3-slidc Configurable Slidac
1,255.99  16d 15h
 
Edwards Im Interface Module
Edwards Im Interface Module
1,200.00  19d 12h
 
T172975 Silicon Thermal Pneumatic Adjustable Cantilever Powerdrive
T172975 Silicon Thermal Pneumatic Adjustable Cantilever Powerdrive
100.00  19d 11h
 
Crown Yutaka Regulator  Ersb-2009-wqx
Crown Yutaka Regulator Ersb-2009-wqx
219.00  19d 0h
 
0010-29963 / Controller Ccm Enabler Cs / Applied Materials Amat
0010-29963 / Controller Ccm Enabler Cs / Applied Materials Amat
5,792.03  3d 18h
 
70013-01 Svg Hpo Heater
70013-01 Svg Hpo Heater
650.00  10d 16h
 
TEL MDK794V-0 STP/F & ELV Connection Board B, 381-639655-5
TEL MDK794V-0 STP/F & ELV Connection Board B, 381-639655-5
399.99  8d 14h
 
Balzers  HV421 Signal Interface Board BG442250-T/B
Balzers HV421 Signal Interface Board BG442250-T/B
266.00  20d 12h
 
766-009866-050 Kit, Bellows Assy Nw50
766-009866-050 Kit, Bellows Assy Nw50
220.00  25d 18h
 
130-0201// Radisys 61-0367-38 486
130-0201// Radisys 61-0367-38 486
3,500.00  9d 23h
 
Lam Research 716-011830-006 Lower Focus Ring
Lam Research 716-011830-006 Lower Focus Ring
620.00  12d 13h
 
Lam Research 715-011913-080 Lower Baffle
Lam Research 715-011913-080 Lower Baffle
320.00  12d 18h
 
325-0102// Amat Applied 3030-04981 Applied Matrials Components [asis]
325-0102// Amat Applied 3030-04981 Applied Matrials Components [asis]
300.00  2d 0h
 
325-0103// Amat Applied 3030-00142 Applied Matrials Components [asis]
325-0103// Amat Applied 3030-00142 Applied Matrials Components [asis]
300.00  2d 1h
 
Proteus Industries 9PV6006STAP1 0.48 to 8.5 GPM Flow Meter
Proteus Industries 9PV6006STAP1 0.48 to 8.5 GPM Flow Meter
80.00  19d 13h
 
Tamarack Scientific Integrating Exposure Controller EC-12-ID ID-AWM-D-1-009
Tamarack Scientific Integrating Exposure Controller EC-12-ID ID-AWM-D-1-009
750.00  15d 17h
 
LOT 40 Georg Fischer Signet 735 108 611 Elbow 90 PN16 Plain Butt FREE SHIPPING
LOT 40 Georg Fischer Signet 735 108 611 Elbow 90 PN16 Plain Butt FREE SHIPPING
484.49  19d 14h
 
Applied Materials 0200-19395 Shadow Ring 0.8/0.8mm Ee Wc Amat *un*
Applied Materials 0200-19395 Shadow Ring 0.8/0.8mm Ee Wc Amat *un*
2,499.00  25d 7h
 
Malema MFC-8000-T2101-052-N-001 FLOW CONTROLLER, WORKING
Malema MFC-8000-T2101-052-N-001 FLOW CONTROLLER, WORKING
4,500.00  3d 2h
 
Schneider E63335 3-Pole  LISTED,
Schneider E63335 3-Pole LISTED,
350.00  16d 19h
 
ESI ECS Controller board PN14165601
ESI ECS Controller board PN14165601
333.00  10d 8h
 
Teravicta 8_Dev_Doc Rev b With 8_CotoB41_DC_b PCB
Teravicta 8_Dev_Doc Rev b With 8_CotoB41_DC_b PCB
750.00  23d 16h
 
Case of (10) Probe Points MC Systems Inc Model 1011
Case of (10) Probe Points MC Systems Inc Model 1011
42.00  16d 19h
 
ASM Flexible Wirewound Heater 1091-163-01
ASM Flexible Wirewound Heater 1091-163-01
16.00  18h 6m
 
141-0502// Amat Applied 0226-42789 Cable, Extension Flow/temp 1/2
141-0502// Amat Applied 0226-42789 Cable, Extension Flow/temp 1/2
40.00  8d 0h
 
Tokyo Electron Limited 3285-003229-13 Z/THETA UNIT , THK LMT64SGL-71767825
Tokyo Electron Limited 3285-003229-13 Z/THETA UNIT , THK LMT64SGL-71767825
4,888.00  21d 3h
 
Micromanipulator 6000 4" Inch Prober Probe Station Refurbished 1 Year Warranty
Micromanipulator 6000 4" Inch Prober Probe Station Refurbished 1 Year Warranty
6,535.00  2d 21h
 
 EVAC NW100 Chain Clamp
 EVAC NW100 Chain Clamp
29.97  13d 9h
 
GEORG FISCHER+GF+SYGEF 735 158 633 45° ELBOW 32mm PVDF-HP
GEORG FISCHER+GF+SYGEF 735 158 633 45° ELBOW 32mm PVDF-HP
19.99  18d 13h
 
 GEORG FISCHER+GF 735 158 609 45° Elbow PVDF
 GEORG FISCHER+GF 735 158 609 45° Elbow PVDF
15.99  18d 13h
 
King Adm840 Kdt5000 Communications Mobile Data Systems Radio Display Adm 840
King Adm840 Kdt5000 Communications Mobile Data Systems Radio Display Adm 840
109.99  1d 15h
 
346-0501// Dasol Eng Fpp-2000 Sheet Resistance Meter []
346-0501// Dasol Eng Fpp-2000 Sheet Resistance Meter []
3,000.00  1d 1h
 
Millipore Wcdsf04l1 Filter Diaphram Pump
Millipore Wcdsf04l1 Filter Diaphram Pump
539.99  6d 10h
 
Amat 0020-23181 Applied Materials 6" Cover Ring Rev Pb 101 Ti/tin 0250-22026
Amat 0020-23181 Applied Materials 6" Cover Ring Rev Pb 101 Ti/tin 0250-22026
559.99  9d 14h
 
00832-4004-001 Emo Board Assembly Yas512e 777
00832-4004-001 Emo Board Assembly Yas512e 777
75.00  13d 22h
 
Stec Mass Flow Controller Model Sec - 4600 Mc - Suc , Very Good Condition
Stec Mass Flow Controller Model Sec - 4600 Mc - Suc , Very Good Condition
350.00  18d 21h
 
Asml  4022.636.58072 Clamp/free Clamp Func. 2w Sem-i-423=2m24
Asml  4022.636.58072 Clamp/free Clamp Func. 2w Sem-i-423=2m24
129.90  6d 2h
 
ASML  4022.481.05767 SEM-I-758=9G21
ASML  4022.481.05767 SEM-I-758=9G21
149.90  19d 17h
 
Lam Research 810-017004-001 Assy, Pcb-sol Intlk
Lam Research 810-017004-001 Assy, Pcb-sol Intlk
520.00  12d 15h
 
Tegal 1513e DC Module Item CE 1029  Parts
Tegal 1513e DC Module Item CE 1029 Parts
2,500.00  10d 19h
 
Taiyd 35h-3 Hydraulic Cyl 1cb80b300-ab-x Id-aws-008
Taiyd 35h-3 Hydraulic Cyl 1cb80b300-ab-x Id-aws-008
2,500.00  11d 19h
 
Watlow EHG2-CNTL-0000  Controller module w/ warranty
Watlow EHG2-CNTL-0000 Controller module w/ warranty
390.00  7d 15h
 
ESI 9300 Z VAC INTERLOCK BOARD 133190 REV. A  /  Free Shipping
ESI 9300 Z VAC INTERLOCK BOARD 133190 REV. A / Free Shipping
119.00  5d 2h
 
Yamada AD-50ST Stainless Steel Pulsation Damper 100 Psi Max -  In Box
Yamada AD-50ST Stainless Steel Pulsation Damper 100 Psi Max -  In Box
735.98  8d 11h
 
Tegal 1513e Gas Controller Item CG 1150 -00202 ID-AWS-012
Tegal 1513e Gas Controller Item CG 1150 -00202 ID-AWS-012
1,200.00  11d 15h
 
MKS Pressure/flow Type 270A Display ID-AWS-K-1-007
MKS Pressure/flow Type 270A Display ID-AWS-K-1-007
750.00  12d 14h
 
MKS Pressure/flow Type 270A Display ID-AWS-K-1-008
MKS Pressure/flow Type 270A Display ID-AWS-K-1-008
750.00  12d 14h
 
MKS ION Gauge CONT Type 290-01 ID-AWS-K-1-009
MKS ION Gauge CONT Type 290-01 ID-AWS-K-1-009
750.00  12d 14h
 
Western Servo Design WS911-0004 4880-5 10010-T1 ID-AWS-K-1-014
Western Servo Design WS911-0004 4880-5 10010-T1 ID-AWS-K-1-014
950.00  12d 15h
 
Tegal 33-349-002 ID-AWS-L-1-2-007
Tegal 33-349-002 ID-AWS-L-1-2-007
750.00  13d 16h
 
MotorSTP Controller BSH-250 ID-AWM-D-2-2-005
MotorSTP Controller BSH-250 ID-AWM-D-2-2-005
750.00  14d 18h
 
INJ. Valve Controller With Fuji Temperature Controller ID-AWM-D-1-010
INJ. Valve Controller With Fuji Temperature Controller ID-AWM-D-1-010
750.00  15d 17h
 
Tegal Line Recorder 80-055-166 ID -AWM-B-4-2-002
Tegal Line Recorder 80-055-166 ID -AWM-B-4-2-002
750.00  16d 17h
 
SEMCO Level Sensor Model XLSM ID -AWM-B-4-2-003
SEMCO Level Sensor Model XLSM ID -AWM-B-4-2-003
750.00  16d 17h
 
PCB BA #001-0009-01 Rev 1.6 AWM-G-4-1-001
PCB BA #001-0009-01 Rev 1.6 AWM-G-4-1-001
755.00  21d 15h
 
99-125-004 Tegal  901e Tegal 903e  PCB AWM-G-5-1-010
99-125-004 Tegal 901e Tegal 903e PCB AWM-G-5-1-010
799.00  21d 17h
 
Tegal  Item CD 1011  With 99-125-004 /008 & Flip 03600-22-040 AWM-G-5-2-001
Tegal Item CD 1011 With 99-125-004 /008 & Flip 03600-22-040 AWM-G-5-2-001
1,300.00  21d 18h
 
Tegal  Item CD 1011  With 99-125-004 AWM-G-5-2-003
Tegal Item CD 1011 With 99-125-004 AWM-G-5-2-003
799.00  21d 18h
 
Tegal  Item CD 1011  With 99-125-004 /008 & Flip 03601-22-040 AWM-H-1-001
Tegal Item CD 1011 With 99-125-004 /008 & Flip 03601-22-040 AWM-H-1-001
1,300.00  21d 20h
 
Tegal 901e Tegal 903e Main Control Board PN 80-095-278 AWM-B-4-4-002
Tegal 901e Tegal 903e Main Control Board PN 80-095-278 AWM-B-4-4-002
799.00  22d 17h
 
Pd-1653-0005 A 220-978-4?? Pd-1653-5 Pcb Awm-b-4-4-004
Pd-1653-0005 A 220-978-4?? Pd-1653-5 Pcb Awm-b-4-4-004
799.00  22d 17h
 
Bay A.T. BES-4243 BES 4243 BES4243 AWM-B-4-5-011
Bay A.T. BES-4243 BES 4243 BES4243 AWM-B-4-5-011
799.00  22d 19h
 
Tegal 901e Tegal 903e Vacuum Assembly ID-AWM-B-5-4
Tegal 901e Tegal 903e Vacuum Assembly ID-AWM-B-5-4
750.00  22d 20h
 
Scientific TechnologiesLight Curatin Controller  MS4324B-2
Scientific TechnologiesLight Curatin Controller MS4324B-2
250.00  14d 16h
 
130-0701// Amat Applied 0010-70058 (#3) Stor Elev Assy, 29 Posn
130-0701// Amat Applied 0010-70058 (#3) Stor Elev Assy, 29 Posn
1,500.00  27d 21h
 
HB7820106 Board
HB7820106 Board
77.85  28d 22h
 
PHILIPS mA CONTROL 5322 694 14856
PHILIPS mA CONTROL 5322 694 14856
650.00  26d 8h
 
AMAT 0020-10122 Plate, Perf, 125mm, Oxide 415187
AMAT 0020-10122 Plate, Perf, 125mm, Oxide 415187
695.00  3d 18h
 
TACMINA CORPORATION Pulsation Dampener BPD-20-S
TACMINA CORPORATION Pulsation Dampener BPD-20-S
555.00  1d 2h
 
1/4" Swagelok to NW40 adaptor
1/4" Swagelok to NW40 adaptor
20.00  5d 16h
 
Thermco 5204 225/235 LP CVD Front  Flange, 128094-002D6,
Thermco 5204 225/235 LP CVD Front Flange, 128094-002D6,
2,900.00  16d 15h
 
Philips XR-XRA Interface 5322 694 14808
Philips XR-XRA Interface 5322 694 14808
270.00  26d 10h
 
Drytek / Lam Lower Electrode Microwave Assy 2301838
Top-Rated Plus Seller Drytek / Lam Lower Electrode Microwave Assy 2301838
1,749.30  27d 10h
 
Chuck Bottom  AWM-C-5-6
Chuck Bottom AWM-C-5-6
125.00  19d 18h
 
Rix Rotary Union Type Mfc2p-10656e,
Rix Rotary Union Type Mfc2p-10656e,
545.30  26d 14h
 
Lot Of 7  Setra C216fsm Pressure Transducers Psig 24 Vdc Free Shipping
Lot Of 7  Setra C216fsm Pressure Transducers Psig 24 Vdc Free Shipping
382.49  16d 15h
 
Shimadzu E1-2003M1 Turbopump Controller
Shimadzu E1-2003M1 Turbopump Controller
1,070.00  24d 10h
 
Systems Chemistry 99-90288-04 Signal Conitioner Pcb,
Systems Chemistry 99-90288-04 Signal Conitioner Pcb,
700.00  27d 17h
 
Amat 3800-01147 & 0140-78202 Mirra Regulator For Upa It1001-n31-x6
Amat 3800-01147 & 0140-78202 Mirra Regulator For Upa It1001-n31-x6
1,000.00  16d 2h
 
Amat 3800-01147 & 0140-77492 Mirra Regulator For Upa It1001-n31-x6
Amat 3800-01147 & 0140-77492 Mirra Regulator For Upa It1001-n31-x6
1,000.00  16d 2h
 
Amat 0021-10666 Block Gas/wtr Chm,
Amat 0021-10666 Block Gas/wtr Chm,
1,000.00  1d 21h
 
176-0402// Amat Applied 0050-09026 Manifold Llc Vent []
176-0402// Amat Applied 0050-09026 Manifold Llc Vent []
450.00  3d 1h
 
716-011573-001 Plunger, 1-4 Turn , 716-011571-001 Washer 1-4 Turn
716-011573-001 Plunger, 1-4 Turn , 716-011571-001 Washer 1-4 Turn
550.00  14d 13h
 
176-0103// Amat Applied 0050-02073 Applied Matrials Components [asis]
176-0103// Amat Applied 0050-02073 Applied Matrials Components [asis]
300.00  19d 19h
 
176-0104// Amat Applied 0227-45065 Applied Matrials Components [asis]
176-0104// Amat Applied 0227-45065 Applied Matrials Components [asis]
400.00  19d 21h
 
Amat 0190-00773 Pcii/e Space Cal Tool,
Amat 0190-00773 Pcii/e Space Cal Tool,
9,500.00  3d 0h
 
Amat 0010-89010 R3,4 Stretch Rotation Assy , Refurbished
Amat 0010-89010 R3,4 Stretch Rotation Assy , Refurbished
15,000.00  13d 0h
 
Amat0 0020-24530 Shield, Upper, Refurbished
Amat0 0020-24530 Shield, Upper, Refurbished
800.00  7d 20h
 
GE General Electric Fanuc Circuit Board 44B398234-001 44B398312-002/1 44A397802
GE General Electric Fanuc Circuit Board 44B398234-001 44B398312-002/1 44A397802
169.46  26d 11h
 
Lam Research Disp XDCR Alarm VCTR V14 Cable Harness 102347-1208 102347
Lam Research Disp XDCR Alarm VCTR V14 Cable Harness 102347-1208 102347
499.99  5d 15h
 
0020-28140 / Shield, 6 Degas With 12.9 , Non-txt / Applied Materials Amat
0020-28140 / Shield, 6 Degas With 12.9 , Non-txt / Applied Materials Amat
894.00  5d 15h
 
0100-00206 / Wpwb Assy Detect Ii Ease/non-ease / Applied Materials Amat
0100-00206 / Wpwb Assy Detect Ii Ease/non-ease / Applied Materials Amat
1,128.00  4d 11h
 
Kokusai Spconv10a Converter Interface Module
Kokusai Spconv10a Converter Interface Module
100.95  10d 20h
 
Smc Cg1g-v40-500 Pneumatic Cylinder
Smc Cg1g-v40-500 Pneumatic Cylinder
60.95  4d 21h
 
Sam Cld4h1g-ray39 Lot Of 3
Sam Cld4h1g-ray39 Lot Of 3
99.95  13d 16h
 
Malema Sensor M-50-511-10-018 Flow Switch
Malema Sensor M-50-511-10-018 Flow Switch
50.95  5d 15h
 
Lam Research 716-011830-006 Focus Ring 6'' Alumnia
Lam Research 716-011830-006 Focus Ring 6'' Alumnia
620.00  13d 12h
 
Yamada AD-50ST Stainless Steel Pulsation Damper 100 Psi Max -  No Box
Yamada AD-50ST Stainless Steel Pulsation Damper 100 Psi Max -  No Box
662.38  8d 11h
 
Applied Materials Akt Communication Interface 0100-71055
Applied Materials Akt Communication Interface 0100-71055
999.99  29d 23h
 
F33788400 / Pcb Plc Power Control / Zmisc
F33788400 / Pcb Plc Power Control / Zmisc
219.06  17d 13h
 
 LAM 679-022427-012 Sensor TC Single J Type ID: 1003489 REV B
 LAM 679-022427-012 Sensor TC Single J Type ID: 1003489 REV B
78.00  25d 22h
 
Applied Materials AMAT VCR Weldment, 0050-39874
Applied Materials AMAT VCR Weldment, 0050-39874
165.00  7d 5h
 
Helix Technologies 8186055 P/N: 8186054G001 Rev 54 Board
Helix Technologies 8186055 P/N: 8186054G001 Rev 54 Board
299.00  21d 14h
 
Amat 0020-26289 Shield, Lower 6", Refurbished
Amat 0020-26289 Shield, Lower 6", Refurbished
1,200.00  7d 19h
 
Crane Inspection Control Interlock System Inspect Logic Model 5700 (2)
Crane Inspection Control Interlock System Inspect Logic Model 5700 (2)
975.00  26d 9h
 
1-823764-01 / Plate / Tsm Hitachi
1-823764-01 / Plate / Tsm Hitachi
1,350.00  8d 13h
 
50412572300 / Attenuator Board / Applied Materials Amat
50412572300 / Attenuator Board / Applied Materials Amat
1,347.24  10d 11h
 
Svg 70013-01 Hpo Heater
Svg 70013-01 Hpo Heater
650.00  12d 16h
 
Novellus 15-056132-00
Novellus 15-056132-00
400.00  9d 23h
 
Axeclis Techologies 075342 Rf Detecor Module
Axeclis Techologies 075342 Rf Detecor Module
250.07  11d 22h
 
325-0102// Amat Applied 0225-97984 Applied Matrials Components [asis]
325-0102// Amat Applied 0225-97984 Applied Matrials Components [asis]
300.00  2d 0h
 
Mott Corp 4300-1.450-7.400-.062-2-A HP CLEANED, 4300086-020-H
Mott Corp 4300-1.450-7.400-.062-2-A HP CLEANED, 4300086-020-H
300.00  18d 13h
 
Amat 0020-41755 Shield 200mm, Pc-iie, Refurbished
Amat 0020-41755 Shield 200mm, Pc-iie, Refurbished
1,200.00  10d 1h
 
ESI Door Interlock- Vacuum Intertie Board P/N 79575 Rev H -Free Shipment
ESI Door Interlock- Vacuum Intertie Board P/N 79575 Rev H -Free Shipment
290.00  27d 12h
 
ESI 9250 Servo Control Board CKA 67737-03 Rev R -Free Shipping
ESI 9250 Servo Control Board CKA 67737-03 Rev R -Free Shipping
290.00  9d 13h
 
Kesington 4000A Servo Positioning Controller
Kesington 4000A Servo Positioning Controller
524.25  4d 10h
 
Cajon 1/2vcr Tee    Ss-8vcr-t
Cajon 1/2vcr Tee Ss-8vcr-t
20.00  24d 18h
 
Applied Materials 0100-14000 Leak Detector Backplane, 0130-14000, REV B
Applied Materials 0100-14000 Leak Detector Backplane, 0130-14000, REV B
174.99  17d 12h
 
AMAT Applied Materials 4500491 Paddle with 3 Rods EMAX CT+
AMAT Applied Materials 4500491 Paddle with 3 Rods EMAX CT+
250.00  18d 19h
 
 ASM PN: 83-125001A80 / 70065-00044A Motor SPGR Board 70065-00044
 ASM PN: 83-125001A80 / 70065-00044A Motor SPGR Board 70065-00044
720.95  7d 19h
 
Photo Sciences Inc. Zyomyx 5" PC 0207 Pillar Chip
Photo Sciences Inc. Zyomyx 5" PC 0207 Pillar Chip
20.08  28d 16h
 
Cambridge Applied Systems Ncc-323 Viscosity Moitoring System 100 - 10,000cp
Cambridge Applied Systems Ncc-323 Viscosity Moitoring System 100 - 10,000cp
2,450.00  29d 15h
 
PULNIX TM-7EX Miniature CCD Camera
PULNIX TM-7EX Miniature CCD Camera
80.00  1d 12h
 
 Applied Materials 0020-16193 Top Plate, Cass in Place
Top-Rated Plus Seller  Applied Materials 0020-16193 Top Plate, Cass in Place
24.00  21d 22h
 
USHIO U5 SV2 Controller
USHIO U5 SV2 Controller
1,025.15  12d 9h
 
Integrated Designs  CAM Unit 2-102-037
Integrated Designs CAM Unit 2-102-037
1,252.66  12d 7h
 
325-0102// Amat Applied 3030-02655 Applied Matrials Components [asis]
325-0102// Amat Applied 3030-02655 Applied Matrials Components [asis]
300.00  2d 0h
 
325-0102// Amat Applied 3030-03512 Applied Matrials Components [asis]
325-0102// Amat Applied 3030-03512 Applied Matrials Components [asis]
300.00  2d 0h
 
AMAT 0190-30233 LARGEHARD DRIVE SUPPORTED EyeD 4.32,
AMAT 0190-30233 LARGEHARD DRIVE SUPPORTED EyeD 4.32,
3,900.00  29d 21h
 
Allen Integrated AP15222 Digital Output PC Board, 16 Relay, 40 Solenoid
Allen Integrated AP15222 Digital Output PC Board, 16 Relay, 40 Solenoid
139.99  18d 16h
 
Allen Integrated AP15222-1 Digital Output PC Board, 16 Relay, 40 Solenoid
Allen Integrated AP15222-1 Digital Output PC Board, 16 Relay, 40 Solenoid
139.99  18d 15h
 
Applied Materials 4060-00483 MANF HPV W/S300 NP, LCDS, FC, OUTLET
Applied Materials 4060-00483 MANF HPV W/S300 NP, LCDS, FC, OUTLET
499.00  22d 10h
 
APPLIED MATERIALS AMAT 0246-01196B GT MEE Blade
APPLIED MATERIALS AMAT 0246-01196B GT MEE Blade
488.00  9d 4h
 
Applied Materials , AMAT PAB018000053 BERNOULLI (D.124) UNIT  
Applied Materials , AMAT PAB018000053 BERNOULLI (D.124) UNIT
388.00  1d 2h
 
ESI 9275 P/N 73435 Rev D Illumination Control Module II -Free Shipment
ESI 9275 P/N 73435 Rev D Illumination Control Module II -Free Shipment
179.00  27d 17h
 
Bruce Technologies INTEMPO-S Intelligent Temperature Controller, Model 7640
Bruce Technologies INTEMPO-S Intelligent Temperature Controller, Model 7640
950.00  12d 18h
 
Amat 0022-27981 Sliding Sleeve, Variable Geometry, Sym3,
Amat 0022-27981 Sliding Sleeve, Variable Geometry, Sym3,
1,500.00  29d 21h
 
Amat 0040-77170 Driven Pulley ,
Amat 0040-77170 Driven Pulley ,
1,800.00  24d 23h
 
Amat 0200-00402 Isolator Pumping Ring Top 200mm Producer,
Amat 0200-00402 Isolator Pumping Ring Top 200mm Producer,
1,500.00  6d 2h
 
Allen Bradley 1711-asb Remote I/o Module,
Allen Bradley 1711-asb Remote I/o Module,
2,000.00  2d 20h
 
Amat 0190-02366 Assembly, Display, Flat Panel Pc,
Amat 0190-02366 Assembly, Display, Flat Panel Pc,
2,500.00  12d 17h
 
AMAT 0200-01797 INSERT RING , NM 300MM eMAX,
AMAT 0200-01797 INSERT RING , NM 300MM eMAX,
2,500.00  14d 1h
 
Amat 0240-02816 Kit B101/a101 Remote Ac Box Rev1.2 300,
Amat 0240-02816 Kit B101/a101 Remote Ac Box Rev1.2 300,
3,500.00  12d 18h
 
Amat 0021-17469 Maximum Load Capacity 75lbs,
Amat 0021-17469 Maximum Load Capacity 75lbs,
1,500.00  27d 19h
 
Harness Assy, Turbo Pump, 93-1315-01, 451809
Harness Assy, Turbo Pump, 93-1315-01, 451809
295.00  9d 11h
 
LAM Research - P/N:02-422844-00 - Rev:D - Firmware Version:1.411 - Same Day Ship
Top-Rated Plus Seller LAM Research - P/N:02-422844-00 - Rev:D - Firmware Version:1.411 - Same Day Ship
2,299.92  19d 18h
 
AE VHF OVATION 35162 Power Supply, 3.5kw 162MHz, 3150861-002
AE VHF OVATION 35162 Power Supply, 3.5kw 162MHz, 3150861-002
5,500.00  27d 0h
 
5210 Applied Materials Pcb - Mainframe Interface Board 0100-00265
5210 Applied Materials Pcb - Mainframe Interface Board 0100-00265
2,550.00  3d 2h
 
MILLIPORE Intelliflow MFC, 100 SCCM, NH3, FSDAE100F305
MILLIPORE Intelliflow MFC, 100 SCCM, NH3, FSDAE100F305
475.00  1d 5h
 
FSM DC911212 Rev. 3 AIODIO Assy board
FSM DC911212 Rev. 3 AIODIO Assy board
950.00  6d 13h
 
Unit Celerity UFC-1660 CHF3 100 SCCM Mass Flow Controller
Unit Celerity UFC-1660 CHF3 100 SCCM Mass Flow Controller
299.00  16d 11h
 
NOS PSU Power Supply Board BS-3405 3
NOS PSU Power Supply Board BS-3405 3
175.00  21d 12h
 
Lsadcr10  449-7040 Pcb
Lsadcr10 449-7040 Pcb
372.90  23d 18h
 
130-0401// Amat Applied 0100-09084 Board
130-0401// Amat Applied 0100-09084 Board
250.00  7d 4h
 
Edward Iq Interface Module
Edward Iq Interface Module
300.00  3d 16h
 
Crossing Automation 3200-4489-01 Interface Board
Crossing Automation 3200-4489-01 Interface Board
320.00  9d 16h
 
Tegal 99-126-005 A PCB Spare Parts
Tegal 99-126-005 A PCB Spare Parts
980.00  10d 18h
 
Tegal 99-240-003 C PCB Spare Parts
Tegal 99-240-003 C PCB Spare Parts
760.00  10d 18h
 
Tegal 99-129-006 RW PCB Spare Parts
Tegal 99-129-006 RW PCB Spare Parts
760.00  10d 19h
 
Tegal 99-232-004 N PCB Spare Parts
Tegal 99-232-004 N PCB Spare Parts
760.00  10d 19h
 
Tegal 901e Tegal 903e Top Electrode Cleaned AWM-C-4-1-004
Tegal 901e Tegal 903e Top Electrode Cleaned AWM-C-4-1-004
1,450.00  19d 20h
 
UNIT Mass Flow Controller High Performance UFC-1660 O2 20 SCCM AWM-F-5-6-011
UNIT Mass Flow Controller High Performance UFC-1660 O2 20 SCCM AWM-F-5-6-011
750.00  26d 16h
 
UNIT Mass Flow Controller High Performance UFC-1660 NF3 100 SCCM AWM-F-5-7-001
UNIT Mass Flow Controller High Performance UFC-1660 NF3 100 SCCM AWM-F-5-7-001
750.00  26d 16h
 
99.99% Pure Aluminum Al Wire Diameter 0.6mm Semirigid Line weight 1kg #EF1   GY
99.99% Pure Aluminum Al Wire Diameter 0.6mm Semirigid Line weight 1kg #EF1 GY
43.99  19d 7h
 
ADL GmbH Power Supply GIP 10
ADL GmbH Power Supply GIP 10
888.00  15d 4h
 
SMC Quick Change Filter Model FQ1011N-04-R002N-X109
SMC Quick Change Filter Model FQ1011N-04-R002N-X109
299.00  8d 11h
 
E11080470 / Switch / Varian
E11080470 / Switch / Varian
4,500.68  8d 20h
 
A&N Air Operated Vacuum High Purity Stainless BallValve
A&N Air Operated Vacuum High Purity Stainless BallValve
500.00  29d 15h
 
Amat 0242-70296 Kit, Small Inserts Small Inserts ,
Amat 0242-70296 Kit, Small Inserts Small Inserts ,
4,200.00  12d 23h
 
Amat 0020-77444 Stop, Extension,
Amat 0020-77444 Stop, Extension,
180.00  11d 21h
 
Scv 8000710 Diaphragm Valve 10k-1/2" Ep Kd,
Scv 8000710 Diaphragm Valve 10k-1/2" Ep Kd,
120.00  8d 1h
 
Scv 72334201 Diaphragm Valve 10k-1/2" (3/8) Ep Kd,
Scv 72334201 Diaphragm Valve 10k-1/2" (3/8) Ep Kd,
120.00  8d 1h
 
Nimbus Cu Assembly Holder, P/n 1127303,  Old Stock
Nimbus Cu Assembly Holder, P/n 1127303,  Old Stock
550.00  4d 13h
 
Engelhardt GmbH SNMB-1 Module Power Supply MSNMB203 98030985
Top-Rated Plus Seller Engelhardt GmbH SNMB-1 Module Power Supply MSNMB203 98030985
199.98  9d 12h
 
Oakton 12501-10 StableTemp * working
Oakton 12501-10 StableTemp * working
400.00  8d 14h
 
Smc Crbuw30 180se Rotary Actuator Fast Shipping!~warranty~
Smc Crbuw30 180se Rotary Actuator Fast Shipping!~warranty~
60.00  2d 10h
 
4432  Applied Materials 0010-00148 Cryo Temperature Sensor
4432 Applied Materials 0010-00148 Cryo Temperature Sensor
150.00  12d 19h
 
Applied Materials 670000 PCB Board, Rev H w/ QTY 32, EV-3M-24VDC Manifold Valves
Applied Materials 670000 PCB Board, Rev H w/ QTY 32, EV-3M-24VDC Manifold Valves
199.99  22d 7h
 
Svg 99-80293 Pcb Board 90s I/o Expansion/lcd Interface
Svg 99-80293 Pcb Board 90s I/o Expansion/lcd Interface
199.99  8d 11h
 
AMAT 0040-60413 Face Plate T/N (NI) NIKKOSHI032
AMAT 0040-60413 Face Plate T/N (NI) NIKKOSHI032
1,200.00  6d 3h
 
Mcl 3028397  Dg 815-3 Issue 1  Pcb Assy Nby8911
Mcl 3028397 Dg 815-3 Issue 1 Pcb Assy Nby8911
198.00  21d 2h
 
High Vacuum(?) Through Port Sensor Cabling Harness 22 Wire
High Vacuum(?) Through Port Sensor Cabling Harness 22 Wire
35.00  4d 17h
 
Esi 203714
Esi 203714
1,388.00  13d 22h
 
Universal Instruments PCA VRM-MC PCB 50814202
Universal Instruments PCA VRM-MC PCB 50814202
299.90  28d 8h
 
Adtec Plasma Technology FL-BOX-JU2 LOW PASS FILTER
Adtec Plasma Technology FL-BOX-JU2 LOW PASS FILTER
699.99  6h 21m
 
Sonix Kk Japan Smc870 Kp1265-1
Sonix Kk Japan Smc870 Kp1265-1
399.90  6d 9h
 
port Optical F-916
port Optical F-916
275.00  16h 5m
 
Disco Sensor, P/n Ekem-910046-01 2, Koganei Psu-ea-s Nos
Disco Sensor, P/n Ekem-910046-01 2, Koganei Psu-ea-s Nos
225.00  5d 15h
 
127-0501// Advanced 3155069-003b Mfm
127-0501// Advanced 3155069-003b Mfm
3,000.00  13d 20h
 
FutureStar Flow Meter FX-226-0080  
FutureStar Flow Meter FX-226-0080
375.00  29d 17h
 
Silicon Valley Group (SVG) 80054B Transistor Board
Silicon Valley Group (SVG) 80054B Transistor Board
299.99  9d 13h
 
126-0203// Nc E32000129 Valve [asis]
126-0203// Nc E32000129 Valve [asis]
200.00  16d 1h
 
Ae Mecury-1013 Rf Match,  3150272-013
Ae Mecury-1013 Rf Match, 3150272-013
3,000.00  16d 4h
 
G173894 Lot (3) Field Emission Corp. 1027 Trigger Amplifiers
G173894 Lot (3) Field Emission Corp. 1027 Trigger Amplifiers
200.00  29d 10h
 
Boc Edwards D15405260 Control Module PCB Assembly D15405262
Boc Edwards D15405260 Control Module PCB Assembly D15405262
263.99  4d 10h
 
Feedthru Assy Suppressor Accel Column E17012220 (193895) Rev 3
Feedthru Assy Suppressor Accel Column E17012220 (193895) Rev 3
850.00  20d 4h
 
Applied Materials 0100-14000 Leak Detector Backplane, 0130-14000, REV A
Applied Materials 0100-14000 Leak Detector Backplane, 0130-14000, REV A
174.99  17d 9h
 
TOKYO ELCTRON LIMITED TEL ES 1805-320104-11 Inner Base Ring
TOKYO ELCTRON LIMITED TEL ES 1805-320104-11 Inner Base Ring
300.00  19d 21h
 
HP hewlett packard 59310-60101 board w/ warranty
HP hewlett packard 59310-60101 board w/ warranty
450.00  28d 16h
 
HP hewlett packard 12566-60024 board w/ warranty
HP hewlett packard 12566-60024 board w/ warranty
450.00  28d 16h
 
853-021260-004 rev a
853-021260-004 rev a
150.00  14d 15h
 
Accessories, Package Sale
Accessories, Package Sale
1,200.00  23d 16h
 
HPS Corp. Boulder Co. 1104404 ? CC04404 ? For Tegal Etcher
HPS Corp. Boulder Co. 1104404 ? CC04404 ? For Tegal Etcher
1,200.00  9d 16h
 
Estek Graphics/Processor Board 297-14240-1 Rev.A 39819818-1
Estek Graphics/Processor Board 297-14240-1 Rev.A 39819818-1
65.00  7d 19h
 
Applied Materials 19200-12917670 (xl) Mfr-96214 0303
Applied Materials 19200-12917670 (xl) Mfr-96214 0303
674.99  14d 11h
 
Aera FC-PA7810C-BA Mass Flow Controller, MFC, N2 10 SLM, AMAT 3030-16228, 451770
Aera FC-PA7810C-BA Mass Flow Controller, MFC, N2 10 SLM, AMAT 3030-16228, 451770
550.00  4d 16h
 
Aera FC-PA7800C-BA Mass Flow Controller MFC, SiH4 2 SLM, AMAT 3030-16235, 451783
Aera FC-PA7800C-BA Mass Flow Controller MFC, SiH4 2 SLM, AMAT 3030-16235, 451783
450.00  5d 12h
 
YASKAWA ERCR-ND17-A001 Robot Controller
YASKAWA ERCR-ND17-A001 Robot Controller
1,499.90  20d 0h
 
AVAL DATA FDC/SCSI AVME-322A BOARD, Free shipping
AVAL DATA FDC/SCSI AVME-322A BOARD, Free shipping
179.90  20d 2h
 
324-0201// Amat Applied 0040-18021 Nozzle, Gas Dist O2
324-0201// Amat Applied 0040-18021 Nozzle, Gas Dist O2
340.00  17d 1h
 
Philips 4022 192 71331 EBR board
Philips 4022 192 71331 EBR board
349.00  13d 2h
 
Pra Model 2350b Oscillator Aging Test System Ocxo Vcxo Tcxo
Pra Model 2350b Oscillator Aging Test System Ocxo Vcxo Tcxo
999.99  7d 13h
 
AMAT 0040-22024 Adapter, 13" S/B Source, 451961
AMAT 0040-22024 Adapter, 13" S/B Source, 451961
1,500.00  2d 17h
 
Applied Materials Opto Detect 0100-00014
Applied Materials Opto Detect 0100-00014
499.99  5d 21h
 
0020-24719 / Cover Ring 8 101 Al Coverage / Applied Materials Amat
0020-24719 / Cover Ring 8 101 Al Coverage / Applied Materials Amat
1,000.00  13d 16h
 
AMAT 0040-85409 200mm plate,
AMAT 0040-85409 200mm plate,
1,000.00  8d 23h
 
Amat 0140-08850 Harness Assy, Analog I/o Hd1-2, , 20 ,
Amat 0140-08850 Harness Assy, Analog I/o Hd1-2, , 20 ,
1,350.00  24d 20h
 
Thyracont VSP63MA4 Vacuum Transducer
Thyracont VSP63MA4 Vacuum Transducer
100.00  9d 16h
 
LKT Automation DPCS-NGCAM Die Placement Checking System Working Surplus
LKT Automation DPCS-NGCAM Die Placement Checking System Working Surplus
3,612.20  27d 13h
 
Cutler-Hammer Eaton CE15JN3-T16 CONTACTOR SERIES B1
Cutler-Hammer Eaton CE15JN3-T16 CONTACTOR SERIES B1
80.00  17d 3h
 
347-0303// Amat Applied 0190-20063  Tc (spec Control)
347-0303// Amat Applied 0190-20063 Tc (spec Control)
540.00  29d 2h
 
Amat 0022-77269 Mandrel 300mm, Refurbished
Amat 0022-77269 Mandrel 300mm, Refurbished
600.00  26d 19h
 
Amat Wps 8/15 Slot System A397 0240-31501
Amat Wps 8/15 Slot System A397 0240-31501
1,500.00  10d 2h
 
Drytek / Lam Door Control Interface, P/N 2801077, Assy # 28001078
Top-Rated Plus Seller Drytek / Lam Door Control Interface, P/N 2801077, Assy # 28001078
225.00  20d 10h
 
99-80308-01 / Mount-mce Pcb Dc Dist Assy / Svg
99-80308-01 / Mount-mce Pcb Dc Dist Assy / Svg
510.30  20d 13h
 
Lam Research    15-00729-00 CLAMP, SPINDLE HUB MOUNT ,
Lam Research 15-00729-00 CLAMP, SPINDLE HUB MOUNT ,
600.00  21d 20h
 
Candela 4 Channel ADC Plus Assy 0624 Made in USA
Candela 4 Channel ADC Plus Assy 0624 Made in USA
692.00  15d 18h
 
Advance NC469S-6FV-N Type 62465 3/4" Pneumatic Actuated 2-Way Valve, RS1114
Advance NC469S-6FV-N Type 62465 3/4" Pneumatic Actuated 2-Way Valve, RS1114
295.00  3d 16h
 
Veriflo 43200627 Sensym EXACT Pressure Transducer, EXD250V1B2, 452073
Veriflo 43200627 Sensym EXACT Pressure Transducer, EXD250V1B2, 452073
295.00  14d 15h
 
Swagelok SS-DLFR4-P Diaphragm Valve Assy w/ SS-BNVCR4-C Bellows Valve, 452408
Swagelok SS-DLFR4-P Diaphragm Valve Assy w/ SS-BNVCR4-C Bellows Valve, 452408
595.00  8d 19h
 
GEORG FISCHER+GF+SYGEF 735 208 636 Tee 63mm PVDF
GEORG FISCHER+GF+SYGEF 735 208 636 Tee 63mm PVDF
41.99  18d 13h
 
GEORG FISCHER+GF+SYGEF 735 158 636 45° ELBOW 63mm PVDF
GEORG FISCHER+GF+SYGEF 735 158 636 45° ELBOW 63mm PVDF
29.99  18d 13h
 
0040-09557; Amat: Asp Chamber Body
0040-09557; Amat: Asp Chamber Body
2,880.00  23d 16h
 
Particle Measuring Systems mini-node address 06
Particle Measuring Systems mini-node address 06
351.99  11h 16m
 
Pall Knv4000-232-01 Resolute Nozzle Outer Polypropylene
Pall Knv4000-232-01 Resolute Nozzle Outer Polypropylene
539.99  6d 11h
 
Riken Keiki EC-572A Gas Indicator
Riken Keiki EC-572A Gas Indicator
555.00  4d 7h
 
Applied Materials AMAT H2 Flow Switch Assy, 0090-01356
Applied Materials AMAT H2 Flow Switch Assy, 0090-01356
1,985.00  16d 7h
 
Unit Celerity UFC-1660 HBr 300 Sccm P/N: 1660-100099 Mass Flow Controller
Unit Celerity UFC-1660 HBr 300 Sccm P/N: 1660-100099 Mass Flow Controller
299.00  16d 10h
 
Allen-bradley Contactor 500-dod93
Allen-bradley Contactor 500-dod93
145.00  19d 12h
 
Nikon 4S020-023A Processor PCB Card CNTRL-2 NSR System  Working
Nikon 4S020-023A Processor PCB Card CNTRL-2 NSR System  Working
1,650.65  6d 13h
 
OHMICRON RPA-I Photometric Analyzer ** FOR PARTS OR REPAIR***
Top-Rated Plus Seller OHMICRON RPA-I Photometric Analyzer ** FOR PARTS OR REPAIR***
99.99  2d 10h
 
BTI Bruce Technologies Model 7900 Atmospheric Controller,
BTI Bruce Technologies Model 7900 Atmospheric Controller,
2,500.00  17d 15h
 
Applied Materials 670000 PCB Board w/ QTY 32, EV-3M-24VDC Manifold Valves
Applied Materials 670000 PCB Board w/ QTY 32, EV-3M-24VDC Manifold Valves
199.99  22d 7h
 
Proteus Industries Inc. 0504SN4 500 Series Flow Meter
Proteus Industries Inc. 0504SN4 500 Series Flow Meter
150.00  5d 17h
 
346-0303// Amat Applied 0720-02773 Conn Coax Adptr Str Skt Type 716 []
346-0303// Amat Applied 0720-02773 Conn Coax Adptr Str Skt Type 716 []
100.00  2d 0h
 
RER 550 Resist Edgebead Remover Delivery Cabinet,
RER 550 Resist Edgebead Remover Delivery Cabinet,
900.00  29d 17h
 
Tylan General Cdl-11so6 0-10 Torr
Tylan General Cdl-11so6 0-10 Torr
119.95  8d 9h
 
Mks 325 103250028 Modecell
Mks 325 103250028 Modecell
150.07  20d 11h
 
High Yield Technology Model 100 ID -AWM-B-4-1-004
High Yield Technology Model 100 ID -AWM-B-4-1-004
750.00  16d 16h
 
Lot of 21 CKD Valves MMGD-10v-AT2-L1 7F-0305  SEALED
Lot of 21 CKD Valves MMGD-10v-AT2-L1 7F-0305  SEALED
378.00  9d 20h
 
Lam    715-052824-001 REV : B,
Lam 715-052824-001 REV : B,
7,000.00  19d 20h
 
Amat 0200-02122 Quartz Dome,
Amat 0200-02122 Quartz Dome,
5,000.00  14d 1h
 
Hpo Block
Hpo Block
1,150.00  17d 19h
 
3620-01425 Pump Iq Tool Interface Module
3620-01425 Pump Iq Tool Interface Module
999.00  18d 17h
 
Nidem NMC002500B Board
Nidem NMC002500B Board
73.27  12d 4h
 
346-0101// Amat Applied 0020-34048 Support, Bellows 2nd Source
346-0101// Amat Applied 0020-34048 Support, Bellows 2nd Source
1,000.00  12d 2h
 
Tokyo Electron 5050-000110-12 Computer Embedded,
Tokyo Electron 5050-000110-12 Computer Embedded,
5,000.00  24d 20h
 
4361  Applied Materials 0100-00192  Sense AMP Boards Assy.
4361 Applied Materials 0100-00192 Sense AMP Boards Assy.
3,800.00  27d 15h
 
Tokyo Electron TEL  ZF82-001828-11 NOZZLE ASSY , BACK (BEVEL)
Tokyo Electron TEL ZF82-001828-11 NOZZLE ASSY , BACK (BEVEL)
1,188.00  29d 7h
 
TOKYO ELECTRON TEL MC-31161A LINKT2 Board
TOKYO ELECTRON TEL MC-31161A LINKT2 Board
722.00  1d 6h
 
AMAT Applied Materials 3930-00582
AMAT Applied Materials 3930-00582
999.00  12d 4h
 
Tokyo Electron Tel 1181-000590-13 Tvb0007-3/gbus Mc-31158a
Tokyo Electron Tel 1181-000590-13 Tvb0007-3/gbus Mc-31158a
722.00  1d 6h
 
AMAT Applied Materials 0195-15000
AMAT Applied Materials 0195-15000
999.00  26d 6h
 
TOKYO ELECTRON TEL 1181-000514-18 MC-31134A Board
TOKYO ELECTRON TEL 1181-000514-18 MC-31134A Board
722.00  1d 7h
 
Nikon 4S018-162-A Backplane Interface Board PCB ALGMTH-SR8 NSR-S204B
Nikon 4S018-162-A Backplane Interface Board PCB ALGMTH-SR8 NSR-S204B
1,051.15  3d 17h
 
134-0502// Amat Applied 0020-21707 Lifter 8 []
134-0502// Amat Applied 0020-21707 Lifter 8 []
400.00  5d 2h
 
Nikon 4S017-526 Processor PCB Card LC-DRV2 NSR System  Working
Nikon 4S017-526 Processor PCB Card LC-DRV2 NSR System  Working
1,650.65  6d 13h
 
Novellus Xycom XVME-560 2800303 VME A D Card PCB Circuit Board * working*
Novellus Xycom XVME-560 2800303 VME A D Card PCB Circuit Board * working*
2,000.00  28d 18h
 
LAM Research RPDB Electrical Panel * surplus
LAM Research RPDB Electrical Panel * surplus
3,200.00  6d 12h
 
LAM 570-0003637-001 Shipping Level Preface Etch Mod * surplus
LAM 570-0003637-001 Shipping Level Preface Etch Mod * surplus
2,000.00  20d 13h
 
Wafer Carrier
Wafer Carrier
5.00 0 Bids  6d 22h
 
Tegal 99-136-001 D PCB Spare Parts
Tegal 99-136-001 D PCB Spare Parts
450.00  10d 18h
 
APTech SL5202SM 2PW FV4 MV4 Diaphragm Valve, Isolation, 452478
APTech SL5202SM 2PW FV4 MV4 Diaphragm Valve, Isolation, 452478
795.00  13h 33m
 
Millipore WCDS000F2 Dispense Pump Controller NOS
Millipore WCDS000F2 Dispense Pump Controller NOS
720.00  11d 12h
 
ASML 4022.436.87951 Module,113173993,,USA^6697
ASML 4022.436.87951 Module,113173993,,USA^6697
129.00  6d 22h
 
Applied Materials AMAT Pressure Transducer, 1350-00296
Applied Materials AMAT Pressure Transducer, 1350-00296
850.00  6d 5h
 
Applied Materials AMAT Pressure Transducer, 1350-00435
Applied Materials AMAT Pressure Transducer, 1350-00435
850.00  6d 5h
 
Candela 4 Channel Analog ASSY 0470 REV 3 Made in USA
Candela 4 Channel Analog ASSY 0470 REV 3 Made in USA
385.75  15d 16h
 
Applied Materials ASAP Board 0100-A0009 0100-A0030
Applied Materials ASAP Board 0100-A0009 0100-A0030
2,900.00  12d 16h
 
Iras Iras Robot 5684-000047-12 (tel / Lithius)
Iras Iras Robot 5684-000047-12 (tel / Lithius)
3,200.00  21d 3h
 
Drytek/Lam  ASIQ RF/PUMP INTERFACE PCB, ASSY 2800921, P/N 2800920,
Top-Rated Plus Seller Drytek/Lam ASIQ RF/PUMP INTERFACE PCB, ASSY 2800921, P/N 2800920,
475.00  16d 12h
 
111-0201// Amat Applied 0020-10731 Plate, Pumping 100mm-150 Mm []
111-0201// Amat Applied 0020-10731 Plate, Pumping 100mm-150 Mm []
1,080.00  10d 23h
 
Amat 0020-24387 Pedestal, 6", Refurbished
Amat 0020-24387 Pedestal, 6", Refurbished
800.00  7d 20h
 
Amat 0200-89047 Preheating Nitride, Refurbished
Amat 0200-89047 Preheating Nitride, Refurbished
800.00  3d 15h
 
Amat 0040-77226 Aligner, Female, Trac,
Amat 0040-77226 Aligner, Female, Trac,
800.00  25d 0h
 
0010-10893, 150mm Susceptor assy
0010-10893, 150mm Susceptor assy
4,300.00  13h 50m
 
Applied Materials 0190-26463 Temperature Controller Yamatake Sensing Control
Applied Materials 0190-26463 Temperature Controller Yamatake Sensing Control
4,599.00  5d 14h
 
7450-0090-01 Ring, Slip-free 6 Inch
7450-0090-01 Ring, Slip-free 6 Inch
1,500.00  10d 13h
 
0040-06960, 0040-07211/  Beam Stop 300mm/ Amat
0040-06960, 0040-07211/ Beam Stop 300mm/ Amat
14,000.97  19d 16h
 
Printer Driver Board 62-0003-00 5-1064 PCB AWM-B-4-4-008
Printer Driver Board 62-0003-00 5-1064 PCB AWM-B-4-4-008
999.00  22d 17h
 
Extender Memory 62-0002-00 5-10930 PCB AWM-B-4-4-009
Extender Memory 62-0002-00 5-10930 PCB AWM-B-4-4-009
1,250.00  22d 17h
 
RS-232 Board 62-0006-00 5-1078 PCB AWM-B-4-4-010
RS-232 Board 62-0006-00 5-1078 PCB AWM-B-4-4-010
1,250.00  22d 17h
 
DC HOT CHUCK POWER SUPPLY  MC SYSTEMS m/n 8834 +++++ POWER TESTED+++++
DC HOT CHUCK POWER SUPPLY MC SYSTEMS m/n 8834 +++++ POWER TESTED+++++
485.00  23d 13h
 
Varian Neuron 16 Channel I/O Discrete Board 04-719368-01
Varian Neuron 16 Channel I/O Discrete Board 04-719368-01
149.99  21d 17h
 
CKD USG3-X0693 Valve, 451678
CKD USG3-X0693 Valve, 451678
195.00  28d 11h
 
 LAM Research 2301728 J-TYPE UP ELEC ASY MANUAL SPAC FREE SHIPPING
 LAM Research 2301728 J-TYPE UP ELEC ASY MANUAL SPAC FREE SHIPPING
1,020.00  3d 16h
 
Aera FC-PA7800C-BA Mass Flow Controller MFC, CH4, 1 SLM, AMAT 3030-16240, 451786
Aera FC-PA7800C-BA Mass Flow Controller MFC, CH4, 1 SLM, AMAT 3030-16240, 451786
550.00  5d 13h
 
Advance NC469S-6FV-N Type 62465 3/4" Pneumatic Actuated 2-Way Valve, RS1109
Advance NC469S-6FV-N Type 62465 3/4" Pneumatic Actuated 2-Way Valve, RS1109
295.00  3d 16h
 
Particle Measuring Systems mini-node address 03
Particle Measuring Systems mini-node address 03
351.99  11h 15m
 
Tokyo Electron TEL  ZF82-001829-11 NOZZLE ASSY , BACK (BEVEL)
Tokyo Electron TEL ZF82-001829-11 NOZZLE ASSY , BACK (BEVEL)
1,188.00  29d 7h
 
CKD USG3-X0693 Valve, 451680
CKD USG3-X0693 Valve, 451680
195.00  28d 11h
 
Bay Seal O-ring
Bay Seal O-ring
188.00  29d 15h
 
AMAT 0140-70093, Cable
AMAT 0140-70093, Cable
35.00  18d 14h
 
Linear Actuator Bp9315-b7506-trbmn 24vdc Brake,
Linear Actuator Bp9315-b7506-trbmn 24vdc Brake,
2,500.00  27d 2h
 
(pkg 10)  200mm Wafer Jar ePAK eWB0035-ASSY-2-L / eJR8-200-23-ASSY-2-L(eM-08)
(pkg 10)  200mm Wafer Jar ePAK eWB0035-ASSY-2-L / eJR8-200-23-ASSY-2-L(eM-08)
30.00  3d 17h
 
Cord K703181
Cord K703181
95.00  13d 14h
 
ESI Movable Beam Attenuator Driver Board P/N 151389-01  -- Free Shipment
ESI Movable Beam Attenuator Driver Board P/N 151389-01 -- Free Shipment
350.00  9d 22h
 
410-0301// KOGANEI CDAS63x40 CYLINDER [/FAST]
410-0301// KOGANEI CDAS63x40 CYLINDER [/FAST]
70.00  22d 2h
 
Applied Innovation Group SV1000 Scavenge Vacuum 1KW
Applied Innovation Group SV1000 Scavenge Vacuum 1KW
1,900.00  12h 10m
 
Programmed test Sources D310 Frequency Synthesizer  Tag # 17
Programmed test Sources D310 Frequency Synthesizer Tag # 17
1,500.00  24d 11h
 
Compumotor M57-40-DO ID-AWM-F-1-001
Compumotor M57-40-DO ID-AWM-F-1-001
450.00  15d 18h
 
Inspection Syncotec Power Supply Type 6 I-700? ID-AWM-A-3-002
Inspection Syncotec Power Supply Type 6 I-700? ID-AWM-A-3-002
350.00  16d 19h
 
Kurt Lesker KJL-902006 Digital Gauge
Kurt Lesker KJL-902006 Digital Gauge
39.95  26d 16h
 
Fujikin FBDCL-RS022-6 Lam 796-029684-327 VLV,NC,1 MN,2 BYP,5RA,316L,STONEHENGE
Fujikin FBDCL-RS022-6 Lam 796-029684-327 VLV,NC,1 MN,2 BYP,5RA,316L,STONEHENGE
423.50  10d 21h
 
Ultratech Plate Cleaner 603 High Pressure 5" Spin cleaner
Ultratech Plate Cleaner 603 High Pressure 5" Spin cleaner
3,850.00  29d 15h
 
Hitachi HTA 1-814575 A Ergo Loader  Working
Hitachi HTA 1-814575 A Ergo Loader  Working
2,005.12  1d 16h
 
Pn 99-16128-01, Harn Assy,support Pins,in/out,
Pn 99-16128-01, Harn Assy,support Pins,in/out,
64.42  3d 12h
 
TRUMPF NNB 2247852, Nachrustsatz TPF 7000 (12/16X6kW) EMO SEM-I-349
TRUMPF NNB 2247852, Nachrustsatz TPF 7000 (12/16X6kW) EMO SEM-I-349
99.90  28d 7h
 
PN: 2451-0010-02 Lamp J208V-1200WB1 IR Lamps For AG Associates Heatpulse RTP
PN: 2451-0010-02 Lamp J208V-1200WB1 IR Lamps For AG Associates Heatpulse RTP
85.00  17d 20h
 
Oriental Motor K0366-D Brushless DC Motor Driver,
Oriental Motor K0366-D Brushless DC Motor Driver,
70.00  14h 38m
 
Photo Sciences Inc. Zyomyx 5" PDC 6Chchip V2-Deeprecopt
Photo Sciences Inc. Zyomyx 5" PDC 6Chchip V2-Deeprecopt
20.08  28d 16h
 
TEL Unity II OPE  Board  , TYB417-1/OPE , 1D81-000118
TEL Unity II OPE Board , TYB417-1/OPE , 1D81-000118
722.00  28d 23h
 
435-0303// Panasonic Msma082b1e Ac Servo Motor [/fast]
435-0303// Panasonic Msma082b1e Ac Servo Motor [/fast]
700.00  5d 1h
 
103-0601// Amat Applied 0020-48583 Housing,susc.support Shaft []
103-0601// Amat Applied 0020-48583 Housing,susc.support Shaft []
1,140.00  21d 1h
 
Bir-021764 / Board, Pg, Cont. (h,p) / Advantest
Bir-021764 / Board, Pg, Cont. (h,p) / Advantest
1,900.62  16d 14h
 
720-20977-000 / Blower Assembly With 720-22994-000 / Kla Tencor
720-20977-000 / Blower Assembly With 720-22994-000 / Kla Tencor
2,498.03  12d 9h
 
720-20180-000 / Motor Amp Box Assy Es30 / Kla Tencor
720-20180-000 / Motor Amp Box Assy Es30 / Kla Tencor
4,962.03  17d 11h
 
(12) Quartz Stepped Pins ASM 16-187152B01 NOS
(12) Quartz Stepped Pins ASM 16-187152B01 NOS
125.00  15d 16h
 
0010-09068 / Assy, Llc Cover / Applied Materials Amat
0010-09068 / Assy, Llc Cover / Applied Materials Amat
2,351.13  15h 46m
 
Nd04-5008-0 / Psh-1043-nf-b Heater Power Unit R2 /  Shimaden
Nd04-5008-0 / Psh-1043-nf-b Heater Power Unit R2 / Shimaden
2,758.66  6d 13h
 
Applied Materials 0040-76577 Weight 300mm 5zone Profiler Amat *un, Sealed*
Applied Materials 0040-76577 Weight 300mm 5zone Profiler Amat *un, Sealed*
2,999.00  10d 10h
 
Semes Rf Filter
Semes Rf Filter
499.90  10d 1h
 
Now Technologies ND-EP-6-T Extended Probe, 20 Liter, Tube End,
Now Technologies ND-EP-6-T Extended Probe, 20 Liter, Tube End,
929.99  10d 9h
 
Microburst / Microburst / Applied Precision
Microburst / Microburst / Applied Precision
8,500.68  1d 13h
 
602005291601 / Sys Iv, Heat Exchanger / Thermofisher Scientific
602005291601 / Sys Iv, Heat Exchanger / Thermofisher Scientific
12,000.82  9d 10h
 
PN 7100-5168-04 AG Associates Heatpulse PCB
PN 7100-5168-04 AG Associates Heatpulse PCB
3,500.00  29d 20h
 
Schroff Switchpac 30w Typ TPS 15/2 Power Supply ? ID-AWM-D-2-5-005
Schroff Switchpac 30w Typ TPS 15/2 Power Supply ? ID-AWM-D-2-5-005
450.00  15d 16h
 
Schroff Switchpac Typ TPS 5/5 T-12 Power Supply ? ID-AWM-D-2-6-003
Schroff Switchpac Typ TPS 5/5 T-12 Power Supply ? ID-AWM-D-2-6-003
450.00  15d 17h
 
Melec C-820A Module Board,,Jap^5265
Melec C-820A Module Board,,Jap^5265
79.90  20d 23h
 
lot of 2 KF-50 NW 50 stainless steel blank flanges MKS HPS 100311706 flange
lot of 2 KF-50 NW 50 stainless steel blank flanges MKS HPS 100311706 flange
25.00  28d 20h
 
PN 7100-5176-03 AG Associates Heatpulse PCB
PN 7100-5176-03 AG Associates Heatpulse PCB
3,500.00  29d 20h
 
Millipore Waferguard Filter WGFG16D01 w/ 2 P2 AAJEIJ Fittings
Millipore Waferguard Filter WGFG16D01 w/ 2 P2 AAJEIJ Fittings
450.00  29d 9h
 
739913-001 / Housing, Gas, 72-0455, 2321-6 / Aviza Technology
739913-001 / Housing, Gas, 72-0455, 2321-6 / Aviza Technology
2,500.30  5d 11h
 
FhG-IBMT Sa#111-2009 lens type 2008_B Transducer
FhG-IBMT Sa#111-2009 lens type 2008_B Transducer
2,500.00  5d 16h
 
Spectrum Fru 600-00281
Spectrum Fru 600-00281
249.00  13d 3h
 
Disco KN-006 UA-001700 TIMER board
Disco KN-006 UA-001700 TIMER board
249.00  4d 2h
 
DELTA TAU 3U 24 IN/24 OUT OPTO Interface Board ASSY 603307-101
DELTA TAU 3U 24 IN/24 OUT OPTO Interface Board ASSY 603307-101
199.90  28d 21h
 
Tegal 9XX PCB, 99-207-003 REV.2 MBE-3
Top-Rated Plus Seller Tegal 9XX PCB, 99-207-003 REV.2 MBE-3
210.00  6d 12h
 
 LAM Shielded Cable 833-016952-012 REV. A
 LAM Shielded Cable 833-016952-012 REV. A
78.00  25d 22h
 
UE Precision Sensors Div. P48W-74 Pressure Switch
UE Precision Sensors Div. P48W-74 Pressure Switch
110.00  29d 15h
 
Danaher Motion PCB P/N: 1007-0105 REV 2. SynQnet Interface Device  Lot of 2
Danaher Motion PCB P/N: 1007-0105 REV 2. SynQnet Interface Device Lot of 2
199.00  15d 15h
 
Millbrook Instruments CC070094/69 Secondary ION PCB
Millbrook Instruments CC070094/69 Secondary ION PCB
329.00  13d 2h
 
Millbrook Instruments CC070083/69 Primary Raster PCB
Millbrook Instruments CC070083/69 Primary Raster PCB
329.00  13d 2h
 
4s018-754 / Wtdrvx4 Pcb / Nikon
4s018-754 / Wtdrvx4 Pcb / Nikon
549.68  9d 12h
 
0100-11015 Power Driver Board, REV B, Sch. Ref. 0130-11015
0100-11015 Power Driver Board, REV B, Sch. Ref. 0130-11015
119.99  6d 12h
 
Varian E32000375iis 160mm Gate Valve Vga
Varian E32000375iis 160mm Gate Valve Vga
3,299.00  5d 12h
 
Asml 4022.636.36211 Wet Imaging Control Rack (wicr) I5
Asml 4022.636.36211 Wet Imaging Control Rack (wicr) I5
2,499.00  22d 12h
 
Mosaids Systems M349011 Memory Test Systems
Mosaids Systems M349011 Memory Test Systems
2,499.95  26d 18h
 
Aera R0-54D Read Out Unit, 451869
Aera R0-54D Read Out Unit, 451869
650.00  12d 17h
 
653a-11891 / Type 653 Throttle Control Valve / Mks
653a-11891 / Type 653 Throttle Control Valve / Mks
850.00  13h 9m
 
A110156 / Cooling Coil / Mrc
A110156 / Cooling Coil / Mrc
1,000.00  23d 17h
 
3870-02020 / Valve Turbo Isolation Valve / Mks
3870-02020 / Valve Turbo Isolation Valve / Mks
894.60  5d 15h
 
17063730 / Lift Ring Vacuum Disk /  Eaton
17063730 / Lift Ring Vacuum Disk / Eaton
1,500.68  11d 20h
 
21016400052 / Scan Rotation / Applied Materials Amat
21016400052 / Scan Rotation / Applied Materials Amat
1,370.13  10d 11h
 
Cop-s / Coprocessor (grohmann) (340g-12-g/14) / Grohmann
Cop-s / Coprocessor (grohmann) (340g-12-g/14) / Grohmann
1,141.61  13d 11h
 
Xya-50-m9na / Unit High Vacuum V/v / Smc
Xya-50-m9na / Unit High Vacuum V/v / Smc
969.00  4d 14h
 
77-604-0100280-03 / F2 Deflection Driver F2ddu-d +-17v / 6.5v +-160v / Hmi
77-604-0100280-03 / F2 Deflection Driver F2ddu-d +-17v / 6.5v +-160v / Hmi
1,160.68  22d 23h
 
678639 / Wpcb Rh Lift Control / Applied Materials Amat
678639 / Wpcb Rh Lift Control / Applied Materials Amat
805.73  6d 14h
 
225058 / Ring, Left Waferrelease / Applied Materials Amat
225058 / Ring, Left Waferrelease / Applied Materials Amat
1,260.68  17d 18h
 
70312539000 / (s)mod. System Cpu Boar / Applied Materials Amat
70312539000 / (s)mod. System Cpu Boar / Applied Materials Amat
1,393.02  9d 19h
 
Sc2013pte / Sample Cone / Spectron, Inc
Sc2013pte / Sample Cone / Spectron, Inc
1,320.00  10d 12h
 
Imagraph / Pci-videomixer, 760058 / Grohmann
Imagraph / Pci-videomixer, 760058 / Grohmann
1,097.68  13d 13h
 
M 222.20 / Motor , Complete Assy / Grohmann
M 222.20 / Motor , Complete Assy / Grohmann
1,077.27  13d 13h
 
360-22048-000 / Rgltr, Bp, 1, Pvdf Ctd, 0-30psi, F / Celerity
360-22048-000 / Rgltr, Bp, 1, Pvdf Ctd, 0-30psi, F / Celerity
904.91  14d 13h
 
83367-001-b / Memory Module / Varian
83367-001-b / Memory Module / Varian
1,200.03  21d 14h
 
ASM Lithography  LEVEL SLAVE ACTUATOR ASSY SERV.502.28165
ASM Lithography LEVEL SLAVE ACTUATOR ASSY SERV.502.28165
2,999.99  9d 6h
 
Allen Integrated AP15211 Digital / Analog PC Board, 12 Digital, 8 Analog
Allen Integrated AP15211 Digital / Analog PC Board, 12 Digital, 8 Analog
89.99  18d 15h
 
Brooks Automation Model NO 6100-87 PN 1085-0007 For Matrix 105 Matrix 106
Brooks Automation Model NO 6100-87 PN 1085-0007 For Matrix 105 Matrix 106
6,500.00  26d 2h
 
Leybold Vakuum Display Two
Leybold Vakuum Display Two
950.00  28d 15h
 
Nikon 4l991-303an Assembly,
Nikon 4l991-303an Assembly,
800.00  21d 3h
 
Nikon 4s9990-323an Drive Motor Unit,
Nikon 4s9990-323an Drive Motor Unit,
1,000.00  21d 19h
 
Amat 0270-03628 Calibration Tool, 200 Pciie,
Amat 0270-03628 Calibration Tool, 200 Pciie,
1,200.00  12d 20h
 
Hivertec 1521100 Pcb Board,
Hivertec 1521100 Pcb Board,
800.00  4d 15h
 
Hivertec 1521090 Pcb Board,
Hivertec 1521090 Pcb Board,
800.00  4d 16h
 
Amat 0300-00030 System Software8300,
Amat 0300-00030 System Software8300,
850.00  3d 0h
 
Amat 0040-64724 Manifold Output Apf,
Amat 0040-64724 Manifold Output Apf,
1,250.00  14d 1h
 
Tokyo Electron 2l81-050013-11 Pcb Circuit Board,
Tokyo Electron 2l81-050013-11 Pcb Circuit Board,
1,000.00  20d 0h
 
Hivertec 1521120 Pcb Board,
Hivertec 1521120 Pcb Board,
800.00  4d 15h
 
Amat 0270-01133 Shipping Plug 200mmm Sigen,
Amat 0270-01133 Shipping Plug 200mmm Sigen,
800.00  9d 20h
 
Acca Machine 225667-0001, 451667
Acca Machine 225667-0001, 451667
295.00  27d 17h
 
AMAT 0040-13578 Hose Return Right, Chilled FA, Bellows, Cryo, Vacuum, 451871
AMAT 0040-13578 Hose Return Right, Chilled FA, Bellows, Cryo, Vacuum, 451871
350.00  21d 13h
 
Applied Materials 0041-14084 0021-47728 0021-47654 0021-87366 0021-26855 Magnet
Applied Materials 0041-14084 0021-47728 0021-47654 0021-87366 0021-26855 Magnet
11,999.00  24d 10h
 
Applied Materials AMAT Pressure Switch, 1270-00140
Applied Materials AMAT Pressure Switch, 1270-00140
1,695.00  5d 5h
 
Applied Materials AMAT Pressure Switch, 0090-01357
Applied Materials AMAT Pressure Switch, 0090-01357
1,995.00  5d 5h
 
Aera FC-PA7810C-BA Mass Flow Controller, MFC, Ar, 20 SLM, 451774
Aera FC-PA7810C-BA Mass Flow Controller, MFC, Ar, 20 SLM, 451774
550.00  4d 16h
 
MD-9190862 Cable Assy, 452347
MD-9190862 Cable Assy, 452347
495.00  6d 14h
 
Advance NC469S-6FV-N Type 62465 3/4" Pneumatic Actuated 2-Way Valve, RS1110
Advance NC469S-6FV-N Type 62465 3/4" Pneumatic Actuated 2-Way Valve, RS1110
295.00  3d 16h
 
CELERITY   FC-2979MEP5X-WM   200 SCCM AR   Mass Flow Controller   
CELERITY FC-2979MEP5X-WM 200 SCCM AR Mass Flow Controller
225.00  12d 13h
 
Amat 0650-01068 Cmptr Programming Console ,
Amat 0650-01068 Cmptr Programming Console ,
1,300.00  12d 20h
 
346-0302// Amat Applied 0021-20535 Adapter, Cassette Nest Smif
346-0302// Amat Applied 0021-20535 Adapter, Cassette Nest Smif
220.00  24d 20h
 
7410297-1 / Dust Tray, Rev C / Wonik Quartz International
7410297-1 / Dust Tray, Rev C / Wonik Quartz International
1,750.00  11d 14h
 
99-43009-01 / Assy Valve Plate Cp / Svg
99-43009-01 / Assy Valve Plate Cp / Svg
1,852.80  12d 15h
 
716-330061-006 / Pl, Sh Hd, 6 / Lam Research Corporation
716-330061-006 / Pl, Sh Hd, 6 / Lam Research Corporation
2,023.77  25d 13h
 
99-80270-01 / Pcb-sensor Multiplexer / Svg
99-80270-01 / Pcb-sensor Multiplexer / Svg
1,577.40  21d 17h
 
E19009250 / Feed Thru Rotary Right Hand / Varian E19009250
E19009250 / Feed Thru Rotary Right Hand / Varian E19009250
4,250.66  28d 18h
 
521654 / Assy Ccd Lens 1.5 1-61400 , 1-51579 /  Navitar
521654 / Assy Ccd Lens 1.5 1-61400 , 1-51579 / Navitar
2,368.68  13d 15h
 
00-671872-00 / Valve,manifold,pneumatic,24vdc,11dsa415b013t / Novellus
00-671872-00 / Valve,manifold,pneumatic,24vdc,11dsa415b013t / Novellus
1,816.50  26d 10h
 
233532-001 / Power Supply / Fsi
233532-001 / Power Supply / Fsi
3,057.00  4d 13h
 
2-817406-11 /    Driver Motor Servo Svah2-a /  Hitachi
2-817406-11 / Driver Motor Servo Svah2-a / Hitachi
3,170.62  13h 20m
 
Kmfa-046358 / Plate Pvc / Semes America Inc
Kmfa-046358 / Plate Pvc / Semes America Inc
3,300.00  29d 9h
 
0020-01125 / Wground Plate, Poly / Applied Materials Amat
0020-01125 / Wground Plate, Poly / Applied Materials Amat
1,602.30  1d 13h
 
0100-40024 / Wpcba,loadlock Interconn / Applied Materials Amat
0100-40024 / Wpcba,loadlock Interconn / Applied Materials Amat
1,860.63  22d 17h
 
115909 / Magniflow Motor, Pump, Centrifugal Bsm-4.2-30 / Celerity
115909 / Magniflow Motor, Pump, Centrifugal Bsm-4.2-30 / Celerity
3,249.69  1d 18h
 
E19288010 / Repair, Rot Vac Vac Feedthru Rh, Ferrofluidics / Varian
E19288010 / Repair, Rot Vac Vac Feedthru Rh, Ferrofluidics / Varian
2,912.66  15d 14h
 
Bg3-3521 / Fzana If Pcb  Bh8-2091-03 / Canon
Bg3-3521 / Fzana If Pcb Bh8-2091-03 / Canon
2,130.00  27d 21h
 
Bg3-3357 /  Bh8-2344  Bg83559  Urs Cd Pcb  / Canon
Bg3-3357 / Bh8-2344 Bg83559 Urs Cd Pcb / Canon
1,590.00  27d 21h
 
0010-b8742 / Kvr9785 Fan Assy / Applied Materials Amat
0010-b8742 / Kvr9785 Fan Assy / Applied Materials Amat
2,400.68  3d 22h
 
Pdr-0048100a-00 (lot Of 6) / Amat Smc Modules Batch No. 7100984 / Servotronicx
Pdr-0048100a-00 (lot Of 6) / Amat Smc Modules Batch No. 7100984 / Servotronicx
2,500.03  2d 14h
 
0020-27975 / Pedestal, 8 101 Al, Pinless, Floating / Applied Materials Amat
0020-27975 / Pedestal, 8 101 Al, Pinless, Floating / Applied Materials Amat
1,833.06  7d 19h
 
70512529000 / Mod. Fine Shift Brd / Applied Materials Amat
70512529000 / Mod. Fine Shift Brd / Applied Materials Amat
1,762.53  9d 11h
 
141-0502// Amat Applied 0140-20563 Harness Assy Ac Pwr Ckt Brkr
141-0502// Amat Applied 0140-20563 Harness Assy Ac Pwr Ckt Brkr
40.00  7d 20h
 
TJA Solutions 4600001 SWITCHED MAINS POWER,
TJA Solutions 4600001 SWITCHED MAINS POWER,
1,000.00  10d 21h
 
ESI PCB 141103 , CKA 141104 , CKA , HI CURRENT SCANNER , 4 - CH  
ESI PCB 141103 , CKA 141104 , CKA , HI CURRENT SCANNER , 4 - CH
388.00  27d 7h
 
AMAT Applied Materials 0150-16278 CABLE ASSY, HR2 DRIVER MOTOR POWER
AMAT Applied Materials 0150-16278 CABLE ASSY, HR2 DRIVER MOTOR POWER
555.00  7d 23h
 
Future Hardware Technology 1042-820-02 REV A
Future Hardware Technology 1042-820-02 REV A
28.50  13d 9h
 
Amat Applied Materials vacuum fitting 0050-40967
Amat Applied Materials vacuum fitting 0050-40967
215.99  1d 16h
 
Amat Applied Materials 0050-76927 Vacuum Fitting
Amat Applied Materials 0050-76927 Vacuum Fitting
179.99  12d 9h
 
Rofin Sinar RSPCB937-2/02.91 card module mat no. 220656/02.91
Rofin Sinar RSPCB937-2/02.91 card module mat no. 220656/02.91
207.99  4d 9h
 
Amat Applied Materials Vacuum Fitting 0050-70112
Amat Applied Materials Vacuum Fitting 0050-70112
215.99  13h 28m
 
Amat Applied Materials Vacuum Fitting 0050-18680
Amat Applied Materials Vacuum Fitting 0050-18680
215.99  9d 14h
 
Amat Applied Materials Exhaust Rtp Chmbr 0050-36606
Amat Applied Materials Exhaust Rtp Chmbr 0050-36606
239.99  14d 11h
 
Amat Applied Materials Vacuum Fitting 0050-42135
Amat Applied Materials Vacuum Fitting 0050-42135
199.99  6d 15h
 
Amat Applied Materials Vacuum Fitting 0050-62843/002
Amat Applied Materials Vacuum Fitting 0050-62843/002
215.99  19d 13h
 
Amat Applied Materials 0050-25830 Vacuum Fitting
Amat Applied Materials 0050-25830 Vacuum Fitting
164.99  21d 7h
 
 Pall DDF1UNDENP44 Disposable Filter Assembly FREE SHIPPING
 Pall DDF1UNDENP44 Disposable Filter Assembly FREE SHIPPING
178.49  6d 14h
 
Nos Meton 450267 Bellows Ckd Valve Part 125250-1 Free Shipping
Nos Meton 450267 Bellows Ckd Valve Part 125250-1 Free Shipping
254.99  19d 14h
 
port Electronics Infcp-410 Process Panel
port Electronics Infcp-410 Process Panel
42.00  28d 16h
 
Jst Dt921gm Monitor Panel
Jst Dt921gm Monitor Panel
119.95  19d 20h
 
Amat 0021-03739 Pumping Channel, Anodized, 3 Piece ,
Amat 0021-03739 Pumping Channel, Anodized, 3 Piece ,
1,200.00  21h 28m
 
Nikon 4S008-056-A AIS Sensor Board PCB AISsns-X4 NSR System  Working
Nikon 4S008-056-A AIS Sensor Board PCB AISsns-X4 NSR System  Working
1,101.75  12d 11h
 
410-0501// Whedco Imc-1130-1-a Controller [/fast]
410-0501// Whedco Imc-1130-1-a Controller [/fast]
400.00  27d 1h
 
Pacific Rubber  734-092358-001, -217 F70
Pacific Rubber 734-092358-001, -217 F70
99.00  26d 15h
 
605046p Roll Pin
605046p Roll Pin
77.00  29d 16h
 
Koganei RAPS5-100-S Rotary Activator 452285
Koganei RAPS5-100-S Rotary Activator 452285
295.00  3d 15h
 
Lasertec C-100753B Processor PCB Card EDGE DET Y C-100752B EDGE_DET2
Lasertec C-100753B Processor PCB Card EDGE DET Y C-100752B EDGE_DET2
1,802.19  1d 15h
 
Amat Applied Materials Vacuum Fitting 0040-09720 Rev A
Amat Applied Materials Vacuum Fitting 0040-09720 Rev A
279.99  19d 12h
 
Amat Applied Materials Vacuum Fitting 0040-38508
Amat Applied Materials Vacuum Fitting 0040-38508
279.99  26d 12h
 
Ptnr2-2  Programming Console     Free Shipping
Ptnr2-2 Programming Console Free Shipping
320.00  17d 9h
 
Pacific Scientific 17410-01 / Fgx2662 Motor 1/2hp Brshls 120v,
Pacific Scientific 17410-01 / Fgx2662 Motor 1/2hp Brshls 120v,
2,000.00  25d 21h
 
Nxedge    #030-000662 300m Exhaust Plate,
Nxedge #030-000662 300m Exhaust Plate,
4,000.00  21d 20h
 
Tokyo Electron Robot Control Box Clamp Cont Cct,
Tokyo Electron Robot Control Box Clamp Cont Cct,
3,000.00  5d 22h
 
130-0203// Amat Applied 0100-09137 0020-70139 Encoder Interface
130-0203// Amat Applied 0100-09137 0020-70139 Encoder Interface
250.00  10d 1h
 
Applied Materials AMAT Pressure Gauge Assy, 3310-01249
Applied Materials AMAT Pressure Gauge Assy, 3310-01249
2,950.00  7d 5h
 
Pall Resolute Auto Noz Ass'y KNV4000-175 Batch Number M3129651M
Pall Resolute Auto Noz Ass'y KNV4000-175 Batch Number M3129651M
450.00  7d 15h
 
BOC Edwards D37215000 Flash Module ()
BOC Edwards D37215000 Flash Module ()
249.00  3d 12h
 
TOYO SYSTEM CO LTD TSTMT0911 Board For TOSCAT
TOYO SYSTEM CO LTD TSTMT0911 Board For TOSCAT
333.00  27d 8h
 
Applied Materials 0040-95669 Plate Active Upper Amat *un Surplus - Sealed*
Applied Materials 0040-95669 Plate Active Upper Amat *un Surplus - Sealed*
1,499.00  6d 2h
 
0020-30203 / Pedestal, Sxtal, 200mm, Notch / Applied Materials Amat
0020-30203 / Pedestal, Sxtal, 200mm, Notch / Applied Materials Amat
2,214.75  22d 12h
 
0040-01419 / Housing, Arm Ecp / Applied Materials Amat
0040-01419 / Housing, Arm Ecp / Applied Materials Amat
2,469.13  21d 19h
 
Amat 0040-23777 Adapter-dome-clamped-preclean-300mm, Refurbished
Amat 0040-23777 Adapter-dome-clamped-preclean-300mm, Refurbished
1,200.00  13d 16h
 
AMAT 0190-23518 LC5A1. 5Cu 7589228F09,
AMAT 0190-23518 LC5A1. 5Cu 7589228F09,
4,500.00  19d 20h
 
ASML 4022.436.8112,4022.436.8113 D0037166 SE,,USA6691
ASML 4022.436.8112,4022.436.8113 D0037166 SE,,USA6691
159.00  5d 22h
 
ASML 4022.436.8158,D0037225 SE,,USA6692
ASML 4022.436.8158,D0037225 SE,,USA6692
179.00  5d 22h
 
Horiba STEC IV-2410AV-02H Injection Valve, AMAT 0190-36237, 451861
Horiba STEC IV-2410AV-02H Injection Valve, AMAT 0190-36237, 451861
450.00  11d 15h
 
Brooks 5896B19A Readout Mass Flow Controller, 5896, 421166
Brooks 5896B19A Readout Mass Flow Controller, 5896, 421166
295.00  16d 18h
 
Tylan RO-20A Readout Box, 421163
Tylan RO-20A Readout Box, 421163
450.00  21d 14h
 
JEL Controller Module 04430-00501
JEL Controller Module 04430-00501
2,500.00  12d 15h
 
Amat 0040-81155 Liner, Lower, Refurbished
Amat 0040-81155 Liner, Lower, Refurbished
3,000.00  3d 19h
 
Tokyo Elctron Limited Tel Es 1805-220164-11 (es) Ring Shield, T5-280
Tokyo Elctron Limited Tel Es 1805-220164-11 (es) Ring Shield, T5-280
999.00  23d 4h
 
VILLA PRECISION Model FAST 2410V  Glass Scriber
VILLA PRECISION Model FAST 2410V Glass Scriber
9,500.00  29d 15h
 
Comsaco Transformer Assembly NAEC P/N 616702-1
Top-Rated Plus Seller Comsaco Transformer Assembly NAEC P/N 616702-1
225.00  10d 14h
 
Novellus      Moe Ring 3.0mm      Mec16008-154       Mec Tech
Novellus Moe Ring 3.0mm Mec16008-154 Mec Tech
550.00  23d 7h
 
Millipore Hmds Filter Wo Ring
Millipore Hmds Filter Wo Ring
199.00  18d 13h
 
PN 7100-5146-04 AG Associates Heatpulse PCB
PN 7100-5146-04 AG Associates Heatpulse PCB
2,200.00  29d 19h
 
Stec Inc Pcu-2000 Controller
Stec Inc Pcu-2000 Controller
399.90  24d 6h
 
VAT Valve F26-60307-871 Assembly ID-AWS-018
VAT Valve F26-60307-871 Assembly ID-AWS-018
350.00  11d 18h
 
Bay-Tec 80-055-437 For Tegal? ID-AWS-K-2-002
Bay-Tec 80-055-437 For Tegal? ID-AWS-K-2-002
450.00  12d 13h
 
Tegal 903 901 E Wafer Process Counter ID-AWS-K-2-004
Tegal 903 901 E Wafer Process Counter ID-AWS-K-2-004
450.00  12d 14h
 
Matsushita Electronic Opt. Model 3N4993B122 ID-AWS-K-3-001
Matsushita Electronic Opt. Model 3N4993B122 ID-AWS-K-3-001
350.00  12d 16h
 
Singal Convert Transfer Station ID-AWS-K-3-002
Singal Convert Transfer Station ID-AWS-K-3-002
350.00  12d 16h
 
Special ISO 88-9167 ID-AWS-L-1-1-005
Special ISO 88-9167 ID-AWS-L-1-1-005
300.00  13d 15h
 
AIR Velocity Meter Model 440 ID-AWM-F-1-002
AIR Velocity Meter Model 440 ID-AWM-F-1-002
450.00  15d 18h
 
99-173-008/c Tegal  901e Tegal 903e  PCB AWM-G-5-1-009
99-173-008/c Tegal 901e Tegal 903e PCB AWM-G-5-1-009
499.00  21d 17h
 
99-172-003 Tegal 901e Tegal 903e AWM-G-5-3-006
99-172-003 Tegal 901e Tegal 903e AWM-G-5-3-006
499.00  21d 19h
 
99-126-005 PCB  Tegal 901e Tegal 903e AWM-G-5-3-008
99-126-005 PCB Tegal 901e Tegal 903e AWM-G-5-3-008
499.00  21d 19h
 
99-126-006 PCB  Tegal 901e Tegal 903e AWM-G-5-3-009
99-126-006 PCB Tegal 901e Tegal 903e AWM-G-5-3-009
499.00  21d 19h
 
Robot Effector Robot ARM AWR-BB-4-001
Robot Effector Robot ARM AWR-BB-4-001
495.00  28d 19h
 
Robot Effector Robot ARM B&C 56-010559 B AWR-BB-4-002
Robot Effector Robot ARM B&C 56-010559 B AWR-BB-4-002
495.00  28d 19h
 
UNIT Mass Flow Controller High Performance UFC-1100 N2 25 SCCM AWM-F-4-1-004
UNIT Mass Flow Controller High Performance UFC-1100 N2 25 SCCM AWM-F-4-1-004
325.00  26d 18h
 
Applied Materials 0100-11002  PWB Digital I/O
Applied Materials 0100-11002 PWB Digital I/O
200.00  4d 4h
 
Applied Materials NAP Board 0100-A0016/A
Applied Materials NAP Board 0100-A0016/A
1,900.00  12d 16h
 
TEL (Tokyo Electron Ltd) FNC Power Unit - No Cover
TEL (Tokyo Electron Ltd) FNC Power Unit - No Cover
600.00  18d 17h
 
Layton MFG 55632 Mixed Bed-type I Calcalligan Service AWR-043
Layton MFG 55632 Mixed Bed-type I Calcalligan Service AWR-043
350.00  7d 20h
 
116-0301// Amat Applied 0270-76103 8 Prcln/cl Lft/rbt Cal Plate
116-0301// Amat Applied 0270-76103 8 Prcln/cl Lft/rbt Cal Plate
700.00  9d 23h
 
345-0101// Amat Applied 1400-90013 Sensor Thrubeam
345-0101// Amat Applied 1400-90013 Sensor Thrubeam
130.00  11d 2h
 
342-0103// Amat Applied 0200-09664 Window Slit,al203
342-0103// Amat Applied 0200-09664 Window Slit,al203
150.00  12d 19h
 
Advance NC469S-6FV-N Type 62465 3/4" Pneumatic Actuated 2-Way Valve, RS1111
Advance NC469S-6FV-N Type 62465 3/4" Pneumatic Actuated 2-Way Valve, RS1111
295.00  3d 16h
 
APPLIED MATERIALS 0090-00457 Lower Exhaust Sensor
APPLIED MATERIALS 0090-00457 Lower Exhaust Sensor
388.00  4d 9h
 
Applied Materials 0041-09027 Lla/ 0041-40164 Amat
Applied Materials 0041-09027 Lla/ 0041-40164 Amat
1,999.00  3d 6h
 
Ase Co Ltd Apg-2060 Rf Generator
Ase Co Ltd Apg-2060 Rf Generator
3,999.00  18d 0h
 
320-0403// Amat Applied 0100-09213 0010-39911 Applied Matrials Components
320-0403// Amat Applied 0100-09213 0010-39911 Applied Matrials Components
500.00  4d 23h
 
Sierra Tecmax ST-741 Spinner
Sierra Tecmax ST-741 Spinner
30.00  5d 12h
 
NOS Festo Valve MVH-5-1/8B-VI 114900
NOS Festo Valve MVH-5-1/8B-VI 114900
170.00  15d 9h
 
Mks 9615-1780 Htr1.5, El90lr, Spec, 1ap1
Mks 9615-1780 Htr1.5, El90lr, Spec, 1ap1
180.00  4d 18h
 
1951 Lot of 2 Applied Materials Ion TC Controllers
1951 Lot of 2 Applied Materials Ion TC Controllers
950.00  17d 18h
 
4s018-567-1 / Relay Board Pcb Wl3sen4 / Nikon
4s018-567-1 / Relay Board Pcb Wl3sen4 / Nikon
900.66  2d 10h
 
0020-23044 / Shield, Tin, Lower / Applied Materials Amat
0020-23044 / Shield, Tin, Lower / Applied Materials Amat
866.25  5d 18h
 
AMAT 0021-51761 Aluminium, Blocker, DD2539, Metron Clean, SACVD,300mm,
AMAT 0021-51761 Aluminium, Blocker, DD2539, Metron Clean, SACVD,300mm,
750.00  2d 1h
 
318-0301// Goldfinger 230069-001 Xp Programmable Rf Controller
318-0301// Goldfinger 230069-001 Xp Programmable Rf Controller
800.00  29d 2h
 
Applied Materials NAP Board 0100-A0007 Rev. C
Applied Materials NAP Board 0100-A0007 Rev. C
1,900.00  12d 15h
 
Tokyo Electron Limited, MC-31023, Rev C
Tokyo Electron Limited, MC-31023, Rev C
199.99  2d 8h
 
Applied Materials 0500-01036 Cntrl Electronics Pkg Gen Calibration Ae 7"l X3.61
Applied Materials 0500-01036 Cntrl Electronics Pkg Gen Calibration Ae 7"l X3.61
4,599.00  12d 9h
 
Canon BG6-0494 WSI Control Board Interface Assembly * BH4-0614-01
Canon BG6-0494 WSI Control Board Interface Assembly * BH4-0614-01
95.00  26d 16h
 
125-0302// Amat Applied 0020-31664 Baffle, Bottom Purge
125-0302// Amat Applied 0020-31664 Baffle, Bottom Purge
2,200.00  19d 20h
 
116-0203// Amat Applied 0020-24100 8 Insulator With Anteane Pc2
116-0203// Amat Applied 0020-24100 8 Insulator With Anteane Pc2
1,800.00  9d 21h
 
116-0204// Amat Applied 0200-35477 Cover 200mm Jmf Std Coverless,
116-0204// Amat Applied 0200-35477 Cover 200mm Jmf Std Coverless,
1,800.00  9d 21h
 
126-0601// Amat Applied 0140-06662 Harness Assy, 300mm Emax []
126-0601// Amat Applied 0140-06662 Harness Assy, 300mm Emax []
4,200.00  3d 2h
 
316-0403// Amat Applied 0240-20003 0020-21025 0020-20356 Applied 2nd Source
316-0403// Amat Applied 0240-20003 0020-21025 0020-20356 Applied 2nd Source
2,500.00  7d 2h
 
316-0403// Amat Applied 0240-20003 0020-21025 0020-20356 Applied Matrials
316-0403// Amat Applied 0240-20003 0020-21025 0020-20356 Applied Matrials
2,000.00  7d 2h
 
322-0201// Amat Applied 0190-35510 Mcvd Endpoint Detector Assembl
322-0201// Amat Applied 0190-35510 Mcvd Endpoint Detector Assembl
2,500.00  11d 3h
 
127-0501// Amat Applied 0010-38838 Ass, Ac Distribution, 120 Vac,
127-0501// Amat Applied 0010-38838 Ass, Ac Distribution, 120 Vac,
2,500.00  13d 20h
 
325-0101// Amat Applied 3030-05876 Mfc 8160 5slm Nh3 1/4vcr Mtl []
325-0101// Amat Applied 3030-05876 Mfc 8160 5slm Nh3 1/4vcr Mtl []
1,800.00  1d 22h
 
426-0202// Digital Uf5310-2 Touch Screen [/fast]
426-0202// Digital Uf5310-2 Touch Screen [/fast]
1,500.00  21h 31m
 
116-0404// Amat Applied 0020-20897 Socket Retainer, 5/6, Degas []
116-0404// Amat Applied 0020-20897 Socket Retainer, 5/6, Degas []
1,680.00  19d 23h
 
103-0601// Amat Applied 0010-13967 Assy, Otf Centerfinder Receiver, 300mm []
103-0601// Amat Applied 0010-13967 Assy, Otf Centerfinder Receiver, 300mm []
1,500.00  21d 3h
 
350-0303// Amat Applied 0021-10601 Cylinder,support,poly []
350-0303// Amat Applied 0021-10601 Cylinder,support,poly []
1,920.00  11d 2h
 
348-0301// Amat Applied 0190-21701 Applied Matrials Components []
348-0301// Amat Applied 0190-21701 Applied Matrials Components []
2,000.00  4d 0h
 
Lot of 2500 AVX Skywell 1.5nF capacitors 08052R152K500BA
Lot of 2500 AVX Skywell 1.5nF capacitors 08052R152K500BA
250.00  17d 18h
 
Tel Tokyo  U1600B10 Backboard LED with Cables
Tel Tokyo U1600B10 Backboard LED with Cables
25.85  26d 17h
 
Disco YEAJ-GA1622 Flow Meter, Switch 412385
Disco YEAJ-GA1622 Flow Meter, Switch 412385
150.00  24d 15h
 
pRO-1010 High Capacity Two Bed 248-7-2374W 09/2009 ID-AWS-014
pRO-1010 High Capacity Two Bed 248-7-2374W 09/2009 ID-AWS-014
650.00  11d 15h
 
APtech AP1506S 4PW MV4 FV4 FV4 BO Pressure Regulator Silane ID-AWS-20
APtech AP1506S 4PW MV4 FV4 FV4 BO Pressure Regulator Silane ID-AWS-20
350.00  11d 19h
 
Clippard Pneumatic Cylinder Model UDR 08 2 MB Lot 8 Pc ID-AWS-026
Clippard Pneumatic Cylinder Model UDR 08 2 MB Lot 8 Pc ID-AWS-026
450.00  12d 19h
 
Stainless Steel NW80 Flange Bellow ID-AWS-L-1-1-002
Stainless Steel NW80 Flange Bellow ID-AWS-L-1-1-002
300.00  13d 14h
 
MKS PWR SUP /readout Pressure Readout Type 110A ID-AWM-F-1-003
MKS PWR SUP /readout Pressure Readout Type 110A ID-AWM-F-1-003
650.00  15d 18h
 
Tegal 901e Tegal 903e Chuck 5 Inch ID-AWS-035-008
Tegal 901e Tegal 903e Chuck 5 Inch ID-AWS-035-008
450.00  17d 20h
 
Nikon 216986 Motor Control AWM-F-2-1-004
Nikon 216986 Motor Control AWM-F-2-1-004
450.00  19d 13h
 
UNIT Mass Flow Controller High Performance UFC-1020 SF6 15 SCCM AWM-F-5-7-011
UNIT Mass Flow Controller High Performance UFC-1020 SF6 15 SCCM AWM-F-5-7-011
325.00  26d 17h
 
UNIT Mass Flow Controller High Performance UFC-1000 N2 15.0 SCCM AWM-F-4-1-005
UNIT Mass Flow Controller High Performance UFC-1000 N2 15.0 SCCM AWM-F-4-1-005
325.00  26d 18h
 
UNIT Mass Flow Controller High Performance UFC-1100 SF6 25 SCCM AWM-F-4-1-006
UNIT Mass Flow Controller High Performance UFC-1100 SF6 25 SCCM AWM-F-4-1-006
325.00  26d 18h
 
UNIT Mass Flow Controller High Performance UFC-1000 CHF3 60.0 SCCM AWM-F-4-1-007
UNIT Mass Flow Controller High Performance UFC-1000 CHF3 60.0 SCCM AWM-F-4-1-007
325.00  26d 18h
 
Model 20 Output 150 Watts Labpro PowerLite 150
Model 20 Output 150 Watts Labpro PowerLite 150
375.00  20d 19h
 
Drytek/Lam 384T Switch Interface Board, P/N 2800292
Top-Rated Plus Seller Drytek/Lam 384T Switch Interface Board, P/N 2800292
175.00  4d 13h
 
Rite Track 99-71122a-03 Track Interface Bd
Rite Track 99-71122a-03 Track Interface Bd
699.00  2d 15h
 
Lantechnical 6'' Casette
Lantechnical 6'' Casette
350.00  13h 57m
 
Turck Bus Stop Rep-dn Logical Can Repeater
Turck Bus Stop Rep-dn Logical Can Repeater
235.00  6d 23h
 
Philips PU 350 4022 331 10044 88553 DY 5455 4022 330 High Voltage Power supply
Philips PU 350 4022 331 10044 88553 DY 5455 4022 330 High Voltage Power supply
499.99  29d 13h
 
Assembleon 5322 466 83072 Centering Block 777
Assembleon 5322 466 83072 Centering Block 777
75.00  26d 19h
 
MATTSON Bias Match 553-21146-00 RFS 233041-01
MATTSON Bias Match 553-21146-00 RFS 233041-01
2,600.00  26d 23h
 
0021-14872; Amat, Perf Plate Blocker Hot Bd Dxz
0021-14872; Amat, Perf Plate Blocker Hot Bd Dxz
925.00  17h 56m
 
Novellus 16-10271-00 200 Heater Plate * surplus
Novellus 16-10271-00 200 Heater Plate * surplus
9,500.00  10d 16h
 
2529  PlasmaQuest DCPS-1524 Controller
2529 PlasmaQuest DCPS-1524 Controller
450.00  16d 16h
 
Shield;17-157996-00
Shield;17-157996-00
4,320.00  16d 0h
 
Tegal 1513e Main Controller Item CD 1043-00205 ID-AWS-012
Tegal 1513e Main Controller Item CD 1043-00205 ID-AWS-012
1,200.00  11d 15h
 
Tegal 1513e Main Controller Item CD 1043-00205RW ID-AWS-012
Tegal 1513e Main Controller Item CD 1043-00205RW ID-AWS-012
1,200.00  11d 15h
 
Burr-Brown TM25-300HT Farco ID-AWM-D-2-2-003
Burr-Brown TM25-300HT Farco ID-AWM-D-2-2-003
750.00  14d 18h
 
Static Eliminator Model 1207 90001-07300  ID-AWM-D-2-4-004
Static Eliminator Model 1207 90001-07300 ID-AWM-D-2-4-004
750.00  14d 19h
 
A&N Cooperation 2-way Flange SST  AWM-F-2-6
A&N Cooperation 2-way Flange SST  AWM-F-2-6
980.00  19d 15h
 
Fsi B/n290091-200a 815035 815-035? A/n290091-400 B  Awm-b-4-5-015
Fsi B/n290091-200a 815035 815-035? A/n290091-400 B Awm-b-4-5-015
799.00  22d 19h
 
Tegal 901e Tegal 903e Vacuum Line Item CG 1014  AWM-H-3-002
Tegal 901e Tegal 903e Vacuum Line Item CG 1014 AWM-H-3-002
950.00  22d 21h
 
A4100-4A 4 Inch Muffle SiC Coat , Susceptor Base
A4100-4A 4 Inch Muffle SiC Coat , Susceptor Base
1,250.00  11d 17h
 
Canon BG6-0511 Control Board Interface Assembly * BH4-0530-02
Canon BG6-0511 Control Board Interface Assembly * BH4-0530-02
95.00  26d 16h
 
4467  Applied Materials P/N: 0010-00135 Power Supply Assy.
4467 Applied Materials P/N: 0010-00135 Power Supply Assy.
2,500.00  19d 12h
 
ASM FHT 1034-315-01 Gas Distr to Gas Line Heater
ASM FHT 1034-315-01 Gas Distr to Gas Line Heater
65.21  18h 41m
 
Insync Vacuum Line 2488
Insync Vacuum Line 2488
183.99  4d 11h
 
Pall Kstdm-4033 Resolute Mesh Tool Kit Mesh Retaining
Pall Kstdm-4033 Resolute Mesh Tool Kit Mesh Retaining
219.99  5d 11h
 
Applied Materials 0041-05631 Amat
Applied Materials 0041-05631 Amat
1,999.00  9d 10h
 
325-0101// Amat Applied 3030-07133 Mfc 1660 50sccm N2 1/4vcr Mt []
325-0101// Amat Applied 3030-07133 Mfc 1660 50sccm N2 1/4vcr Mt []
1,200.00  1d 22h
 
325-0101// Amat Applied 3030-07177 Mfc 1660 500sccm Nf3 1/4vcr []
325-0101// Amat Applied 3030-07177 Mfc 1660 500sccm Nf3 1/4vcr []
1,200.00  1d 22h
 
Swagelok E-3DK-DA Diaphragm kit SC-01 Clean
Swagelok E-3DK-DA Diaphragm kit SC-01 Clean
19.99  16d 14h
 
Pcb 20070313 Npn, Ck
Pcb 20070313 Npn, Ck
1,680.00  12d 2h
 
17122940 / Aperture-post Accel / Eaton
17122940 / Aperture-post Accel / Eaton
226.80  12d 14h
 
139-0401// Amat Applied 0190-41508w 3155132-013 Navigator-3013 Advanced Rf Asis
139-0401// Amat Applied 0190-41508w 3155132-013 Navigator-3013 Advanced Rf Asis
3,000.00  9d 1h
 
Applied Materials AMAT Custom Elbow, 0050-40762
Applied Materials AMAT Custom Elbow, 0050-40762
785.00  25d 7h
 
Universal Instruments 50007101-G MMIT Flash Board
Universal Instruments 50007101-G MMIT Flash Board
999.90  28d 8h
 
Mks 4625-1104 Htr2.5, Str4.0, 1ap1
Mks 4625-1104 Htr2.5, Str4.0, 1ap1
199.00  14h 12m
 
139-0301// Amat Applied 0190-41508 3155132-013 Navigator-3013 Advanced Rf Asis
139-0301// Amat Applied 0190-41508 3155132-013 Navigator-3013 Advanced Rf Asis
3,000.00  9d 1h
 
61202210 / Ethernet Pcb Assy / Zmisc
61202210 / Ethernet Pcb Assy / Zmisc
300.00  21d 16h
 
Rdqkb25-25-dcn882nn / Cyl, Compact, Air Cushion / Smc
Rdqkb25-25-dcn882nn / Cyl, Compact, Air Cushion / Smc
280.68  6d 16h
 
220499 / Plate Wafer Mounting / Applied Materials
220499 / Plate Wafer Mounting / Applied Materials
350.66  8d 15h
 
483-14364-00 / Sensor Assembly Level 4 / Applied Materials Amat
483-14364-00 / Sensor Assembly Level 4 / Applied Materials Amat
556.92  6d 22h
 
304441-00 / Pcb-otcd Ind Hi Proc T.c. / Fsi
304441-00 / Pcb-otcd Ind Hi Proc T.c. / Fsi
493.50  19d 14h
 
290062-200 / 290062-400, Pcb, Drain Valve / Fsi
290062-200 / 290062-400, Pcb, Drain Valve / Fsi
350.00  21d 19h
 
99-85016-02 / Pcb-interface Mdu / Boc Edwards
99-85016-02 / Pcb-interface Mdu / Boc Edwards
402.15  22d 11h
 
714-412438-001 / Bracket / Lam Research Corporation
714-412438-001 / Bracket / Lam Research Corporation
450.68  20h 28m
 
Bg9-1884 / Pcb, Panel Drive, Bh8-0257-02 , W/exchange     / Canon
Bg9-1884 / Pcb, Panel Drive, Bh8-0257-02 , W/exchange / Canon
500.00  20d 14h
 
70311590100 / Board Assy Vacuum Drive / Applied Materials Amat
70311590100 / Board Assy Vacuum Drive / Applied Materials Amat
401.56  21d 15h
 
220690018 / Air Cyl Cdq2b50-75dc / Applied Materials Amat
220690018 / Air Cyl Cdq2b50-75dc / Applied Materials Amat
284.49  23d 13h
 
0020-31521 / Plate,pumping,nitride,delta (0020-10120) / Applied Materials Amat
0020-31521 / Plate,pumping,nitride,delta (0020-10120) / Applied Materials Amat
751.66  5d 12h
 
3161161 / Btu System I/o Board / Btu Engineering
3161161 / Btu System I/o Board / Btu Engineering
320.65  24d 17h
 
Bh8-0109-02 / Farmon Id 412500 Board / Canon
Bh8-0109-02 / Farmon Id 412500 Board / Canon
400.99  18d 17h
 
0021-76690 / Desposition Ring / Applied Materials Amat
0021-76690 / Desposition Ring / Applied Materials Amat
425.25  21d 14h
 
220490039 / Con.cabl Tcp-tur/pm01123 / Applied Materials Amat
220490039 / Con.cabl Tcp-tur/pm01123 / Applied Materials Amat
334.19  28d 14h
 
Mohlra51 / Cover,waterproof,improved (dfd-651) / Disco
Mohlra51 / Cover,waterproof,improved (dfd-651) / Disco
324.50  29d 18h
 
Y5304902 / Pcb, Dsf Vme Interface / Biorad Micromeasurements
Y5304902 / Pcb, Dsf Vme Interface / Biorad Micromeasurements
375.99  18d 17h
 
St-519 / Circuit Board 12257 / Datel
St-519 / Circuit Board 12257 / Datel
330.99  25d 16h
 
Advance NC469S-6FV-N Type 62465 3/4" Pneumatic Actuated 2-Way Valve, RS1112
Advance NC469S-6FV-N Type 62465 3/4" Pneumatic Actuated 2-Way Valve, RS1112
295.00  3d 16h
 
Mks 9615-1780 Htr1.5, El90lr, Spec, 1ap1
Mks 9615-1780 Htr1.5, El90lr, Spec, 1ap1
199.00  4d 17h
 
713-045638-001 / Fxtr,inductor,l3 / Lam Research Corporation
713-045638-001 / Fxtr,inductor,l3 / Lam Research Corporation
440.64  21d 11h
 
4419  Applied Materials CMS-458 (0190-00064) wPneumatic Panel 8300 EASE
4419 Applied Materials CMS-458 (0190-00064) wPneumatic Panel 8300 EASE
2,650.00  12d 17h
 
SM955LPN0S11 Pneumatic Valve, 54012513, 452304
SM955LPN0S11 Pneumatic Valve, 54012513, 452304
30.00  4d 15h
 
Integrated Flow Systems SR4-120-MVC04-S15-38 Pressure Regulator, Inlet 60 PSIG
Integrated Flow Systems SR4-120-MVC04-S15-38 Pressure Regulator, Inlet 60 PSIG
224.99  8d 9h
 
25334 Inspex Pcb, Dalsa Interconnect, 20008907a 20008905a
25334 Inspex Pcb, Dalsa Interconnect, 20008907a 20008905a
120.00  2d 23h
 
 ASML 4022.454.71022 Connecting Tube BP Assy FREE SHIPPING
 ASML 4022.454.71022 Connecting Tube BP Assy FREE SHIPPING
509.99  13h 43m
 
7212 Awm-f-5-5-001
7212 Awm-f-5-5-001
1,250.00  19d 18h
 
ESI P/N 63939 Rev C Special Function Board -Free Shipment
ESI P/N 63939 Rev C Special Function Board -Free Shipment
379.00  8d 21h
 
Drytek Quad Bottom Chamber Ceramic 2100988
Top-Rated Plus Seller Drytek Quad Bottom Chamber Ceramic 2100988
419.30  19d 13h
 
Sela Common Board Me594v04905
Sela Common Board Me594v04905
350.00  8d 23h
 
Tokyo Electron Es2l81-000015-12 Sw3 Board,
Tokyo Electron Es2l81-000015-12 Sw3 Board,
600.00  2d 0h
 
Applied Materials AMAT Regulator 43900884EV, 3800-01093
Applied Materials AMAT Regulator 43900884EV, 3800-01093
1,950.00  27d 5h
 
Amat 3620-01425 Pump Iq Tool Interface Module
Amat 3620-01425 Pump Iq Tool Interface Module
1,200.00  18d 17h
 
Tescom , 64-3661KRK32
Tescom , 64-3661KRK32
250.00  24d 18h
 
653b-13064 / Valve R Throttle / Mks
653b-13064 / Valve R Throttle / Mks
765.00  18d 14h
 
360-22256-000 / Reg, Backpressure, Dome Loaded / Celerity
360-22256-000 / Reg, Backpressure, Dome Loaded / Celerity
824.96  15d 16h
 
Em0197 / Em0197,bellows Shaft Assy / Ips-tech
Em0197 / Em0197,bellows Shaft Assy / Ips-tech
875.00  20d 13h
 
0020-21707 / Lifter, 8 In / Applied Materials Amat
0020-21707 / Lifter, 8 In / Applied Materials Amat
820.99  23d 15h
 
0100-09011 / Ai Mux Current Sense Pcb / Applied Materials Amat
0100-09011 / Ai Mux Current Sense Pcb / Applied Materials Amat
938.77  17h 54m
 
21016400051 / Scan Mode Switch Board / Applied Materials Amat
21016400051 / Scan Mode Switch Board / Applied Materials Amat
807.69  14d 16h
 
Amat 0020-31147 Insert, Base, Alum, 150/200mm, Flat ,
Amat 0020-31147 Insert, Base, Alum, 150/200mm, Flat ,
1,850.00  21h 13m
 
387-00006-000 / Probe, Concen, Solids, Analog, 0.05-50 / Celerity
387-00006-000 / Probe, Concen, Solids, Analog, 0.05-50 / Celerity
16,470.00  16d 12h
 
99-66566-01 /    Exhaust Flow Controller And Pcb (99-80315-01) / Svg
99-66566-01 / Exhaust Flow Controller And Pcb (99-80315-01) / Svg
5,513.00  12d 15h
 
Nikon 4S008-117-A Sensor Interface Board PCB ALGAF-S/A-X4+ NSR System
Nikon 4S008-117-A Sensor Interface Board PCB ALGAF-S/A-X4+ NSR System
1,105.60  1d 15h
 
Canon Bh8-3294-01 Mvps Pcb,  
Canon Bh8-3294-01 Mvps Pcb,
650.00  17d 23h
 
118-0401// Mrc A46-0707-1-1022-03 Al/si1 Target [asis]
118-0401// Mrc A46-0707-1-1022-03 Al/si1 Target [asis]
1,500.00  20d 1h
 
430-0301// Syowa Kensan Million Skm-50 (no Connector) Motor []
430-0301// Syowa Kensan Million Skm-50 (no Connector) Motor []
200.00  11d 23h
 
3434  Applied Materials P/N: 0020-25197 Clamp Pring Ring Al. SMF REE
3434 Applied Materials P/N: 0020-25197 Clamp Pring Ring Al. SMF REE
950.00  18d 11h
 
Amat 0050-95586 Rf Generator Water Input,
Amat 0050-95586 Rf Generator Water Input,
350.00  3d 23h
 
Amat 0050-95588 Rf Generator Water Output,
Amat 0050-95588 Rf Generator Water Output,
350.00  3d 23h
 
Amat 0040-34942 Plate, Z-adj, Back, Meg Hvm 300mm,
Amat 0040-34942 Plate, Z-adj, Back, Meg Hvm 300mm,
400.00  22d 19h
 
Unit Celerity UFM-8561C 200cc O2 Mass Flow Controller
Unit Celerity UFM-8561C 200cc O2 Mass Flow Controller
299.00  6d 13h
 
03-70057-00 / Wuniversal Address Board W/proms / Applied Materials
03-70057-00 / Wuniversal Address Board W/proms / Applied Materials
1,249.14  6d 11h
 
Nikon 4S018-162-A Backplane Interface Board PCB ALGMTH-SR8 NSR-S202A
Nikon 4S018-162-A Backplane Interface Board PCB ALGMTH-SR8 NSR-S202A
1,102.30  21d 15h
 
Integrated Flow Systems SR4-120-4MVMV-H10-35 Pressure Regulator, Inlet 45PSIG
Integrated Flow Systems SR4-120-4MVMV-H10-35 Pressure Regulator, Inlet 45PSIG
219.99  8d 9h
 
Applied Materials Celerity Millipore VCR Pressure XDCR, TR0002342374, 1350-01252
Applied Materials Celerity Millipore VCR Pressure XDCR, TR0002342374, 1350-01252
1,150.00  16d 11h
 
*PREOWNED* Yashibi IP-281B Board w/ Omron H3FA-A 24VDC Time Delay + Warranty!
*PREOWNED* Yashibi IP-281B Board w/ Omron H3FA-A 24VDC Time Delay + Warranty!
75.00  25d 8h
 
105-0401// Amat Applied 0190-06874 Applied Matrials Components
105-0401// Amat Applied 0190-06874 Applied Matrials Components
1,800.00  19d 1h
 
0040-98357 / Manifold / Applied Materials Amat
0040-98357 / Manifold / Applied Materials Amat
1,528.09  9d 15h
 
Etc902-nscp-l2 / Heat Exchanger Pel Thermo / Orion
Etc902-nscp-l2 / Heat Exchanger Pel Thermo / Orion
1,760.82  4d 13h
 
Jst Dt921e Switch Panel
Jst Dt921e Switch Panel
99.95  27d 21h
 
NILSTAT 5024(e) CONTROLLER
NILSTAT 5024(e) CONTROLLER
99.95  18d 22h
 
Scp Global Technology Auxiliary Relay Unit A7278
Scp Global Technology Auxiliary Relay Unit A7278
90.07  24d 20h
 
Amat 0020-78707 Block,mounting,
Amat 0020-78707 Block,mounting,
800.00  7d 18h
 
Amat 0020-26394 Shield, Low Knee, Cobalt Kit, Refurbished
Amat 0020-26394 Shield, Low Knee, Cobalt Kit, Refurbished
1,000.00  10d 0h
 
Amat 0195-08598 Zone 10 Setpoint 160c 208 Vac,
Amat 0195-08598 Zone 10 Setpoint 160c 208 Vac,
700.00  19d 1h
 
Q'son Precision BEI Cover Insert Assembly EM Spoiler CB71271-001 MOGCB71271-001
Q'son Precision BEI Cover Insert Assembly EM Spoiler CB71271-001 MOGCB71271-001
49.99  18d 14h
 
TEL (Tokyo Electron Ltd) FNC Power Unit
TEL (Tokyo Electron Ltd) FNC Power Unit
700.00  18d 17h
 
PN 7100-5210-04 AG Associates Heatpulse PCB- sold
PN 7100-5210-04 AG Associates Heatpulse PCB- sold
3,500.00  1d 20h
 
Millipore Pn Wcdi0002d, Cable, Interface Assy, Style 2
Millipore Pn Wcdi0002d, Cable, Interface Assy, Style 2
299.88  8d 11h
 
 MKS ENI GEW Series GEW-3540 RF Power Generator 3.5kW 40.68MHz
 MKS ENI GEW Series GEW-3540 RF Power Generator 3.5kW 40.68MHz
2,499.99  10d 21h
 
Drytek / Lam Gas Interface Board, P/N 2800893 Rev 4
Top-Rated Plus Seller Drytek / Lam Gas Interface Board, P/N 2800893 Rev 4
299.00  4d 10h
 
SMC 60-600455-006 Circuit Board PCB  Working
SMC 60-600455-006 Circuit Board PCB  Working
903.12  1d 10h
 
Amat 0195-06765 Hvpe Upper Dome Temperature Controller,
Amat 0195-06765 Hvpe Upper Dome Temperature Controller,
5,500.00  2d 1h
 
124-0304// Amat Applied 0021-35163 Plate, Reflector, Chmbr Bottom Asis
124-0304// Amat Applied 0021-35163 Plate, Reflector, Chmbr Bottom Asis
1,500.00  29d 21h
 
AMAT 0020-20521 Spacer Insulation Lifter, 424367
AMAT 0020-20521 Spacer Insulation Lifter, 424367
60.00  26d 17h
 
Compact Asia Pacific Manifold Teebow 220-34405-000 30 Count Lot
Compact Asia Pacific Manifold Teebow 220-34405-000 30 Count Lot
569.99  10d 12h
 
Nihon Koshuha AMC-CTA7-00A RF Automatching Controller Hitachi MU-712E
Nihon Koshuha AMC-CTA7-00A RF Automatching Controller Hitachi MU-712E
1,805.13  28d 9h
 
Set Of 2 FLIR PM 595’s
Set Of 2 FLIR PM 595’s
1,350.00  3d 12h
 
 Agem Ue10932 Liner Set Part 1 H1101080 B Free Shipping
 Agem Ue10932 Liner Set Part 1 H1101080 B Free Shipping
118.99  27d 16h
 
LOT OF Swagelok Veriflo MKS AMAT Mounts Tubes High Purity Hytron FREE SHIPPING B
LOT OF Swagelok Veriflo MKS AMAT Mounts Tubes High Purity Hytron FREE SHIPPING B
140.25  14d 16h
 
LOT OF Swagelok Veriflo MKS AMAT Mounts Tubes High Purity Hytron FREE SHIPPING A
LOT OF Swagelok Veriflo MKS AMAT Mounts Tubes High Purity Hytron FREE SHIPPING A
140.25  14d 16h
 
LOT OF 3  Tokyo Flow Meters FF-MOA80 Fin Flow Assembly FREE SHIPPING
LOT OF 3  Tokyo Flow Meters FF-MOA80 Fin Flow Assembly FREE SHIPPING
152.99  18d 8h
 
SM955LPN0S11 Pneumatic Valve, 54012513, 452303
SM955LPN0S11 Pneumatic Valve, 54012513, 452303
30.00  4d 15h
 
SM955LPN0S11 Pneumatic Valve, 54012513, 452306
SM955LPN0S11 Pneumatic Valve, 54012513, 452306
30.00  4d 16h
 
Data Logic S5-5-G8-02 Photoelectric Sensor, 452646
Data Logic S5-5-G8-02 Photoelectric Sensor, 452646
60.00  19d 15h
 
SM955LPN0S11 Pneumatic Valve, 54012513, 452307
SM955LPN0S11 Pneumatic Valve, 54012513, 452307
30.00  4d 16h
 
MEL Melss MBT 240 Unit  Surplus
MEL Melss MBT 240 Unit  Surplus
1,906.12  2d 16h
 
TEL Tokyo Electron D3.0 P35.6 W-LB N Shield Depo Cleaned  Working
TEL Tokyo Electron D3.0 P35.6 W-LB N Shield Depo Cleaned  Working
3,004.15  2d 16h
 
FutureStar FX623-12F-12F-95   FSI
FutureStar FX623-12F-12F-95  FSI
199.00  29d 18h
 
Svg Thermco Systems 168615-011 Rev 5 Temperature Interface Board
Svg Thermco Systems 168615-011 Rev 5 Temperature Interface Board
799.99  22d 19h
 
0020-23479 / Plate Mntg Pneum Cyl/mtr Lft / Applied Materials Amat
0020-23479 / Plate Mntg Pneum Cyl/mtr Lft / Applied Materials Amat
722.67  25d 17h
 
Econ-023100 / Purge Controller, Automatic, Ver. 1.16 / Atmi Systems
Econ-023100 / Purge Controller, Automatic, Ver. 1.16 / Atmi Systems
9,625.00  15d 12h
 
0040-30144 Rev 006 Carrier Detect Shaft Bellows Ksm-238-1065
0040-30144 Rev 006 Carrier Detect Shaft Bellows Ksm-238-1065
159.99  20d 9h
 
Kyosan Electric Hpk10z01-te1 Dc Power Supply Wa8581
Kyosan Electric Hpk10z01-te1 Dc Power Supply Wa8581
2,199.95  5d 10h
 
LOT OF 6  GEORGE FISCHER +GF+ 735 528 611 SYGEF PVDF d63 UNION FREE SHIPPING
LOT OF 6  GEORGE FISCHER +GF+ 735 528 611 SYGEF PVDF d63 UNION FREE SHIPPING
204.00  13d 15h
 
142-0501// Amat Applied 0150-00329 Dual Photoelement Sensor Assy
142-0501// Amat Applied 0150-00329 Dual Photoelement Sensor Assy
110.00  29d 23h
 
METRON 4502486 Locking  ring, Anodized Alum, S65/D,
METRON 4502486 Locking ring, Anodized Alum, S65/D,
1,000.00  19d 5h
 
Metron 5504857 R, Edge, Hot-300mm-lam Silicon,
Metron 5504857 R, Edge, Hot-300mm-lam Silicon,
990.00  19d 5h
 
Novellus 05-3263 Weldment Bellows Elevator,
Novellus 05-3263 Weldment Bellows Elevator,
1,000.00  16d 22h
 
Tokyo Electron Sr2910-101759-11 12" Tweezers,
Tokyo Electron Sr2910-101759-11 12" Tweezers,
1,200.00  27d 0h
 
METRON 715-443178300 B Hot Edge Ring,
METRON 715-443178300 B Hot Edge Ring,
990.00  19d 5h
 
Nikon 4l991-472an Valve,
Nikon 4l991-472an Valve,
1,000.00  22d 1h
 
Novellus 04-255897-00 Install Kit, Epd, C25pd-s,
Novellus 04-255897-00 Install Kit, Epd, C25pd-s,
1,000.00  27d 19h
 
cole parmer 7592-8220-650 RPM Masterflex DIGITAL MODULAR DRIVE,
cole parmer 7592-8220-650 RPM Masterflex DIGITAL MODULAR DRIVE,
1,000.00  22d 20h
 
Amat 1350-00045 Xdcr Press 0-145psig 3/4"flare 1-5vdc Pf,
Amat 1350-00045 Xdcr Press 0-145psig 3/4"flare 1-5vdc Pf,
1,200.00  15d 1h
 
Kollmorgen Bmhse-1206-a Robot Motors,
Kollmorgen Bmhse-1206-a Robot Motors,
800.00  20d 21h
 
Adtech Ap3510/3550sm V5/v7 Al/308 V9 Valve,
Adtech Ap3510/3550sm V5/v7 Al/308 V9 Valve,
1,200.00  3d 17h
 
CKD USG3-X0693 Valve, 451673
CKD USG3-X0693 Valve, 451673
195.00  28d 11h
 
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve, 451731
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve, 451731
195.00  3d 11h
 
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve, 45100583, 451732
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve, 45100583, 451732
195.00  3d 11h
 
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve, 45100583, 451735
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve, 45100583, 451735
195.00  3d 12h
 
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve, 45100583, 451737
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve, 45100583, 451737
195.00  3d 12h
 
Pittman 9234E318-R4 Servomotor, 9700-6191-01, 451989
Pittman 9234E318-R4 Servomotor, 9700-6191-01, 451989
175.00  7d 18h
 
Lasertec C-100849A Processor PCB Card DEF-PROC C-100848A Working Surplus
Lasertec C-100849A Processor PCB Card DEF-PROC C-100848A Working Surplus
1,702.19  2d 10h
 
Nikon 4S020-022 Processor PCB Card CNTRL-1 NSR System  Working
Nikon 4S020-022 Processor PCB Card CNTRL-1 NSR System  Working
1,650.65  6d 13h
 
61844 / Ball, Ntn Bearing / Elges
61844 / Ball, Ntn Bearing / Elges
3,000.99  18d 18h
 
Integrated Flow Systems SR4-120-MVC0-S32-35 Pressure Regulator, Inlet 45 PSIG
Integrated Flow Systems SR4-120-MVC0-S32-35 Pressure Regulator, Inlet 45 PSIG
224.99  8d 9h
 
Integrated Flow Systems SR4-120-4MVMV-HT-35 Pressure Regulator, Inlet 45PSIG
Integrated Flow Systems SR4-120-4MVMV-HT-35 Pressure Regulator, Inlet 45PSIG
219.99  8d 9h
 
Nikon 4S008-117-A Sensor Interface Board PCB ALGAF-S/A-X4+ NSR-S306C
Nikon 4S008-117-A Sensor Interface Board PCB ALGAF-S/A-X4+ NSR-S306C
1,104.50  28d 10h
 
Data Logic S5-5-G8-02 Photoelectric Sensor, 452647
Data Logic S5-5-G8-02 Photoelectric Sensor, 452647
60.00  19d 15h
 
CKD USG3-X0693 Valve, 451674
CKD USG3-X0693 Valve, 451674
195.00  28d 11h
 
Pittman 9234E318-R4 Servomotor, 9700-6191-01, 451990
Pittman 9234E318-R4 Servomotor, 9700-6191-01, 451990
175.00  7d 18h
 
41378-00 /  Pcb, Psi Control / Genus
41378-00 / Pcb, Psi Control / Genus
2,200.00  1d 13h
 
Tegal 80-679-008 Spare Parts
Tegal 80-679-008 Spare Parts
60.00  10d 19h
 
Yamamoto Electric Emt1b0fvd Diffential Pressure Transmitter
Yamamoto Electric Emt1b0fvd Diffential Pressure Transmitter
120.07  14d 14h
 
Parker Fafe-64-t Flare Adaptor Female Elbow
Parker Fafe-64-t Flare Adaptor Female Elbow
40.00  10d 11h
 
Applied Materials 0021-81461 Upper Shield, Clean Chamber, 300mm Amat Recycled
Applied Materials 0021-81461 Upper Shield, Clean Chamber, 300mm Amat Recycled
1,499.00  17d 5h
 
TOKYO ELECTRON TEL 2L81-000007-15 TAB221-1/GAS  Board
TOKYO ELECTRON TEL 2L81-000007-15 TAB221-1/GAS Board
388.00  1d 5h
 
Applied Materials , AMAT 0100-00511 , 0130-00511 Mainframe I/O Display
Applied Materials , AMAT 0100-00511 , 0130-00511 Mainframe I/O Display
388.00  1d 2h
 
LAM Applied Materials Metal Bracket 49 Count Lot 17-159336-00
LAM Applied Materials Metal Bracket 49 Count Lot 17-159336-00
399.99  10d 9h
 
Kyosan Electric Hpk102i-te1 Dc Powers Supply Wa8580
Kyosan Electric Hpk102i-te1 Dc Powers Supply Wa8580
2,199.95  9d 10h
 
Automotion Dc Bl Motor Control Alco800-010-100
Automotion Dc Bl Motor Control Alco800-010-100
249.99  9d 20h
 
MKS 0190-27708 Rev. 03 MKS AS01396-6-5
MKS 0190-27708 Rev. 03 MKS AS01396-6-5
290.00  16d 18h
 
631-31321 / Bxp Lens-b Optic 8x0 Long Life / Asml
631-31321 / Bxp Lens-b Optic 8x0 Long Life / Asml
2,200.68  25d 17h
 
Robot Effector Robot ARM With Laser DD-50 Sensor AWR-BB-4-005
Robot Effector Robot ARM With Laser DD-50 Sensor AWR-BB-4-005
950.00  28d 19h
 
Robot Effector Robot ARM With Laser DD-50 Sensor AWR-BB-4-006
Robot Effector Robot ARM With Laser DD-50 Sensor AWR-BB-4-006
1,100.00  28d 19h
 
TEL GFC-CTL2 2108-020089-11, 2181-020089-13 PCB Assy,
TEL GFC-CTL2 2108-020089-11, 2181-020089-13 PCB Assy,
300.00  12d 13h
 
Applied Materials Setra Millipore Press XDCR,TR3000020325 1350-01317 1350-00054
Applied Materials Setra Millipore Press XDCR,TR3000020325 1350-01317 1350-00054
1,375.00  16d 11h
 
Lambda LTX Trillium HAL-02-1187 HAL-02-1216 Backplane Power Supply PCB
Lambda LTX Trillium HAL-02-1187 HAL-02-1216 Backplane Power Supply PCB
109.99  17d 11h
 
Progressive Technologies 4301C-DGLS Sentry Supervisor,
Progressive Technologies 4301C-DGLS Sentry Supervisor,
475.00  12d 16h
 
Phillips 50227274 Circuit Board PCB 2071610007 TBP  Working
Phillips 50227274 Circuit Board PCB 2071610007 TBP  Working
806.12  2d 16h
 
B & G Enterprises 01-100-01  Multi Controller 0110001
B & G Enterprises 01-100-01  Multi Controller 0110001
3,500.00  15d 14h
 
Novellus 15-277460-00 Rev. A,
Novellus 15-277460-00 Rev. A,
350.00  15d 21h
 
0040-48541 / Adapter, Upper, With Bushing, 8 Long, S / Applied Materials Amat
0040-48541 / Adapter, Upper, With Bushing, 8 Long, S / Applied Materials Amat
15,062.03  19d 12h
 
139-0301// Amat Applied 0190-34238-001 3155132-008 Navigator-3013 Advanced Asis
139-0301// Amat Applied 0190-34238-001 3155132-008 Navigator-3013 Advanced Asis
3,000.00  9d 1h
 
139-0501// Amat Applied 0190-41508-001 3155132-013 Navigator-3013 Advanced Asis
139-0501// Amat Applied 0190-41508-001 3155132-013 Navigator-3013 Advanced Asis
3,000.00  9d 1h
 
130-0402// AMAT APPLIED 0100-09028 wPCB ASSY,LOADER INTERCO
130-0402// AMAT APPLIED 0100-09028 wPCB ASSY,LOADER INTERCO
400.00  7d 5h
 
Tegal 39-341-006 D ID-AWS-L-1-3-001
Tegal 39-341-006 D ID-AWS-L-1-3-001
1,250.00  13d 16h
 
Robot Effector Robot ARM With Laser DD-50 Sensor AWR-BB-4-004
Robot Effector Robot ARM With Laser DD-50 Sensor AWR-BB-4-004
1,250.00  28d 19h
 
MTCS S11-EN Temperature Controller, 423667
MTCS S11-EN Temperature Controller, 423667
250.00  18d 15h
 
Ultratech Stepper 05-15-04682 Rev. C Cable Assembly
Top-Rated Plus Seller Ultratech Stepper 05-15-04682 Rev. C Cable Assembly
21.99  8d 10h
 
344-0203// Amat Applied 0040-76368 Manifold Short
344-0203// Amat Applied 0040-76368 Manifold Short
600.00  57m 36s
 
116-0204// Amat Applied 0200-40204 Cover Ring,200mm Jmf Non-cont/c'bore
116-0204// Amat Applied 0200-40204 Cover Ring,200mm Jmf Non-cont/c'bore
720.00  9d 23h
 
Nikon 4S015-002 Processor PCB Card NK852 NSR  Working
Nikon 4S015-002 Processor PCB Card NK852 NSR  Working
1,650.65  6d 16h
 
Eurotherm 3508, Process Controller, working
Eurotherm 3508, Process Controller, working
250.00  28d 0h
 
114-0401// Amat Applied 0010-30001 Assy,degasser []
114-0401// Amat Applied 0010-30001 Assy,degasser []
8,000.00  21d 1h
 
Amat 0090-77224 Recjrculation Flow Switch,
Amat 0090-77224 Recjrculation Flow Switch,
850.00  5d 18h
 
AMAT 0021-20399 CLAMP RING, refurbished
AMAT 0021-20399 CLAMP RING, refurbished
1,300.00  12d 20h
 
Opal 30712640100 Asa Board Assy Module,
Opal 30712640100 Asa Board Assy Module,
800.00  14d 0h
 
Amat 0021-17721 Shield Clamp Ring, Refurbished
Amat 0021-17721 Shield Clamp Ring, Refurbished
1,200.00  22d 20h
 
720-14688-00 /  Wien Filter Controller Chassis / Kla-tencor
720-14688-00 / Wien Filter Controller Chassis / Kla-tencor
12,000.03  13d 12h
 
Applied Material 408880-pe Mai Ac Cable
Applied Material 408880-pe Mai Ac Cable
299.00  18d 17h
 
Accel CEP-054F MPC-684
Accel CEP-054F MPC-684
679.00  14d 2h
 
Square D Contactor DLS31.22CU DLS31 8502 24 Volt
Square D Contactor DLS31.22CU DLS31 8502 24 Volt
95.99  13h 12m
 
Eeja Cp-006 Middle Ring Cup E393-0714t
Eeja Cp-006 Middle Ring Cup E393-0714t
44.99  26d 11h
 
416-0401// Yaskawa Cacr-pr03ah3fu Servopack [/fast]
416-0401// Yaskawa Cacr-pr03ah3fu Servopack [/fast]
1,000.00  29d 3h
 
346-0402// Amat Applied 0020-25478 Collar, Susceptor E-80 Bwcvd Bse
346-0402// Amat Applied 0020-25478 Collar, Susceptor E-80 Bwcvd Bse
1,400.00  21d 0h
 
2322-00 /    Motor Turret Compumotor Cp*m83-135-g / Genus Incorporated
2322-00 / Motor Turret Compumotor Cp*m83-135-g / Genus Incorporated
2,435.62  11d 16h
 
672532 / Assy Memory Bd / Applied Materials Amat
672532 / Assy Memory Bd / Applied Materials Amat
4,350.99  17d 11h
 
0020-51915 / Liner, Rev004 / Applied Materials Amat
0020-51915 / Liner, Rev004 / Applied Materials Amat
2,600.00  17d 21h
 
0040-82011 /      Pumping Plate Afeol 300mm / Applied Materials Amat 0040-82011
0040-82011 / Pumping Plate Afeol 300mm / Applied Materials Amat 0040-82011
2,640.88  24d 11h
 
9090-00273  /    Devicenet I/o Block Dip294-2 /  Amat
9090-00273 / Devicenet I/o Block Dip294-2 / Amat
2,240.82  13h 20m
 
E11095112  /  Analog-digital I/o Interface  /  Varian
E11095112 / Analog-digital I/o Interface / Varian
3,500.82  15d 20h
 
99-80269-01 / 90s Shuttle Controller Interface Pcb / Svg
99-80269-01 / 90s Shuttle Controller Interface Pcb / Svg
3,259.80  21d 15h
 
4s587-667-2 / Linear Motor Controller / Yaskawa
4s587-667-2 / Linear Motor Controller / Yaskawa
5,100.98  7d 13h
 
81-ec017 / Cpu, W/ Interface Boards / Lam Research Corporation
81-ec017 / Cpu, W/ Interface Boards / Lam Research Corporation
2,200.82  22d 17h
 
9090-01095 / Fai Controller Chassis Qx+ / Applied Materials Amat
9090-01095 / Fai Controller Chassis Qx+ / Applied Materials Amat
4,260.00  23d 13h
 
1-a04824-01 / Grounded Electrode, Xt / Tsm Hitachi
1-a04824-01 / Grounded Electrode, Xt / Tsm Hitachi
4,000.00  29d 13h
 
593-21161 / 01159-50003 Pwb Os6 Cable Interface Board 1 / Micron
593-21161 / 01159-50003 Pwb Os6 Cable Interface Board 1 / Micron
2,680.62  17d 13h
 
Bir-021765 / Board, Alpg (h,p) / Advantest
Bir-021765 / Board, Alpg (h,p) / Advantest
1,800.62  23d 15h
 
Bgr-021964 / 10v Pps Control Pcb / Advantest
Bgr-021964 / 10v Pps Control Pcb / Advantest
1,600.62  23d 17h
 
Bgr-019486 / Board,dc (h) / Advantest
Bgr-019486 / Board,dc (h) / Advantest
1,800.62  24d 18h
 
Bgr-016794 / Dpu I/f Analog Pcb / Advantest
Bgr-016794 / Dpu I/f Analog Pcb / Advantest
1,800.62  24d 22h
 
A121382m / Bp Heater 125mm Mxb Tab / Tokyo Electron Tel
A121382m / Bp Heater 125mm Mxb Tab / Tokyo Electron Tel
1,825.68  9d 21h
 
0020-01121 / W Ground Ring, Poly / Applied Materials Amat
0020-01121 / W Ground Ring, Poly / Applied Materials Amat
1,857.36  5d 15h
 
02-127708-00 / Assy,mod Cntrl,p166/64m,+24v / Novellus
02-127708-00 / Assy,mod Cntrl,p166/64m,+24v / Novellus
2,750.82  12d 16h
 
0050-10584 / Wldment, Liq. Final Valve / Applied Materials Amat
0050-10584 / Wldment, Liq. Final Valve / Applied Materials Amat
2,158.20  12d 12h
 
Sppa51a41105 / Motor / Faulhaber
Sppa51a41105 / Motor / Faulhaber
1,817.05  29d 10h
 
0020-34694 / Liner, Gdp, R2 Oxidegeco / Applied Materials Amat
0020-34694 / Liner, Gdp, R2 Oxidegeco / Applied Materials Amat
3,500.26  7d 10h
 
E15002460 /  Analog I /o  /  Varian
E15002460 / Analog I /o / Varian
2,500.82  12d 20h
 
Bm23995r  /      Programmable Pcb /  Pri
Bm23995r / Programmable Pcb / Pri
2,500.82  15d 21h
 
4282800  /  Aperture Charge Exchange  /   Varian
4282800 / Aperture Charge Exchange / Varian
4,500.82  26d 15h
 
Opc-2503d / Pcb Board (a2503d10, Opc-2503d, A2503d10-013) / Daifuku
Opc-2503d / Pcb Board (a2503d10, Opc-2503d, A2503d10-013) / Daifuku
2,500.82  29d 11h
 
4098500 / Motor Drive / Varian
4098500 / Motor Drive / Varian
2,185.66  2d 11h
 
823 / Tel Transition Unit / Tokyo Electron Tel
823 / Tel Transition Unit / Tokyo Electron Tel
4,120.66  6d 11h
 
19-00161-00 / Motor-ext W/driver / Novellus Systems Inc
19-00161-00 / Motor-ext W/driver / Novellus Systems Inc
1,791.30  17d 12h
 
4372800 / Obs Shuttle Motor Left / Varian
4372800 / Obs Shuttle Motor Left / Varian
3,622.06  9d 12h
 
0100-40030 / Pcba, Bias Metrology Bd / Applied Materials Amat
0100-40030 / Pcba, Bias Metrology Bd / Applied Materials Amat
1,644.81  23d 18h
 
4319604 / Varian Ina 200mm Shuttle Spoon Tapered Left. / Varian 4319604
4319604 / Varian Ina 200mm Shuttle Spoon Tapered Left. / Varian 4319604
2,499.66  18h 42m
 
0010-32221 / Assembly Rough Throttle Valve Drive 300mm / Amat
0010-32221 / Assembly Rough Throttle Valve Drive 300mm / Amat
3,542.82  19d 11h
 
710-658172-20 / Y-interploator C, Ph3 90 / Kla Tencor
710-658172-20 / Y-interploator C, Ph3 90 / Kla Tencor
2,580.00  22d 16h
 
0100-09291 / Pcb Assy, Oms Stepper Interface / Applied Materials Amat
0100-09291 / Pcb Assy, Oms Stepper Interface / Applied Materials Amat
1,643.77  9d 10h
 
Pvp-c-200-fl479 / Ckd Sintered Multipurpose Fluorine Resin Plate / Ckd Corp
Pvp-c-200-fl479 / Ckd Sintered Multipurpose Fluorine Resin Plate / Ckd Corp
1,992.66  17d 12h
 
E11351930 / Facility, Water Turbo R/s / Varian
E11351930 / Facility, Water Turbo R/s / Varian
4,580.82  6d 15h
 
Bgr-017418 /  Hv-pps Pcb / Advantest
Bgr-017418 / Hv-pps Pcb / Advantest
1,800.62  16d 15h
 
Bir-027598 /  Pcb, Sc Sense Control / Advantest
Bir-027598 / Pcb, Sc Sense Control / Advantest
2,400.62  16d 17h
 
Bir-021587 / Tg Sub Pcb  / Advantest
Bir-021587 / Tg Sub Pcb / Advantest
1,800.62  23d 19h
 
Bir-025679 /     Tg Core Pcb / Advantest
Bir-025679 / Tg Core Pcb / Advantest
1,800.62  24d 19h
 
Bir-021588 /     Board,tg Core(h,p)  / Advantest
Bir-021588 / Board,tg Core(h,p) / Advantest
2,200.62  24d 19h
 
110725525 / Platen Ring (gb) / Varian
110725525 / Platen Ring (gb) / Varian
3,000.99  2d 12h
 
110512766 / 296mm Cover Ring (twas) / Varian
110512766 / 296mm Cover Ring (twas) / Varian
2,500.99  2d 12h
 
243786/0520 A-509811 / Vat Gate , Slit Valve With Amat Assembly 0040-81275 / Vat
243786/0520 A-509811 / Vat Gate , Slit Valve With Amat Assembly 0040-81275 / Vat
4,200.62  8d 20h
 
0710100 / Pcb Vme 101 / Vme
0710100 / Pcb Vme 101 / Vme
4,500.82  12d 18h
 
6426-xe52-1100 / Gate Valve / Vat
6426-xe52-1100 / Gate Valve / Vat
2,200.82  14d 15h
 
Mks 9620-1790 Rev.a Heater Jacket
Mks 9620-1790 Rev.a Heater Jacket
299.00  16d 16h
 
Integrated Flow Systems SR4-120-4MVMV-H7-35 Pressure Regulator, Inlet 45PSIG
Integrated Flow Systems SR4-120-4MVMV-H7-35 Pressure Regulator, Inlet 45PSIG
219.99  8d 8h
 
Data Logic S5-5-G8-02 Photoelectric Sensor, 452649
Data Logic S5-5-G8-02 Photoelectric Sensor, 452649
60.00  19d 15h
 
CKD USG3-X0693 Valve, 451676
CKD USG3-X0693 Valve, 451676
195.00  28d 11h
 
Nikon 4S015-002 Processor PCB Card NK852 NSR System  Working
Nikon 4S015-002 Processor PCB Card NK852 NSR System  Working
1,650.65  4d 17h
 
AJA Sputtering Evaporators Heater Wires Feedthrough DZ-6-003
AJA Sputtering Evaporators Heater Wires Feedthrough DZ-6-003
1,250.00  4d 16h
 
Applied Materials 0190-19897 Rev001 Flow Switch Manifold Mount,119292-1,Use^6322
Applied Materials 0190-19897 Rev001 Flow Switch Manifold Mount,119292-1,Use^6322
149.00  6d 23h
 
ASM 54-123388U17 Control PC CTC with NTP4 2ghz Refurbished
ASM 54-123388U17 Control PC CTC with NTP4 2ghz Refurbished
1,656.18  2d 19h
 
SMC NVV5J3-UIB 950063 NVV5J3-ULB 950061 Solenoid Manifold Ass'bly NVJ3233 NVJ114
SMC NVV5J3-UIB 950063 NVV5J3-ULB 950061 Solenoid Manifold Ass'bly NVJ3233 NVJ114
99.95  22d 18h
 
Applied Materials Swagelok SS-4BY-1C-6226, 3870-01738
Applied Materials Swagelok SS-4BY-1C-6226, 3870-01738
795.00  3d 5h
 
Amat 0200-03403 Ceramic Pumping Ring, 300mm ,
Amat 0200-03403 Ceramic Pumping Ring, 300mm ,
2,000.00  14d 1h
 
Amat 0242-76728 Kit, Handler Basic,
Amat 0242-76728 Kit, Handler Basic,
2,000.00  17d 0h
 
Feedthrrough, E17058160 Pfg(+)
Feedthrrough, E17058160 Pfg(+)
120.00  4d 1h
 
Macbeth Rd-517 Color Reflective Densitometer Sn 3931c
Macbeth Rd-517 Color Reflective Densitometer Sn 3931c
150.00  7d 13h
 
AMAT 0190-16633 2-Channel Magnet Driver Kollmorgen
AMAT 0190-16633 2-Channel Magnet Driver Kollmorgen
888.00  24d 8h
 
319-0103// Amat Applied 0190-00544 Applied Matrials Components
319-0103// Amat Applied 0190-00544 Applied Matrials Components
1,000.00  13d 0h
 
116-0204// Amat Applied 0200-35477 Cover 200mm Jmf Std Coverless,
116-0204// Amat Applied 0200-35477 Cover 200mm Jmf Std Coverless,
900.00  9d 21h
 
413-0401// Nsk Esa-1410af4-21.1 (unclean) Drvier [/fast]
413-0401// Nsk Esa-1410af4-21.1 (unclean) Drvier [/fast]
1,300.00  27d 21h
 
321-0303// Amat Applied 0190-76252 Cdn 294 To A.m. Spec. []
321-0303// Amat Applied 0190-76252 Cdn 294 To A.m. Spec. []
780.00  1d 0h
 
ASM 16-404844-01 Weldment Launder Low Volume Rev.A
ASM 16-404844-01 Weldment Launder Low Volume Rev.A
2,606.18  2d 18h
 
Hokuyo Automatic Pbu-03jn-bkt-a Sensor
Hokuyo Automatic Pbu-03jn-bkt-a Sensor
140.00  27d 23h
 
APS 2000 (Brooks/Irvine) 2 station lot sorter
APS 2000 (Brooks/Irvine) 2 station lot sorter
75,000.00  18d 17h
 
Asml 4022.437.0220, 4022.437.35421 Demodulator Board 4022.436.8098.6 Pcb Card
Asml 4022.437.0220, 4022.437.35421 Demodulator Board 4022.436.8098.6 Pcb Card
1,999.00  7d 10h
 
Applied Materials 0041-91579 Adapter 2, Turbo Line, Icp-prod Amat *un*
Applied Materials 0041-91579 Adapter 2, Turbo Line, Icp-prod Amat *un*
3,999.00  14d 9h
 
TEL 381-639052-4 M.A. Unit Connection Board C
TEL 381-639052-4 M.A. Unit Connection Board C
224.99  9d 12h
 
C & D Semiconductor 600379-01 PCB Board, REV A
C & D Semiconductor 600379-01 PCB Board, REV A
199.99  13d 12h
 
PCB, PWB, Fusion systems P/N 90571
PCB, PWB, Fusion systems P/N 90571
785.00  11d 0h
 
Brooks Automation RCB1586-SP171 Rev D Board
Brooks Automation RCB1586-SP171 Rev D Board
199.00  28d 11h
 
Lasertec C-100311D Processor PCB Card ChanelLink RCV C-100310D  Working
Lasertec C-100311D Processor PCB Card ChanelLink RCV C-100310D  Working
1,602.19  2d 10h
 
Pan Shield
Pan Shield
123.54 117.36  12d 20h
 
Hubbell Killark Hkb 0395 Hkb0395 Hkgl 0795 Hkgl0795  1/2" Npt Lpm-420xp
Hubbell Killark Hkb 0395 Hkb0395 Hkgl 0795 Hkgl0795 1/2" Npt Lpm-420xp
290.00  5d 8h
 
Now Technologies NPDO-31-1 Nowpack Level Monitor Control Box
Now Technologies NPDO-31-1 Nowpack Level Monitor Control Box
125.00  12d 9h
 
Gsi Cbeqc-ml3 229.070.00 Rev.c Layer8 Board
Gsi Cbeqc-ml3 229.070.00 Rev.c Layer8 Board
299.90  21d 1h
 
Brush Dsp Encoder Interface Ga 9469749 Pc9469750 Board Lef 36 97
Brush Dsp Encoder Interface Ga 9469749 Pc9469750 Board Lef 36 97
299.90  24d 1h
 
PLASMART RF FILTER RF Freq. 2.0MHz
PLASMART RF FILTER RF Freq. 2.0MHz
499.90  26d 23h
 
Loughborough Sound Images Pc/c32 Board
Loughborough Sound Images Pc/c32 Board
299.90  27d 2h
 
Applied Materials 0040-36290 Bellows, Lower Dcxz Amat *un, Sealed*
Applied Materials 0040-36290 Bellows, Lower Dcxz Amat *un, Sealed*
1,499.00  10d 8h
 
C&M Technology Temp-Master Model 007 Dual Setpoint Digital Failsafe TM
C&M Technology Temp-Master Model 007 Dual Setpoint Digital Failsafe TM
197.50  6d 10h
 
Cosmos Gas Detector PS-4DP for Gas HCI
Cosmos Gas Detector PS-4DP for Gas HCI
219.99  12d 17h
 
Faulhaber 4907 22/2K 54.6:1 056986 Mini Motor w/ US Digital E-2-100-079 Encoder
Faulhaber 4907 22/2K 54.6:1 056986 Mini Motor w/ US Digital E-2-100-079 Encoder
12.50  10d 11h
 
SMC CDQ2WA32-15-DA Cylinder Short Stroke Lot of 2
SMC CDQ2WA32-15-DA Cylinder Short Stroke Lot of 2
175.00  26d 17h
 
Applied Materials AMAT 0190-28703 Rev01 1192921,,USA^6757
Applied Materials AMAT 0190-28703 Rev01 1192921,,USA^6757
299.00  6d 22h
 
720-06090-000 / Wien Filter Control Chassis Wfcc / Kla Tencor
720-06090-000 / Wien Filter Control Chassis Wfcc / Kla Tencor
12,042.03  13d 13h
 
116-0103// Amat Applied 0200-36609 Isolator, Lid, Txz
116-0103// Amat Applied 0200-36609 Isolator, Lid, Txz
1,500.00  9d 20h
 
116-0201// AMAT APPLIED 0200-35579 INSERT,CHB TxZ
116-0201// AMAT APPLIED 0200-35579 INSERT,CHB TxZ
1,650.00  9d 21h
 
436-0501// Mitsubishi Mr-sdbu-1c Controller []
436-0501// Mitsubishi Mr-sdbu-1c Controller []
200.00  13d 0h
 
LOT OF 21  George Fischer 735 908 606 Reducer PVDF-HP FREE SHIPPING
LOT OF 21  George Fischer 735 908 606 Reducer PVDF-HP FREE SHIPPING
267.75  20d 19h
 
LOT OF 19  George Fischer 735 908 605 Reducer PVDF-HP FREE SHIPPING
LOT OF 19  George Fischer 735 908 605 Reducer PVDF-HP FREE SHIPPING
254.99  20d 19h
 
03-81830-00 / W Pcb, Prom Promless / Applied Materials Amat
03-81830-00 / W Pcb, Prom Promless / Applied Materials Amat
644.19  6d 16h
 
Bm70070 / Pri Pcb, Motor Driver Board / Pri
Bm70070 / Pri Pcb, Motor Driver Board / Pri
300.99  2d 14h
 
Pm041266 / Pcb Assy 0470.103.0 W Pm031.419-x 0603.063.2 Daughter Tcp / Pfeiffer
Pm041266 / Pcb Assy 0470.103.0 W Pm031.419-x 0603.063.2 Daughter Tcp / Pfeiffer
494.03  19d 13h
 
Amat Optical Lense 35410/294 Left
Amat Optical Lense 35410/294 Left
599.99  8d 4h
 
900308-001a / Maintenance Panel Assembly W/ Pcb 290028-200/290028-400 / Infineon
900308-001a / Maintenance Panel Assembly W/ Pcb 290028-200/290028-400 / Infineon
150.99  18d 17h
 
Lasertec C-100451A V Cell Shift PCB Card C-100450A  Working
Lasertec C-100451A V Cell Shift PCB Card C-100450A  Working
1,802.19  1d 15h
 
Silica With One Side SiC Coat , Susceptor Cover, Disk, For 6 Inch
Silica With One Side SiC Coat , Susceptor Cover, Disk, For 6 Inch
1,250.00  26d 20h
 
Hitachi 3-833717-01 Er Base Semiconductor
Hitachi 3-833717-01 Er Base Semiconductor
159.99  18d 12h
 
4543  Applied Materials 0010-00012 System Controller Power Supply
4543 Applied Materials 0010-00012 System Controller Power Supply
950.00  3d 17h
 
Devilbiss EPS-4301 Circuit Board - Reconditioned
Devilbiss EPS-4301 Circuit Board - Reconditioned
68.42  19d 13h
 
Ckd Board 0306-006-a  Isa
Ckd Board 0306-006-a Isa
249.90  15d 8h
 
KF40 x 6.1875"L Straight Nipple
KF40 x 6.1875"L Straight Nipple
12.00  27d 16h
 
026182 / Electrode Upper 100-25-50 Al / Lam Research Corporation
026182 / Electrode Upper 100-25-50 Al / Lam Research Corporation
912.36  5d 14h
 
0020-04689 / Blankoff,slit Valve Non-corrosion 300mm / Applied Materials Amat
0020-04689 / Blankoff,slit Valve Non-corrosion 300mm / Applied Materials Amat
318.01  22d 16h
 
BOC Edwards QDP A52856000 Series 2 Exhaust Pressure Module for N2,
BOC Edwards QDP A52856000 Series 2 Exhaust Pressure Module for N2,
175.00  12d 16h
 
Applied Materials 0200-02576, Lift Ring, Fixed Lift Pin 200mm Producer
Applied Materials 0200-02576, Lift Ring, Fixed Lift Pin 200mm Producer
200.00  20d 2h
 
Nikon 4S018-142 Backplane Interface Board PCB WL3MTR3 NSR-S202A System
Nikon 4S018-142 Backplane Interface Board PCB WL3MTR3 NSR-S202A System
992.30  15d 15h
 
Bay Pneumatic PCB Board w/ 8 Humphrey H030-4E1 Valves
Bay Pneumatic PCB Board w/ 8 Humphrey H030-4E1 Valves
199.99  24d 7h
 
Amat backplane 300mm linear platfrom Applied Materials 0100-02292 0110-02336
Amat backplane 300mm linear platfrom Applied Materials 0100-02292 0110-02336
400.00  4d 11h
 
527-34271-04 / Pcb Track Control Module / Excelteq Inc
527-34271-04 / Pcb Track Control Module / Excelteq Inc
545.62  16h 35m
 
E15000920 / Mcc Interface, 4 Chan. / Varian
E15000920 / Mcc Interface, 4 Chan. / Varian
280.00  12d 16h
 
0100-01950 / Arc Interrupt B Pcb 0020-53074 /  Amat
0100-01950 / Arc Interrupt B Pcb 0020-53074 / Amat
447.50  10d 12h
 
879-8076-002 C / Svgl Pcb Board / Perkin Elmer
879-8076-002 C / Svgl Pcb Board / Perkin Elmer
371.55  18d 17h
 
0100-00192 / Wsense Amp Board Assy / Applied Materials Amat
0100-00192 / Wsense Amp Board Assy / Applied Materials Amat
597.76  14d 12h
 
Nikon DD-T98030C Pre2 Detector Interface Board PCB TPC-ML NSR System
Nikon DD-T98030C Pre2 Detector Interface Board PCB TPC-ML NSR System
830.05  10d 15h
 
Aera FC-PA7810C-BA Mass Flow Controller, MFC, N2 10 SLM, AMAT 3030-16228, 451771
Aera FC-PA7810C-BA Mass Flow Controller, MFC, N2 10 SLM, AMAT 3030-16228, 451771
550.00  4d 16h
 
Aera FC-PA7800C-BA Mass Flow Controller MFC SiH4 500 SCCM AMAT 3030-16223 451784
Aera FC-PA7800C-BA Mass Flow Controller MFC SiH4 500 SCCM AMAT 3030-16223 451784
595.00  5d 13h
 
Unit UFC-1660 Mass Flow Controller, MFC, C12, 50 SCCM, 1660-104499, 420521
Unit UFC-1660 Mass Flow Controller, MFC, C12, 50 SCCM, 1660-104499, 420521
450.00  8d 13h
 
Eurotherm EFit By Schneider Electric PN 70727224 3700095947 EFIT/50A/240V
Eurotherm EFit By Schneider Electric PN 70727224 3700095947 EFIT/50A/240V
1,950.00  7d 20h
 
Amat 0020-10118,  Plate Perf 100mm Oxide
Amat 0020-10118, Plate Perf 100mm Oxide
687.00  28d 14h
 
KLA MCB PCB for KLA-6020 601-00317 710-570821-00
KLA MCB PCB for KLA-6020 601-00317 710-570821-00
340.00  1d 18h
 
ESI MMB-SMCB Backplane board P/N 63174 Rev. E -Free Shipment
ESI MMB-SMCB Backplane board P/N 63174 Rev. E -Free Shipment
129.00  25d 21h
 
Lam Research Serial I/O PCB, 392A-1-B569-186
Top-Rated Plus Seller Lam Research Serial I/O PCB, 392A-1-B569-186
349.00  7d 9h
 
Amat 0040-07256 Upper Platen ,
Amat 0040-07256 Upper Platen ,
2,900.00  28d 22h
 
Nikon 4S008-090 DC-DC Converter Board PCB LIUREG2 NSR System  Working
Nikon 4S008-090 DC-DC Converter Board PCB LIUREG2 NSR System  Working
825.65  16d 10h
 
LAM Research 715-031752-208 CAP LWR ELECT 8 IN * surplus, 90 day warranty*
LAM Research 715-031752-208 CAP LWR ELECT 8 IN * surplus, 90 day warranty*
850.00  26d 20h
 
HP Card Guide Black Plastic #349571-001
HP Card Guide Black Plastic #349571-001
29.99  29d 15h
 
4394  Motorola MVME 211 (P/N:W3295B01) VME Module
4394 Motorola MVME 211 (P/N:W3295B01) VME Module
600.00  6d 12h
 
332-0301// Amat Applied 0226-43544 Applied Matrials Components
332-0301// Amat Applied 0226-43544 Applied Matrials Components
100.00  12d 2h
 
Drytek / Lam Quad RF Dual Overcurrent Assy 2600452
Top-Rated Plus Seller Drytek / Lam Quad RF Dual Overcurrent Assy 2600452
315.00  1d 16h
 
MKS Valve With Flow Switch 796-003146-001
MKS Valve With Flow Switch 796-003146-001
175.89  11d 1h
 
Lam Research 03-00617-001 Replacement Part,
Lam Research 03-00617-001 Replacement Part,
300.00  22d 22h
 
Tokyo Electron Ct5010-406677-11 Block Ira Center,
Tokyo Electron Ct5010-406677-11 Block Ira Center,
300.00  9d 18h
 
OnTrak Systems 22-8875-003 COMM Board PCB,
OnTrak Systems 22-8875-003 COMM Board PCB,
250.00  8d 23h
 
Amat 0021-42305 Diaphragm Rolling Upper 8.00 Titan Head,
Amat 0021-42305 Diaphragm Rolling Upper 8.00 Titan Head,
300.00  15d 20h
 
Systems Chemistry 03-85017-00 Operator Control Panel Interconnect Pcb,
Systems Chemistry 03-85017-00 Operator Control Panel Interconnect Pcb,
300.00  4d 18h
 
AMAT 0040-23526 Slit Valve Door Plate,
AMAT 0040-23526 Slit Valve Door Plate,
500.00  26d 18h
 
Tokyo Electron 5041-000244-12 Cable Assy 1717319-3 (cphp Ext).
Tokyo Electron 5041-000244-12 Cable Assy 1717319-3 (cphp Ext).
300.00  14d 5h
 
TDK TAS-IN6 REV. 1.20 Circuit Board TDK TAS 300 WORKING
TDK TAS-IN6 REV. 1.20 Circuit Board TDK TAS 300 WORKING
100.00  12d 22h
 
Amat 0200-18362 Hdp Holder Pin,
Amat 0200-18362 Hdp Holder Pin,
50.00  22d 1h
 
Vat 02112-bi24-bjy1/0046 Gate Valve,
Vat 02112-bi24-bjy1/0046 Gate Valve,
850.00  2d 23h
 
347-0402// Amat Applied 0020-34131 Base,rf
347-0402// Amat Applied 0020-34131 Base,rf
200.00  22d 8h
 
99-196-002 P ATI-2 and 98-196-001 REV C Tegal PCB
99-196-002 P ATI-2 and 98-196-001 REV C Tegal PCB
499.00  20d 22h
 
CKD USG3-X0693 Valve, 451681
CKD USG3-X0693 Valve, 451681
195.00  28d 11h
 
Aera FC-PA7810C-BA Mass Flow Controller, MFC, Ar, 20 SLM, 451772
Aera FC-PA7810C-BA Mass Flow Controller, MFC, Ar, 20 SLM, 451772
550.00  4d 16h
 
Aera FC-PA7800C-BA Mass Flow Controller, MFC, Ar, 5 SLM, AMAT 3030-16225, 451790
Aera FC-PA7800C-BA Mass Flow Controller, MFC, Ar, 5 SLM, AMAT 3030-16225, 451790
625.00  5d 14h
 
Rite-track 99-71122-03 Track Interface Board
Rite-track 99-71122-03 Track Interface Board
639.00  2d 13h
 
HRL24 Laminator Rollers
HRL24 Laminator Rollers
100.00  2d 13h
 
160296-0001 Power Cable Assy, FCC to Robot, 451644
160296-0001 Power Cable Assy, FCC to Robot, 451644
550.00  26d 18h
 
Ckd Opp3-1g  Mn4s080-cl6-t6g1-6-3
Ckd Opp3-1g Mn4s080-cl6-t6g1-6-3
199.00  21d 16h
 
Mks 9399-1308 Rev. A Heater Jacket
Mks 9399-1308 Rev. A Heater Jacket
190.00  16d 16h
 
10086 Oriental Motor Vexta Driver Asd18a-kdn-b5
10086 Oriental Motor Vexta Driver Asd18a-kdn-b5
500.00  2d 22h
 
Tokyo Electron 2180-020402-11 Gas-hw-i/l-c 8sec Ttl-t0018 2181-020129-11 Board
Tokyo Electron 2180-020402-11 Gas-hw-i/l-c 8sec Ttl-t0018 2181-020129-11 Board
666.00  1d 5h
 
Tokyo Electron TEL TEB102-1 / Nass EC81-000017-11
Tokyo Electron TEL TEB102-1 / Nass EC81-000017-11
488.00  1d 7h
 
Applied Materials AMAT 0100-00901 Chamber Facility InterfaceProducer SE ,PCB
Applied Materials AMAT 0100-00901 Chamber Facility InterfaceProducer SE ,PCB
688.00  1d 2h
 
Tokyo Electron TEL 3281-000148-12 PCB LST-2 , 3208-000148-11
Tokyo Electron TEL 3281-000148-12 PCB LST-2 , 3208-000148-11
666.00  1d 5h
 
Tokyo Electron TEL FPDIF MAIN board with FPDIF SUB board  TVB0009-1 FPD2
Tokyo Electron TEL FPDIF MAIN board with FPDIF SUB board TVB0009-1 FPD2
555.00  1d 7h
 
 Cos Resistivity Meter Gc-96r Dc24v
 Cos Resistivity Meter Gc-96r Dc24v
399.00  19d 20h
 
4399  Applied Materials P/N: 0100-11002 Rev. B Digital I/O Card
4399 Applied Materials P/N: 0100-11002 Rev. B Digital I/O Card
400.00  6d 12h
 
Lot of 36  7411-PC 8433 Semiconductor Circuits
Top-Rated Plus Seller Lot of 36  7411-PC 8433 Semiconductor Circuits
35.99  9d 18h
 
Pc61910p07485 / Power  Module, Toshiba Gci6720g050b /  Toshiba
Pc61910p07485 / Power Module, Toshiba Gci6720g050b / Toshiba
5,500.00  22d 18h
 
Quartz Plate 06-2822 ID-AWS-003
Quartz Plate 06-2822 ID-AWS-003
750.00  12d 18h
 
A&N Cooperation 4-way Flange SST  AWM-F-2-5
A&N Cooperation 4-way Flange SST  AWM-F-2-5
1,150.00  19d 15h
 
Huntington Flange AWM-C-4-7-003
Huntington Flange AWM-C-4-7-003
795.00  21d 14h
 
Novellus Systems Sense Board Assy P/N 02-00315-xx AWM-B-4-5-013
Novellus Systems Sense Board Assy P/N 02-00315-xx AWM-B-4-5-013
799.00  22d 19h
 
SMC MKB16-20LN-F9N Pneumatic Rotary Clamp Cylinder
SMC MKB16-20LN-F9N Pneumatic Rotary Clamp Cylinder
9.99  23d 12h
 
NOS MDC Hose 441105-2000 .75" X .008" X 25" QF16/VCO
NOS MDC Hose 441105-2000 .75" X .008" X 25" QF16/VCO
145.00  7d 10h
 
APPLIED MATERIALS AMAT 0050-95111
APPLIED MATERIALS AMAT 0050-95111
488.00  10d 7h
 
APPLIED MATERIALS AMAT 0050-95112
APPLIED MATERIALS AMAT 0050-95112
488.00  10d 7h
 
Applied Materials AMAT NW 40 Adaptor, 0040-90187
Applied Materials AMAT NW 40 Adaptor, 0040-90187
145.00  25d 5h
 
Fei Grid Fps Grid-1 18098 Rev.a
Fei Grid Fps Grid-1 18098 Rev.a
1,199.99  20d 21h
 
Donaldson LITHOGUARD particulate chemical filtration P 198475
Donaldson LITHOGUARD particulate chemical filtration P 198475
500.00  4d 15h
 
Q803-324-b / Block Valve / Compact
Q803-324-b / Block Valve / Compact
450.68  2d 16h
 
2s013-068- / Pcb 2s700-553- For Optistation 3 / Nikon
2s013-068- / Pcb 2s700-553- For Optistation 3 / Nikon
450.03  20d 17h
 
Ev0500-0m5-c11 / Regulator Ckd / Ckd Corporation
Ev0500-0m5-c11 / Regulator Ckd / Ckd Corporation
311.13  23d 10h
 
Amat Applied Materials Vacuum Fitting 0050-37272
Amat Applied Materials Vacuum Fitting 0050-37272
215.99  19d 13h
 
Varian Vwr Agilent 03-931310-01 Pwa Ion Amplifier Factory Sealed
Varian Vwr Agilent 03-931310-01 Pwa Ion Amplifier Factory Sealed
175.99  5d 11h
 
Assembleon Pa 2859/70 9466 028 59701 4022 591 0699 Calibration Tool Kit
Assembleon Pa 2859/70 9466 028 59701 4022 591 0699 Calibration Tool Kit
175.99  12d 11h
 
Thermco Process Controller Tmx9000 117751-021
Thermco Process Controller Tmx9000 117751-021
3,499.99  8d 10h
 
2528 PlasmaQuest MC-200 Load-Arm & Clamp Motor Control
2528 PlasmaQuest MC-200 Load-Arm & Clamp Motor Control
450.00  16d 11h
 
Omega Thermoelectric Stage Controller 020605 As-is
Omega Thermoelectric Stage Controller 020605 As-is
50.00  15d 0h
 
STI TL4024 Safety Switch, TL4024-31102, 24V, NO ACT, Omron, 452632
STI TL4024 Safety Switch, TL4024-31102, 24V, NO ACT, Omron, 452632
500.00  17d 18h
 
Mks 4620-1006 Htr2.0, Str6.0, 1bp3
Mks 4620-1006 Htr2.0, Str6.0, 1bp3
250.00  24d 15h
 
Stainless Steel Spindle
Stainless Steel Spindle
250.00  28d 17h
 
Stainless Steel Spindle
Stainless Steel Spindle
250.00  29d 13h
 
TLC TC2350 Power Condition Input 208 Output 240/120 * working
TLC TC2350 Power Condition Input 208 Output 240/120 * working
500.00  7d 0h
 
TEL Tokyo Electron 3D81-000040-V2 PCB 3D81-000041-V2 3D81-000020-V3
TEL Tokyo Electron 3D81-000040-V2 PCB 3D81-000041-V2 3D81-000020-V3
1,205.15  28d 14h
 
124-0504// Amat Applied 0040-23484 Applied Matrials Components
124-0504// Amat Applied 0040-23484 Applied Matrials Components
1,500.00  19d 1h
 
Patlite MES-A & MES-02A Signal Towers 5.5” Lot of 2
Patlite MES-A & MES-02A Signal Towers 5.5” Lot of 2
75.00  26d 13h
 
Mykrolis QCVVATMTS QuickChange ATM Chemlock .01µm Prewet Filter
Mykrolis QCVVATMTS QuickChange ATM Chemlock .01µm Prewet Filter
888.00  27d 4h
 
TEL Tokyo Electron 3D81-000044-V3 PCB 3D81-000045-V2 3D81-000020-V3
TEL Tokyo Electron 3D81-000044-V3 PCB 3D81-000045-V2 3D81-000020-V3
1,205.15  28d 14h
 
Applied Materials Veriflo Regulator 45300792 3800-00037
Applied Materials Veriflo Regulator 45300792 3800-00037
450.00  27d 5h
 
Nikon 4S018-163 SHRINC-CTRL Control Card PCB NSR-S202A System  Working
Nikon 4S018-163 SHRINC-CTRL Control Card PCB NSR-S202A System  Working
1,652.30  15d 15h
 
Daifuku LNK-3585A Processor Board PCB Card BX8461AW Working Surplus
Daifuku LNK-3585A Processor Board PCB Card BX8461AW Working Surplus
902.19  26d 12h
 
Amat 0140-78218 Harness, Digital Isrm Intlk, Polisher Bk,
Amat 0140-78218 Harness, Digital Isrm Intlk, Polisher Bk,
250.00  18d 1h
 
Amat 0021-78292 Cover, Duct Opening, Lower Exhaust,
Amat 0021-78292 Cover, Duct Opening, Lower Exhaust,
250.00  23d 5h
 
4477  Lot 0f 4 Matheson FF4374B Full Turn Diaphragm Valves
4477 Lot 0f 4 Matheson FF4374B Full Turn Diaphragm Valves
320.00  26d 12h
 
ADL GmbH Power Supply GG 03
ADL GmbH Power Supply GG 03
888.00  15d 4h
 
Esi -240822 Ca, 12m Flt Gntry Umblca(a)lf
Esi -240822 Ca, 12m Flt Gntry Umblca(a)lf
999.00  13d 9h
 
Amat 0190-77284 Nova Control Unit 210-48100-01 ,
Amat 0190-77284 Nova Control Unit 210-48100-01 ,
4,100.00  9d 0h
 
Amat Applied Materials 0040-5358 Vacuum Fitting
Amat Applied Materials 0040-5358 Vacuum Fitting
419.99  21d 15h
 
4384  Applied Materials 0100-00022 Rev. H W Interconnect PWB
4384 Applied Materials 0100-00022 Rev. H W Interconnect PWB
950.00  29d 16h
 
Nikon 4S013-382 Backplane Board PCB STGPWTX4A with 4S005-346-1 NSR System
Nikon 4S013-382 Backplane Board PCB STGPWTX4A with 4S005-346-1 NSR System
1,207.18  15d 12h
 
77-604-0100120-03 /  Fdwgu-d Fast Deflection Waverform Generator  / Hmi
77-604-0100120-03 / Fdwgu-d Fast Deflection Waverform Generator / Hmi
1,068.68  25d 20h
 
AMAT 0020-21465 Shield, AL, 5" 424200
AMAT 0020-21465 Shield, AL, 5" 424200
250.00  12d 19h
 
112-088 / Motor-indexer Drive / Svg
112-088 / Motor-indexer Drive / Svg
950.82  25d 11h
 
Nikon 4S013-847-1 Interface Board PCB AVRX8-I/F NSR-S620D ArF Immersion
Nikon 4S013-847-1 Interface Board PCB AVRX8-I/F NSR-S620D ArF Immersion
826.20  8d 18h
 
Tylan MFC FC-2950, HCL 500Sccm, Refurbished with Certifications
Tylan MFC FC-2950, HCL 500Sccm, Refurbished with Certifications
300.00  1d 9h
 
MKS Sensor Integration Platform AS00213-01 100-240VAC 0.5Amax 50-60Hz
MKS Sensor Integration Platform AS00213-01 100-240VAC 0.5Amax 50-60Hz
599.00  1d 2h
 
Nikon 4S008-117-1 Sensor Interface Board PCB ALGAF-S/A-X4+ NSR System
Nikon 4S008-117-1 Sensor Interface Board PCB ALGAF-S/A-X4+ NSR System
1,101.75  7d 11h
 
Acromag AVME9675-2 Circuit Board PCB ASML  Working
Acromag AVME9675-2 Circuit Board PCB ASML  Working
805.15  1d 9h
 
Cyberoptics EX-43QP Laser Wafer Mapping Sensor
Cyberoptics EX-43QP Laser Wafer Mapping Sensor
229.99  29d 13h
 
03-83601-00 / W Pcb, Mother / Applied Materials Amat
03-83601-00 / W Pcb, Mother / Applied Materials Amat
12,000.99  17d 9h
 
600366 / Automatic Temperature Control / Applied Materials Amat
600366 / Automatic Temperature Control / Applied Materials Amat
8,900.99  6d 12h
 
E11087930 / Common Io Assembly / Varian
E11087930 / Common Io Assembly / Varian
12,503.66  6d 12h
 
1035588001 /    Dose Processor Assy / Varian
1035588001 / Dose Processor Assy / Varian
7,500.82  29d 17h
 
0200-39132 / Cover 8", Heaterdxz, Patterned / Applied Materials Amat
0200-39132 / Cover 8", Heaterdxz, Patterned / Applied Materials Amat
6,750.82  12d 12h
 
42486-00    / Injector Vacuum Controller /  Genus
42486-00 / Injector Vacuum Controller / Genus
5,500.00  1d 12h
 
42570-00 /  Electrode Manipulator  /  Genus
42570-00 / Electrode Manipulator / Genus
7,500.82  1d 13h
 
02-377211-00  / Srd Four Stage Rf Assy With Bnc / Novellus 200159110 200182041
02-377211-00 / Srd Four Stage Rf Assy With Bnc / Novellus 200159110 200182041
5,207.88  1d 21h
 
600369 / W Automatic Temp Contro / Applied Materials Amat
600369 / W Automatic Temp Contro / Applied Materials Amat
5,500.82  8d 12h
 
030-001059 / Car, Inner Depo Shield Recoat / Nxedge
030-001059 / Car, Inner Depo Shield Recoat / Nxedge
5,500.82  21d 14h
 
715-001841-001 / Gap Adjust Housing / Lam Research Corporation
715-001841-001 / Gap Adjust Housing / Lam Research Corporation
5,526.50  11d 10h
 
0200-18062 / Dome 14 Id Hdpcvd / Applied Material Amat
0200-18062 / Dome 14 Id Hdpcvd / Applied Material Amat
5,689.80  1d 13h
 
0040-39728 / Assy,arm,right R.m.,200/300 Producer Rob / Applied Materials Amat
0040-39728 / Assy,arm,right R.m.,200/300 Producer Rob / Applied Materials Amat
7,140.06  29d 11h
 
0010-05669 / Roller Assembly 1, Meg Hvm 300mm / Applied Materials Amat
0010-05669 / Roller Assembly 1, Meg Hvm 300mm / Applied Materials Amat
7,048.04  11h 18m
 
0508913, 133273700,capacitor-2 Microfarrd, AWM-F-5-3-006
0508913, 133273700,capacitor-2 Microfarrd, AWM-F-5-3-006
125.00  19d 17h
 
ESI GIO2 ESMB-000-0003-02 P/N 151142 Rev D Circuit Board -Free Shipment-
ESI GIO2 ESMB-000-0003-02 P/N 151142 Rev D Circuit Board -Free Shipment-
190.00  21d 3h
 
5217 Applied Materials Pcb, Digital I/o Card 0100-11002
5217 Applied Materials Pcb, Digital I/o Card 0100-11002
334.00  17d 4h
 
KLA-Tencor PFE, SFS 7, ASSEMBLY 175226 Rev. B
KLA-Tencor PFE, SFS 7, ASSEMBLY 175226 Rev. B
402.50  29d 14h
 
Nikon NK2551 Optical Sensor Board PCB Assembly NSR-S205C BMU Unit  Working
Nikon NK2551 Optical Sensor Board PCB Assembly NSR-S205C BMU Unit  Working
831.15  20d 18h
 
Amat 0020-34112 Plate Reference Indicator, Refurbished
Amat 0020-34112 Plate Reference Indicator, Refurbished
1,000.00  27d 22h
 
Novellus 15-167054-01 Showerhead, Refurbished
Novellus 15-167054-01 Showerhead, Refurbished
1,000.00  26d 21h
 
Alcatel 27778 Control and Sensor Assembly  Working
Alcatel 27778 Control and Sensor Assembly  Working
1,000.00  2d 16h
 
Veriflo 3-Way Diaphragm Valve, 452679
Veriflo 3-Way Diaphragm Valve, 452679
150.00  23d 17h
 
AMAT 0020-22170 SST Cover Shield, 416532
AMAT 0020-22170 SST Cover Shield, 416532
125.00  25d 14h
 
Ham-let HMB1-4VKLC-GM4GF4GF4-2.35 Diaphragm Valve, N/C, 60-90 PSI, 452459
Ham-let HMB1-4VKLC-GM4GF4GF4-2.35 Diaphragm Valve, N/C, 60-90 PSI, 452459
150.00  1d 15h
 
Amat 0020-26967 Gas Trench Cover 200mm, Pc-iie, Refurbished
Amat 0020-26967 Gas Trench Cover 200mm, Pc-iie, Refurbished
800.00  10d 1h
 
Amat 0240-20229 Basic Wall Clamp Kit ,
Amat 0240-20229 Basic Wall Clamp Kit ,
700.00  9d 21h
 
Teravicta RT_CSP12X8-01 Rev C
Teravicta RT_CSP12X8-01 Rev C
499.00  23d 16h
 
S-aj-80339 / S-aj-80339, Indexer Arm, Lg Type / Semes America Inc
S-aj-80339 / S-aj-80339, Indexer Arm, Lg Type / Semes America Inc
1,190.00  12h 18m
 
Novellus 81-054172-00 Sensor, Assy Load,
Novellus 81-054172-00 Sensor, Assy Load,
300.00  27d 17h
 
SCI Solid Controls 428-4001 Firing Controller PCB Card 428-399 8024-0137
SCI Solid Controls 428-4001 Firing Controller PCB Card 428-399 8024-0137
806.18  10d 15h
 
Aera FC-PA7810C-BA Mass Flow Controller, MFC, Ar, 20 SLM, 451773
Aera FC-PA7810C-BA Mass Flow Controller, MFC, Ar, 20 SLM, 451773
550.00  4d 16h
 
AMAT 0020-22170 SST Cover Shield w/ Spacer, 416594
AMAT 0020-22170 SST Cover Shield w/ Spacer, 416594
150.00  25d 14h
 
Kla 710-806061-01
Kla 710-806061-01
1,750.00  18d 16h
 
Parker 650 Series 650G-211400-001P00-B2 Drive * working
Parker 650 Series 650G-211400-001P00-B2 Drive * working
500.00  18d 0h
 
Tornik 002-6332-25 A Robot Cable * surplus
Tornik 002-6332-25 A Robot Cable * surplus
500.00  1d 16h
 
Smc Mxs12-20b Cylinder Air Slide Table
Smc Mxs12-20b Cylinder Air Slide Table
79.99  16d 10h
 
AMAT Applied Materials 300mm Ventura 4N Cu Target
AMAT Applied Materials 300mm Ventura 4N Cu Target
3,888.00  6d 3h
 
Tenta 87097
Tenta 87097
140.00  25d 0h
 
ESI Y Motor Intertie P/N 72816 Rev C. -Free Shipment
ESI Y Motor Intertie P/N 72816 Rev C. -Free Shipment
79.00  23d 2h
 
438-0302// Oriental Motor 5843-mdrl (unclean) Motor []
438-0302// Oriental Motor 5843-mdrl (unclean) Motor []
150.00  14d 0h
 
Amat 0242-31418 Kit, Small Inserts Swll A/b ,
Amat 0242-31418 Kit, Small Inserts Swll A/b ,
8,500.00  4d 1h
 
VAT VACUUM GATE VALVE  Tag #74
VAT VACUUM GATE VALVE Tag #74
950.00  22d 9h
 
PME 68-41 25844-100 Controller board with 14 day warranty
PME 68-41 25844-100 Controller board with 14 day warranty
1,900.00  7d 15h
 
TDK TAS-IN14 REV. 1.10 Circuit Board TDK TAS 300 WORKING
TDK TAS-IN14 REV. 1.10 Circuit Board TDK TAS 300 WORKING
100.00  12d 22h
 
143-0501// Amat Applied 0140-09082 Harness Assy Mag Power
143-0501// Amat Applied 0140-09082 Harness Assy Mag Power
430.00  6d 3h
 
125-0403// Amat Applied 0020-30427 Ring, Clamp, 8, Ext Cath, Dc, Asis
125-0403// Amat Applied 0020-30427 Ring, Clamp, 8, Ext Cath, Dc, Asis
500.00  13d 20h
 
418-0401// Yaskawa Sgdm-a3bday278 Servopack [/fast]
418-0401// Yaskawa Sgdm-a3bday278 Servopack [/fast]
500.00  29d 23h
 
Teravicta R-CSP8-01 PCB
Teravicta R-CSP8-01 PCB
499.00  23d 16h
 
Applied Materials 0150-22509  Cable
Applied Materials 0150-22509 Cable
250.00  19d 13h
 
Applied Materials AMAT Purge Tree Assembly, 0010-04669
Applied Materials AMAT Purge Tree Assembly, 0010-04669
975.00  7d 5h
 
AUGUST TECHNOLOGY CORP P/N 706765 Board
AUGUST TECHNOLOGY CORP P/N 706765 Board
199.90  6d 2h
 
Amat 0200-03407 C-channel Side2,
Amat 0200-03407 C-channel Side2,
1,500.00  11d 20h
 
Lam Research 713-007425-001 Blk Off, Chmbr, 2300 Strp,
Lam Research 713-007425-001 Blk Off, Chmbr, 2300 Strp,
2,000.00  24d 20h
 
Parker    Dc4-10456 Dc4 Power Supply,
Parker Dc4-10456 Dc4 Power Supply,
1,500.00  2d 19h
 
Novellus 15-105508-00 RING,EXCL,2.25 O/H,300MM,
Novellus 15-105508-00 RING,EXCL,2.25 O/H,300MM,
1,500.00  24d 23h
 
Amat 0200-03397 Pumping Ring Side 2,
Amat 0200-03397 Pumping Ring Side 2,
1,500.00  11d 20h
 
Amat 0195-06765 Hvpe Upper Dome Temperature Controller,
Amat 0195-06765 Hvpe Upper Dome Temperature Controller,
4,000.00  9d 19h
 
Calcine Ceramic Liners Furnished
Calcine Ceramic Liners Furnished
950.00  10d 19h
 
114-0501// Amat Applied 0020-22578 Applied Matrials Components []
114-0501// Amat Applied 0020-22578 Applied Matrials Components []
120.00  20d 3h
 
Oriental Motor Pmm33a Mg20 0.35a Stepping Motor
Oriental Motor Pmm33a Mg20 0.35a Stepping Motor
80.07  10d 10h
 
Eaton Cutler Hammer 7asht-401 Ratio 4005acurrent Transformer Lot 3
Eaton Cutler Hammer 7asht-401 Ratio 4005acurrent Transformer Lot 3
30.07  14d 14h
 
Riken Keiki Rkp-62069 Gas Monitor Lot Of 2
Riken Keiki Rkp-62069 Gas Monitor Lot Of 2
100.07  28d 14h
 
342-0303// Amat Applied 0020-24349 Brkt Clamp Coh Flt-trgt Ch 1 & []
342-0303// Amat Applied 0020-24349 Brkt Clamp Coh Flt-trgt Ch 1 & []
310.00  6d 3h
 
TEL Tokyo Electron D3.0 P35.6 W-LB N Shield Depo Some Wear Cleaned
TEL Tokyo Electron D3.0 P35.6 W-LB N Shield Depo Some Wear Cleaned
2,804.15  2d 16h
 
Nikon 4S018-539 Processor Card PCB 4S018-591 Nikon NSR Working Spare
Nikon 4S018-539 Processor Card PCB 4S018-591 Nikon NSR Working Spare
1,544.50  28d 17h
 
Applied Materials FAB. 0110-09244 Rev. 1
Applied Materials FAB. 0110-09244 Rev. 1
99.99  16d 10h
 
0190-33634 / Toyota T600 Dry Pump / Applied Materials Amat
0190-33634 / Toyota T600 Dry Pump / Applied Materials Amat
3,950.82  10d 18h
 
Applied Materials AMAT Pressure Transducer, 0090-03273
Applied Materials AMAT Pressure Transducer, 0090-03273
850.00  7d 5h
 
Nikon 4S008-060 Relay Board PCB ALGAF-PROCESS-D Nikon NSR System  Working
Nikon 4S008-060 Relay Board PCB ALGAF-PROCESS-D Nikon NSR System  Working
912.17  18d 11h
 
Teknologue PU4560A LED TESTER Module
Teknologue PU4560A LED TESTER Module
999.90  1d 8h
 
2423  Lot of 6 MEC Tech MEC81105-202A Overlay Lowers
2423 Lot of 6 MEC Tech MEC81105-202A Overlay Lowers
350.00  18d 12h
 
0020-15785 / Bracket, Bpsg Assembly, Ch B, Radi / Amat
0020-15785 / Bracket, Bpsg Assembly, Ch B, Radi / Amat
1,097.18  16d 11h
 
110-0602// Amat Applied 0040-75378 Brkt, Ldr Interconnect Pcb P50
110-0602// Amat Applied 0040-75378 Brkt, Ldr Interconnect Pcb P50
1,020.00  6d 23h
 
Yokogawa Controller? Meter ?Gauge ?Printer ?ID-AWS-K-1-006
Yokogawa Controller? Meter ?Gauge ?Printer ?ID-AWS-K-1-006
450.00  12d 13h
 
115-0301// Amat Applied 0240-30883 Applied Matrials 0190-12490 Components
115-0301// Amat Applied 0240-30883 Applied Matrials 0190-12490 Components
5,000.00  3d 3h
 
435-0303// Panasonic Msma082t1f2 Ac Servo Motor [/fast]
435-0303// Panasonic Msma082t1f2 Ac Servo Motor [/fast]
700.00  5d 1h
 
Nikon 2S700-582-1 TCCNT Board PCB Card 2S014-035-5 v2.31 OPTISTATION 3 Damaged
Nikon 2S700-582-1 TCCNT Board PCB Card 2S014-035-5 v2.31 OPTISTATION 3 Damaged
1,105.17  17d 13h
 
Applied Fusion Inc 0140-76050 Amat Harness Assy Transfer Chamber
Applied Fusion Inc 0140-76050 Amat Harness Assy Transfer Chamber
1,000.00 522.50  22d 17h
 
Benkan Scv Large 2-way Vacuum Valve Type Nc,
Benkan Scv Large 2-way Vacuum Valve Type Nc,
3,000.00  26d 2h
 
TEL 381-639449-4 I/F Pin B Connection Board, MDK-794V-0
TEL 381-639449-4 I/F Pin B Connection Board, MDK-794V-0
169.99  10d 9h
 
TEL 381-639413-2 I/F Sensor Board
TEL 381-639413-2 I/F Sensor Board
129.99  10d 9h
 
Watlow Gordon 668116 Sensors,
Watlow Gordon 668116 Sensors,
100.00  12d 21h
 
Lam 713-198862-001 Suppler ID:1030702
Lam 713-198862-001 Suppler ID:1030702
495.00  14d 8h
 
MillBrook CC070112 ISSUE 1
MillBrook CC070112 ISSUE 1
449.00  13d 2h
 
Tel Hdd Unit P/n 3m87-023251-15
Tel Hdd Unit P/n 3m87-023251-15
799.00  6d 5h
 
Applied Materials 0100-14001 Power Interface PCB 0130-14001, REV A
Applied Materials 0100-14001 Power Interface PCB 0130-14001, REV A
99.99  17d 9h
 
Fujikin 091404 Type NC Diaphragm Valve 0.39~0.59MPa 3 Port C-Seal Lot of 11
Fujikin 091404 Type NC Diaphragm Valve 0.39~0.59MPa 3 Port C-Seal Lot of 11
424.16  3d 13h
 
Applied Materials AMAT Accusync, 0246-00040
Applied Materials AMAT Accusync, 0246-00040
145.00  7d 5h
 
Polisher Ceramic Chuck
Polisher Ceramic Chuck
180.00  17d 14h
 
Polisher Ceramic Chuck
Polisher Ceramic Chuck
199.00  17d 16h
 
Polisher  Chuck
Polisher Chuck
199.00  17d 17h
 
Window;2l10-350757-11,window(y1-e),shiel
Window;2l10-350757-11,window(y1-e),shiel
2,160.00  13d 3h
 
Applied Materials AMAT Mirra Power Cable, 0140-04831
Applied Materials AMAT Mirra Power Cable, 0140-04831
345.00  25d 7h
 
Watlow RF1037601 Z560302
Watlow RF1037601 Z560302
9.90  29d 19h
 
0010-23612 /cover Clear W/label / Applied Materials
0010-23612 /cover Clear W/label / Applied Materials
2,000.00  19d 17h
 
STS Mutiplex ICP black Acetal plastic coupling replacement,OD 1 inch / 1" 25.4mm
STS Mutiplex ICP black Acetal plastic coupling replacement,OD 1 inch / 1" 25.4mm
275.00  13d 16h
 
566-5524 / Pcb, Scnal, 545-5548 / Hitachi
566-5524 / Pcb, Scnal, 545-5548 / Hitachi
750.68  29d 19h
 
XTRAK 220v STANDARD 2FC-NW-25-3
XTRAK 220v STANDARD 2FC-NW-25-3
80.00  17d 13h
 
LSA Cleanpart 16-140875-00 Wafer Shield
LSA Cleanpart 16-140875-00 Wafer Shield
160.08  2d 15h
 
PN 7100-5117 AG Associates Heatpulse PCB 7100-5117-01?
PN 7100-5117 AG Associates Heatpulse PCB 7100-5117-01?
2,200.00  29d 11h
 
4253621 / Wika 111.16 1.5" 200kpa 1/8" Npt Cbm Uc E33000191 / Wika
4253621 / Wika 111.16 1.5" 200kpa 1/8" Npt Cbm Uc E33000191 / Wika
400.00  21d 13h
 
Ad2016avim-1 / Video Input Module, 16 Bnc 0305-8670-01 / Ameri. Scientific Prod
Ad2016avim-1 / Video Input Module, 16 Bnc 0305-8670-01 / Ameri. Scientific Prod
389.55  26d 14h
 
System-f 3041 / Pcb / Zmisc
System-f 3041 / Pcb / Zmisc
575.62  5d 18h
 
58900145r / Quartz Hoop Repaired / Rutherford Quartz
58900145r / Quartz Hoop Repaired / Rutherford Quartz
615.00  21d 11h
 
1100047 Rev A / Preregulator / Eaton
1100047 Rev A / Preregulator / Eaton
650.82  12d 18h
 
15-00554-31 / Shim 11-03 / Novellus
15-00554-31 / Shim 11-03 / Novellus
618.49  13d 18h
 
252c-1-vp0 / (r) Apc Controller Ed / Mks
252c-1-vp0 / (r) Apc Controller Ed / Mks
453.00  20d 16h
 
1826620 / Fixture-support / Eaton
1826620 / Fixture-support / Eaton
339.15  28d 12h
 
01-3943 / Mount Flansh, Flapper Valve - Gasonic / Novellus
01-3943 / Mount Flansh, Flapper Valve - Gasonic / Novellus
302.44  3d 11h
 
Y5304803 / Spare Io Input Output, Rats Interface / Biorad Micromeasurements
Y5304803 / Spare Io Input Output, Rats Interface / Biorad Micromeasurements
600.99  18d 18h
 
105-22003-000 / Xdcr,press,3/4,nt,0-100,4-20ma / Celerity
105-22003-000 / Xdcr,press,3/4,nt,0-100,4-20ma / Celerity
700.58  20d 11h
 
15-045078-00 / Jig,wfr Algn 150mm W/flat / Novellus
15-045078-00 / Jig,wfr Algn 150mm W/flat / Novellus
390.77  21d 17h
 
44742-01 / Gas Module Interface Pcb / Genus
44742-01 / Gas Module Interface Pcb / Genus
690.00  21d 15h
 
42359-01 / Bulkhead Manifold Ftc #1 / Svg
42359-01 / Bulkhead Manifold Ftc #1 / Svg
419.40  12d 12h
 
42359-02 / Bulkhead Manifold Ftc #2 / Svg
42359-02 / Bulkhead Manifold Ftc #2 / Svg
419.40  12d 12h
 
884-13-000 / Pcb, Water Interlock / Mrc
884-13-000 / Pcb, Water Interlock / Mrc
479.86  17d 14h
 
3270061c / Scp Mcs A/d Module / Scp
3270061c / Scp Mcs A/d Module / Scp
337.99  17h 22m
 
632630270.00 / Sensor Board, Fuer:x Phi Und X-chse / Asm America Inc
632630270.00 / Sensor Board, Fuer:x Phi Und X-chse / Asm America Inc
500.99  19d 10h
 
630030240.00 / 230030240.11, Pcb / Asm America Inc
630030240.00 / 230030240.11, Pcb / Asm America Inc
350.99  26d 17h
 
631230220.00 / 231230220.11, Analog Input Converter / Asm America Inc
631230220.00 / 231230220.11, Analog Input Converter / Asm America Inc
575.99  2d 14h
 
Ph268l-21-c6 / Stepping Motor / Vexta
Ph268l-21-c6 / Stepping Motor / Vexta
481.95  19d 18h
 
01-4942 / Ring Base Center, 15-293882-00 / Novellus
01-4942 / Ring Base Center, 15-293882-00 / Novellus
296.99  3d 18h
 
Cphx-drive / Servo Drive, Compumotor / Parker
Cphx-drive / Servo Drive, Compumotor / Parker
472.03  6d 11h
 
Pk245-01a / Stepping Motor / Vexta
Pk245-01a / Stepping Motor / Vexta
296.99  19h 37m
 
Nit202-t002 / Regulator, Electro Pneumatic / Smc
Nit202-t002 / Regulator, Electro Pneumatic / Smc
490.99  2d 13h
 
F32100336 / Solenoid Bank (12 Valves) / Smc
F32100336 / Solenoid Bank (12 Valves) / Smc
607.62  16d 15h
 
0020-24914 / Cover, 8" Ring / Applied Materials
0020-24914 / Cover, 8" Ring / Applied Materials
499.03  22d 16h
 
Robot Effector Robot ARM AWR-BB-3-001
Robot Effector Robot ARM AWR-BB-3-001
950.00  28d 19h
 
Applied Materials 678610 DO PC Board, SCHEM # 7801-D-1010, REV A, ASSY: 678611
Applied Materials 678610 DO PC Board, SCHEM # 7801-D-1010, REV A, ASSY: 678611
89.99  20d 12h
 
320-0403// Amat Applied 0190-34521 Applied Matrials Components []
320-0403// Amat Applied 0190-34521 Applied Matrials Components []
660.00  1d 0h
 
Phillips 47163636 Circuit Board PCB 2071650059 TBP  working
Phillips 47163636 Circuit Board PCB 2071650059 TBP  working
806.12  2d 16h
 
Pre-Tech Finesonic Generator model PT-150MV       NICE!!    Warranty!!
Top-Rated Plus Seller Pre-Tech Finesonic Generator model PT-150MV NICE!! Warranty!!
250.00  2d 11h
 
Applied Materials 678613 DI Board Source, VersaController, 7801-D-1014 REV E
Applied Materials 678613 DI Board Source, VersaController, 7801-D-1014 REV E
89.99  19d 9h
 
GasTech 24-0274 Rev.G HCL Detector Module,
GasTech 24-0274 Rev.G HCL Detector Module,
200.00  1d 23h
 
Fisher scientific High Capacity Two Bed 09-051-220p 131-16-4973q 4841r
Fisher scientific High Capacity Two Bed 09-051-220p 131-16-4973q 4841r
145.00  14d 12h
 
Phillips 471 45632 Circuit Board PCB 2069020064  Working
Phillips 471 45632 Circuit Board PCB 2069020064  Working
806.12  2d 16h
 
130-0501// Brooks 002-3053-01 I/o Distribution Hub []
130-0501// Brooks 002-3053-01 I/o Distribution Hub []
1,000.00  22d 23h
 
EG & G TC5 Power Supply ( Working, 90 Day Warranty)
EG & G TC5 Power Supply ( Working, 90 Day Warranty)
300.00  4d 20h
 
Parker SM232AE-N10N Compumotor Servo Motor ( Working, 90 Day Warranty)
Parker SM232AE-N10N Compumotor Servo Motor ( Working, 90 Day Warranty)
350.00  9d 0h
 
Applied Materials 678610 DO PC Board, SCHEM # 7801-D-1010, REV C, ASSY: 678611
Applied Materials 678610 DO PC Board, SCHEM # 7801-D-1010, REV C, ASSY: 678611
89.99  18d 11h
 
APPLIED MATERIALS 0090-04724 Rev 002
APPLIED MATERIALS 0090-04724 Rev 002
20,000.00  28d 0h
 
Fujikin 316L-P Diaphragm Valve, APWYM000, 454751, 1/4" VCR, 452084
Fujikin 316L-P Diaphragm Valve, APWYM000, 454751, 1/4" VCR, 452084
195.00  14d 17h
 
Fujikin 316L Diaphragm Valve, APWYM000, 454751, 1/4" VCR, 452086
Fujikin 316L Diaphragm Valve, APWYM000, 454751, 1/4" VCR, 452086
195.00  14d 18h
 
*PREOWNED* Yarbrough Southwest PLCC-K000-00B-0005 CONTROL FUSE 120 VAC 50/60Hz
*PREOWNED* Yarbrough Southwest PLCC-K000-00B-0005 CONTROL FUSE 120 VAC 50/60Hz
65.00  14d 6h
 
Lot of 6 Angled, Anode, Ring, Sleeve 500062645 cp-050.  price is for 6
Lot of 6 Angled, Anode, Ring, Sleeve 500062645 cp-050. price is for 6
350.00  12d 16h
 
50412411000 /frame Assy Etps/ Applied Materials
50412411000 /frame Assy Etps/ Applied Materials
5,000.97  15h 59m
 
Ep1435 / Spacer Tin / Zmisc
Ep1435 / Spacer Tin / Zmisc
1,485.00  17d 13h
 
D-e14000570 / Pulsed Light Curtain, Xmit Drive D-e15000570  / Varian
D-e14000570 / Pulsed Light Curtain, Xmit Drive D-e15000570 / Varian
1,500.82  15d 16h
 
004422-000 / Olympus America Inc /  Olympus America Inc
004422-000 / Olympus America Inc / Olympus America Inc
1,403.99  23d 11h
 
In651-02-a  / Controller, Suck Back Valve / Smc.
In651-02-a / Controller, Suck Back Valve / Smc.
1,390.00  4d 16h
 
Bn9-7406 / I4 Bending Mirror / Canon
Bn9-7406 / I4 Bending Mirror / Canon
1,502.36  21d 16h
 
Cicp1800mb2x2 / Security Sensorpanel Memory 2mb / Continental Access
Cicp1800mb2x2 / Security Sensorpanel Memory 2mb / Continental Access
799.55  27d 15h
 
43626-01 / Support-shield Flat Round / Svg
43626-01 / Support-shield Flat Round / Svg
760.20  22d 17h
 
Bgr-024252x02 / Pcb, Pe, I/o / Advantest
Bgr-024252x02 / Pcb, Pe, I/o / Advantest
1,200.62  16d 14h
 
Bgr-024352 / Dc Mux Pcb / Advantest
Bgr-024352 / Dc Mux Pcb / Advantest
1,200.62  16d 18h
 
716-443083-004 / Top Confinement Ring / Lam Research Corporation
716-443083-004 / Top Confinement Ring / Lam Research Corporation
1,449.77  5d 16h
 
0021-39722 / Cover Access, Water Lines, 300mm / Applied Materials Amat
0021-39722 / Cover Access, Water Lines, 300mm / Applied Materials Amat
794.61  6d 17h
 
0150-00897 / C/a, Pwr Wire, Wiring Cllr, Cha A Or B, / Applied Materials Amat
0150-00897 / C/a, Pwr Wire, Wiring Cllr, Cha A Or B, / Applied Materials Amat
1,385.02  10d 10h
 
0021-76183 / Ring 8, Direct Drive Robot, Corrosion Resist / Applied Materials
0021-76183 / Ring 8, Direct Drive Robot, Corrosion Resist / Applied Materials
1,300.82  10d 12h
 
Wctrap-100-nm6-v / Ke Coldtrap No Blanket / Hps
Wctrap-100-nm6-v / Ke Coldtrap No Blanket / Hps
1,266.30  10d 13h
 
8565c-100232 / Ufc-8565c Mass Flow Controller, N2, 30sccm / Celerity
8565c-100232 / Ufc-8565c Mass Flow Controller, N2, 30sccm / Celerity
1,154.88  13d 10h
 
0150-00896 / C/a Pwr Wire, Wiring Cllr, Ch A Or B Loc / Applied Materials Amat
0150-00896 / C/a Pwr Wire, Wiring Cllr, Ch A Or B Loc / Applied Materials Amat
1,008.80  13d 16h
 
99-80267-01 / Pcb, Shuttle Interface / Svg
99-80267-01 / Pcb, Shuttle Interface / Svg
844.20  14d 15h
 
0100-20313/chamber Interconnect Assy. 0130-20313/applied Materials Amat
0100-20313/chamber Interconnect Assy. 0130-20313/applied Materials Amat
1,250.82  9d 11h
 
99-43012-01 / Assy Arm Random 7in.z / Svg
99-43012-01 / Assy Arm Random 7in.z / Svg
1,450.98  5d 17h
 
0020-21479 / Clamping Ring 6 Tiw Semi Major Flat    / Applied Materials Amat
0020-21479 / Clamping Ring 6 Tiw Semi Major Flat / Applied Materials Amat
1,517.72  23d 16h
 
0010-00561 / Wemission Detector / Amat
0010-00561 / Wemission Detector / Amat
1,494.39  1d 10h
 
670776 / Universal Address Bd Schem Ref No 7802-d-5946 / Applied Materials Amat
670776 / Universal Address Bd Schem Ref No 7802-d-5946 / Applied Materials Amat
987.54  7d 15h
 
0100-11030 / Pwb Assembly, Prom A / Amat
0100-11030 / Pwb Assembly, Prom A / Amat
1,450.00  3d 16h
 
0021-21505 / Electrical Cover 8in / Applied Materials Amat
0021-21505 / Electrical Cover 8in / Applied Materials Amat
1,370.13  23d 14h
 
0100-40025 / Pcba Mainframe Interconn / Applied Materials Amat
0100-40025 / Pcba Mainframe Interconn / Applied Materials Amat
1,066.02  23d 18h
 
A52844458 / Iq Interface / Edwards
A52844458 / Iq Interface / Edwards
1,452.66  17d 13h
 
Bg3-3004 / Bg3-3005 Bh8-2309-01 Damper Cd5 / Canon
Bg3-3004 / Bg3-3005 Bh8-2309-01 Damper Cd5 / Canon
1,260.00  28d 13h
 
28-43015-01 / 99-43005-01, Hot Plate Assy / Svg
28-43015-01 / 99-43005-01, Hot Plate Assy / Svg
1,370.00  3d 13h
 
0240-04232 / Assy, Ttw Monitor W/light Pen & 12ft Vid 0010-03087 / Amat
0240-04232 / Assy, Ttw Monitor W/light Pen & 12ft Vid 0010-03087 / Amat
1,455.00  9d 17h
 
Bgr-015467x02 / Board, Strbcont / Advantest
Bgr-015467x02 / Board, Strbcont / Advantest
1,152.92  13d 10h
 
Cs012-007621-1 / Tel Advance Valve 4021-51u5fs Hqdr / Tokyo Electron Tel
Cs012-007621-1 / Tel Advance Valve 4021-51u5fs Hqdr / Tokyo Electron Tel
1,113.93  13d 12h
 
Stainless Flange, Model: 586-616, 2286, 6-3/4'' W x 2'' H
Top-Rated Plus Seller Stainless Flange, Model: 586-616, 2286, 6-3/4'' W x 2'' H
38.99  8d 10h
 
AMAT 0020-10169 OEM  SUSCEPTOR,150MM,SIL—OX/NIT
AMAT 0020-10169 OEM  SUSCEPTOR,150MM,SIL—OX/NIT
495.00  29d 19h
 
323-0302// Amat Applied 1270-01623 Sw Press Abs 250-320torr 0.1-1a @ 12vdc  
323-0302// Amat Applied 1270-01623 Sw Press Abs 250-320torr 0.1-1a @ 12vdc
900.00  7d 1h
 
116-0202// Amat Applied 0200-09746 Collar Al 200mm Ceramic
116-0202// Amat Applied 0200-09746 Collar Al 200mm Ceramic
1,000.00  9d 21h
 
134-0503// Amat Applied 0020-25226 Obs: Hoop, Hthu 8 Heater []
134-0503// Amat Applied 0020-25226 Obs: Hoop, Hthu 8 Heater []
800.00  5d 3h
 
ASML 859-0743-018E Circuit Board PCB 879-7120-003  Untested As-Is
ASML 859-0743-018E Circuit Board PCB 879-7120-003  Untested As-Is
803.15  26d 16h
 
Amat 0015-09056 Bellows Assy Wafer Solid ,
Amat 0015-09056 Bellows Assy Wafer Solid ,
199.00  29d 0h
 
Swagelok One Way Air Valve. Model# 6L VV-DPFR4-P-C/ 0001348499- Brand .
Swagelok One Way Air Valve. Model# 6L VV-DPFR4-P-C/ 0001348499- Brand .
99.00  10d 21h
 
AMAT Applied Materials 0020-99521-005 Al Alloy Shield Inner eSIP 300mm
AMAT Applied Materials 0020-99521-005 Al Alloy Shield Inner eSIP 300mm
3,005.14  1d 9h
 
 Tenaka Denshi Kogyo Q4472632-c Clr-1a Air Brake Free Shipping
 Tenaka Denshi Kogyo Q4472632-c Clr-1a Air Brake Free Shipping
101.99  8d 12h
 
Applied Materials AMAT Parofluor O-Ring, 3700-04069
Applied Materials AMAT Parofluor O-Ring, 3700-04069
1,150.00  10d 9h
 
Lasertec C-100957 Backplane Board PCB C-100956  Working
Lasertec C-100957 Backplane Board PCB C-100956  Working
1,402.19  2d 10h
 
Drytek / Lam Flow/He Interface Board, 2800606
Top-Rated Plus Seller Drytek / Lam Flow/He Interface Board, 2800606
225.00  4d 13h
 
SVG 99-80323-01 Vacuum Sensor PC Board
SVG 99-80323-01 Vacuum Sensor PC Board
99.99  25d 9h
 
Teravicta RT-CSP8X6-01-PKg PCB
Teravicta RT-CSP8X6-01-PKg PCB
250.00  23d 16h
 
116-0501// Amat Applied 0200-35782 Shadow Ring, 200mm Flat, Si/qt
116-0501// Amat Applied 0200-35782 Shadow Ring, 200mm Flat, Si/qt
1,400.00  10d 1h
 
Applied Materials 678611 DO PC Board, SCHEM # 7801-D-1010, REV D1
Applied Materials 678611 DO PC Board, SCHEM # 7801-D-1010, REV D1
89.99  6d 14h
 
Axcelis    5936-0014-0003 Pole Piece 3" Quad,
Axcelis 5936-0014-0003 Pole Piece 3" Quad,
500.00  17h 47m
 
Tokyo Electron Es3d81-000058-15 Yb61s-1/rsc,
Tokyo Electron Es3d81-000058-15 Yb61s-1/rsc,
600.00  2d 0h
 
Setra 2231100pcaa11bif Model 223 Pressure Transducer,
Setra 2231100pcaa11bif Model 223 Pressure Transducer,
500.00  2d 22h
 
Vulcan 1918940 Tc Dual 5/32 Od Type B W/ Spring M4,
Vulcan 1918940 Tc Dual 5/32 Od Type B W/ Spring M4,
500.00  27d 17h
 
TOKYO ELECTRON 3S10-450213-11 PLATE Lot of 13,
TOKYO ELECTRON 3S10-450213-11 PLATE Lot of 13,
600.00  6d 23h
 
Tokyo Electron Mbsd0-051213-00 Sensor_d-m9pl,
Tokyo Electron Mbsd0-051213-00 Sensor_d-m9pl,
500.00  11d 1h
 
Amat 0020-82679 Guide Nut, Lid Interlock, 300mm Producer,
Amat 0020-82679 Guide Nut, Lid Interlock, 300mm Producer,
500.00  25d 1h
 
Qualitech 2600315-01 Element Control Panel,
Qualitech 2600315-01 Element Control Panel,
400.00  13d 0h
 
Tokyo Electron Es2l81-000016-11 Pcb Assy,
Tokyo Electron Es2l81-000016-11 Pcb Assy,
500.00  20d 0h
 
Tokyo Electron 4603335-0001 Metalized Ring, Ceramic Mcdowell & Company,
Tokyo Electron 4603335-0001 Metalized Ring, Ceramic Mcdowell & Company,
500.00  20d 9h
 
Tokyo Electron 3m10-451377-12 Pin, Lifter,
Tokyo Electron 3m10-451377-12 Pin, Lifter,
450.00  19d 4h
 
Amat 0090-77067 It Pressure Transducer,
Amat 0090-77067 It Pressure Transducer,
550.00  4d 15h
 
AJA Sputtering Evaporators Heater Wires Feedthrough DZ-6-001
AJA Sputtering Evaporators Heater Wires Feedthrough DZ-6-001
980.00  4d 16h
 
6 x APPLIED MATERIALS 0200-03314 KIT,LIFT PIN 170 ,
6 x APPLIED MATERIALS 0200-03314 KIT,LIFT PIN 170 ,
233.00  9d 8h
 
TEL Tokyo Electron 1B80-002391-11 PCB Assembly 1B80-002389-11 TEL PR300Z
TEL Tokyo Electron 1B80-002391-11 PCB Assembly 1B80-002389-11 TEL PR300Z
801.15  1d 16h
 
AMAT Applied Materials 0021-04242 DK Space Cover Shield Manufacturer Refurbished
AMAT Applied Materials 0021-04242 DK Space Cover Shield Manufacturer Refurbished
456.18  2d 22h
 
Parker Veriflo 930 125psi Max Valve,
Parker Veriflo 930 125psi Max Valve,
50.00  16d 12h
 
Lam 853-040482-600 Rf Automatch Rev C
Top-Rated Plus Seller Lam 853-040482-600 Rf Automatch Rev C
6,489.97  16d 15h
 
FutureStar Flow Meter FX221-005-100   221005100 FSI
FutureStar Flow Meter FX221-005-100  221005100 FSI
375.00  29d 18h
 
438-0401// Oriental Motor C6669-9212 Motor []
438-0401// Oriental Motor C6669-9212 Motor []
100.00  14d 0h
 
438-0401// Oriental Motor C6190-9212 Motor []
438-0401// Oriental Motor C6190-9212 Motor []
100.00  14d 0h
 
438-0401// Oriental Motor C5262-9212 (short Cable) Motor []
438-0401// Oriental Motor C5262-9212 (short Cable) Motor []
70.00  14d 0h
 
O-ring Id4.484 Csd .139 Viton 75 Duro Qty 6
O-ring Id4.484 Csd .139 Viton 75 Duro Qty 6
85.00  16d 13h
 
Amat 0270-01133 Shipping Plug 200mmm Sigen,
Amat 0270-01133 Shipping Plug 200mmm Sigen,
500.00  4d 23h
 
Amat 0021-35946 8" Edge Ring, Refurbished
Amat 0021-35946 8" Edge Ring, Refurbished
500.00  13d 14h
 
Novellus 15-251735-00 Spindle Blank-off, C3 Altus, Refurbished
Novellus 15-251735-00 Spindle Blank-off, C3 Altus, Refurbished
500.00  27d 16h
 
Tokyo Electron Bx80-070776-11 Adjust Tool, 1arm..br 942131,
Tokyo Electron Bx80-070776-11 Adjust Tool, 1arm..br 942131,
300.00  3d 4h
 
Twc-39d-026w18 / Waveguide Assy For Tel Tokyo Electron / Nihon Koshuha
Twc-39d-026w18 / Waveguide Assy For Tel Tokyo Electron / Nihon Koshuha
851.03  13d 17h
 
100883028 / Copper Cf Tee Three Way 2.75 / Mks
100883028 / Copper Cf Tee Three Way 2.75 / Mks
250.68  25d 15h
 
177-0103// Fujikin Aj787001 093022 Valve [asis]
177-0103// Fujikin Aj787001 093022 Valve [asis]
100.00  23d 1h
 
177-0103// Fujikin Amnej000 435882 Valve [asis]
177-0103// Fujikin Amnej000 435882 Valve [asis]
100.00  23d 1h
 
Nikon 4S020-039-? Backplane Interface Board PCB LC-MTHR NSR System  Working
Nikon 4S020-039-? Backplane Interface Board PCB LC-MTHR NSR System  Working
1,201.19  5d 15h
 
Precision XP4-EMERALD FLANGE-MESC INTFC-300 MM ASM 16-404633-01  Surplus
Precision XP4-EMERALD FLANGE-MESC INTFC-300 MM ASM 16-404633-01  Surplus
2,507.18  2d 19h
 
SVG Silicon Valley Group 851-9947-004 DMC Booster Amplifier PCB Card Rev. H
SVG Silicon Valley Group 851-9947-004 DMC Booster Amplifier PCB Card Rev. H
310.18  14h 54m
 
0021-15528; Amat, Rotor Shutter 300mm Pvd
0021-15528; Amat, Rotor Shutter 300mm Pvd
4,750.00  2d 19h
 
Applied Materials 678613 DI Board Source, VersaController, 7801-D-1014 REV E1
Applied Materials 678613 DI Board Source, VersaController, 7801-D-1014 REV E1
89.99  6d 11h
 
APPLIED MATERIALS 0620-02936 ASSY, CABLE RF BIAS 79FT 13MHz AMAT
APPLIED MATERIALS 0620-02936 ASSY, CABLE RF BIAS 79FT 13MHz AMAT
2,299.00  15d 9h
 
Pk5913-nbc / 5-phase Stepping Motor / Vexta
Pk5913-nbc / 5-phase Stepping Motor / Vexta
350.00  4d 15h
 
131-0601// Amat Applied 0010-30319 Top Lid For Liner, Ssgd, 5200
131-0601// Amat Applied 0010-30319 Top Lid For Liner, Ssgd, 5200
5,000.00  10d 21h
 
Optimised Control Motion Controller Card, D281 Issue 4,
Optimised Control Motion Controller Card, D281 Issue 4,
425.00  2d 9h
 
TEL Tokyo Electron 2610-100723-V1 Exhaust Ring PM Y BEL  Working
TEL Tokyo Electron 2610-100723-V1 Exhaust Ring PM Y BEL  Working
803.15  1d 16h
 
Swagelok 6LV-DFMR8-C Diaphragm Valve, 452673
Swagelok 6LV-DFMR8-C Diaphragm Valve, 452673
195.00  22d 18h
 
SCI Solid Controls 428-400 Firing Controller Board PCB Card 428-399  Working
SCI Solid Controls 428-400 Firing Controller Board PCB Card 428-399  Working
806.18  10d 15h
 
Power One Power Supply HDD15-5-A , HN12-5.1-A, SWITCHING POWER INC OFSX-225IECF
Power One Power Supply HDD15-5-A , HN12-5.1-A, SWITCHING POWER INC OFSX-225IECF
650.00  22d 20h
 
Millipore A9bk3527 3000 Psi
Millipore A9bk3527 3000 Psi
130.00  21d 17h
 
Oemgroup D131435 Sheld .dark Spc, W3/8.ggirdle,
Oemgroup D131435 Sheld .dark Spc, W3/8.ggirdle,
700.00  19d 5h
 
WEST COAST QUARTZ 93-30123-A NM POLY, REDUCED, 300mm EMAX AMAT,
WEST COAST QUARTZ 93-30123-A NM POLY, REDUCED, 300mm EMAX AMAT,
750.00  19d 5h
 
Telemecanique ABL7 RP2410 Power Supply,
Telemecanique ABL7 RP2410 Power Supply,
700.00  7d 20h
 
Tokyo Electron Rs-709847 Bearing Seal Assy 4135213-0001,
Tokyo Electron Rs-709847 Bearing Seal Assy 4135213-0001,
950.00  22d 5h
 
Oemgroup D115506 Seal, Ring, 4-pin, Nedox,
Oemgroup D115506 Seal, Ring, 4-pin, Nedox,
700.00  19d 5h
 
WEST COAST QUARTZ 93-30131B INSERT RING , NM 300MM eMAX AMAT,
WEST COAST QUARTZ 93-30131B INSERT RING , NM 300MM eMAX AMAT,
750.00  19d 5h
 
Kyoto Denkiki KDS-20170W Dual Output Power Supply Hitachi MU-712E  Working
Kyoto Denkiki KDS-20170W Dual Output Power Supply Hitachi MU-712E  Working
3,808.16  2d 16h
 
KIKUSHI PMC250-0.25A / Free International Shipping
KIKUSHI PMC250-0.25A / Free International Shipping
399.00  2d 2h
 
131-0701// Amat Applied 0021-09008 Cover, Uni-lid, Dsgd, Mxp
131-0701// Amat Applied 0021-09008 Cover, Uni-lid, Dsgd, Mxp
1,000.00  10d 1h
 
0010-02171 / Assy, Right Hand Pivot And Bearing, Hp+/ / Applied Materials Amat
0010-02171 / Assy, Right Hand Pivot And Bearing, Hp+/ / Applied Materials Amat
1,812.89  13d 10h
 
682899 / Universal Address Bd Schem Ref 682896 / Applied Materials Amat
682899 / Universal Address Bd Schem Ref 682896 / Applied Materials Amat
2,250.82  17d 11h
 
0100-00022 / W Interconnect Pwb / Applied Materials Amat
0100-00022 / W Interconnect Pwb / Applied Materials Amat
1,990.82  29d 11h
 
4022.646.32991 /  Blade Switch   Hp (hewlett-packard) / Asml
4022.646.32991 / Blade Switch Hp (hewlett-packard) / Asml
2,499.88  29d 22h
 
0040-00250 / Operator Cont Panel Assy / Applied Materials Amat
0040-00250 / Operator Cont Panel Assy / Applied Materials Amat
3,495.66  25d 11h
 
70514000000 / Transceiver 422 Assy / Amat
70514000000 / Transceiver 422 Assy / Amat
2,560.97  9d 14h
 
7kstkps72 / Servo,ps,72vdc,115/220vac / Pri
7kstkps72 / Servo,ps,72vdc,115/220vac / Pri
1,700.98  24d 15h
 
0010-17447 / Controller Assy 8 T/c Temp Controller 30 / Amat
0010-17447 / Controller Assy 8 T/c Temp Controller 30 / Amat
4,400.98  1d 13h
 
710-659412-00-c0 / Mass Memroy Pcb Board / Kla Tencor
710-659412-00-c0 / Mass Memroy Pcb Board / Kla Tencor
1,965.00  25d 12h
 
3d05-350027-11 / Insulator Ring,fg8 / Tokyo Electron Tel
3d05-350027-11 / Insulator Ring,fg8 / Tokyo Electron Tel
3,476.77  3d 17h
 
5880-1166-0001 / Pcb Plate, Deflector, Upper / Eaton
5880-1166-0001 / Pcb Plate, Deflector, Upper / Eaton
1,780.68  11d 15h
 
90-2705 / Frame, Pwa, Junction, Main Frame / Novellus Systems Inc
90-2705 / Frame, Pwa, Junction, Main Frame / Novellus Systems Inc
3,200.68  17d 11h
 
3d10-201358-12 / Shutter, Base-dt / Tokyo Electron Tel
3d10-201358-12 / Shutter, Base-dt / Tokyo Electron Tel
2,750.00  26d 11h
 
F3sj-a0443p14 / Safety Light Curtain / Omron
F3sj-a0443p14 / Safety Light Curtain / Omron
2,000.99  11d 17h
 
0020-30629 / Ndm-275-021, Pedestal Poly/wsi 200mm Notch / Applied Materials Amat
0020-30629 / Ndm-275-021, Pedestal Poly/wsi 200mm Notch / Applied Materials Amat
1,837.99  17d 16h
 
2105-322957-51 / Quartz Fins, 79088 Heraeus / Tokyo Electron Tel
2105-322957-51 / Quartz Fins, 79088 Heraeus / Tokyo Electron Tel
2,800.00  26d 19h
 
0010-75508 / Assy, Basic Single Slot Cooldown, Non-en / Applied Materials
0010-75508 / Assy, Basic Single Slot Cooldown, Non-en / Applied Materials
5,000.82  15d 13h
 
Sr5084-000919-11/motor(vrgs-11b60-200),assy/tokyo Electron
Sr5084-000919-11/motor(vrgs-11b60-200),assy/tokyo Electron
2,500.26  27d 10h
 
E19288020 / Repair, Rot Vac Vac Feedthru Rh, Ferrofluidics / Varian
E19288020 / Repair, Rot Vac Vac Feedthru Rh, Ferrofluidics / Varian
2,500.82  11h 23m
 
0020-31163 / Ring, Focusing, Alum, 200mm, Prsp 3 / Applied Materials Amat
0020-31163 / Ring, Focusing, Alum, 200mm, Prsp 3 / Applied Materials Amat
2,540.82  22d 7h
 
30059-4a / Pcb Control Assy / Nikon
30059-4a / Pcb Control Assy / Nikon
1,585.82  1d 9h
 
750-673371-000/0181 / Viper 2401 Optics Assembly / Kla Tencor Viper 2401
750-673371-000/0181 / Viper 2401 Optics Assembly / Kla Tencor Viper 2401
3,502.99  4d 9h
 
4022.483.30982 / Cover Red Lockdown / Asml
4022.483.30982 / Cover Red Lockdown / Asml
2,200.82  4d 11h
 
846-211707-001 / Kit,rtrft,gb,c3vctr,nsr(c3 Vector) / Lam Research Corporation
846-211707-001 / Kit,rtrft,gb,c3vctr,nsr(c3 Vector) / Lam Research Corporation
4,010.82  8d 8h
 
0136440-000 / Tstd, Pcb Assy, Flat Finder Pwr Drvr / Kla Tencor
0136440-000 / Tstd, Pcb Assy, Flat Finder Pwr Drvr / Kla Tencor
2,090.50  8d 11h
 
1200226-a  /  Phenolic Electrical Pass Through  /  Varian
1200226-a / Phenolic Electrical Pass Through / Varian
1,950.82  26d 17h
 
115909 / Magniflow Motor, Pump, Centrifugal Bsm-4.2-30 / Celerity
115909 / Magniflow Motor, Pump, Centrifugal Bsm-4.2-30 / Celerity
3,500.82  27d 8h
 
3d10-200897-11 / Shield Depo Y0 Recoat / Tokyo Electron Tel
3d10-200897-11 / Shield Depo Y0 Recoat / Tokyo Electron Tel
3,500.82  29d 8h
 
4s007-953-a / Relay Board Pcb Wl3mot5 / Nikon
4s007-953-a / Relay Board Pcb Wl3mot5 / Nikon
3,500.82  2d 9h
 
5012-000062-11, Amc-d Amc-d-x1 / Pcb With Exchange / Tel
5012-000062-11, Amc-d Amc-d-x1 / Pcb With Exchange / Tel
2,200.98  24d 16h
 
0021-09506 Rev.p2 / Mounting Ring / Applied Materials
0021-09506 Rev.p2 / Mounting Ring / Applied Materials
1,602.66  8d 16h
 
Ml3475a-asm / Servo Motor / Parker
Ml3475a-asm / Servo Motor / Parker
5,000.00  25d 13h
 
02-275643-00 / Hdsioc E84 Awc Rev-afirmware Version 4.72 / Novellus Systems Inc
02-275643-00 / Hdsioc E84 Awc Rev-afirmware Version 4.72 / Novellus Systems Inc
1,649.00  25d 13h
 
4040345 Kvr1658 / Uvision 5 Power Supply Interface Box / Applied Materials Amat
4040345 Kvr1658 / Uvision 5 Power Supply Interface Box / Applied Materials Amat
4,502.66  29d 8h
 
0010-b8740 / Clt Air Fan Pack Controller Unit / Applied Materials Amat
0010-b8740 / Clt Air Fan Pack Controller Unit / Applied Materials Amat
3,200.82  18h 17m
 
Mogcr738-5d-080 / Chuck Table (6 Inch) / Disco
Mogcr738-5d-080 / Chuck Table (6 Inch) / Disco
4,000.99  12d 14h
 
1006143 / Endpoint Controller Model Sd2048pl /  Verity Insturments
1006143 / Endpoint Controller Model Sd2048pl / Verity Insturments
2,400.62  15d 17h
 
0020-33538 / Plate, Perf Ox 200mm, Unanodized / Applied Materials Amat
0020-33538 / Plate, Perf Ox 200mm, Unanodized / Applied Materials Amat
1,612.99  12d 11h
 
409-0401// Aera Pc-72 Controller [/fast]
409-0401// Aera Pc-72 Controller [/fast]
400.00  27d 0h
 
439-0502// Oriental Motor Kxpm460gd-ab Ac Servo Motor [/fast]
439-0502// Oriental Motor Kxpm460gd-ab Ac Servo Motor [/fast]
300.00  5d 19h
 
Calcine Ceramic Liners Furnished
Calcine Ceramic Liners Furnished
1,490.00  10d 19h
 
+ Burling 3240-k5-2-0003 Supply Volts 24 V Ac Type K
+ Burling 3240-k5-2-0003 Supply Volts 24 V Ac Type K
286.00  21d 12h
 
Matrix 7911 DSDC PCB Control Board
Matrix 7911 DSDC PCB Control Board
209.75  15d 18h
 
2513285-21 / 2513285-01, Pwba Display Selector / Asm America Inc
2513285-21 / 2513285-01, Pwba Display Selector / Asm America Inc
250.99  2d 16h
 
Gy24010gn / Power Supply 200-240v / Shindengen
Gy24010gn / Power Supply 200-240v / Shindengen
225.03  12d 15h
 
Ps466-01a / Stepping Motor 4-phase 6.0v 1.2a 1.8deg Step / Mycom
Ps466-01a / Stepping Motor 4-phase 6.0v 1.2a 1.8deg Step / Mycom
275.68  13d 21h
 
Amat 3010-01022 Abrvs Pad Politex 30" Dia ,
Amat 3010-01022 Abrvs Pad Politex 30" Dia ,
1,200.00  8d 23h
 
Aera FC-PA7810C-BA MFC, NF3 (0.5), 5 SLM, AMAT 3030-16227, 451791
Aera FC-PA7810C-BA MFC, NF3 (0.5), 5 SLM, AMAT 3030-16227, 451791
450.00  5d 14h
 
AMAT ASA Board Assy SemVision cX 30712640100
AMAT ASA Board Assy SemVision cX 30712640100
399.00  13d 5h
 
BOC Edwards Varistor Box1 P/N: B721-32-010
BOC Edwards Varistor Box1 P/N: B721-32-010
389.00  28d 10h
 
Nikon    4S018-403    PPD3S
Nikon 4S018-403 PPD3S
450.00  28d 16h
 
Hine Design Process Chamber Elevator Asyst
Hine Design Process Chamber Elevator Asyst
1,039.94  12d 18h
 
Neles / Metso Automation S426434 Board Vat 65426436a / 65426436b
Neles / Metso Automation S426434 Board Vat 65426436a / 65426436b
52.95  22d 7h
 
Sela Powersw64, Me594v04304 Board
Sela Powersw64, Me594v04304 Board
650.00  9d 0h
 
Horiba STEC Criterion D219-SCT Mass Flow Controller NF3 500SCCM Devicenet
Top-Rated Plus Seller Horiba STEC Criterion D219-SCT Mass Flow Controller NF3 500SCCM Devicenet
149.99  12d 13h
 
SVG 99-80320-01 Microcontroller Board, 6805, Cirpro-1 25-93
SVG 99-80320-01 Microcontroller Board, 6805, Cirpro-1 25-93
89.99  24d 13h
 
Mks 9699-1264 Htr2.3, Str4.0, 1ap1
Mks 9699-1264 Htr2.3, Str4.0, 1ap1
299.00  24d 15h
 
ASML 4022.639.93004 CT WVACEIM Unit NXT  Working
ASML 4022.639.93004 CT WVACEIM Unit NXT  Working
2,004.15  2d 16h
 
Applied Materials 0200-14759 Isolator, O/p Manifold Amat *un, Sealed*
Applied Materials 0200-14759 Isolator, O/p Manifold Amat *un, Sealed*
1,999.00  17d 4h
 
Applied Materials 0090-06803 Condor Mf Cioc Assy Amat
Applied Materials 0090-06803 Condor Mf Cioc Assy Amat
3,999.00  5d 10h
 
Applied Materials 0200-08596 Dep Ring, Bcp Narrow Id Amat *un, Sealed*
Applied Materials 0200-08596 Dep Ring, Bcp Narrow Id Amat *un, Sealed*
2,399.00  19d 3h
 
APPLIED MATERIALS 0042-18393 PLASMA CHOKE, 2 PIECE SHIELD (Cu) AMAT
APPLIED MATERIALS 0042-18393 PLASMA CHOKE, 2 PIECE SHIELD (Cu) AMAT
2,999.00  10d 9h
 
Applied Materials 0022-48301 Lower Shield, Outer Ring, Xt Pedestal (copper) Amat
Applied Materials 0022-48301 Lower Shield, Outer Ring, Xt Pedestal (copper) Amat
2,999.00  11d 7h
 
Asml 4022.636.65066 Paac 500/65 Power Supply
Asml 4022.636.65066 Paac 500/65 Power Supply
3,999.00  11d 10h
 
Varian E11491860 Universal Ac Input Signal Tower Controller Amat *powers Up*
Varian E11491860 Universal Ac Input Signal Tower Controller Amat *powers Up*
3,999.00  13d 1h
 
Applied Materials 0040-31981 Assy, Lift Ring 8" Wxz Amat *un, Sealed*
Applied Materials 0040-31981 Assy, Lift Ring 8" Wxz Amat *un, Sealed*
2,999.00  16d 4h
 
Applied Materials 0041-77520 Ground Plate, N2 Purge, C3 Amat *un*
Applied Materials 0041-77520 Ground Plate, N2 Purge, C3 Amat *un*
3,999.00  19d 7h
 
Applied Materials 0021-10223 Chamber Liner Amat
Applied Materials 0021-10223 Chamber Liner Amat
2,699.00  11d 11h
 
Applied Materials 0270-08220 Gdp Gas Distribution Plate Stand-off Amat
Applied Materials 0270-08220 Gdp Gas Distribution Plate Stand-off Amat
2,999.00  9d 10h
 
Amat 0242-76541 Kit Ship Along, Bottom Exh 0020-37817 Cover Plate Exhaust
Amat 0242-76541 Kit Ship Along, Bottom Exh 0020-37817 Cover Plate Exhaust
2,299.00  11d 4h
 
Applied Materials 0200-21384 Lower Gdp Ht2 Axiom P7 Amat *un, Sealed*
Applied Materials 0200-21384 Lower Gdp Ht2 Axiom P7 Amat *un, Sealed*
1,999.00  3d 6h
 
Applied Materials 0022-07363 Pumping Plate, Indexing Amat
Applied Materials 0022-07363 Pumping Plate, Indexing Amat
1,799.00  28d 11h
 
Asml 4022.640.67051 Wet Imaging Secondary Extraction Rack Wisr 4022.476.01351
Asml 4022.640.67051 Wet Imaging Secondary Extraction Rack Wisr 4022.476.01351
1,999.00  8d 23h
 
Applied Materials 0040-70554 Ring, Mounting Ring Quartz Dome 300mm Pc Xt/xte
Applied Materials 0040-70554 Ring, Mounting Ring Quartz Dome 300mm Pc Xt/xte
2,999.00  20d 8h
 
Applied Materials 0200-18789 Scalpel Mask Amat *un, Sealed*
Applied Materials 0200-18789 Scalpel Mask Amat *un, Sealed*
3,499.00  24d 1h
 
Applied Materials 0200-12076 Quartz, Isolator, Outer, Quartz, Xesc Amat *un*
Applied Materials 0200-12076 Quartz, Isolator, Outer, Quartz, Xesc Amat *un*
1,999.00  10d 7h
 
APPLIED MATERIALS 0042-18721 LOWER SHIELD, PLASMA CHOKE (Cu) AMAT
APPLIED MATERIALS 0042-18721 LOWER SHIELD, PLASMA CHOKE (Cu) AMAT
2,999.00  11d 8h
 
430-0301// Bodine 24y2bepm-3f (unclean) Motor []
430-0301// Bodine 24y2bepm-3f (unclean) Motor []
100.00  11d 23h
 
430-0302// Inductive Cmmk2620-bcban Motor []
430-0302// Inductive Cmmk2620-bcban Motor []
100.00  12d 2h
 
114-0501// Amat Applied 0020-21251 Turbo Support Shelf []
114-0501// Amat Applied 0020-21251 Turbo Support Shelf []
60.00  20d 3h
 
123-0103// Smc Cdq2wb63-20dc-a73 Cyliinder []
123-0103// Smc Cdq2wb63-20dc-a73 Cyliinder []
100.00  7d 20h
 
Applied Materials 678613 DI Board Source, VersaController, 7801-D-1014
Applied Materials 678613 DI Board Source, VersaController, 7801-D-1014
89.99  6d 11h
 
Aera FC-PA7810C-BA MFC, NF3 (0.5), 5 SLM, AMAT 3030-16227, 451792
Aera FC-PA7810C-BA MFC, NF3 (0.5), 5 SLM, AMAT 3030-16227, 451792
450.00  5d 15h
 
Amat 0140-02822 Harn, Rmt Strt/stp Mf Bh,
Amat 0140-02822 Harn, Rmt Strt/stp Mf Bh,
220.00  19d 2h
 
Amat 0140-78501 Upper Electronics P6,
Amat 0140-78501 Upper Electronics P6,
250.00  19d 2h
 
PN 7100-3397-02 AG Associates Heatpulse PCB
PN 7100-3397-02 AG Associates Heatpulse PCB
2,800.00  28d 19h
 
PN 7100-4213-01 AG Associates Heatpulse PCB
PN 7100-4213-01 AG Associates Heatpulse PCB
2,200.00  29d 10h
 
Lot of 3 Drytek LAM 602120 Cartridge LIFT PIN ASM,un,7006
Lot of 3 Drytek LAM 602120 Cartridge LIFT PIN ASM,un,7006
159.00  5d 22h
 
0270-01757 / Tool, Cont Ring Centering, 300mm Iecp / Applied Materials Amat
0270-01757 / Tool, Cont Ring Centering, 300mm Iecp / Applied Materials Amat
931.21  5d 12h
 
Sanyo Denki Ds030m512a00 Sanmotion 30mm X 512mm Rail Ds Driver Standard
Sanyo Denki Ds030m512a00 Sanmotion 30mm X 512mm Rail Ds Driver Standard
199.99  27d 15h
 
Ae Navigator-1013  /  3155126-017  Rf Match
Ae Navigator-1013 / 3155126-017 Rf Match
2,999.00  25d 5h
 
ASM Advanced Semiconductor Materials 16-401656-01 Ceramic DUCT-EXHAUST SHD
ASM Advanced Semiconductor Materials 16-401656-01 Ceramic DUCT-EXHAUST SHD
2,007.18  2d 19h
 
MKS PDR-2000 PDR2000   /   Free Expedited Shipping
MKS PDR-2000 PDR2000 / Free Expedited Shipping
599.00  10d 0h
 
Motion Engineering A038-2001
Motion Engineering A038-2001
275.00  24d 21h
 
177-0301// Amat Applied 0015-90053 Valve, Modified, Low Press Ure [asis]
177-0301// Amat Applied 0015-90053 Valve, Modified, Low Press Ure [asis]
800.00  3d 2h
 
123-0501// Fine Esf1500-24 Suntronix
123-0501// Fine Esf1500-24 Suntronix
200.00  16d 2h
 
Digital Dynamics IOC ANALLOG BOARD 004433-16725
Digital Dynamics IOC ANALLOG BOARD 004433-16725
499.99  20d 5h
 
Applied Materials 0150-76198 Cable SYSTEM VIDEO 25
Applied Materials 0150-76198 Cable SYSTEM VIDEO 25
250.00  10d 1h
 
03-80333-01 / End Station Cpu Pcb 90s / Svg
03-80333-01 / End Station Cpu Pcb 90s / Svg
600.98  9d 15h
 
0020-30418 / Ring, Top, 8 , Ext Cath, Dc, Poly / Applied Materials Amat
0020-30418 / Ring, Top, 8 , Ext Cath, Dc, Poly / Applied Materials Amat
650.99  17d 16h
 
Applied Materials 678611 DO PC Board, SCHEM # 7801-D-1010
Applied Materials 678611 DO PC Board, SCHEM # 7801-D-1010
89.99  6d 14h
 
Vpb4309 / Emc Pcb Board / Daifuku
Vpb4309 / Emc Pcb Board / Daifuku
300.68  17d 19h
 
Asm 16-404782-01 Susceptor-150mm X .52mm Pocket-gamma
Asm 16-404782-01 Susceptor-150mm X .52mm Pocket-gamma
2,007.18  2d 18h
 
Millipore Bed Support 3277614wb  422.8 x 4.75
Millipore Bed Support 3277614wb 422.8 x 4.75
18.50  25d 21h
 
Centering Ring, HPO 125MM 17-16868-07/ SVG 41746-03
Centering Ring, HPO 125MM 17-16868-07/ SVG 41746-03
226.24  14d 13h
 
36-0346 / Pcb, Kla- Tencor Optics Interface / Kla Tencor
36-0346 / Pcb, Kla- Tencor Optics Interface / Kla Tencor
1,295.00  29d 9h
 
Disco / Lintec Side Sub Mirror, Lnmu-010014-00, Set Of 2, Nos
Disco / Lintec Side Sub Mirror, Lnmu-010014-00, Set Of 2, Nos
180.00  8d 10h
 
DS Techno S3L20760 TCP Quartz Window  Surplus
DS Techno S3L20760 TCP Quartz Window  Surplus
2,505.18  15d 15h
 
Hitachi High Technologies 1D0-0034 Interface Board PCB A4X24R Working
Hitachi High Technologies 1D0-0034 Interface Board PCB A4X24R Working
562.17  1d 18h
 
Amat 0150-09108 Assy Cable Rem Dig 50 Ft ,
Amat 0150-09108 Assy Cable Rem Dig 50 Ft ,
299.00  4d 19h
 
Applied Materials 670362 Power Fail Board, 7802-D-5511, Versacontroller
Applied Materials 670362 Power Fail Board, 7802-D-5511, Versacontroller
62.99  17d 9h
 
Rpdb (224-006907-xxx) / System Intercon: 224-006907-xxx Ac Box / Lam Research
Rpdb (224-006907-xxx) / System Intercon: 224-006907-xxx Ac Box / Lam Research
6,500.82  6d 7h
 
0010-23334  /  Amat System 411931-pj-ech3 Source 3 Cp /  Applied Materials
0010-23334 / Amat System 411931-pj-ech3 Source 3 Cp / Applied Materials
10,000.68  16d 20h
 
89002 / Advanced Polymer Analyzer Apa 2000 / Alphatek
89002 / Advanced Polymer Analyzer Apa 2000 / Alphatek
17,000.00  22d 14h
 
1d80-005943-11 / Kyosan Add Box For Top High Temp  / Kyosan Electric
1d80-005943-11 / Kyosan Add Box For Top High Temp / Kyosan Electric
5,500.82  22d 14h
 
110003 Rev E / Hvps Controller High Voltage Power Supply / Eaton
110003 Rev E / Hvps Controller High Voltage Power Supply / Eaton
8,550.00  20d 14h
 
2320769-02 / El-dvxx-trafo-sps-0.3 / Lam Research Corporation
2320769-02 / El-dvxx-trafo-sps-0.3 / Lam Research Corporation
16,815.70  13d 12h
 
325-0101// Amat Applied 3030-01910 100sccm Cl2 1/4 Vcr Mtl Nc [refurbished]
325-0101// Amat Applied 3030-01910 100sccm Cl2 1/4 Vcr Mtl Nc [refurbished]
720.00  1d 22h
 
325-0101// Amat Applied 3030-01935 300sccm Sih4 1/4 Vcr Mtl Nc [refurbished]
325-0101// Amat Applied 3030-01935 300sccm Sih4 1/4 Vcr Mtl Nc [refurbished]
720.00  1d 22h
 
408-0301// GLENTEK SMC9945-1A-1-6341 (BORKEN) DRIVER [ASIS/Not change refund]
408-0301// GLENTEK SMC9945-1A-1-6341 (BORKEN) DRIVER [ASIS/Not change refund]
1,000.00  21d 1h
 
177-0103// Fujikin Kf2aq000 036777 Valve [asis]
177-0103// Fujikin Kf2aq000 036777 Valve [asis]
60.00  23d 2h
 
Applied Materials AMAT Leap II Beamstop, 0020-99641
Applied Materials AMAT Leap II Beamstop, 0020-99641
335.00  25d 7h
 
Nikon 4S013-480 Backplane Interface Board PCB STGSTCRMEPX4B NSR-S307E
Nikon 4S013-480 Backplane Interface Board PCB STGSTCRMEPX4B NSR-S307E
1,207.18  15d 12h
 
Drytek / Lam Keyboard Filter Board. P/N 2801110
Top-Rated Plus Seller Drytek / Lam Keyboard Filter Board. P/N 2801110
225.00  27d 8h
 
Applied Materials AMAT Kit Main AC Trip Unit 0242-75001
Applied Materials AMAT Kit Main AC Trip Unit 0242-75001
750.00  7d 5h
 
HP Hewlett-Packard 11658 Comparator PCB Card 10762-60001 Nikon NSR System
HP Hewlett-Packard 11658 Comparator PCB Card 10762-60001 Nikon NSR System
1,650.65  4d 18h
 
Lam Research 713-002617-003 Wdo, Polycarb, Chmbr,dual Seal,2,
Lam Research 713-002617-003 Wdo, Polycarb, Chmbr,dual Seal,2,
4,000.00  24d 21h
 
Edstrom  6124-010 Rev B   R.o. Controller Board
Edstrom 6124-010 Rev B R.o. Controller Board
254.00  24d 4h
 
ESI 4200 J2 Measurement Backplane board P/N 71786 -Free Shipment
ESI 4200 J2 Measurement Backplane board P/N 71786 -Free Shipment
190.00  12d 2h
 
Ham-let 2LD8Q-57358 Shut Off Valve, 1/2" VCR, 452492
Ham-let 2LD8Q-57358 Shut Off Valve, 1/2" VCR, 452492
275.00  19d 12h
 
Ham-let 2LD8Q-57358 Shut Off Valve, 1/2" VCR, 452493
Ham-let 2LD8Q-57358 Shut Off Valve, 1/2" VCR, 452493
275.00  19d 12h
 
Power-one HTAA-16w-A Power Supply ID-AWM-D-2-5-005
Power-one HTAA-16w-A Power Supply ID-AWM-D-2-5-005
350.00  15d 16h
 
Robot AWM-C-4-3-001
Robot AWM-C-4-3-001
350.00  19d 20h
 
Broadway Networks Inc 701-00003 AWM-G-4-1-005
Broadway Networks Inc 701-00003 AWM-G-4-1-005
355.00  21d 15h
 
28f6518f Asm28f6519 28f6520 Pcb Ds-4-11-003
28f6518f Asm28f6519 28f6520 Pcb Ds-4-11-003
450.00  9d 22h
 
Kawasaki 50999-2055R01 Processor PCB Card 1JP-51 Nikon NSR-S205C  Working
Kawasaki 50999-2055R01 Processor PCB Card 1JP-51 Nikon NSR-S205C  Working
2,476.20  17h 22m
 
Amat 1270-00008 Sw Temp Rtd-in Spdt-out Field Config ,
Amat 1270-00008 Sw Temp Rtd-in Spdt-out Field Config ,
200.00  22d 1h
 
ASML 859-0927-009A Circuit Board PCB MAJN033  Untested As-Is
ASML 859-0927-009A Circuit Board PCB MAJN033  Untested As-Is
803.15  2d 16h
 
316-0403// Amat Applied 0021-76356 Blade, Hp Upgrade, 6 In [2nd Source]
316-0403// Amat Applied 0021-76356 Blade, Hp Upgrade, 6 In [2nd Source]
600.00  20h 3m
 
125-0302// Amat Applied 0200-09994 Ring,inner,aln 8/5mm Kmf 201  
125-0302// Amat Applied 0200-09994 Ring,inner,aln 8/5mm Kmf 201
1,000.00  19d 21h
 
347-0202// Amat Applied 70309150100 Applied Matrials Components
347-0202// Amat Applied 70309150100 Applied Matrials Components
1,000.00  19d 21h
 
345-0101// Amat Applied 1150-01042 Probe Oem Pb-90 Infrared Pcb
345-0101// Amat Applied 1150-01042 Probe Oem Pb-90 Infrared Pcb
1,200.00  11d 1h
 
323-0201// Amat Applied 3700-02155 Oring Id 10.475 Csd .210 Chemr
323-0201// Amat Applied 3700-02155 Oring Id 10.475 Csd .210 Chemr
780.00  22d 21h
 
116-0303// AMAT APPLIED 0200-09996 RING,OUTER,ALN 200 JMF SML WxZ
116-0303// AMAT APPLIED 0200-09996 RING,OUTER,ALN 200 JMF SML WxZ
1,280.00  9d 23h
 
134-0501// Amat Applied 0020-21748 Hoop 5"&6" Preclean
134-0501// Amat Applied 0020-21748 Hoop 5"&6" Preclean
1,000.00  3d 3h
 
346-0403// Amat Applied 0020-27668 Blade 8" [2nd Source ]
346-0403// Amat Applied 0020-27668 Blade 8" [2nd Source ]
1,200.00  4d 2h
 
112-0201// Amat Applied 0010-07322 Manifold Assembly, 4za Slit Va []
112-0201// Amat Applied 0010-07322 Manifold Assembly, 4za Slit Va []
1,140.00  11d 1h
 
426-0202// Digital Uf5310-2 (broken) Touch Screen [/fast]
426-0202// Digital Uf5310-2 (broken) Touch Screen [/fast]
1,400.00  21h 28m
 
347-0402// Amat Applied 0040-04941 Swll Left Side Wafer Support Fin-enp []
347-0402// Amat Applied 0040-04941 Swll Left Side Wafer Support Fin-enp []
1,280.00  19d 23h
 
103-0601// Amat Applied 0021-26787 Lift Hoop Lla Outer, Producer Se []
103-0601// Amat Applied 0021-26787 Lift Hoop Lla Outer, Producer Se []
820.00  21d 3h
 
103-0601// Amat Applied 1220-00012 Quadbar Ionizer, Link Platform []
103-0601// Amat Applied 1220-00012 Quadbar Ionizer, Link Platform []
1,080.00  21d 3h
 
340-0303// Amat Applied 0190-89001 Bellow Assy, 2.25 Stroke, R3.4 []
340-0303// Amat Applied 0190-89001 Bellow Assy, 2.25 Stroke, R3.4 []
1,150.00  21d 3h
 
126-0601// Amat Applied 0140-02621 Applied Matrials Components []
126-0601// Amat Applied 0140-02621 Applied Matrials Components []
1,160.00  3d 2h
 
176-0402// Amat Applied 0050-30762 Feed,gas By Pass,inlet Center []
176-0402// Amat Applied 0050-30762 Feed,gas By Pass,inlet Center []
1,280.00  1h 26m
 
176-0503// Amat Applied 0050-32141 Insert Gas Feed Sgd B & C R2 5000 []
176-0503// Amat Applied 0050-32141 Insert Gas Feed Sgd B & C R2 5000 []
900.00  1h 40m
 
321-0501// Esco Products Inc Esco-380 9yah Wide Band Filter []
321-0501// Esco Products Inc Esco-380 9yah Wide Band Filter []
1,000.00  4d 0h
 
AMAT 0140-35646 HARNESS ASSY,PWR,FACILITY COOLANT INTLK (Lot of 2)
AMAT 0140-35646 HARNESS ASSY,PWR,FACILITY COOLANT INTLK (Lot of 2)
29.00  9d 8h
 
Advanced Radiaton Corporation 30273 Q4197
Advanced Radiaton Corporation 30273 Q4197
88.00  26d 13h
 
Advanced Radiaton Corporation 30273 Q4197 60v
Advanced Radiaton Corporation 30273 Q4197 60v
88.00  26d 13h
 
Advanced Radiaton Corporation 30273 Q4197 64v
Advanced Radiaton Corporation 30273 Q4197 64v
88.00  26d 14h
 
Eaton Axcelis CONDITION MOTION PCB, 0342-0050-4001
Top-Rated Plus Seller Eaton Axcelis CONDITION MOTION PCB, 0342-0050-4001
194.60  20d 9h
 
123-0401// Amat Applied 0010-13143 Assy, Cassette Platform [2nd ]
123-0401// Amat Applied 0010-13143 Assy, Cassette Platform [2nd ]
1,400.00  22d 23h
 
Amat / Sgl Group 787786     Sic Barrel Kit Includes,
Amat / Sgl Group 787786 Sic Barrel Kit Includes,
9,000.00  18h 52m
 
Tokyo Electron Fe22310-1 Alpha-303i Modification,
Tokyo Electron Fe22310-1 Alpha-303i Modification,
9,500.00  19h 7m
 
Tokyo Electron 3m10-250603-11 Fixture,stage Heater Qch R&d,
Tokyo Electron 3m10-250603-11 Fixture,stage Heater Qch R&d,
5,000.00  1d 1h
 
0040-20548 (lot Of 5) / Manifold Buffer Chamber (lot Of 5) / Amat
0040-20548 (lot Of 5) / Manifold Buffer Chamber (lot Of 5) / Amat
6,000.03  2d 18h
 
Parker Veriflow, Series 06-442VFVF
Parker Veriflow, Series 06-442VFVF
30.00  24d 17h
 
Whitey/Swagelok, SS-6BVCR8-SC11
Whitey/Swagelok, SS-6BVCR8-SC11
30.25  24d 17h
 
Parker Veriflow, Series 18E-VFVF
Parker Veriflow, Series 18E-VFVF
35.00  24d 17h
 
Parker Veriflow, Series 18E-VMVM
Parker Veriflow, Series 18E-VMVM
35.00  24d 17h
 
Sanda Elec, Ind. Phase Transformer 300va  179-8 #1040c7pr4
Sanda Elec, Ind. Phase Transformer 300va 179-8 #1040c7pr4
59.99  5d 13h
 
715-330166-003 Cover, Rod, Clpg Ai, Bac Qty 3
715-330166-003 Cover, Rod, Clpg Ai, Bac Qty 3
150.00  21d 17h
 
Disco, MADPR005--H-94-1052, 6" Ceramic Table Chuck. 411759
Disco, MADPR005--H-94-1052, 6" Ceramic Table Chuck. 411759
1,750.00  9d 19h
 
Lockwood Loc-Line Flexible Hose Connectors, 6Y675, 4 per Pkg,
Lockwood Loc-Line Flexible Hose Connectors, 6Y675, 4 per Pkg,
16.99  20d 11h
 
175-0201// Amat Applied 0920-01047 Gen Rf 13.56mhz 200w [asis]
175-0201// Amat Applied 0920-01047 Gen Rf 13.56mhz 200w [asis]
2,840.00  3d 3h
 
Trikon Technologies 701067 Vzs Seagoe Advanced Ceranics W37792 Isolator Ring Top
Trikon Technologies 701067 Vzs Seagoe Advanced Ceranics W37792 Isolator Ring Top
399.99  17d 13h
 
427-0102// Pro-face Gp2301h-tc41-24v Touch Screen [/fast]
427-0102// Pro-face Gp2301h-tc41-24v Touch Screen [/fast]
700.00  21h 23m
 
322-0303// Amat Applied 0020-10669 0020-31283 Port Vacuum,wb []
322-0303// Amat Applied 0020-10669 0020-31283 Port Vacuum,wb []
800.00  18d 0h
 
428-0102// Miki Bxl-05-10 Encoder []
428-0102// Miki Bxl-05-10 Encoder []
70.00  8d 2h
 
438-0401// Oriental Motor 2823-9012 Motor []
438-0401// Oriental Motor 2823-9012 Motor []
100.00  14d 0h
 
Aera FC-PA7810C-BA Mass Flow Controller, MFC, N2 10 SLM, AMAT 3030-16228, 451769
Aera FC-PA7810C-BA Mass Flow Controller, MFC, N2 10 SLM, AMAT 3030-16228, 451769
550.00  4d 16h
 
TOKYO ELECTRON UNKNOWN  TRIAS Hi K LINER BOTTOM SIDE QUARTZ (2 PIECE KIT),
TOKYO ELECTRON UNKNOWN TRIAS Hi K LINER BOTTOM SIDE QUARTZ (2 PIECE KIT),
5,000.00  1d 18h
 
AMAT 0021-01598 Face plate, Nickel TixZ
AMAT 0021-01598 Face plate, Nickel TixZ
1,200.00  6d 3h
 
WJ Manufacturing 8" Nitrogen Shield P/N: 918032-001
WJ Manufacturing 8" Nitrogen Shield P/N: 918032-001
600.00  27d 14h
 
Applied Materials AMAT Pressure Switch, 1270-00485
Applied Materials AMAT Pressure Switch, 1270-00485
395.00  7d 5h
 
Applied Materials AMAT ECI Syringe, 3350-00006
Applied Materials AMAT ECI Syringe, 3350-00006
345.00  25d 5h
 
Nikon 4S013-477 Backplane Interface Board PCB STGSTCMSCRFX4B NSR-S307E
Nikon 4S013-477 Backplane Interface Board PCB STGSTCMSCRFX4B NSR-S307E
1,207.18  18d 10h
 
Hitachi High Technologies 1-A04827-01 Head Piece  Surplus
Hitachi High Technologies 1-A04827-01 Head Piece  Surplus
906.15  2d 16h
 
Novellus 02-302648-00 200mm Peo Sola,
Novellus 02-302648-00 200mm Peo Sola,
18,000.00  28d 17h
 
Lam 713-002617-002 WDO,POLYCARB,CHMBR,DUAL SEAL,22.5"Dia; factory sealed package
Lam 713-002617-002 WDO,POLYCARB,CHMBR,DUAL SEAL,22.5"Dia; factory sealed package
2,400.00  3d 11h
 
Nikon 4S017-236 Backplane Interface Board PCB STMTB NSR System  Working
Nikon 4S017-236 Backplane Interface Board PCB STMTB NSR System  Working
1,201.19  5d 15h
 
7397 Applied Materials Assy, Tc Isolation Amplifier, 0100-20318 0010-21699
7397 Applied Materials Assy, Tc Isolation Amplifier, 0100-20318 0010-21699
800.00  6d 1h
 
4402  Applied Materials 0100-00075/0100-00191 Video Controller Assy.
4402 Applied Materials 0100-00075/0100-00191 Video Controller Assy.
970.00  6d 13h
 
ASM 1048-432-01 Kit Advance Purchase Elec Add Universal
ASM 1048-432-01 Kit Advance Purchase Elec Add Universal
3,107.18  2d 18h
 
Applied Materials AMAT Flow Restrictor, 3300-08546
Applied Materials AMAT Flow Restrictor, 3300-08546
285.00  6d 5h
 
Amat 0200-09121, Shield 4,5,6 Bw
Amat 0200-09121, Shield 4,5,6 Bw
672.00  29d 18h
 
AMAT 0040-65003 Mixing Insert Cover + Tube SELOX,  
AMAT 0040-65003 Mixing Insert Cover + Tube SELOX,
350.00  25d 1h
 
Millipore GEN-2 Plus Variable Rate Dispense Controller WGEN22CN0 Accessory -
Millipore GEN-2 Plus Variable Rate Dispense Controller WGEN22CN0 Accessory -
225.00  8d 19h
 
Nikon TPB-H.VO Power Supply Board PCB PW H-407 NSR System  Working
Nikon TPB-H.VO Power Supply Board PCB PW H-407 NSR System  Working
952.19  13d 13h
 
Mks Htr2.0 , Str17.0 , Bp3 4620-1018
Mks Htr2.0 , Str17.0 , Bp3 4620-1018
350.00  23d 16h
 
Amat 0620-02456 Cable Assy Emo Iqdp Pump Edwards
Amat 0620-02456 Cable Assy Emo Iqdp Pump Edwards
270.00  18d 13h
 
Applied Materials AMAT Gas Line, 0050-40520
Applied Materials AMAT Gas Line, 0050-40520
525.00  26d 8h
 
Nordson 210753-8 Rev-h
Nordson 210753-8 Rev-h
30.00  15d 17h
 
Chamber O-ring 734-007359-001
Chamber O-ring 734-007359-001
25.00  14d 13h
 
O-ring 734-001849-001
O-ring 734-001849-001
29.00  14d 13h
 
LAM 715-119652-023 Mag 8 Robot Bottom Plate * working
LAM 715-119652-023 Mag 8 Robot Bottom Plate * working
1,000.00  10d 16h
 
Mks 4640-1116 Htr4.0, Str16.0, 1ap1
Mks 4640-1116 Htr4.0, Str16.0, 1ap1
250.00  24d 16h
 
Nikon 4S019-061 Interface Control Card PCB AVDRVX4VE  Working
Nikon 4S019-061 Interface Control Card PCB AVDRVX4VE  Working
1,051.15  6d 15h
 
Kayvalve High vacuum output sensor  4022.454.65411 SEM-I-743=9G21
Kayvalve High vacuum output sensor  4022.454.65411 SEM-I-743=9G21
149.90  27d 7h
 
Millipore CPOKN3489A Pendant Controller  working
Millipore CPOKN3489A Pendant Controller  working
504.12  1d 10h
 
Asyst 3200-4348-05 PCBA, Door Node, 451649
Asyst 3200-4348-05 PCBA, Door Node, 451649
650.00  27d 11h
 
127-0501// Amat Applied 0010-10436 Assy,ac Distribution,120 Vac,r
127-0501// Amat Applied 0010-10436 Assy,ac Distribution,120 Vac,r
2,000.00  13d 20h
 
127-0501// Amat Applied 0010-02631 Assy Ac Box Amat 0 W/o Neutral
127-0501// Amat Applied 0010-02631 Assy Ac Box Amat 0 W/o Neutral
3,500.00  14d 1h
 
177-0302// Amat Applied 3800-01082 Rgltr Press 0-100psi 5po Rt No-gage []
177-0302// Amat Applied 3800-01082 Rgltr Press 0-100psi 5po Rt No-gage []
1,470.00  21d 21h
 
Xycarb Ceramics 10365580 ASM RP Chamber with Ribs Refurbished
Xycarb Ceramics 10365580 ASM RP Chamber with Ribs Refurbished
2,004.18  28d 14h
 
Metal Cassette 4.5 Inch
Metal Cassette 4.5 Inch
295.00  21d 19h
 
Edwards Type Code A528-06-000 QDP Exhaust Pressure Module ID-AWM-D-2-3-001
Edwards Type Code A528-06-000 QDP Exhaust Pressure Module ID-AWM-D-2-3-001
550.00  14d 19h
 
Mo 015 042 Fsi 301202-001 Id-awm-d-2-5-008
Mo 015 042 Fsi 301202-001 Id-awm-d-2-5-008
450.00  15d 16h
 
Broadway Networks Inc 701-00001-01 No Components On The PCB AWM-G-4-1-006
Broadway Networks Inc 701-00001-01 No Components On The PCB AWM-G-4-1-006
355.00  21d 15h
 
5990-0147-0001 A Pcb Awm-b-4-4-006
5990-0147-0001 A Pcb Awm-b-4-4-006
400.00  22d 17h
 
167-0101// Yaskawa Ercr-nd17-a001 [asis]
167-0101// Yaskawa Ercr-nd17-a001 [asis]
1,500.00  25d 22h
 
167-0201// Pearl Kogyo M-20aw2vd-13m-l Matching [asis]
167-0201// Pearl Kogyo M-20aw2vd-13m-l Matching [asis]
3,000.00  25d 22h
 
333-0401// AMAT APPLIED 0020-20295 (#1) wFRAME SOURCE 11.30" [ASIS]
333-0401// AMAT APPLIED 0020-20295 (#1) wFRAME SOURCE 11.30" [ASIS]
1,500.00  14d 0h
 
127-0401// Vat 02110-ah24-0001 Gate Valve
127-0401// Vat 02110-ah24-0001 Gate Valve
1,500.00  2d 21h
 
162-0201// Amat Applied 0010-20236 Assy, Base
162-0201// Amat Applied 0010-20236 Assy, Base
1,000.00  1d 2h
 
171-0101// Sansha Ktd-15 3/30at Controler
171-0101// Sansha Ktd-15 3/30at Controler
1,000.00  29d 2h
 
335-0201// Shimadzu Ei-3203md-a1 Power Unit
335-0201// Shimadzu Ei-3203md-a1 Power Unit
1,000.00  29d 2h
 
335-0201// Shimadzu Ei-4203mz-1 Power Unit
335-0201// Shimadzu Ei-4203mz-1 Power Unit
3,000.00  29d 2h
 
166-0501// Tech Ware 5 06-80010598-001 Controller []
166-0501// Tech Ware 5 06-80010598-001 Controller []
3,000.00  18d 1h
 
118-0601// Amat Applied 0010-76634 Non Enp Nb Door Assembly []
118-0601// Amat Applied 0010-76634 Non Enp Nb Door Assembly []
1,620.00  19d 23h
 
118-0401// Amat Applied 0190-21602 Target, Diffusion Bonded, Vectra-imp [asis]
118-0401// Amat Applied 0190-21602 Target, Diffusion Bonded, Vectra-imp [asis]
2,000.00  20d 1h
 
168-0201// Anritsu Mp1764a Error Detector []
168-0201// Anritsu Mp1764a Error Detector []
3,000.00  10d 1h
 
SVG Silicon Valley Group 99-38150-02 Shuttle IES Arm 9003  Working
SVG Silicon Valley Group 99-38150-02 Shuttle IES Arm 9003  Working
704.16  1d 10h
 
Siemens 3TF6933-1D Contactor 820A/600VAC  Working
Siemens 3TF6933-1D Contactor 820A/600VAC  Working
504.18  27d 15h
 
Amat 0200-10491 Insulator,pwr Side,alumina,dpa , 2nd
Amat 0200-10491 Insulator,pwr Side,alumina,dpa , 2nd
550.00  21d 22h
 
AMAT 0020-22237 Cover Ring, 8" 424117
AMAT 0020-22237 Cover Ring, 8" 424117
895.00  29d 15h
 
VAT ISO GATE VALVE  Tag #8
VAT ISO GATE VALVE Tag #8
1,250.00  21d 13h
 
Ham-let HM20 4VKLO GF4 150 PSI Shut Off Valve, 452455
Ham-let HM20 4VKLO GF4 150 PSI Shut Off Valve, 452455
150.00  14d 12h
 
Ham-let HM20 4VKLO GF4 150 PSI Shut Off Valve, 452485
Ham-let HM20 4VKLO GF4 150 PSI Shut Off Valve, 452485
150.00  14d 12h
 
Ham-let HM20 4VKLO GF4 150 PSI Shut Off Valve, 452486
Ham-let HM20 4VKLO GF4 150 PSI Shut Off Valve, 452486
150.00  14d 12h
 
Ham-let HM20 4VKLO GF4 150 PSI Shut Off Valve, 452487
Ham-let HM20 4VKLO GF4 150 PSI Shut Off Valve, 452487
150.00  14d 12h
 
Ham-let HM20 4VKLO GF4 150 PSI Shut Off Valve, 452456
Ham-let HM20 4VKLO GF4 150 PSI Shut Off Valve, 452456
150.00  14d 12h
 
4465  Applied Materials P/N: 0090-00011 AC Box Assy.
4465 Applied Materials P/N: 0090-00011 AC Box Assy.
2,300.00  19d 12h
 
Nikon 4S018-930 Relay Interface Board PCB MTR-CTRL Nikon NSR System  Working
Nikon 4S018-930 Relay Interface Board PCB MTR-CTRL Nikon NSR System  Working
912.17  18d 10h
 
TEMP. VAC. CONTROL 9300-0052 (9300-5252 CS) Control Board
TEMP. VAC. CONTROL 9300-0052 (9300-5252 CS) Control Board
209.75  19d 13h
 
Asm 11-148252-02 Spares-vessel Rebuild Kit Lpv Gas Lines
Asm 11-148252-02 Spares-vessel Rebuild Kit Lpv Gas Lines
1,807.18  2d 21h
 
OKi Techcon Pump Cleaning Kit 5000-013-000 10002 for MRO NOS Sealed Bag
OKi Techcon Pump Cleaning Kit 5000-013-000 10002 for MRO NOS Sealed Bag
23.00  3d 16h
 
AMAT 1270-00551 M-60-T31-01-027 Malema Flow Switch,
AMAT 1270-00551 M-60-T31-01-027 Malema Flow Switch,
300.00  15d 23h
 
E17379810 / Varian Plate. Mounting, Spect. /  Varian
E17379810 / Varian Plate. Mounting, Spect. / Varian
450.82  11d 16h
 
99j0640 / 5 Valve Manifold / Mks
99j0640 / 5 Valve Manifold / Mks
292.99  15d 13h
 
70010431 / Turbo Safety I/o / Pri
70010431 / Turbo Safety I/o / Pri
300.00  24d 17h
 
681817 / Cpu Board Schem Ref No 681814 Pcb/ Applied Materials Amat
681817 / Cpu Board Schem Ref No 681814 Pcb/ Applied Materials Amat
375.99  7d 15h
 
9699-0224 / 9699-0224,htr,#4,pipe 1 / Mks
9699-0224 / 9699-0224,htr,#4,pipe 1 / Mks
395.40  20d 12h
 
2506416-21 / Asm Power Supply Pcb Card / Asm America Inc
2506416-21 / Asm Power Supply Pcb Card / Asm America Inc
352.85  4d 15h
 
70015310 / Assy Atrak Exec Pcb W/ Rs232 W/o Dmr35392 Pri0039 Jc88 / Pri
70015310 / Assy Atrak Exec Pcb W/ Rs232 W/o Dmr35392 Pri0039 Jc88 / Pri
545.82  9d 15h
 
99-80205-01 / Sys90 I/o Pcb / Svg
99-80205-01 / Sys90 I/o Pcb / Svg
325.50  18d 19h
 
Idlah1800 / Motherboard / Recif Inc
Idlah1800 / Motherboard / Recif Inc
346.55  29d 12h
 
0240-20067 / Kit Additional Relay Con Pcba / Applied Materials Amat
0240-20067 / Kit Additional Relay Con Pcba / Applied Materials Amat
420.00  17d 16h
 
3200394 / Valve - Iso (no Sensor) / Eaton
3200394 / Valve - Iso (no Sensor) / Eaton
289.80  22d 15h
 
Bgd-022462 / Sd-board / Advantest
Bgd-022462 / Sd-board / Advantest
300.62  15d 22h
 
05-5142-01 / Heat Band 8" / Novellus
05-5142-01 / Heat Band 8" / Novellus
485.82  18d 13h
 
0555200 / Vitronics Relay Adapt Pcb / Vitronics
0555200 / Vitronics Relay Adapt Pcb / Vitronics
675.68  1d 19h
 
Model B-100y / 184355-012 34-0011 Lamp-assy Bright / Thermco
Model B-100y / 184355-012 34-0011 Lamp-assy Bright / Thermco
651.00  12d 18h
 
0021-22586 / Shutter Disk, 8 B101 / Applied Materials Amat
0021-22586 / Shutter Disk, 8 B101 / Applied Materials Amat
470.56  19d 19h
 
99-47222-01 / Motor-assy Random Arm Gm8714f638 / Svg
99-47222-01 / Motor-assy Random Arm Gm8714f638 / Svg
458.85  20d 16h
 
2506653-21 / Pcb, I/o Interface / Asml
2506653-21 / Pcb, I/o Interface / Asml
450.00  20d 18h
 
0050-88510 / Gas Line Flow 2 Inlet To Inner Valve / Applied Materials Amat
0050-88510 / Gas Line Flow 2 Inlet To Inner Valve / Applied Materials Amat
350.68  10d 15h
 
Fs810.1 / Controller / Em Industries
Fs810.1 / Controller / Em Industries
504.35  20d 14h
 
Mbv-20000-a8 / Upper Exchange Arm / Nikon
Mbv-20000-a8 / Upper Exchange Arm / Nikon
295.03  23d 12h
 
81710-04225-010 / Pcb Pneumatic Control / Oerlikon
81710-04225-010 / Pcb Pneumatic Control / Oerlikon
330.62  2d 17h
 
Nry0wp544 /cvd, Edt, Wdp:pcws Pmp Rack Manifold / Uppro Ltd
Nry0wp544 /cvd, Edt, Wdp:pcws Pmp Rack Manifold / Uppro Ltd
390.00  10d 21h
 
03-78669-00 / Do P.c. Bd. Sink Schem Ref 06 78669 00 / Applied Materials
03-78669-00 / Do P.c. Bd. Sink Schem Ref 06 78669 00 / Applied Materials
689.97  6d 11h
 
7801-d-1226 / Do P.c. Bd. 678669 Sink / Applied Materials Amat
7801-d-1226 / Do P.c. Bd. 678669 Sink / Applied Materials Amat
300.00  7d 16h
 
0020-50009 / Shipping Cover Plate Blue 300mm / Applied Materials Amat
0020-50009 / Shipping Cover Plate Blue 300mm / Applied Materials Amat
355.99  14d 12h
 
99-80304-02 /    Receiver-handler Interface Pcb / Svg
99-80304-02 / Receiver-handler Interface Pcb / Svg
329.40  12d 15h
 
1201550 / Lin Brg Mod / Axcelis Technologies
1201550 / Lin Brg Mod / Axcelis Technologies
464.68  27d 11h
 
Pb39882 / Brake Override Pcb, K39882 / Pri
Pb39882 / Brake Override Pcb, K39882 / Pri
455.82  9d 13h
 
C1993 30302 / Sltt Exec Board, Bd Exec Prog Tt Bm303030100 / Precision Robots
C1993 30302 / Sltt Exec Board, Bd Exec Prog Tt Bm303030100 / Precision Robots
385.00  12d 16h
 
5010200 / Opto 22 Pcb Assy Brain Pamux / Opto 22
5010200 / Opto 22 Pcb Assy Brain Pamux / Opto 22
395.82  18d 12h
 
2506459-21 / Pcb, Basic Dpc / Asm America Inc
2506459-21 / Pcb, Basic Dpc / Asm America Inc
395.99  20d 12h
 
AMAT 0020-22237 Cover Ring, 8" 424120
AMAT 0020-22237 Cover Ring, 8" 424120
895.00  29d 17h
 
Msma041a43 / Ac Servo Motor W/ Gearhead Vrkf-9c-400 / Panasonic
Msma041a43 / Ac Servo Motor W/ Gearhead Vrkf-9c-400 / Panasonic
619.00  21d 13h
 
03-00123-04 / Cable Assy,ca17 / Novellus Systems Inc
03-00123-04 / Cable Assy,ca17 / Novellus Systems Inc
289.77  21d 18h
 
Bm70052 / Pri Pcb, Ram I, Version 2 / Pri
Bm70052 / Pri Pcb, Ram I, Version 2 / Pri
550.99  2d 13h
 
1350-00141 / Xdcr Press Abs 50torr 1/2fvcr +/-15vdc 1 / Amat
1350-00141 / Xdcr Press Abs 50torr 1/2fvcr +/-15vdc 1 / Amat
476.00  9d 12h
 
232360-001 / Breaker-circuit 40a    / Fsi
232360-001 / Breaker-circuit 40a / Fsi
392.70  9d 13h
 
Com5b0018 / Drive-hard 170 Meg / Sms
Com5b0018 / Drive-hard 170 Meg / Sms
715.05  22d 11h
 
951837 / Base M10 5 Slot / Novellus Systems Inc
951837 / Base M10 5 Slot / Novellus Systems Inc
364.65  23d 12h
 
Bgo-022241x06 / Advantest Pcb / Advantest
Bgo-022241x06 / Advantest Pcb / Advantest
480.62  16d 18h
 
0100-00049 / Pcb W Pwb, Analog Signal Cnd Analog Signal Cond / Amat
0100-00049 / Pcb W Pwb, Analog Signal Cnd Analog Signal Cond / Amat
350.03  1d 12h
 
00012 / Rev B Pcb Board / Pri
00012 / Rev B Pcb Board / Pri
685.82  5d 10h
 
Hs190000 / Fluid Sensor Control Unit / Novellus
Hs190000 / Fluid Sensor Control Unit / Novellus
356.40  27d 10h
 
0150-01114 / Cable Assy,mod Pwr Driver Feedback Ma-ch / Applied Materials Amat
0150-01114 / Cable Assy,mod Pwr Driver Feedback Ma-ch / Applied Materials Amat
303.37  12h 26m
 
715-330000-090 / Gas, Ring Injection / Lam Research Corporation
715-330000-090 / Gas, Ring Injection / Lam Research Corporation
687.77  15d 19h
 
678886 / Hose Metal Flex 7800 / Applied Materials Amat
678886 / Hose Metal Flex 7800 / Applied Materials Amat
656.62  16d 11h
 
ASM 02-180574D01 Assembly RLL Top Cover
ASM 02-180574D01 Assembly RLL Top Cover
2,106.18  2d 18h
 
ASM 02-180573D01 Assembly LLL Top Cover
ASM 02-180573D01 Assembly LLL Top Cover
2,106.18  2d 18h
 
(1) ESI Controller Board CKT ASSY 24953
(1) ESI Controller Board CKT ASSY 24953
9.95  19d 21h
 
ASM 02-184406-01 Dual Pulsar Power Cabinet  Surplus
ASM 02-184406-01 Dual Pulsar Power Cabinet  Surplus
4,207.18  2d 19h
 
Unit Instruments URS-20 Single Channel MFC Controller, 421238
Unit Instruments URS-20 Single Channel MFC Controller, 421238
150.00  12d 13h
 
Leybold AG 200.81.080 Temp-Box Controller, 451967
Leybold AG 200.81.080 Temp-Box Controller, 451967
150.00  1d 15h
 
Leybold AG 200.81.080 Temp-Box Controller, 451968
Leybold AG 200.81.080 Temp-Box Controller, 451968
150.00  1d 15h
 
Leybold AG 200.81.080 Temp-Box Controller, 451970
Leybold AG 200.81.080 Temp-Box Controller, 451970
150.00  1d 15h
 
Leybold AG 200.81.080 Temp-Box Controller, 451973
Leybold AG 200.81.080 Temp-Box Controller, 451973
150.00  1d 15h
 
Leybold AG 200.81.080 Temp-Box Controller, 451974
Leybold AG 200.81.080 Temp-Box Controller, 451974
150.00  1d 16h
 
Leybold AG 200.81.080 Temp-Box Controller, 451975
Leybold AG 200.81.080 Temp-Box Controller, 451975
150.00  1d 16h
 
Leybold AG 200.81.080 Temp-Box Controller, 451976
Leybold AG 200.81.080 Temp-Box Controller, 451976
150.00  1d 16h
 
Leybold AG 200.81.080 Temp-Box Controller, 451977
Leybold AG 200.81.080 Temp-Box Controller, 451977
150.00  1d 16h
 
Leybold AG 200.81.080 Temp-Box Controller, 451978
Leybold AG 200.81.080 Temp-Box Controller, 451978
150.00  1d 17h
 
Leybold AG 200.81.080 Temp-Box Controller, 451979
Leybold AG 200.81.080 Temp-Box Controller, 451979
150.00  1d 17h
 
Leybold AG 200.81.080 Temp-Box Controller, 451980
Leybold AG 200.81.080 Temp-Box Controller, 451980
150.00  1d 17h
 
Leybold AG 200.81.080 Temp-Box Controller, 451981
Leybold AG 200.81.080 Temp-Box Controller, 451981
150.00  1d 17h
 
Leybold AG 200.81.080 Temp-Box Controller, 451982
Leybold AG 200.81.080 Temp-Box Controller, 451982
150.00  1d 17h
 
Leybold AG 200.81.080 Temp-Box Controller, 451983
Leybold AG 200.81.080 Temp-Box Controller, 451983
150.00  1d 17h
 
Leybold AG 200.81.080 Temp-Box Controller, 451986
Leybold AG 200.81.080 Temp-Box Controller, 451986
150.00  1d 17h
 
Leybold AG 200.81.080 Temp-Box Controller, 452000
Leybold AG 200.81.080 Temp-Box Controller, 452000
150.00  12d 13h
 
Leybold AG 200.81.080 Temp-Box Controller, 452002
Leybold AG 200.81.080 Temp-Box Controller, 452002
150.00  12d 14h
 
Leybold AG 200.81.080 Temp-Box Controller, 452004
Leybold AG 200.81.080 Temp-Box Controller, 452004
150.00  12d 15h
 
Leybold AG 200.81.080 Temp-Box Controller, 452028
Leybold AG 200.81.080 Temp-Box Controller, 452028
150.00  12d 15h
 
1-a18283-^a / Xt Ge Removal Fixture / Tsm Hitachi
1-a18283-^a / Xt Ge Removal Fixture / Tsm Hitachi
800.00  29d 12h
 
0021-19152 / Particle Ring / Applied Materials Amat
0021-19152 / Particle Ring / Applied Materials Amat
800.00  14d 17h
 
130-0303// Hana Kvme-21j1 Board
130-0303// Hana Kvme-21j1 Board
600.00  10d 1h
 
111-0301// Amat Applied 0021-10370 Cover, Turbo Side
111-0301// Amat Applied 0021-10370 Cover, Turbo Side
900.00  13d 2h
 
Instek Pr-6030 Laboratory Dc Power Supply,  
Instek Pr-6030 Laboratory Dc Power Supply,
500.00  20d 6h
 
Parker CM231GJ-115002 PX23-030-S2 MOTOR & GEARHEAD ,
Parker CM231GJ-115002 PX23-030-S2 MOTOR & GEARHEAD ,
399.00  13d 1h
 
DS Techno S3L30296 Smooth Quartz Disc  Surplus
DS Techno S3L30296 Smooth Quartz Disc  Surplus
2,505.18  15d 15h
 
O-ring 734-007334-001
O-ring 734-007334-001
130.00  27d 12h
 
Tel Gas-hw-i/l-a 8sec Ttl-t0016 2180-020400-11 2108/ 2181-020129-11 Pcb Board
Tel Gas-hw-i/l-a 8sec Ttl-t0016 2180-020400-11 2108/ 2181-020129-11 Pcb Board
899.00  10d 14h
 
Applied Materials AMAT Pressure Gauge, 3310-01240
Applied Materials AMAT Pressure Gauge, 3310-01240
725.00  18d 5h
 
Applied Materials AMAT Pressure Transducer, 0190-02103
Applied Materials AMAT Pressure Transducer, 0190-02103
695.00  1d 5h
 
Applied Materials AMAT Pressure Transducer, 0015-02123
Applied Materials AMAT Pressure Transducer, 0015-02123
595.00  7d 5h
 
AMAT 0010-05187 Assembly, Wet, Queque Nest, 406271
AMAT 0010-05187 Assembly, Wet, Queque Nest, 406271
1,750.00  4d 17h
 
AMAT 0020-18095 Spacer, Relay, 407233
AMAT 0020-18095 Spacer, Relay, 407233
250.00  9d 16h
 
Pulse Motor Driver 9565-0073 (9565-7370 CS) T14I602
Pulse Motor Driver 9565-0073 (9565-7370 CS) T14I602
225.75  19d 13h
 
Amat Inner, Outer, Mid Rf Filter 0022-09384 0041-75266
Amat Inner, Outer, Mid Rf Filter 0022-09384 0041-75266
6,600.00  25d 10h
 
Mqma042c1c / Ac Servo Motor With Gearhead / Panasonic
Mqma042c1c / Ac Servo Motor With Gearhead / Panasonic
750.03  3d 13h
 
Daifuku LDS-2691B Processor Interface Board PCB  Working
Daifuku LDS-2691B Processor Interface Board PCB  Working
808.18  3d 11h
 
Nikon 4S007-138 Interface Board PCB RT8XB NSR-S202A  Working
Nikon 4S007-138 Interface Board PCB RT8XB NSR-S202A  Working
1,206.18  2d 15h
 
Veriflo SQMICRO302PFSFF, 1/4 VCR, 2way Female, 45200183, 22-122979-00
Veriflo SQMICRO302PFSFF, 1/4 VCR, 2way Female, 45200183, 22-122979-00
500.00  8d 2h
 
Nikon 4S013-369 Backplane Interface Board PCB STGSTCAFX4 NSR System  Working
Nikon 4S013-369 Backplane Interface Board PCB STGSTCAFX4 NSR System  Working
1,207.18  15d 10h
 
Tem-Tech NPS1000-420-1 Compact Digital Display Reseller Lot of 31 Working
Tem-Tech NPS1000-420-1 Compact Digital Display Reseller Lot of 31 Working
1,707.18  28d 13h
 
Canon BH8-0858-03 BG9-4086 BG8-3008
Canon BH8-0858-03 BG9-4086 BG8-3008
289.00  14d 22h
 
428-0301// Parvex Rs220kr1049-z (cut Cable) Motor []
428-0301// Parvex Rs220kr1049-z (cut Cable) Motor []
400.00  8d 3h
 
428-0301// Parvex Rs220kr1049 Motor []
428-0301// Parvex Rs220kr1049 Motor []
400.00  8d 3h
 
428-0301// Parvex Rs220kr1047-z (cut Cable) Motor []
428-0301// Parvex Rs220kr1047-z (cut Cable) Motor []
400.00  8d 3h
 
SMC PFM711-N7-D-M 4-20mA 28V 80mA 2~100L/min Digital Flow Switch w/ Cable
SMC PFM711-N7-D-M 4-20mA 28V 80mA 2~100L/min Digital Flow Switch w/ Cable
39.99  25d 14h
 
Amat 0150-10063 Cable Assy, Safety Swiitch Jumper,
Amat 0150-10063 Cable Assy, Safety Swiitch Jumper,
65.00  24d 2h
 
Esi -240795 Ca, 2.4m Flt Gntry Umblca(a)lf
Esi -240795 Ca, 2.4m Flt Gntry Umblca(a)lf
555.00  13d 9h
 
2424  Lot of 6 MEC Tech MEC81105-200A Overlay Uppers
2424 Lot of 6 MEC Tech MEC81105-200A Overlay Uppers
350.00  18d 13h
 
2425  Lot of 6 MEC Tech MEC81105-201A Overlay Inners
2425 Lot of 6 MEC Tech MEC81105-201A Overlay Inners
300.00  18d 13h
 
112-0601// Amat Applied 0040-70149 Weldment Arm Source
112-0601// Amat Applied 0040-70149 Weldment Arm Source
1,200.00  8d 21h
 
Applied Materials 670934 PCB Board, Rev F w/ QTY 16, EV-3M-24VDC Manifold Valves
Applied Materials 670934 PCB Board, Rev F w/ QTY 16, EV-3M-24VDC Manifold Valves
99.99  22d 8h
 
Novellus 16-029857-00 Evaporator Top, Swagelok 316LV Micro-Fit, 1/2" VCR, 452265
Novellus 16-029857-00 Evaporator Top, Swagelok 316LV Micro-Fit, 1/2" VCR, 452265
150.00  18h 4m
 
Novellus 16-029857-00 Evaporator Top, Swagelok 316LV Micro-Fit, 1/2" VCR, 452268
Novellus 16-029857-00 Evaporator Top, Swagelok 316LV Micro-Fit, 1/2" VCR, 452268
150.00  3d 11h
 
Novellus 16-029857-00 Evaporator Top, Swagelok 316LV Micro-Fit, 1/2" VCR, 452270
Novellus 16-029857-00 Evaporator Top, Swagelok 316LV Micro-Fit, 1/2" VCR, 452270
150.00  3d 11h
 
Novellus 16-029857-00 Evaporator Top, Swagelok 316LV Micro-Fit, 1/2" VCR, 452271
Novellus 16-029857-00 Evaporator Top, Swagelok 316LV Micro-Fit, 1/2" VCR, 452271
150.00  3d 11h
 
AC Power Supply 0-15 VOLTS, 453276
AC Power Supply 0-15 VOLTS, 453276
150.00  14d 11h
 
Nikon 4S001-093 Power Supply Card PW-NK NSR-S307E  Working
Nikon 4S001-093 Power Supply Card PW-NK NSR-S307E  Working
911.18  6d 17h
 
SM955LPN0S11 Pneumatic Valve, 54012513, 452305
SM955LPN0S11 Pneumatic Valve, 54012513, 452305
30.00  4d 15h
 
Plate For AG Associates Heatpulse -6
Plate For AG Associates Heatpulse -6
1,500.00  26d 11h
 
AMAT Applied Materials 0021-03526 BPSG Slotted Outer Ring  Surplus
AMAT Applied Materials 0021-03526 BPSG Slotted Outer Ring  Surplus
1,201.19  27d 13h
 
135-0504// Amat Applied 0020-25169 Support, Inner Magnet []
135-0504// Amat Applied 0020-25169 Support, Inner Magnet []
450.00  17d 23h
 
Amat 0240-31299, Pik,a1203 Slit Window, Assembly Kit
Amat 0240-31299, Pik,a1203 Slit Window, Assembly Kit
549.00  9d 14h
 
 SEALED * AP Tech AP1410TSHM 2PW MV8MV8 APCI2/30 Diaphragm Regulator
 SEALED * AP Tech AP1410TSHM 2PW MV8MV8 APCI2/30 Diaphragm Regulator
725.00  28d 14h
 
323-0302// Amat Applied 1120-00254 Optical Housing Assembly,vertical- Right
323-0302// Amat Applied 1120-00254 Optical Housing Assembly,vertical- Right
750.00  6d 3h
 
Wallac DPA 1055 3085 E SMK 9802
Wallac DPA 1055 3085 E SMK 9802
209.75  15d 16h
 
AMAT 0020-20164 Cover, Insulator Source, 419563
AMAT 0020-20164 Cover, Insulator Source, 419563
850.00  14d 13h
 
Applied Materials  AMAT  0100-90533  Rev C  Beamline Vacuum Control panel
Applied Materials AMAT 0100-90533 Rev C Beamline Vacuum Control panel
645.00  11d 10h
 
Lam Research P/N: 853-277490-106 1033341 - 1 YEAR WARRANTY!
Top-Rated Plus Seller Lam Research P/N: 853-277490-106 1033341 - 1 YEAR WARRANTY!
2,489.87  23d 12h
 
Nikon 4S008-048-1 Relay Backplane Board PCB AF-PSDX4  Working
Nikon 4S008-048-1 Relay Backplane Board PCB AF-PSDX4  Working
1,103.95  5d 10h
 
Horiba H214350B Signal Output Board PCB Card OUT-01 PD-201A  Working
Horiba H214350B Signal Output Board PCB Card OUT-01 PD-201A  Working
911.18  24d 18h
 
Georg Fischer  3/4 Inch Natural Pvdf Coupler 4830-007
Georg Fischer 3/4 Inch Natural Pvdf Coupler 4830-007
24.95  19d 9h
 
130-0302// Amat Applied 0226-00671 Applied Matrials Components
130-0302// Amat Applied 0226-00671 Applied Matrials Components
1,000.00  28d 22h
 
Nikon 4S007-663-1 Relay Sensor Board PCB FIAAF-SENSOR-A NSR-S306C System
Nikon 4S007-663-1 Relay Sensor Board PCB FIAAF-SENSOR-A NSR-S306C System
1,008.18  19d 16h
 
50422100000 /sgs Ps Assy / Applied Materials
50422100000 /sgs Ps Assy / Applied Materials
1,000.97  15h 50m
 
Flexible Borescope 0050264, 0090296BSG
Flexible Borescope 0050264, 0090296BSG
45.00  26d 14h
 
ASM 2841436-01 Reactor Touchscreen
ASM 2841436-01 Reactor Touchscreen
3,007.18  2d 22h
 
Asm 04-404548-01 Susceptor 300mm Capped
Asm 04-404548-01 Susceptor 300mm Capped
2,507.18  2d 19h
 
4s599-453 / Power Supply Msc-710-2 / Tdk
4s599-453 / Power Supply Msc-710-2 / Tdk
765.98  5d 14h
 
114-099 / Valve / Svg
114-099 / Valve / Svg
1,309.35  18d 13h
 
Leybold AG 200.81.080 Temp-Box Controller, 451984
Leybold AG 200.81.080 Temp-Box Controller, 451984
150.00  1d 17h
 
Applied Materials 670934 PCB Board, Rev E w/ QTY 16, EV-3M-24VDC Manifold Valves
Applied Materials 670934 PCB Board, Rev E w/ QTY 16, EV-3M-24VDC Manifold Valves
99.99  22d 8h
 
AMAT 0020-20164 Cover, Insulator Source, 419564
AMAT 0020-20164 Cover, Insulator Source, 419564
850.00  14d 13h
 
Nikon 4S007-664 Relay Sensor Board PCB FIAAF-SENSOR-D NSR-S306C System
Nikon 4S007-664 Relay Sensor Board PCB FIAAF-SENSOR-D NSR-S306C System
1,008.18  19d 16h
 
Ultralynn Diffusor 6-97202-14
Ultralynn Diffusor 6-97202-14
750.00  14d 17h
 
Nikon 4S003-028 Interface Control Board PCB DCMD-L2 NSR System  Working
Nikon 4S003-028 Interface Control Board PCB DCMD-L2 NSR System  Working
666.70  3d 15h
 
4s018-727 / Im-com Pcb Rev A / Nikon
4s018-727 / Im-com Pcb Rev A / Nikon
6,500.82  24d 12h
 
6147800     /  Ems Powerr Supply  /  Varian
6147800 / Ems Powerr Supply / Varian
7,550.82  5d 20h
 
219790 /    Ps15 Converter Series 900 Converter Unit / Farnell
219790 / Ps15 Converter Series 900 Converter Unit / Farnell
7,000.82  10h 15m
 
750075  /  605e Robot Control  /  Wtm
750075 / 605e Robot Control / Wtm
6,511.88  1d 22h
 
70512599000 / Frame Assy With 5 Boards Sgs / Applied Materials
70512599000 / Frame Assy With 5 Boards Sgs / Applied Materials
6,400.97  23d 15h
 
120871000 / Hsg Assy,outer / Lam Research Corporation
120871000 / Hsg Assy,outer / Lam Research Corporation
9,900.00  19d 15h
 
0010-05410 / Cross Brake Removal Tool Assy / Applied Materials
0010-05410 / Cross Brake Removal Tool Assy / Applied Materials
8,100.00  20d 16h
 
Nikon SFK-MTR-X8 Backplane Interface Board PCB NSR System  Working
Nikon SFK-MTR-X8 Backplane Interface Board PCB NSR System  Working
1,051.15  1d 15h
 
AMAT 0020-22237 Cover Ring, 8" 424122
AMAT 0020-22237 Cover Ring, 8" 424122
895.00  29d 17h
 
Amat 0021-06499 Manifold, Teflon Feed Thru, Lid, Ch Prdc, Refurbished
Amat 0021-06499 Manifold, Teflon Feed Thru, Lid, Ch Prdc, Refurbished
500.00  15d 20h
 
Semicon A-5117a Pumping Ring,
Semicon A-5117a Pumping Ring,
500.00  13d 14h
 
Tokyo Electron Ct5085-404371-13 Handgrip Assy,
Tokyo Electron Ct5085-404371-13 Handgrip Assy,
500.00  19d 21h
 
Amat 0140-07387 No Description,
Amat 0140-07387 No Description,
350.00  23d 6h
 
Amat 0270-00649 Shaft Plug Shipping Sinergy Part 2,
Amat 0270-00649 Shaft Plug Shipping Sinergy Part 2,
300.00  9d 0h
 
Tokyo Electron 71339450-001 Transport Assy, Spa 3m87-000725-14,
Tokyo Electron 71339450-001 Transport Assy, Spa 3m87-000725-14,
500.00  15d 0h
 
AMAT 0240-18484 SMG Kit Certificate of Compliance,
AMAT 0240-18484 SMG Kit Certificate of Compliance,
500.00  16d 6h
 
Mks 9699-1361 Htr2.08, Str4.94, 1ap1
Mks 9699-1361 Htr2.08, Str4.94, 1ap1
199.00  14h 24m
 
Mks 9615-1779 Htr1.5, El90lr, Spec, 1ap1
Mks 9615-1779 Htr1.5, El90lr, Spec, 1ap1
199.00  14h 32m
 
PANASONIC Panadac 615-OET-B Module
PANASONIC Panadac 615-OET-B Module
388.00  18d 9h
 
ASM 16-400505-01 PLATE-INSULATOR  Surplus
ASM 16-400505-01 PLATE-INSULATOR  Surplus
2,807.18  2d 21h
 
Nikon 4S018-837 Backplane Interface Board PCB ALCP-MTHX3 NSR-S306C  Working
Nikon 4S018-837 Backplane Interface Board PCB ALCP-MTHX3 NSR-S306C  Working
1,051.15  25d 16h
 
Amat 0020-78334 Rev. P1,
Amat 0020-78334 Rev. P1,
250.00  24d 0h
 
AMAT 0040-76024 Belt Guard right Cover,
AMAT 0040-76024 Belt Guard right Cover,
200.00  10d 23h
 
Amat 0040-77217 Sill Mount Cup Side Rear,
Amat 0040-77217 Sill Mount Cup Side Rear,
250.00  23d 6h
 
ASM 16-190115U01 Plate RC Base Refurbished
ASM 16-190115U01 Plate RC Base Refurbished
1,106.18  2d 19h
 
APPLIED MATERIALS 0040-76420  Enclosure Flow Meter
APPLIED MATERIALS 0040-76420  Enclosure Flow Meter
140.00  13d 17h
 
Applied Materials AMAT NASCLEAN N-30-S Inline Gas Filter, 0227-46925, 0227-08307
Applied Materials AMAT NASCLEAN N-30-S Inline Gas Filter, 0227-46925, 0227-08307
375.00  15d 9h
 
Nickel/Titanium (10/90), 2" diameter x 0.250" thick, with keeper
Nickel/Titanium (10/90), 2" diameter x 0.250" thick, with keeper
125.00  23d 15h
 
X15080 Rev. E Printed Circuit Board
X15080 Rev. E Printed Circuit Board
750.00  18d 12h
 
Nikon 4S018-715-A Backplane Interface Board PCB OPDMTHX4 NSR-S204B  Working
Nikon 4S018-715-A Backplane Interface Board PCB OPDMTHX4 NSR-S204B  Working
911.18  28d 16h
 
CKD AGD01V-X0001 Valve, Type N.C., 451636
CKD AGD01V-X0001 Valve, Type N.C., 451636
110.00  24d 15h
 
Vie Daq-r16i160-l3 Pcb, 451692
Vie Daq-r16i160-l3 Pcb, 451692
95.00  1d 12h
 
Chiyoda Seiki EX-500mkII-TD Pressure Regulator, Controller, 452998
Chiyoda Seiki EX-500mkII-TD Pressure Regulator, Controller, 452998
100.00  6d 16h
 
Swiss Clamps, EVAC, 451697
Swiss Clamps, EVAC, 451697
50.00  1d 15h
 
BTU Engineering 3161181 Video Assembly PCB Card 3161180  Working
BTU Engineering 3161181 Video Assembly PCB Card 3161180  Working
402.19  26d 12h
 
0010-00563 / W24v Power Supply Lrs-56-24/ Applied Materials
0010-00563 / W24v Power Supply Lrs-56-24/ Applied Materials
1,400.98  1d 15h
 
Horiba H214348A Signal Input Board PCB Card IN-01 PD-201A  Working
Horiba H214348A Signal Input Board PCB Card IN-01 PD-201A  Working
911.18  25d 10h
 
Amat 0140-00046 Receiver, Wiring Assy.
Amat 0140-00046 Receiver, Wiring Assy.
50.00  16d 22h
 
Amat 0140-00036 Emitter Wiring Assy
Amat 0140-00036 Emitter Wiring Assy
50.00  16d 23h
 
Nikon 4S007-609 Interface Board PCB PPD-EXBDY NSR System  Working
Nikon 4S007-609 Interface Board PCB PPD-EXBDY NSR System  Working
1,008.18  25d 11h
 
Nikon 4S007-663 FIAAF Sensor Interface Board PCB FIAAF-SENSOR-A NSR-S202A
Nikon 4S007-663 FIAAF Sensor Interface Board PCB FIAAF-SENSOR-A NSR-S202A
856.18  3d 15h
 
Nikon 4S007-792 Interface Board PCB SR8-PRE2 NSR-S202A  Working
Nikon 4S007-792 Interface Board PCB SR8-PRE2 NSR-S202A  Working
1,206.18  3d 12h
 
Schumacher LSB Module 491-7106E
Schumacher LSB Module 491-7106E
200.00  12d 18h
 
Nikon 4S019-144 Backplane Interface Board OPDMTHX4B1 NSR-S307E  Working
Nikon 4S019-144 Backplane Interface Board OPDMTHX4B1 NSR-S307E  Working
1,206.18  27d 10h
 
TEL Tokyo Electron E281-000016-41 E208-000016-22 E281-000017-41 T-3044SS
TEL Tokyo Electron E281-000016-41 E208-000016-22 E281-000017-41 T-3044SS
1,106.16  1d 16h
 
Aera FC-PA7800C-BA Mass Flow Controller MFC CH4 100 SCCM AMAT 3030-16236, 451787
Aera FC-PA7800C-BA Mass Flow Controller MFC CH4 100 SCCM AMAT 3030-16236, 451787
650.00  5d 13h
 
KoMiCo Technology S31A-GD-010-A GDP 1.0mm Plate 500080005  Working
KoMiCo Technology S31A-GD-010-A GDP 1.0mm Plate 500080005  Working
507.15  17d 15h
 
AVAL DATA AVME-127 MPU-27 BOARD, Free shipping
AVAL DATA AVME-127 MPU-27 BOARD, Free shipping
139.90  20d 1h
 
AMAT 0200-40208A, BAFFLE, Si
AMAT 0200-40208A, BAFFLE, Si
345.00  27d 14h
 
Eaton Axcelis I/O CARD ACK PCB ASSEMBLY, 0342-0684-4001
Top-Rated Plus Seller Eaton Axcelis I/O CARD ACK PCB ASSEMBLY, 0342-0684-4001
178.50  21d 11h
 
105-0401// Amat Applied 0190-06741 Spec., Yaskawa Mechatrolink Cable Cn-6a
105-0401// Amat Applied 0190-06741 Spec., Yaskawa Mechatrolink Cable Cn-6a
350.00  16d 2h
 
AMAT Applied Materials 0010-00357 Flat Finder
AMAT Applied Materials 0010-00357 Flat Finder
3,507.17  2d 20h
 
CONVERTER;CT5024-000037-11,Converter, SFC-M,TEL, CT5024-000037-11
CONVERTER;CT5024-000037-11,Converter, SFC-M,TEL, CT5024-000037-11
2,160.00  13d 21h
 
ASML 4022.471.5463 Circuit Board PCB  Working
ASML 4022.471.5463 Circuit Board PCB  Working
1,001.14  28d 11h
 
2587440-01 / Assy, Sensing Boat Rotation Ii / Asm America Inc
2587440-01 / Assy, Sensing Boat Rotation Ii / Asm America Inc
4,500.68  1d 16h
 
0100-01486 / Assy Y Sensor Pcb  /  Applied Materials Amat
0100-01486 / Assy Y Sensor Pcb / Applied Materials Amat
1,950.82  24d 11h
 
Amat 0021-79784 Bracket, Hose Mounting, Internal Spray G,
Amat 0021-79784 Bracket, Hose Mounting, Internal Spray G,
180.00  22d 18h
 
Amat  0020-79186 Outer Bearing Spacer Pad Cond,
Amat 0020-79186 Outer Bearing Spacer Pad Cond,
150.00  22d 6h
 
Amat 0140-03615 Cable Assy Rr Press Rgltr Head Pneu Er44  
Amat 0140-03615 Cable Assy Rr Press Rgltr Head Pneu Er44
190.00  4d 5h
 
Nikon 4S025-582 Backplane Board PCB STGX8-BPR NSR-S620D ArF Immersion
Nikon 4S025-582 Backplane Board PCB STGX8-BPR NSR-S620D ArF Immersion
991.20  8d 18h
 
Keyence One Touch Calibration Separate Amplifer Photoelectric Sensor #2000J64
Keyence One Touch Calibration Separate Amplifer Photoelectric Sensor #2000J64
34.99  20d 8h
 
0020-75872, Hoop 6” Hthu Heater
0020-75872, Hoop 6” Hthu Heater
3,050.00  2d 18h
 
4431  Applied Materials 0010-00036 Module Controller Chassis
4431 Applied Materials 0010-00036 Module Controller Chassis
750.00  12d 18h
 
Amat 0040-76470 Belt Joint 3, 300mm, Sbr Lot Of 2,
Amat 0040-76470 Belt Joint 3, 300mm, Sbr Lot Of 2,
470.00  14d 6h
 
Amat 0040-70080 Block Location Universal Station 300mm L,
Amat 0040-70080 Block Location Universal Station 300mm L,
290.00  15d 20h
 
Amat 0620-02872 Cable Assy 1ft 3pos -male/fem For Ax7610 P,
Amat 0620-02872 Cable Assy 1ft 3pos -male/fem For Ax7610 P,
350.00  16d 6h
 
Amat 0010-02306 Assy, Mirror Hsg, Iep Dome Win,
Amat 0010-02306 Assy, Mirror Hsg, Iep Dome Win,
300.00  17h 16m
 
Amat 0400-00246 Software Kit E2.0,
Amat 0400-00246 Software Kit E2.0,
350.00  2d 22h
 
Amat 0190-01544 Interlock Module Emax,
Amat 0190-01544 Interlock Module Emax,
550.00  14d 1h
 
Tokyo Electron Bx80-070778-11 Adjust Tool, Angle,
Tokyo Electron Bx80-070778-11 Adjust Tool, Angle,
500.00  22d 1h
 
Amat 0270-00050 Carrier Align Tool,
Amat 0270-00050 Carrier Align Tool,
350.00  4d 16h
 
Mcd 12633 Feed Thru Teflon,
Mcd 12633 Feed Thru Teflon,
500.00  3d 16h
 
Amat 0150-02384 Cable Assy,
Amat 0150-02384 Cable Assy,
300.00  8d 23h
 
Merlin Gerin C60n
Merlin Gerin C60n
22.00  21d 14h
 
AMAT Applied Materials 0227-05061 Vacuum Roughing Line Matrix Kit
AMAT Applied Materials 0227-05061 Vacuum Roughing Line Matrix Kit
808.12  20d 10h
 
141-0501// Amat Applied 0140-20693 Harness Assy  Main Connect 2nd Source
141-0501// Amat Applied 0140-20693 Harness Assy  Main Connect 2nd Source
80.00  16d 21h
 
442-0202// Orienatal Motor A6479-9015ktge Motor []
442-0202// Orienatal Motor A6479-9015ktge Motor []
100.00  14d 19h
 
Brooks 5896B11A Readout Mass Flow Controller, 5896, 421169
Brooks 5896B11A Readout Mass Flow Controller, 5896, 421169
250.00  12d 18h
 
Brooks 5896A1A Readout Mass Flow Controller, 5896, 421170
Brooks 5896A1A Readout Mass Flow Controller, 5896, 421170
250.00  16d 17h
 
SANYO DENKI QR1A01AK0 Servo Drive Amplifier Board
SANYO DENKI QR1A01AK0 Servo Drive Amplifier Board
555.00  12d 4h
 
Nikon 4S064-631 IU-IOP2 Controller NSR Series  Working
Nikon 4S064-631 IU-IOP2 Controller NSR Series  Working
3,025.60  18d 10h
 
AMAT 1200-00178, RLY Assy Mapping, Interlock, 200MM FAB, 410502
AMAT 1200-00178, RLY Assy Mapping, Interlock, 200MM FAB, 410502
1,750.00  1d 16h
 
Amat 0020-15935 Applied Materials One Piece Pulley Adapter Plate 300mm
Amat 0020-15935 Applied Materials One Piece Pulley Adapter Plate 300mm
112.99  14d 12h
 
Microscope objective 40/0.65 160/0.17
Microscope objective 40/0.65 160/0.17
225.00  13d 12h
 
Fujikin 316L Pneumatic Solenoid Valve, L# AG7X6000, C# 023718, 1/4" VCR, 452233
Fujikin 316L Pneumatic Solenoid Valve, L# AG7X6000, C# 023718, 1/4" VCR, 452233
45.00  27d 13h
 
Fujikin 316L Pneumatic Solenoid Valve, L# DCTG00, C# 023718, 1/4" VCR, 452238
Fujikin 316L Pneumatic Solenoid Valve, L# DCTG00, C# 023718, 1/4" VCR, 452238
45.00  27d 17h
 
Ebmpapst 3212 J/2H4P Fan 452315
Ebmpapst 3212 J/2H4P Fan 452315
45.00  5d 13h
 
STI SR106ED02 Safety Relay 44510-1062, 115VAC, Omron, Sensor, 452633
STI SR106ED02 Safety Relay 44510-1062, 115VAC, Omron, Sensor, 452633
100.00  17d 18h
 
Data Logic S5-5-G8-02 Photoelectric Sensor, 452648
Data Logic S5-5-G8-02 Photoelectric Sensor, 452648
60.00  19d 15h
 
Fujikin 316L Pneumatic Solenoid Valve, L# DD0E00, C# 023718, 1/4" VCR, 452235
Fujikin 316L Pneumatic Solenoid Valve, L# DD0E00, C# 023718, 1/4" VCR, 452235
45.00  27d 16h
 
Fujikin 316L Pneumatic Solenoid Valve, L# DD0E00, C# 023718, 1/4" VCR, 452237
Fujikin 316L Pneumatic Solenoid Valve, L# DD0E00, C# 023718, 1/4" VCR, 452237
45.00  27d 17h
 
Koganei 299-4E2 Solenoid Valve, AC100V, 452437
Koganei 299-4E2 Solenoid Valve, AC100V, 452437
75.00  25d 14h
 
170-0501// Balzers Pcu425 Balzers Pcu 425 Pump Control Unit []
170-0501// Balzers Pcu425 Balzers Pcu 425 Pump Control Unit []
500.00  9d 3h
 
Hp STOP Switch Push Button Red CA354-01370
Top-Rated Plus Seller Hp STOP Switch Push Button Red CA354-01370
130.00  10d 16h
 
AMAT 0020-20164 Cover, Insulator Source, 419567
AMAT 0020-20164 Cover, Insulator Source, 419567
850.00  14d 13h
 
AMAT 0020-22237 Cover Ring, 8" 424123
AMAT 0020-22237 Cover Ring, 8" 424123
895.00  29d 17h
 
CKD AGD01V-X0001 Valve, Type N.C., 451599
CKD AGD01V-X0001 Valve, Type N.C., 451599
110.00  24d 15h
 
Nikon 4S018-715-A Backplane Interface Board PCB OPDMTHX4 NSR-S205C  Working
Nikon 4S018-715-A Backplane Interface Board PCB OPDMTHX4 NSR-S205C  Working
911.18  24d 9h
 
Yaskawa Electric CACR-PR01-KA4BU AC Servo Unit SERVOPACK Controller
Yaskawa Electric CACR-PR01-KA4BU AC Servo Unit SERVOPACK Controller
807.18  2d 21h
 
405-0301// Bu Sung Bsm-060w Motor [/fast]
405-0301// Bu Sung Bsm-060w Motor [/fast]
70.00  15d 1h
 
136-0201// Amat Applied 0020-09763 Panel Turbo Controller []
136-0201// Amat Applied 0020-09763 Panel Turbo Controller []
80.00  19d 1h
 
142-0501// Amat Applied 0150-06408 Applied Matrials Components []
142-0501// Amat Applied 0150-06408 Applied Matrials Components []
100.00  22d 2h
 
346-0401// Amat Applied 0020-40100 Applied Matrials Components
346-0401// Amat Applied 0020-40100 Applied Matrials Components
100.00  10d 21h
 
346-0401// Amat Applied 0020-40102 Applied Matrials Components
346-0401// Amat Applied 0020-40102 Applied Matrials Components
100.00  10d 21h
 
143-0503// Amat Applied 0140-00030 Gate Thermistor Assy
143-0503// Amat Applied 0140-00030 Gate Thermistor Assy
80.00  13d 0h
 
113-0402// Amat Applied 0020-23483 Applied Matrials Components
113-0402// Amat Applied 0020-23483 Applied Matrials Components
40.00  4d 21h
 
436-0301// Mitsubishi Hc-kfs13 Ac Servo Motor []
436-0301// Mitsubishi Hc-kfs13 Ac Servo Motor []
100.00  12d 23h
 
322-0403// Amat Applied 0020-10134 Manifold Output Pl []
322-0403// Amat Applied 0020-10134 Manifold Output Pl []
100.00  7d 1h
 
177-0104// Veriflo 955lsfsff5196 Valve []
177-0104// Veriflo 955lsfsff5196 Valve []
100.00  22d 1h
 
351-0101// Burkert 121048w Valve [asis]
351-0101// Burkert 121048w Valve [asis]
50.00  18d 2h
 
Nikon 4S013-495 Illusion Unit Backplane Board PCB NSR-S307E  Working
Nikon 4S013-495 Illusion Unit Backplane Board PCB NSR-S307E  Working
806.18  15d 14h
 
 Asyst Fluorotrac System Tech Vlf-rd2008 *old Stock!*
 Asyst Fluorotrac System Tech Vlf-rd2008 *old Stock!*
319.97  1d 3h
 
Axcelis Post Accel Aperture - Graphite VIG Version 17122940 REV A (99489V)
Axcelis Post Accel Aperture - Graphite VIG Version 17122940 REV A (99489V)
240.00  15d 4h
 
Lam Research 852-017750-001 Remote  Untested As-Is
Lam Research 852-017750-001 Remote  Untested As-Is
1,305.16  28d 12h
 
Balzers BG 542 164 W Control Module PCB Card PC 201 PC201  Working
Balzers BG 542 164 W Control Module PCB Card PC 201 PC201  Working
808.16  15d 14h
 
Metron A127669 POD Door Short Weldment Rev. C TEL Tokyo Electron
Metron A127669 POD Door Short Weldment Rev. C TEL Tokyo Electron
3,406.15  13d 17h
 
Applied Materials 0041-51792 Cathode Sleeve, Orion Fixed Cathode Amat
Applied Materials 0041-51792 Cathode Sleeve, Orion Fixed Cathode Amat
8,499.00  6d 7h
 
AMAT Applied Materials 0020-23811 Coherent Plate 8"  Working
AMAT Applied Materials 0020-23811 Coherent Plate 8"  Working
1,006.18  2d 17h
 
Ebx(i)75-z/ Power Supply Input100-240vac 130va 50-60hz/ Leistungselektronikjena
Ebx(i)75-z/ Power Supply Input100-240vac 130va 50-60hz/ Leistungselektronikjena
1,200.00  11d 16h
 
Oydk-018b / Board Conn P-chem #01 / Tokyo Electron Tel
Oydk-018b / Board Conn P-chem #01 / Tokyo Electron Tel
1,100.00  15d 18h
 
Ct5010-599673-11 / Fork (0.8- Bsc) Cra & Cp / Tokyo Electron Tel
Ct5010-599673-11 / Fork (0.8- Bsc) Cra & Cp / Tokyo Electron Tel
1,000.00  17d 20h
 
700477 / Door Sliding Assy / Uti Inventory Management Solutions
700477 / Door Sliding Assy / Uti Inventory Management Solutions
1,295.82  23d 16h
 
Bm35945     /  72v Power/dynamic Brake Pcb  /  Pri
Bm35945 / 72v Power/dynamic Brake Pcb / Pri
1,200.82  7d 17h
 
E1114820 / Shorting Bar Assy/  Varian
E1114820 / Shorting Bar Assy/ Varian
1,200.82  11d 21h
 
0020-01089 / Wtray Support / Applied Materials Amat
0020-01089 / Wtray Support / Applied Materials Amat
772.09  1d 13h
 
Mds/prg/prg/10-30dc / Industries Mds Multifunction  / Moore Industries
Mds/prg/prg/10-30dc / Industries Mds Multifunction / Moore Industries
765.00  1d 17h
 
0210x-ba24-bln2 0220 / Transfer Valve Monovat / Vat
0210x-ba24-bln2 0220 / Transfer Valve Monovat / Vat
1,000.00  6d 15h
 
0020-21225 / Weight Ring, 300mm Titan Profiler / Applied Materials Amat
0020-21225 / Weight Ring, 300mm Titan Profiler / Applied Materials Amat
1,497.00  7d 12h
 
11362100 / Laser Mount Assembly / Allen-bradley
11362100 / Laser Mount Assembly / Allen-bradley
1,000.00  16d 14h
 
0220-22501 / Consumable Kit 6" Smf Al 8" Src / Applied Materials Amat
0220-22501 / Consumable Kit 6" Smf Al 8" Src / Applied Materials Amat
954.84  2d 18h
 
0021-39942 / Liner,inner Pump Port / Amat
0021-39942 / Liner,inner Pump Port / Amat
762.00  29d 12h
 
0020-26217 / Cover Ring / Applied Materials Amat
0020-26217 / Cover Ring / Applied Materials Amat
1,125.42  21d 17h
 
Bir-024397 / Pcb, Tgfc Board  A001130b Baa / Advantest
Bir-024397 / Pcb, Tgfc Board A001130b Baa / Advantest
800.62  16d 18h
 
Bgr-027602 / Th, Dist, Pcb / Advantest
Bgr-027602 / Th, Dist, Pcb / Advantest
1,200.62  23d 14h
 
Blg-023644 / Htd (6541) Pcb  / Advantest
Blg-023644 / Htd (6541) Pcb / Advantest
1,200.62  23d 19h
 
Bgr-024351 / Calsig Pcb / Advantest
Bgr-024351 / Calsig Pcb / Advantest
1,200.62  24d 18h
 
0020-22515 / Clamping Ring 8, Tin Snnf, Sst / Applied Materials Amat
0020-22515 / Clamping Ring 8, Tin Snnf, Sst / Applied Materials Amat
986.09  2d 18h
 
Ecpe82-1 / Bernecker & Rainer Input Module Analog / B&r Auto
Ecpe82-1 / Bernecker & Rainer Input Module Analog / B&r Auto
942.99  9d 15h
 
Mogcta77-96i-005 / Pad / Dicso
Mogcta77-96i-005 / Pad / Dicso
962.99  15d 14h
 
Sgmas-02a2c / Ac Servo Motor, 200w, 200v, 1.9a / Yaskawa Electric
Sgmas-02a2c / Ac Servo Motor, 200w, 200v, 1.9a / Yaskawa Electric
1,500.99  29d 12h
 
0040-02154 / Enclosure Lt Esc Power Control / Applied Materials Amat
0040-02154 / Enclosure Lt Esc Power Control / Applied Materials Amat
1,250.80  12d 12h
 
0050-10092 / Weldment He Final Valve Liquid Injector / Applied Materials Amat
0050-10092 / Weldment He Final Valve Liquid Injector / Applied Materials Amat
1,363.59  12d 13h
 
810161-130200b / Uld Picker Unit / Mirae / Mirae Corporation
810161-130200b / Uld Picker Unit / Mirae / Mirae Corporation
1,114.98  17h 5m
 
0040-33258 / Insert, Ch Feed Thru, Short, 300mm Ticl4 / Applied Materials Amat
0040-33258 / Insert, Ch Feed Thru, Short, 300mm Ticl4 / Applied Materials Amat
1,083.00  19h 30m
 
Edgs-002000 / 2100 Degasser Controller Assembly / Atmi Systems
Edgs-002000 / 2100 Degasser Controller Assembly / Atmi Systems
1,072.50  19h 38m
 
0021-39721 / Cover, Cylinder Support, 300mm / Applied Materials Amat
0021-39721 / Cover, Cylinder Support, 300mm / Applied Materials Amat
794.61  6d 17h
 
C1661af-sc14750c-4rhosvmme / 1661,sc 14 Hos,250-750sccm,20 Pin/9 Pin / Celerity
C1661af-sc14750c-4rhosvmme / 1661,sc 14 Hos,250-750sccm,20 Pin/9 Pin / Celerity
792.55  6d 17h
 
Ds1110-333183-11 / Fork, Qtz, 200mm / Tokyo Elctron
Ds1110-333183-11 / Fork, Qtz, 200mm / Tokyo Elctron
1,385.00  12d 12h
 
Vv5q11-08-x1036 / Valve, Solenoid, 3way, 24vdc, 16vlv / Smc
Vv5q11-08-x1036 / Valve, Solenoid, 3way, 24vdc, 16vlv / Smc
950.99  20d 11h
 
Bir-021718 / Board,tg Dist(h,p) / Advantest
Bir-021718 / Board,tg Dist(h,p) / Advantest
1,156.00  13d 10h
 
824211 / Valve Sol 3-way / Applied Materials Amat
824211 / Valve Sol 3-way / Applied Materials Amat
985.39  14d 10h
 
Qlk-1030tx / Sensor Flow Saginomiya / Saginomiya
Qlk-1030tx / Sensor Flow Saginomiya / Saginomiya
894.00  14d 14h
 
Mutx-8-mnet / Auriga, Auto Frame Bam / Phillips
Mutx-8-mnet / Auriga, Auto Frame Bam / Phillips
757.35  15d 10h
 
Mp6-76595 / Power Supply Pfc Megapac 1140-00344 / Applied Materials Amat
Mp6-76595 / Power Supply Pfc Megapac 1140-00344 / Applied Materials Amat
1,200.99  13d 11h
 
03-110733d01 / Pcb Scl Interface Motor / Asm America Inc
03-110733d01 / Pcb Scl Interface Motor / Asm America Inc
1,286.62  14d 16h
 
E15005504  /    Manipulator /  Varian
E15005504 / Manipulator / Varian
950.82  13d 11h
 
E11095111  /  Analog-digital I/o Interface Psi Chassis Assembly  /  Varian
E11095111 / Analog-digital I/o Interface Psi Chassis Assembly / Varian
1,500.82  15d 12h
 
E15000510  /  30k Deflection  /  Varian
E15000510 / 30k Deflection / Varian
950.82  28d 12h
 
0010-16577/ Assembly, Tc Filter Module, 300mm / Amat
0010-16577/ Assembly, Tc Filter Module, 300mm / Amat
1,250.98  12d 15h
 
663pamgab / Electron Glass Tube / National Electronics
663pamgab / Electron Glass Tube / National Electronics
1,000.00  27d 16h
 
2521008-01 / Assy Touchscreen / Asm America Inc
2521008-01 / Assy Touchscreen / Asm America Inc
1,300.68  2d 19h
 
2841436-01 / Assy Touchscreen Reactor / Asm America Inc
2841436-01 / Assy Touchscreen Reactor / Asm America Inc
1,300.68  4d 10h
 
473912 / Ring Reflecting,chamber Machined 0.250 Hole / Axcelis Technologies
473912 / Ring Reflecting,chamber Machined 0.250 Hole / Axcelis Technologies
1,145.82  19d 18h
 
6805-00293-0001 / Pcb, Asm (mk 8801-a-00) F012063 / Asml
6805-00293-0001 / Pcb, Asm (mk 8801-a-00) F012063 / Asml
1,200.00  22d 13h
 
99-197-001 / Pcb Ssl-1 Slotted Sensor 90x / Tegal
99-197-001 / Pcb Ssl-1 Slotted Sensor 90x / Tegal
830.99  2d 13h
 
1b80-002808-11 / Chemi Add On Pcb 80-64 With Meiden Pcb / Tokyo Electron
1b80-002808-11 / Chemi Add On Pcb 80-64 With Meiden Pcb / Tokyo Electron
951.66  8d 11h
 
A4041-9515ne /stepping Motor, 5-ph, Encodder 500p/r, Dc5v 0.08a, Dc 2.8a/ Vexta
A4041-9515ne /stepping Motor, 5-ph, Encodder 500p/r, Dc5v 0.08a, Dc 2.8a/ Vexta
850.99  11d 12h
 
Bgr-021614 / Board,sc (h) / Advantest
Bgr-021614 / Board,sc (h) / Advantest
1,235.14  16d 14h
 
Bgr-024404 / Fm Cntl Pcb / Advantest
Bgr-024404 / Fm Cntl Pcb / Advantest
800.62  16d 18h
 
Bgr-024357 / Pds, Pcb / Advantest
Bgr-024357 / Pds, Pcb / Advantest
1,200.62  23d 19h
 
Bir-024405  /  Afm Pcb  /  Advantest
Bir-024405 / Afm Pcb / Advantest
1,200.62  24d 16h
 
1994125001 / Pca    Programmed Atc2 Assy 1993241-501 / Delta
1994125001 / Pca Programmed Atc2 Assy 1993241-501 / Delta
1,200.62  24d 17h
 
Bgr-024252 / Pcb, Pe, Driver And Input Output  / Advantest
Bgr-024252 / Pcb, Pe, Driver And Input Output / Advantest
1,200.62  24d 19h
 
15-169249-01 / Cover, Ltm,r L/lk, Vctr / Novellus
15-169249-01 / Cover, Ltm,r L/lk, Vctr / Novellus
1,090.62  6d 19h
 
0200-10157 / Liner, Junction, Sin, Dxz / Applied Materials Amat
0200-10157 / Liner, Junction, Sin, Dxz / Applied Materials Amat
1,242.60  12d 12h
 
0200-9555b / Ring Focus Qtz Poly 150mm/162 / West Coast Quartz
0200-9555b / Ring Focus Qtz Poly 150mm/162 / West Coast Quartz
1,265.82  12d 13h
 
0270-18069 / Template, Chotherm Sheet / Applied Materials Amat
0270-18069 / Template, Chotherm Sheet / Applied Materials Amat
1,305.00  12d 17h
 
02-321154c01 / Assy-ca-motor-drive / Asm America Inc
02-321154c01 / Assy-ca-motor-drive / Asm America Inc
950.82  16d 10h
 
0021-04269 / G.d.p., P+ 13 Hole (std P/n 0020-31488) / Applied Materials Amat
0021-04269 / G.d.p., P+ 13 Hole (std P/n 0020-31488) / Applied Materials Amat
833.85  16d 10h
 
0240-71047 / Kit, Lift Hp 101% Retrofit / Applied Materials Amat
0240-71047 / Kit, Lift Hp 101% Retrofit / Applied Materials Amat
814.23  16d 11h
 
0050-40478 / Line  Vacuum Exhaust Overpressure Ch. B Epi 300 Mm / Amat
0050-40478 / Line Vacuum Exhaust Overpressure Ch. B Epi 300 Mm / Amat
1,141.23  19d 17h
 
0020-05681 / Support, Right 26 Slot Cassette Enp / Applied Materials Amat
0020-05681 / Support, Right 26 Slot Cassette Enp / Applied Materials Amat
1,288.38  29d 10h
 
Ds027-003032-1 / Sheet Metal Ss316lt0.15 / Tokyo Electron Tel
Ds027-003032-1 / Sheet Metal Ss316lt0.15 / Tokyo Electron Tel
1,085.52  18h 55m
 
0240-18320 / Kit,procedure,field Retrofit / Applied Materials Amat
0240-18320 / Kit,procedure,field Retrofit / Applied Materials Amat
1,083.60  19h 5m
 
E3122-266601 /pcb Rf Keysight 5182-7658 33-5545 05731-5809 E3122-26601/ Agilent
E3122-266601 /pcb Rf Keysight 5182-7658 33-5545 05731-5809 E3122-26601/ Agilent
1,002.03  1d 17h
 
C1661af-0121010c-4rh Vvmme / Mfc 1661 Unit Wf6 10 Sccm (non-multflo) / Celerity
C1661af-0121010c-4rh Vvmme / Mfc 1661 Unit Wf6 10 Sccm (non-multflo) / Celerity
792.55  6d 17h
 
C1661af-sc15002l-4rhosvmme / Mfc Unit 1661 N2 750-2000 Sccm / Celerity
C1661af-sc15002l-4rhosvmme / Mfc Unit 1661 N2 750-2000 Sccm / Celerity
792.55  6d 17h
 
13-8082-272 / Shield, Bellows Spinstat / Ontrak
13-8082-272 / Shield, Bellows Spinstat / Ontrak
780.15  6d 18h
 
Aulwd250a401 / Lamp-uv Arktech Uv Lamp Aul / Ark Tech Corp
Aulwd250a401 / Lamp-uv Arktech Uv Lamp Aul / Ark Tech Corp
775.08  6d 19h
 
0021-21469 / Ring Restraint, 1.574 Dia Shft, 8 Besc / Applied Materials Amat
0021-21469 / Ring Restraint, 1.574 Dia Shft, 8 Besc / Applied Materials Amat
1,170.66  12d 10h
 
Bm22422 / Assy,motor Hxfr Powermaxii 1.8 Deg Step / Brooks Automation
Bm22422 / Assy,motor Hxfr Powermaxii 1.8 Deg Step / Brooks Automation
1,134.65  12d 17h
 
9635-0118 / Htr #2 Pipe 1 / Mks
9635-0118 / Htr #2 Pipe 1 / Mks
781.20  14d 17h
 
Svg Thermco Systems 165120-001 Assy Alarm Interface Board
Svg Thermco Systems 165120-001 Assy Alarm Interface Board
299.99  22d 13h
 
Varian V87-310588 Scan Linearity
Varian V87-310588 Scan Linearity
500.00  29d 18h
 
AMAT 0020-22237 Cover Ring, 8" 424157
AMAT 0020-22237 Cover Ring, 8" 424157
895.00  6d 18h
 
Tokyo Electron TA3003-800217-01 Actuator Assy, FRU  15-801191 Rev. 2
Top-Rated Plus Seller Tokyo Electron TA3003-800217-01 Actuator Assy, FRU 15-801191 Rev. 2
225.00  12d 14h
 
Amat 0190-11203 Chawber Ac Distribution Box Producer Etch,
Amat 0190-11203 Chawber Ac Distribution Box Producer Etch,
5,000.00  21d 23h
 
COSENSE Electronic Module Multi-Channel System 040-20803
COSENSE Electronic Module Multi-Channel System 040-20803
199.00  27d 3h
 
AMAT Applied Materials 0020-26478 Upper Shield TWAS Manufacturer Refurbished
AMAT Applied Materials 0020-26478 Upper Shield TWAS Manufacturer Refurbished
806.18  2d 22h
 
AMAT Applied Materials 0020-47722 Upper Shield TWAS Manufacturer Refurbished
AMAT Applied Materials 0020-47722 Upper Shield TWAS Manufacturer Refurbished
806.18  2d 22h
 
Compix SDI-OVL-170P D_GVGA Rev 4.0 2003.05.02 Tested
Compix SDI-OVL-170P D_GVGA Rev 4.0 2003.05.02 Tested
1,379.00  4d 3h
 
Tylan General Cml-21 Pressure Transducer
Tylan General Cml-21 Pressure Transducer
240.00  14d 2h
 
Applied Materials 0100-76083 Serial/ Video Dist. Board Pcb Card Amat
Applied Materials 0100-76083 Serial/ Video Dist. Board Pcb Card Amat
1,599.00  6d 10h
 
Applied Materials 0200-06713 300mm Quartz Ring Amat
Applied Materials 0200-06713 300mm Quartz Ring Amat
1,599.00  14d 10h
 
Applied Materials 0190-54440 Kit Plate Amat *un*
Applied Materials 0190-54440 Kit Plate Amat *un*
1,499.00  23d 5h
 
Phillips 471-65981 Circuit Board PCB ACPA MK4  Working
Phillips 471-65981 Circuit Board PCB ACPA MK4  Working
1,406.12  2d 16h
 
CT5014-002192-11 PCB CPU AG-0160, Baord, B/D, TEL
CT5014-002192-11 PCB CPU AG-0160, Baord, B/D, TEL
546.00  19d 21h
 
Advantest Control Box Trigger Box Controller H3 H3-65068X02
Advantest Control Box Trigger Box Controller H3 H3-65068X02
199.99  7d 9h
 
Nikon 4S007-667-A Processor Board PCB FIAAF PROCESS-D NSR-S202A  Working
Nikon 4S007-667-A Processor Board PCB FIAAF PROCESS-D NSR-S202A  Working
1,106.18  2d 17h
 
Nikon 4S007-668-A Processor Board PCB FIAAF PROCESS-A NSR-S202A  Working
Nikon 4S007-668-A Processor Board PCB FIAAF PROCESS-A NSR-S202A  Working
1,106.18  2d 17h
 
IDI Integrated Designs 2-102-037 Chemical Assist Module Double Stack CAM-E-2S
IDI Integrated Designs 2-102-037 Chemical Assist Module Double Stack CAM-E-2S
904.19  27d 15h
 
Amat 0140-07649 Harn Assy, Ch-a Cntrl-gp B ,
Amat 0140-07649 Harn Assy, Ch-a Cntrl-gp B ,
1,000.00  9d 18h
 
Anti-Static Cord Bolt Kit CHARLESWATER 14240 NOS Sealed Bag Qty 1
Anti-Static Cord Bolt Kit CHARLESWATER 14240 NOS Sealed Bag Qty 1
9.99  18d 9h
 
Honeywell TSQUTWBTM-08263 Target 49.5W/10Ti 0.38x7.715x11.640x13.050"
Honeywell TSQUTWBTM-08263 Target 49.5W/10Ti 0.38x7.715x11.640x13.050"
3,506.18  19d 18h
 
ETO Ehrhorn Technological Operations ABX-X234 300W Driver Board PCB Rev. B
ETO Ehrhorn Technological Operations ABX-X234 300W Driver Board PCB Rev. B
1,508.18  11d 11h
 
Nikon 4S013-481 Control Board PCB STGX41B  Working
Nikon 4S013-481 Control Board PCB STGX41B  Working
803.18  3d 9h
 
SCI Solid Controls 428-409 Smart Board PCB Card 428-408  Working
SCI Solid Controls 428-409 Smart Board PCB Card 428-408  Working
806.18  9d 11h
 
125-0103// Amat Applied 0020-24531 Shield Adapter G-12 Sst
125-0103// Amat Applied 0020-24531 Shield Adapter G-12 Sst
150.00  10d 2h
 
Cmla11e / Pressure Transducer, 0-10 Torr. / Celerity
Cmla11e / Pressure Transducer, 0-10 Torr. / Celerity
1,197.03  24d 13h
 
Lam 716-028123-002
Lam 716-028123-002
250.00  19d 16h
 
80-202-291 Tegal Spare Part
80-202-291 Tegal Spare Part
45.00  10d 18h
 
Nikon 4S007-781-1 Interface Board PCB SHRINC-INTF-1 NSR-S202A  Working
Nikon 4S007-781-1 Interface Board PCB SHRINC-INTF-1 NSR-S202A  Working
1,006.18  3d 15h
 
SSI Switching Systems International STV-401-1022-2 DC PS, Drytek 853-080597-001
Top-Rated Plus Seller SSI Switching Systems International STV-401-1022-2 DC PS, Drytek 853-080597-001
196.00  27d 12h
 
NTE Electronics 503-0004 DIAL-7/8" DIA 1/4" SHAFT DIA 15 TURN SATIN FINISH
NTE Electronics 503-0004 DIAL-7/8" DIA 1/4" SHAFT DIA 15 TURN SATIN FINISH
17.50  2d 6h
 
Tegal 99-395-002 CIP-2 CTC Board PCB Card 98-395-001  Working
Tegal 99-395-002 CIP-2 CTC Board PCB Card 98-395-001  Working
901.19  6d 10h
 
Applied Materials Spin Window 0120-91554 -- 0100-00970 --
Applied Materials Spin Window 0120-91554 -- 0100-00970 --
245.00  9d 3h
 
Control Driver 6684-0077 (6684-7701C) S14H305
Control Driver 6684-0077 (6684-7701C) S14H305
225.75  19d 13h
 
Air Products AP 05021 PCB, Analog, Digital 94V-0 with ITT Cannon 0120-27 Plug
Air Products AP 05021 PCB, Analog, Digital 94V-0 with ITT Cannon 0120-27 Plug
79.99  2d 9h
 
Air Products AP 05021 PCB, Analog, Digital 94V-0 with ITT Cannon 0115-01 Plug
Air Products AP 05021 PCB, Analog, Digital 94V-0 with ITT Cannon 0115-01 Plug
79.99  2d 9h
 
SVG 99-80184-02 Arm Vacuum Sensor Board, REV J
SVG 99-80184-02 Arm Vacuum Sensor Board, REV J
74.99  7d 14h
 
156-0401// Amat Applied 1410-01330 Htr Jacket Rough Line 208v
156-0401// Amat Applied 1410-01330 Htr Jacket Rough Line 208v
900.00  4d 1h
 
Nikon 4S011-012-1 Processor PCB Card AM6PDINS NSR System Working Spare
Nikon 4S011-012-1 Processor PCB Card AM6PDINS NSR System Working Spare
1,323.95  29d 9h
 
Nikon 4S011-012 Processor PCB Card AM6PDINS NSR System Working Spare
Nikon 4S011-012 Processor PCB Card AM6PDINS NSR System Working Spare
1,323.95  29d 9h
 
325-0103// Amat Applied 3030-07302 Mfcd980 100sccm Bcl3 1/4vcr Mlt [asis]
325-0103// Amat Applied 3030-07302 Mfcd980 100sccm Bcl3 1/4vcr Mlt [asis]
300.00  2d 0h
 
325-0303// UNIT UFC-9660 TiCl4 100CC [ASIS]
325-0303// UNIT UFC-9660 TiCl4 100CC [ASIS]
300.00  3d 3h
 
402-0202// Toyo Sokki Dls-5025a Controller [/fast]
402-0202// Toyo Sokki Dls-5025a Controller [/fast]
300.00  16d 2h
 
123-0402// Brooks 002-3465-01 Serial Communications Module []
123-0402// Brooks 002-3465-01 Serial Communications Module []
300.00  22d 23h
 
177-0402// Amat Applied 0050-24715 Applied Matrials Components []
177-0402// Amat Applied 0050-24715 Applied Matrials Components []
250.00  25d 0h
 
177-0501// Amat Applied 0050-08536 29 Slot Stor Elev Purge Line Weldment []
177-0501// Amat Applied 0050-08536 29 Slot Stor Elev Purge Line Weldment []
240.00  25d 2h
 
137-0403// Amat Applied 0040-32690 Weldment Applicator Microwave Remote []
137-0403// Amat Applied 0040-32690 Weldment Applicator Microwave Remote []
220.00  2d 2h
 
341-0402// Amat Applied 0020-23367 Chassis Dc Bias Short Monitor []
341-0402// Amat Applied 0020-23367 Chassis Dc Bias Short Monitor []
250.00  7d 3h
 
322-0203// Amat Applied 0140-00024 Brake Harness []
322-0203// Amat Applied 0140-00024 Brake Harness []
220.00  26d 0h
 
151-0201// Amat Applied 0020-10112 Applied Matrials Components [asis]
151-0201// Amat Applied 0020-10112 Applied Matrials Components [asis]
400.00  18d 1h
 
143-0503// Amat Applied 0140-35429 Harness Assy Helium Cntrl Metc
143-0503// Amat Applied 0140-35429 Harness Assy Helium Cntrl Metc
270.00  13d 1h
 
426-0201// Dynax Rt13-5ag-330-bk Teach Pendant []
426-0201// Dynax Rt13-5ag-330-bk Teach Pendant []
400.00  1d 3h
 
402-0501// Kyoto Lsf-l45f Controller [/fast]
402-0501// Kyoto Lsf-l45f Controller [/fast]
300.00  19d 19h
 
409-0401// Tsubaki Kd2004ggs Ac Servo Driver [/fast]
409-0401// Tsubaki Kd2004ggs Ac Servo Driver [/fast]
400.00  27d 0h
 
418-0301// Yaskawa Ugrmem-02ssw24 4gn9k Minertia Motor [/fast]
418-0301// Yaskawa Ugrmem-02ssw24 4gn9k Minertia Motor [/fast]
400.00  29d 23h
 
436-0303// Mitsubishi Hc-kfs43 (cut Cable) Ac Servo Motor []
436-0303// Mitsubishi Hc-kfs43 (cut Cable) Ac Servo Motor []
400.00  12d 23h
 
134-0503// Amat Applied 0020-21221 Hoop Cd 200mm []
134-0503// Amat Applied 0020-21221 Hoop Cd 200mm []
250.00  5d 2h
 
123-0402// Brooks 002-2692-01 Facet Node Breakout []
123-0402// Brooks 002-2692-01 Facet Node Breakout []
300.00  22d 23h
 
Varian E17359130 Fpux-0.250",
Varian E17359130 Fpux-0.250",
250.00  10d 17h
 
SVG Silicon Valley Group 859-5194-003 PCB Assembly 859-0743-011  Working
SVG Silicon Valley Group 859-5194-003 PCB Assembly 859-0743-011  Working
806.15  2d 16h
 
TEL Tokyo Electron 3D10-150450-V1 Ring BTM Shield EXHP-UP  Surplus
TEL Tokyo Electron 3D10-150450-V1 Ring BTM Shield EXHP-UP  Surplus
2,006.14  1d 9h
 
Applied Materials 670362 Power Fail Board, 7802-D-5511, REV C
Applied Materials 670362 Power Fail Board, 7802-D-5511, REV C
62.99  3d 9h
 
ASML 4022.437.1053 2-Channel Processor Board PCB Card  Working
ASML 4022.437.1053 2-Channel Processor Board PCB Card  Working
810.17  14d 15h
 
Novellus 03-810748-00 CVD-W Interlock PCB Board, 76-10656-00, 27-10332-00 423317
Novellus 03-810748-00 CVD-W Interlock PCB Board, 76-10656-00, 27-10332-00 423317
1,750.00  17d 10h
 
ASM 73008-70602 Upper Lifter
ASM 73008-70602 Upper Lifter
1,657.18  2d 20h
 
Amat 0020-32263 Gas Dist Plate,145 Holes .156 Thick,,
Amat 0020-32263 Gas Dist Plate,145 Holes .156 Thick,,
700.00  1d 19h
 
405-0401// Brooks 002-7391-07 Aligner
405-0401// Brooks 002-7391-07 Aligner
800.00  7d 0h
 
AMAT 0190-22205 Rev. 001 ENG SPECIFICATION TEMP CONTROLLER 6 Channel Yamatake
AMAT 0190-22205 Rev. 001 ENG SPECIFICATION TEMP CONTROLLER 6 Channel Yamatake
1,999.99  22d 22h
 
176-0402// Amat Applied 0050-09026 Manifold Llc Vent [2nd ]
176-0402// Amat Applied 0050-09026 Manifold Llc Vent [2nd ]
900.00  3d 1h
 
Applied Materials  0150-09623 Water Flow Switch, FC-SM40-TUR
Applied Materials 0150-09623 Water Flow Switch, FC-SM40-TUR
175.00  9d 9h
 
Applied Materials 0660-01102 Pneu 8 Stn 8 Valve Pcb
Applied Materials 0660-01102 Pneu 8 Stn 8 Valve Pcb
188.00  5h 25m
 
Lot of 11  F 74167PC 8225 Indonesia Integrated Circuit Semiconductor
Top-Rated Plus Seller Lot of 11  F 74167PC 8225 Indonesia Integrated Circuit Semiconductor
27.99  9d 18h
 
Lot of 14  F7414PC 8044 SemiConductors
Top-Rated Plus Seller Lot of 14  F7414PC 8044 SemiConductors
35.99  9d 18h
 
Nikon 4S007-795 Interface Control Board PCB XB-STGA/D NSR-S202A
Nikon 4S007-795 Interface Control Board PCB XB-STGA/D NSR-S202A
828.40  2d 16h
 
Cole-Parmer 900-1554 MASTERFLEX DIGITAL MODULAR DRIVE, WORKING
Cole-Parmer 900-1554 MASTERFLEX DIGITAL MODULAR DRIVE, WORKING
150.00  2d 21h
 
600-AKV-L ARAMID / FIBERGLASS BLEND 19 oz Aluminized Para Aramid Blend RN 104083
600-AKV-L ARAMID / FIBERGLASS BLEND 19 oz Aluminized Para Aramid Blend RN 104083
240.00  5d 17h
 
Applied Materials 670934 PCB Board w/ QTY 16, EV-3M-24VDC Manifold Valves
Applied Materials 670934 PCB Board w/ QTY 16, EV-3M-24VDC Manifold Valves
99.99  22d 8h
 
ADCS Model 969 Low Level Monitor Reseller Lot of 8  Working
ADCS Model 969 Low Level Monitor Reseller Lot of 8  Working
801.19  4d 18h
 
Brooks 002-3465-01 Serial Communications Module
Brooks 002-3465-01 Serial Communications Module
199.00  20d 4h
 
APPLIED MATERIALS (AMAT) 70312542100 Opal System Cont. 2 PCB
APPLIED MATERIALS (AMAT) 70312542100 Opal System Cont. 2 PCB
200.00  14d 6h
 
Asm 1079-518-01 Kit-cooler S3 W/io Heat Univ K-mod Blkr
Asm 1079-518-01 Kit-cooler S3 W/io Heat Univ K-mod Blkr
2,907.18  2d 21h
 
Aera FC-PA7800C-BA MFC, 0.5% PH3/H2, 2 SLM, AMAT 3030-16221, 451778
Aera FC-PA7800C-BA MFC, 0.5% PH3/H2, 2 SLM, AMAT 3030-16221, 451778
650.00  4d 18h
 
Applied Materials Amat 0150-25726 Cable Assy
Applied Materials Amat 0150-25726 Cable Assy
500.00  4d 1h
 
Amat D129038 Clamp Ring Cr-200 Nc,1.5mm Excl,
Amat D129038 Clamp Ring Cr-200 Nc,1.5mm Excl,
800.00  16d 21h
 
Novellus 15-118380-00 Ring, Retaining, 4 Piece, Thin,
Novellus 15-118380-00 Ring, Retaining, 4 Piece, Thin,
1,200.00  10d 15h
 
Amat 0010-70363 Shipping Cover Pcii,
Amat 0010-70363 Shipping Cover Pcii,
1,300.00  12d 23h
 
SCI Solid Controls 428-957 Transformer Board PCB Card VSE 0428-9570  Working
SCI Solid Controls 428-957 Transformer Board PCB Card VSE 0428-9570  Working
456.18  9d 11h
 
Nikon 2S700-529-1 GPIBII Backplane Board PCB 2S017-139-3 NSR-S205C  Working
Nikon 2S700-529-1 GPIBII Backplane Board PCB 2S017-139-3 NSR-S205C  Working
776.15  28d 16h
 
316-0101// Abb Eh450 Breaker [asis]
316-0101// Abb Eh450 Breaker [asis]
400.00  19d 2h
 
Applied Materials 0300-14010 Prom Board, REV E
Applied Materials 0300-14010 Prom Board, REV E
62.99  3d 9h
 
Axcelis Post Accel Liner - Graphite VIG Version 17122950 REV A (99259V)
Axcelis Post Accel Liner - Graphite VIG Version 17122950 REV A (99259V)
500.00  15d 5h
 
4441 Applied Materials 0100-00060 Chopper Drive PWB
4441 Applied Materials 0100-00060 Chopper Drive PWB
500.00  12d 19h
 
429-0403// Baumer Bpmv58s1p24c (no Connector) Motor [/fast]
429-0403// Baumer Bpmv58s1p24c (no Connector) Motor [/fast]
500.00  4d 1h
 
353-0401// Amat Applied 3620-01124 (#2) Turbovac 361c Pump Turbo W/ceramic
353-0401// Amat Applied 3620-01124 (#2) Turbovac 361c Pump Turbo W/ceramic
6,000.00  15d 3h
 
AMAT 0040-63476 ESC Chuck
AMAT 0040-63476 ESC Chuck
11,999.00  28d 4h
 
RECIF Technologies CPUCH0027A CPU Board REC0027A PCB Nikon NSR System
RECIF Technologies CPUCH0027A CPU Board REC0027A PCB Nikon NSR System
808.18  17d 15h
 
AMAT Applied Materials 9090-01060 Filteration Control Assembly 0100-01938
AMAT Applied Materials 9090-01060 Filteration Control Assembly 0100-01938
1,004.14  28d 9h
 
Harness Assy, Turbo Pump, 93-1214-001, 451807
Harness Assy, Turbo Pump, 93-1214-001, 451807
295.00  9d 11h
 
AX Corporation Test Module P5005 CUF005 A/V005 P/T005  Working
AX Corporation Test Module P5005 CUF005 A/V005 P/T005  Working
807.12  6d 12h
 
AMAT Applied Materials 0021-39942 IPS Port Inner Pump Rev. A Refurbished
AMAT Applied Materials 0021-39942 IPS Port Inner Pump Rev. A Refurbished
804.18  29d 12h
 
Nikon TPB-H.VO Power Supply Board PCB PW L-405 NSR System  Working
Nikon TPB-H.VO Power Supply Board PCB PW L-405 NSR System  Working
952.19  13d 13h
 
AMAT 0020-22237 Cover Ring, 8" 424118
AMAT 0020-22237 Cover Ring, 8" 424118
895.00  29d 15h
 
ETO Ehrhorn Technological Operations ABX-X234 300W Driver Board PCB Rev. 11
ETO Ehrhorn Technological Operations ABX-X234 300W Driver Board PCB Rev. 11
1,508.18  11d 11h
 
428-0402// Atg Pgx60-n-10 Reducer []
428-0402// Atg Pgx60-n-10 Reducer []
100.00  10d 23h
 
Amat 0021-77125 Roller, Roller Assy,
Amat 0021-77125 Roller, Roller Assy,
220.00  20d 2h
 
Applied Materials Electrode Suppression  () 0020--86280
Applied Materials Electrode Suppression  () 0020--86280
95.00  2d 0h
 
AMAT 0020-01121 Ground Ring, Poly, 410209
AMAT 0020-01121 Ground Ring, Poly, 410209
2,500.00  19d 15h
 
Wrst-02nx168s / Waveguide Assy For Tel Tokyo Electron / Nihon Koshuha
Wrst-02nx168s / Waveguide Assy For Tel Tokyo Electron / Nihon Koshuha
552.03  13d 16h
 
545-5524 / Pcb Panel Assy, S/l Panel / Hitachi
545-5524 / Pcb Panel Assy, S/l Panel / Hitachi
500.68  28d 17h
 
Modutek Corp T16 Timer
Modutek Corp T16 Timer
89.99  21d 8h
 
Applied Materials AMAT Filter Assembly, 0010-02892
Applied Materials AMAT Filter Assembly, 0010-02892
450.00  7d 5h
 
Applied Materials AMAT Transducer Meter, 1040-01218
Applied Materials AMAT Transducer Meter, 1040-01218
425.00  15d 5h
 
0010-10721; Amat, Assembly Top Lid Dsgd Metch Pos B & D
0010-10721; Amat, Assembly Top Lid Dsgd Metch Pos B & D
7,050.00  16d 18h
 
Balzers BG 542 481 T/A Thermal Control PCB Board BG 542 491 B  Working
Balzers BG 542 481 T/A Thermal Control PCB Board BG 542 491 B  Working
307.16  10d 15h
 
152-0501// Jds Uniphase Sg44-z0013u Sg Series Fiberoptic Switch []
152-0501// Jds Uniphase Sg44-z0013u Sg Series Fiberoptic Switch []
1,000.00  9d 20h
 
130-0402// Amat Applied 0100-09154 Assy, Mult-emission Endpoint B
130-0402// Amat Applied 0100-09154 Assy, Mult-emission Endpoint B
700.00  7d 5h
 
Cambridge Absolute Filter 2T-39516093-Z285-0
Cambridge Absolute Filter 2T-39516093-Z285-0
185.00  29d 16h
 
4430  Applied Materials 0100-00014 Opto Detect.
4430 Applied Materials 0100-00014 Opto Detect.
470.00  12d 18h
 
116-0304// Amat Applied 0200-00410 Uni-insert, Gas Distribution,
116-0304// Amat Applied 0200-00410 Uni-insert, Gas Distribution,
780.00  10d 0h
 
134-0502// Amat Applied 0040-20257 Assy Lift Hoop 8 Wide Body Ch []
134-0502// Amat Applied 0040-20257 Assy Lift Hoop 8 Wide Body Ch []
1,360.00  5d 2h
 
143-0402// Amat Applied 0140-35787 Applied Matrials Components
143-0402// Amat Applied 0140-35787 Applied Matrials Components
1,100.00  22d 1h
 
103-0601// Amat Applied 3870-02364 3 Way N.c. Module W/ V2 Plugge []
103-0601// Amat Applied 3870-02364 3 Way N.c. Module W/ V2 Plugge []
820.00  21d 3h
 
134-0503// Amat Applied 0020-27668 Blade 8" 101 Shutter []
134-0503// Amat Applied 0020-27668 Blade 8" 101 Shutter []
800.00  9d 21h
 
177-0302// Amat Applied 3870-00254 Manual V_blk Diaph 3 Way 1/4 Vcr F/f/m []
177-0302// Amat Applied 3870-00254 Manual V_blk Diaph 3 Way 1/4 Vcr F/f/m []
1,090.00  21d 21h
 
Moeller Electric Faz2b10
Moeller Electric Faz2b10
33.87  20d 9h
 
02-381649-02 / Assy,pem 2,300mm,por,sr,sbr-xt(sabre Non 3d) / Lam / Novellus
02-381649-02 / Assy,pem 2,300mm,por,sr,sbr-xt(sabre Non 3d) / Lam / Novellus
3,580.82  8d 8h
 
4022.470.5116.1 / Zero Sensor Laser Box / Asml
4022.470.5116.1 / Zero Sensor Laser Box / Asml
2,500.97  18d 15h
 
99-43111-01 /assy Box Elec Stacked Stn/ Svg
99-43111-01 /assy Box Elec Stacked Stn/ Svg
3,000.97  23d 14h
 
6191-0724 /assy Pulse Measurement Board/ Canon
6191-0724 /assy Pulse Measurement Board/ Canon
3,800.97  26d 15h
 
0021-04834 /liner,di Seal,metal R2,1/4 Thk./ Amat
0021-04834 /liner,di Seal,metal R2,1/4 Thk./ Amat
2,000.97  1d 14h
 
797-900072-104 / Cont,rmt Xdcr,press / Lam
797-900072-104 / Cont,rmt Xdcr,press / Lam
3,500.97  3d 13h
 
123087300  / Belt Tensioner Assy / Lam
123087300 / Belt Tensioner Assy / Lam
4,950.97  3d 14h
 
99-45958-01 Rev C / Controller Servo Hi-accel Svg Pacific Scientific
99-45958-01 Rev C / Controller Servo Hi-accel Svg Pacific Scientific
3,200.97  7d 15h
 
0040-02932 /base Heater 8" Enhanced O/d/ Applied Materials
0040-02932 /base Heater 8" Enhanced O/d/ Applied Materials
2,950.97  9d 14h
 
716-022493-031 / Ring,shadow,btm,2300,300mm / Lam
716-022493-031 / Ring,shadow,btm,2300,300mm / Lam
2,800.97  10d 13h
 
Lf 2.4 Psu / Plasma Supply Unit A48019/ Trikon Technologies
Lf 2.4 Psu / Plasma Supply Unit A48019/ Trikon Technologies
3,200.98  17d 15h
 
02-263840-00 /stage Assy.single Heater 200mm/ Novellus
02-263840-00 /stage Assy.single Heater 200mm/ Novellus
2,800.98  17d 15h
 
0010-15262 / 200/300 Mm Upper Ring Assy /applied Materials
0010-15262 / 200/300 Mm Upper Ring Assy /applied Materials
2,600.98  23d 13h
 
716-330122-003 /pl, Top, Ceramic, Fxd Gap W/shld / Lam Research
716-330122-003 /pl, Top, Ceramic, Fxd Gap W/shld / Lam Research
2,000.98  24d 13h
 
03-908900-00 / 8100 Autosampler/ Varian
03-908900-00 / 8100 Autosampler/ Varian
2,320.98  24d 13h
 
0010-76402 / Storage Elevator, 8 Slot 17-4 Leadscrew / Applied Materials
0010-76402 / Storage Elevator, 8 Slot 17-4 Leadscrew / Applied Materials
2,600.98  24d 15h
 
949-9452 / Diaphragm Vacuum Pump / Varian
949-9452 / Diaphragm Vacuum Pump / Varian
1,750.98  28d 13h
 
19-045209-00 / Assy,manometer,100m Torr / Novellus
19-045209-00 / Assy,manometer,100m Torr / Novellus
3,175.20  12h 40m
 
15-287491-00 / Shield,cylinder,13.52 / Novellus Systems Inc
15-287491-00 / Shield,cylinder,13.52 / Novellus Systems Inc
2,800.68  28d 17h
 
0010-04561 / Assembly, Biased Electrode, Ac Box / Applied Materials
0010-04561 / Assembly, Biased Electrode, Ac Box / Applied Materials
4,500.00  17d 13h
 
Sr2984-001021-11 Ec49-000005-11/ Lcd Digital Electronics  /tokyo Electron
Sr2984-001021-11 Ec49-000005-11/ Lcd Digital Electronics /tokyo Electron
1,799.99  27d 9h
 
Lso8124-07-0010 / Temp Control Unit / Lauda
Lso8124-07-0010 / Temp Control Unit / Lauda
1,900.82  6d 11h
 
15-183816-00 / Shwrhd,const Density,iald(c3 Ffw) / Novellus Systems Inc / Lam
15-183816-00 / Shwrhd,const Density,iald(c3 Ffw) / Novellus Systems Inc / Lam
3,050.82  8d 9h
 
15-403898-00 / Plate,clear,top,vxl Ssm / Lam Research
15-403898-00 / Plate,clear,top,vxl Ssm / Lam Research
3,500.82  8d 9h
 
70056582 / Gripper Active Capture,e99n / Brooks Automation
70056582 / Gripper Active Capture,e99n / Brooks Automation
3,200.82  13d 8h
 
0040-32543 /ring,magnet,lower/ Applied Materials
0040-32543 /ring,magnet,lower/ Applied Materials
4,000.97  26d 17h
 
Bg9-3719 / Imf-if Pcb / Canon
Bg9-3719 / Imf-if Pcb / Canon
4,200.97  13h 35m
 
676-900186-001 / Assy,mtr,sp,vci,cll,fpd / Lam
676-900186-001 / Assy,mtr,sp,vci,cll,fpd / Lam
3,500.97  3d 13h
 
2344580-00 / Controller Zmp Cpci / Lam
2344580-00 / Controller Zmp Cpci / Lam
3,950.97  3d 16h
 
99-44649-01 /assy At Supervisor Pentium / Svg
99-44649-01 /assy At Supervisor Pentium / Svg
2,950.97  7d 16h
 
156544 / Plasma Platen /trikon/spts Technologies
156544 / Plasma Platen /trikon/spts Technologies
3,600.97  8d 14h
 
7002-200-0009 /2331459-00, Assy, Info System Front Panel, 4 Channel / Lam
7002-200-0009 /2331459-00, Assy, Info System Front Panel, 4 Channel / Lam
2,950.97  10d 14h
 
2348584-00 / Usfm M-1500p-t11-012-002 (low Flow) / Lam
2348584-00 / Usfm M-1500p-t11-012-002 (low Flow) / Lam
2,660.97  10d 16h
 
2328821-00 / Rotary Pump Motor 4 / Lam
2328821-00 / Rotary Pump Motor 4 / Lam
2,435.98  17d 13h
 
122644600 /assy, 5_finger Rotor, 200 Mm / Lam
122644600 /assy, 5_finger Rotor, 200 Mm / Lam
2,900.98  17d 13h
 
Ds1187-029773-13 / Trap / Tokyo Electron
Ds1187-029773-13 / Trap / Tokyo Electron
2,840.98  17d 13h
 
601348 / Electrode-lower Body / Lam
601348 / Electrode-lower Body / Lam
2,300.98  21d 13h
 
869-8223-001 / Pcb Microprocessor And Coprocessor / Svg
869-8223-001 / Pcb Microprocessor And Coprocessor / Svg
1,980.98  23d 14h
 
Abbga0003000,/ Model Che-34-2 C5014-00629-1/ Komatsu
Abbga0003000,/ Model Che-34-2 C5014-00629-1/ Komatsu
2,125.98  24d 14h
 
1975221001t / Main Power Assembly / Delta
1975221001t / Main Power Assembly / Delta
1,250.68  27d 17h
 
Xycarb Ceramics 10341975 Chamber with Ribs Refurbished
Xycarb Ceramics 10341975 Chamber with Ribs Refurbished
1,504.18  1d 18h
 
Applied Materials 670362 Power Fail Board, 7802-D-5511, REV E2
Applied Materials 670362 Power Fail Board, 7802-D-5511, REV E2
62.99  3d 9h
 
6.5 Inch Disk , Graphite With SiC Coating
6.5 Inch Disk , Graphite With SiC Coating
850.00  6d 21h
 
Torico, Cpu-85, P200-0010a, Board
Torico, Cpu-85, P200-0010a, Board
129.99  19d 13h
 
TEL Tokyo Electron 3210-201270-11 (TS) Card Holder R (S)  Working
TEL Tokyo Electron 3210-201270-11 (TS) Card Holder R (S)  Working
1,511.18  27d 19h
 
Novellus Systems 26-166313-00 Interface Gamma 03-166313-00  Working
Novellus Systems 26-166313-00 Interface Gamma 03-166313-00  Working
1,504.13  28d 9h
 
16281-802 / Nw-25-a Vacuum Block / Varian
16281-802 / Nw-25-a Vacuum Block / Varian
450.00  22d 10h
 
0021-09718 / Liner R Slit Valve / Applied Materials Amat
0021-09718 / Liner R Slit Valve / Applied Materials Amat
637.75  29d 16h
 
8810 / Fluid Control System Manifold 98123459 / Burkert
8810 / Fluid Control System Manifold 98123459 / Burkert
700.68  12d 12h
 
Asm 1071-018-02 Assy-pvm Ctr Port Met Seal No Htr Elem
Asm 1071-018-02 Assy-pvm Ctr Port Met Seal No Htr Elem
2,507.18  2d 20h
 
ETO Ehrhorn Technological Operations ABX-X234-9 300W Driver Board PCB
ETO Ehrhorn Technological Operations ABX-X234-9 300W Driver Board PCB
1,508.18  11d 11h
 
Neos 38080-2.5am Driver 282-1840-00b 282-2025-00a
Neos 38080-2.5am Driver 282-1840-00b 282-2025-00a
249.90  4d 0h
 
Kollmorgen MAG02-25021-001 2-Channel Magnet Driver AMAT 0190-16633
Kollmorgen MAG02-25021-001 2-Channel Magnet Driver AMAT 0190-16633
1,399.99  3d 5h
 
Lam 796-008976-005 Seal, Centering Nw200
Lam 796-008976-005 Seal, Centering Nw200
99.00  17d 15h
 
Fuses For Amat 1290-01715
Fuses For Amat 1290-01715
60.00  19d 12h
 
AMAT 0040-03631 Rev.002, Blade, Left 300mm, FI ECP. 418246
AMAT 0040-03631 Rev.002, Blade, Left 300mm, FI ECP. 418246
1,850.00  20d 15h
 
Drytek 2800446 2800447 Lam Research 6 Chan Mfc  >
Drytek 2800446 2800447 Lam Research 6 Chan Mfc >
224.99  28d 16h
 
RAISOnance STI REva Starter Kit STR71xF with Daughter boards STR711F & STR712F
Top-Rated Plus Seller RAISOnance STI REva Starter Kit STR71xF with Daughter boards STR711F & STR712F
125.00  2d 11h
 
Nikon 4S017-220-1? Backplane Interface Board PCB WAMTH-5 NSR System  Working
Nikon 4S017-220-1? Backplane Interface Board PCB WAMTH-5 NSR System  Working
1,201.19  7d 13h
 
Komatsu 5013-000040-12, Plate, Cooling, Rcp-3021, Tel
Komatsu 5013-000040-12, Plate, Cooling, Rcp-3021, Tel
5,040.00  13d 2h
 
Nikon 4S008-187 Backplane Interface Board PCB NSR-S204B System  Working
Nikon 4S008-187 Backplane Interface Board PCB NSR-S204B System  Working
775.59  10d 10h
 
TEL Tokyo Electron B32610-100717-V1 Upper Electrode PM U3-Y TOP
TEL Tokyo Electron B32610-100717-V1 Upper Electrode PM U3-Y TOP
1,003.15  2d 16h
 
PI E-665.CR LVPZT-Amplifier Servo
PI E-665.CR LVPZT-Amplifier Servo
1,815.00  6d 20h
 
Asm 04-405109-01 Kit-transmitter H2 0-4% Modified
Asm 04-405109-01 Kit-transmitter H2 0-4% Modified
907.18  2d 19h
 
 Asml 859-8243-001 Hoffman Box Cable Assembly Assy 8244 8245 8246 Laser Upgra
 Asml 859-8243-001 Hoffman Box Cable Assembly Assy 8244 8245 8246 Laser Upgra
124.99  14d 8h
 
SMC ROTARY PNWEUMATIC ACTUATOR ECDRA1BW63-180 MAX PRESS 1.0 MPa 145 PSI 63MM BOR
SMC ROTARY PNWEUMATIC ACTUATOR ECDRA1BW63-180 MAX PRESS 1.0 MPa 145 PSI 63MM BOR
130.00  24d 13h
 
17447-01 / Nozzle Tebr 8x26 Pivoting Disp / Svg
17447-01 / Nozzle Tebr 8x26 Pivoting Disp / Svg
247.80  12d 11h
 
TEL Tokyo Electron B32610-100722-V1 Cover PM Holder Y BEL  Working
TEL Tokyo Electron B32610-100722-V1 Cover PM Holder Y BEL  Working
803.15  1d 16h
 
 Scp Global Technology Auxiliary Relay Unit Pn 18633-01
 Scp Global Technology Auxiliary Relay Unit Pn 18633-01
89.99  16d 15h
 
Svg Thermco Systems 603851-01 Digital Output Vme Interface, 731aan213
Svg Thermco Systems 603851-01 Digital Output Vme Interface, 731aan213
579.99  22d 13h
 
Asm 16-193819-99 Susceptor 300mm Hybrid Non Passivated
Asm 16-193819-99 Susceptor 300mm Hybrid Non Passivated
1,007.18  2d 19h
 
ASM 73055-72384 Insulator Plate CBS RC1
ASM 73055-72384 Insulator Plate CBS RC1
3,007.18  2d 18h
 
Nikon 4S018-160 Interface Control Board PCB RL-Lø NSR-S202A  Working
Nikon 4S018-160 Interface Control Board PCB RL-Lø NSR-S202A  Working
506.18  2d 15h
 
Nikon 4S085-431-1 Carrier Module Card PCB 4S025-171 4S019-414-1  Working
Nikon 4S085-431-1 Carrier Module Card PCB 4S025-171 4S019-414-1  Working
1,213.39  3d 14h
 
SCI Solid Controls 428-406 System Controller PCB Card 428-405  Working
SCI Solid Controls 428-406 System Controller PCB Card 428-405  Working
806.18  10d 16h
 
010-0201// ADVANCED 0190-19021W 3156113-006 C APEX 3013 [Warranty 1 month]
010-0201// ADVANCED 0190-19021W 3156113-006 C APEX 3013 [Warranty 1 month]
2,500.00  15d 19h
 
010-0202// ADVANCED 0920-00114 (#2) 3156110-007 H APEX 1513 [Warranty 1 month]
010-0202// ADVANCED 0920-00114 (#2) 3156110-007 H APEX 1513 [Warranty 1 month]
2,500.00  15d 21h
 
010-0202// ADVANCED 0920-00114 (#3) 3156110-007 J APEX 1513 [Warranty 1 month]
010-0202// ADVANCED 0920-00114 (#3) 3156110-007 J APEX 1513 [Warranty 1 month]
2,500.00  15d 21h
 
010-0201// ADVANCED 0190-19021 3156113-012 A APEX 3013 [Warranty 1 month]
010-0201// ADVANCED 0190-19021 3156113-012 A APEX 3013 [Warranty 1 month]
2,500.00  15d 19h
 
010-0202// ADVANCED 0190-19022 (#1) 3156110-005 A APEX 1513 [Warranty 1 month]
010-0202// ADVANCED 0190-19022 (#1) 3156110-005 A APEX 1513 [Warranty 1 month]
2,500.00  15d 20h
 
010-0202// ADVANCED 0190-19022 (#2) 3156110-005 B APEX 1513 [Warranty 1 month]
010-0202// ADVANCED 0190-19022 (#2) 3156110-005 B APEX 1513 [Warranty 1 month]
2,500.00  15d 20h
 
010-0202// ADVANCED 0190-19022 (#4) 3156110-005 D APEX 1513 [Warranty 1 month]
010-0202// ADVANCED 0190-19022 (#4) 3156110-005 D APEX 1513 [Warranty 1 month]
2,500.00  15d 21h
 
010-0202// ADVANCED 0920-00114 (#1) 3156110-007 A APEX 1513 [Warranty 1 month]
010-0202// ADVANCED 0920-00114 (#1) 3156110-007 A APEX 1513 [Warranty 1 month]
2,500.00  15d 21h
 
010-0202// ADVANCED 0920-00114 (#4) 3156110-007 APEX 1513 [Warranty 1 month]
010-0202// ADVANCED 0920-00114 (#4) 3156110-007 APEX 1513 [Warranty 1 month]
2,500.00  15d 21h
 
Applied Materials Heater Loop 102136448
Applied Materials Heater Loop 102136448
149.99  4d 16h
 
15-01124-00 / Paddle, Dimple, Optical 100mm / Novellus
15-01124-00 / Paddle, Dimple, Optical 100mm / Novellus
450.68  28d 17h
 
341-0303// Amat Applied 0040-20136 Manifold, H20 Source 13"
341-0303// Amat Applied 0040-20136 Manifold, H20 Source 13"
400.00  16d 1h
 
 4022.486.18104 VACUUM OUTPUT SENSOR SEM-I-746=9G21
 4022.486.18104 VACUUM OUTPUT SENSOR SEM-I-746=9G21
149.90  27d 7h
 
 4022.486.18103 VACUUM OUTPUT SENSOR SEM-I-749=9G21
 4022.486.18103 VACUUM OUTPUT SENSOR SEM-I-749=9G21
149.90  27d 7h
 
Vat 89387-r1 Kit Valve Actuator With Gate
Vat 89387-r1 Kit Valve Actuator With Gate
1,007.18  2d 17h
 
Nikon 4S013-284 Interface Board PCB IM-ENCD3 NSR-S205C  Working
Nikon 4S013-284 Interface Board PCB IM-ENCD3 NSR-S205C  Working
508.18  9d 14h
 
152-0601// HP 9122D DUAL 3.5INCH FLOPPY DISK DRIVES (as is)
152-0601// HP 9122D DUAL 3.5INCH FLOPPY DISK DRIVES (as is)
125.00  27d 15h
 
ESI 138817 REV A FMP
ESI 138817 REV A FMP
318.00  2d 4h
 
SST Adaptor ? Chuck ? Lam Research?ID-AWS-024
SST Adaptor ? Chuck ? Lam Research?ID-AWS-024
1,200.00  12d 17h
 
Amat 0020-22846 Cover, Gas Trench, Refurbished
Amat 0020-22846 Cover, Gas Trench, Refurbished
400.00  10d 1h
 
1956  Applied Materials 8100K (01-01912-00) Analog Interface Unit
1956 Applied Materials 8100K (01-01912-00) Analog Interface Unit
1,700.00  14d 16h
 
Siemens SX412A14F020 Sentron Busway * surplus
Siemens SX412A14F020 Sentron Busway * surplus
700.00  23d 22h
 
0020-26455 / Clamp Ring 6 Smf Ss 3.4mm / Applied Materials Amat
0020-26455 / Clamp Ring 6 Smf Ss 3.4mm / Applied Materials Amat
1,157.58  15h 11m
 
Horiba STEC IV-2410AV-02H Injection Valve, AMAT 0190-36237, 451859
Horiba STEC IV-2410AV-02H Injection Valve, AMAT 0190-36237, 451859
450.00  11d 14h
 
C&h Technologies 11026010-0001 Rev D Vme Module Board
C&h Technologies 11026010-0001 Rev D Vme Module Board
300.00  1d 15h
 
177-0303// Amat Applied 3870-00230 Valve Ball 2-way 1500psig 3/8fnpt []
177-0303// Amat Applied 3870-00230 Valve Ball 2-way 1500psig 3/8fnpt []
300.00  26d 0h
 
418-0202// Yaskawa Usarem-03csf12 Ac Servo Motor [/fast]
418-0202// Yaskawa Usarem-03csf12 Ac Servo Motor [/fast]
500.00  29d 20h
 
322-0101// Amat Applied 0150-09505 Cable Assy,flow Meter []
322-0101// Amat Applied 0150-09505 Cable Assy,flow Meter []
360.00  9d 19h
 
AMAT Applied Materials 0200-00177 Insert Ring SI/QTZ 200mm  Surplus
AMAT Applied Materials 0200-00177 Insert Ring SI/QTZ 200mm  Surplus
307.18  2d 17h
 
Unit Instruments URS-20P Single Channel MFC Controller, URS-20, 421240
Unit Instruments URS-20P Single Channel MFC Controller, URS-20, 421240
250.00  12d 14h
 
Hitachi ZVV034-0 Processor PCB Card I-900 VEDIT2 I-900SRT  Working
Hitachi ZVV034-0 Processor PCB Card I-900 VEDIT2 I-900SRT  Working
1,201.17  6d 12h
 
9010-01496 Itl / Quantum X Heat Exchanger / Applied Materials
9010-01496 Itl / Quantum X Heat Exchanger / Applied Materials
6,650.00  13d 17h
 
Digital Instruments Veeco Objective Assembly VX 330 VX200 (4219)
Top-Rated Plus Seller Digital Instruments Veeco Objective Assembly VX 330 VX200 (4219)
475.00  13d 18h
 
Nikon 4S013-907 Interface Board PCB IU-X8A-RET NSR System  Working
Nikon 4S013-907 Interface Board PCB IU-X8A-RET NSR System  Working
607.18  6d 16h
 
Ct024-011751-1 / Flowmeter (150ml/min) / Tokyo Electron Tel
Ct024-011751-1 / Flowmeter (150ml/min) / Tokyo Electron Tel
390.82  20d 13h
 
Specken Drumag ZLS-FV 280/57-D-PH-T-1099980 Stroke Cylinder Oerlikon P1264
Specken Drumag ZLS-FV 280/57-D-PH-T-1099980 Stroke Cylinder Oerlikon P1264
2,207.09  17d 12h
 
 Univertical PN: 637-5221-11 Copper 300mm Grooved Conan Outer Anode
 Univertical PN: 637-5221-11 Copper 300mm Grooved Conan Outer Anode
749.99  25d 13h
 
Axcelis Extraction Electrode Liner 17122880 REV A (99262-5)
Axcelis Extraction Electrode Liner 17122880 REV A (99262-5)
140.00  15d 4h
 
Unico 1105-460-005-C-Y-K31-E01-805604 AC Drive, 714937, 321178, 423766
Unico 1105-460-005-C-Y-K31-E01-805604 AC Drive, 714937, 321178, 423766
3,000.00  3d 17h
 
Drytek / Lam Interlock Protection Circuit Board. P/N 2800937 rev 2
Top-Rated Plus Seller Drytek / Lam Interlock Protection Circuit Board. P/N 2800937 rev 2
175.00  4d 13h
 
Verteq 1076584-3 / 1076585-1 8201 Primary Processor RD Controller Set
Verteq 1076584-3 / 1076585-1 8201 Primary Processor RD Controller Set
1,507.18  2d 21h
 
BAUMER GI355.070C319 Incremental encoders
BAUMER GI355.070C319 Incremental encoders
450.00  15d 0h
 
Y14204000 / Temperature Management System / Edwards
Y14204000 / Temperature Management System / Edwards
420.68  6d 12h
 
AMAT Applied Materials 0040-32543 IPS Lower Magnet Liner Refurbished
AMAT Applied Materials 0040-32543 IPS Lower Magnet Liner Refurbished
2,004.18  28d 12h
 
Semes Pcbb-105989, Blueice Spin Chuck, Spm Spin Chuck
Semes Pcbb-105989, Blueice Spin Chuck, Spm Spin Chuck
14,400.00  13d 23h
 
PHD Inc, 507687-00 Rotary Actuator, 450696
PHD Inc, 507687-00 Rotary Actuator, 450696
85.00  24d 14h
 
Nikon 4S018-649 Interface Relay Board PCB LINK-RX2  Working
Nikon 4S018-649 Interface Relay Board PCB LINK-RX2  Working
503.18  10d 14h
 
116-0102// Amat Applied 0200-09615 Lift Wafer, Sr& Bse Bwcvd
116-0102// Amat Applied 0200-09615 Lift Wafer, Sr& Bse Bwcvd
760.00  7d 2h
 
116-0103// Amat Applied 0200-09762 Ring,clamping,notch,al 200mm, 1.38 Ht,fi
116-0103// Amat Applied 0200-09762 Ring,clamping,notch,al 200mm, 1.38 Ht,fi
990.00  7d 2h
 
426-0501// Mitsubish Rdt142s Touch Screen [/fast]
426-0501// Mitsubish Rdt142s Touch Screen [/fast]
1,000.00  22h 15m
 
321-0303// Amat Applied 0226-46993 Applied Matrials Components []
321-0303// Amat Applied 0226-46993 Applied Matrials Components []
1,000.00  19d 23h
 
Nikon 4S013-570 Interface Control Card PCB MIS-PACONX4S NSR-S205C  Working
Nikon 4S013-570 Interface Control Card PCB MIS-PACONX4S NSR-S205C  Working
830.59  12d 13h
 
Cosel AOU-02A Isolated DC/DC Converter Board PCB AOU-03A  Working
Cosel AOU-02A Isolated DC/DC Converter Board PCB AOU-03A  Working
310.18  12d 12h
 
ASM Advanced Semiconductor Materials 96-125485A29 Pedestal UNI HSE Platen
ASM Advanced Semiconductor Materials 96-125485A29 Pedestal UNI HSE Platen
3,507.18  2d 21h
 
Western Servo 10025-100 Rvb Pdh-s1-6/10-st1  10025100rvb Pdhs1610st1
Western Servo 10025-100 Rvb Pdh-s1-6/10-st1  10025100rvb Pdhs1610st1
275.00  4d 10h
 
Drytek / Lam 384T Temperature Sensor Cable Assembly MPS-6, P/N 2002023
Top-Rated Plus Seller Drytek / Lam 384T Temperature Sensor Cable Assembly MPS-6, P/N 2002023
175.00  19d 13h
 
Solder Feed Tube Part 6072155317/PO2 for MRO Manufacturing Soldering NOS Qty 5
Solder Feed Tube Part 6072155317/PO2 for MRO Manufacturing Soldering NOS Qty 5
40.00  11d 12h
 
Nikon 4S008-110-A Interface Board PCB ALGAF-T/A-X4+ NSR-S306C  Working
Nikon 4S008-110-A Interface Board PCB ALGAF-T/A-X4+ NSR-S306C  Working
1,008.18  26d 11h
 
Rudolph Research A18088-C MP Isolated DC/DC Converter Board PCB  Working
Rudolph Research A18088-C MP Isolated DC/DC Converter Board PCB  Working
302.19  13d 10h
 
Nikon 4S013-364 Interface Board PCB BLPWX4 NSR-S205C BMU  Working
Nikon 4S013-364 Interface Board PCB BLPWX4 NSR-S205C BMU  Working
504.18  13h 4m
 
Unit Instruments URS-20 Single Channel MFC Controller,
Unit Instruments URS-20 Single Channel MFC Controller,
175.00  20d 23h
 
Mcd9116anmeh11 / Test Resist Filter .02um / Pall
Mcd9116anmeh11 / Test Resist Filter .02um / Pall
480.68  8d 21h
 
Nikon 4S017-026 Test PCB Card SR-EX2 NSR System  Working
Nikon 4S017-026 Test PCB Card SR-EX2 NSR System  Working
302.19  10d 18h
 
Barnstead Thermolyne pM-512 ID-AWS-K-1-004
Barnstead Thermolyne pM-512 ID-AWS-K-1-004
450.00  12d 13h
 
 Sealed Applied Materials Si Collar 300mm 5.4 Prod Ring W/ds Tape 0010-45060
 Sealed Applied Materials Si Collar 300mm 5.4 Prod Ring W/ds Tape 0010-45060
749.99  18d 17h
 
Wonik Quartz International QI-22105 Quartz Window 200mm  Working
Wonik Quartz International QI-22105 Quartz Window 200mm  Working
806.15  2d 16h
 
Cosel AOU-01B Isolated DC/DC Converter Board PCB  Working
Cosel AOU-01B Isolated DC/DC Converter Board PCB  Working
310.18  12d 12h
 
Tencor 33205 AC Power Box Assembly LPM  Working
Tencor 33205 AC Power Box Assembly LPM  Working
2,307.13  12d 10h
 
Fujikin 316L-P Diaphragm Valve AQ2GF000 422648 Swagelok 6LVV-P 1/4" VCR, 452081
Fujikin 316L-P Diaphragm Valve AQ2GF000 422648 Swagelok 6LVV-P 1/4" VCR, 452081
195.00  14d 17h
 
Ecab-622302 / Switch, Indicating Pressure, 30-0-30psi / Atmi Systems
Ecab-622302 / Switch, Indicating Pressure, 30-0-30psi / Atmi Systems
233.75  11d 18h
 
Chamber Parts AWM-C-4-1-003
Chamber Parts AWM-C-4-1-003
650.00  19d 19h
 
Broadway Networks Inc 35-00001-01 /35-00002-01/ 35-00003-01 AWM-G-4-1-007
Broadway Networks Inc 35-00001-01 /35-00002-01/ 35-00003-01 AWM-G-4-1-007
355.00  21d 15h
 
Microscope objective 40/0.65 160/0.17
Microscope objective 40/0.65 160/0.17
250.00  13d 12h
 
105-0501// Amat Applied 0200-02591 Components
105-0501// Amat Applied 0200-02591 Components
1,100.00  19d 1h
 
MILLIPORE  11" Filter Canister
MILLIPORE 11" Filter Canister
307.18  2d 21h
 
ASML 4022.471.6782 AT IM SHUT/VA RP Board PCB Card 03 4022.471.62591
ASML 4022.471.6782 AT IM SHUT/VA RP Board PCB Card 03 4022.471.62591
806.17  2d 10h
 
Tel Tokyo Electron Pst-opt2 Pcb Board Card 3381-001039-11, 3380-007054-11
Tel Tokyo Electron Pst-opt2 Pcb Board Card 3381-001039-11, 3380-007054-11
799.00  15d 9h
 
2426  Lot of 6 MEC Tech MEC81105-203A Insulator Rings
2426 Lot of 6 MEC Tech MEC81105-203A Insulator Rings
200.00  18d 13h
 
Drytek/Lam  AMN ISO INTERFACE ASSY 2801022
Top-Rated Plus Seller Drytek/Lam AMN ISO INTERFACE ASSY 2801022
175.00  13h 5m
 
AG Associates Heatpulse Ceramic Shield 8 Inch,PN 7310-5186-01?
AG Associates Heatpulse Ceramic Shield 8 Inch,PN 7310-5186-01?
4,500.00  6d 22h
 
SVG Silicon Valley Group 851-9947-004 DMC Booster Amplifier PCB Card Rev. M
SVG Silicon Valley Group 851-9947-004 DMC Booster Amplifier PCB Card Rev. M
310.18  14h 59m
 
9+applied Materials Flatfinder Board
9+applied Materials Flatfinder Board
79.99  20d 11h
 
ASM 77-123776A48 SENSOR-WAFER LVL30
ASM 77-123776A48 SENSOR-WAFER LVL30
3,006.18  2d 19h
 
TDK 3EA00E182/A Interface Relay Board PCB hi-pric with Cables  Working
TDK 3EA00E182/A Interface Relay Board PCB hi-pric with Cables  Working
302.19  1d 16h
 
Danaher Motion T019-0001 SynQnet Interface Device (SQID) PCB 1007-0098
Danaher Motion T019-0001 SynQnet Interface Device (SQID) PCB 1007-0098
361.18  11d 15h
 
4416e   /     4 Wire To 2 Wire Dst /  Tellabs
4416e / 4 Wire To 2 Wire Dst / Tellabs
450.66  26d 15h
 
Power-One RPM5C4C4D4LCS677 Dual Output Power Supply 2500W  Working
Power-One RPM5C4C4D4LCS677 Dual Output Power Supply 2500W  Working
760.17  2d 13h
 
Infineion Technologies  KC-VCB Valve Control Box
Infineion Technologies KC-VCB Valve Control Box
2,007.18  2d 16h
 
HoribaSTEC SEC-Z514MGX Mass Flow Controller,N2,10SLM,un,Jap5658
HoribaSTEC SEC-Z514MGX Mass Flow Controller,N2,10SLM,un,Jap5658
209.00  14d 0h
 
Varian Implanter Feed-Thru Connector E47000284 55093
Varian Implanter Feed-Thru Connector E47000284 55093
300.00  6d 6h
 
AMAT 0010-60027, Susceptor Assembly, TOP Mount, 5" with T/C, 125mm. 417344
AMAT 0010-60027, Susceptor Assembly, TOP Mount, 5" with T/C, 125mm. 417344
2,200.00  17h 46m
 
ASM 02-187891-01 Assembly H2 Chassis Modified E3000
ASM 02-187891-01 Assembly H2 Chassis Modified E3000
2,507.18  2d 18h
 
Bm19932 / Pb19932 Pcb / Pri
Bm19932 / Pb19932 Pcb / Pri
175.50  24d 13h
 
40592-00 / Tilt Scan Interface Pcb / Genus
40592-00 / Tilt Scan Interface Pcb / Genus
200.00  16d 11h
 
879-8103-002 A / Pcb,ehternat,552a 8798103002, Kkbu012 / Intel
879-8103-002 A / Pcb,ehternat,552a 8798103002, Kkbu012 / Intel
249.55  18d 17h
 
632730230.00 / D-connector Panel Sa / Asm America Inc
632730230.00 / D-connector Panel Sa / Asm America Inc
200.99  26d 17h
 
2506637-21 / 2506637-01, Processor Board / Asm America Inc
2506637-21 / 2506637-01, Processor Board / Asm America Inc
199.99  26d 17h
 
0101-0250 / Thermocouple,10x / Matrix
0101-0250 / Thermocouple,10x / Matrix
277.46  5d 14h
 
17129710 / Ina 14905 Upd To 17106481 (strike Plt 2) / Axcelis Technologies
17129710 / Ina 14905 Upd To 17106481 (strike Plt 2) / Axcelis Technologies
256.20  10d 14h
 
Moelh103 / Valve,solenoid,h103 / Disco
Moelh103 / Valve,solenoid,h103 / Disco
240.49  11d 13h
 
Ufc-8160 (hbr) (200 Sccm) / Ufc-8160 (hbr) (200 Sccm) / Unit
Ufc-8160 (hbr) (200 Sccm) / Ufc-8160 (hbr) (200 Sccm) / Unit
175.99  23d 16h
 
Ufc-8160 (ar) (100 Sccm) / Ufc-8160 (ar) (100 Sccm) / Unit
Ufc-8160 (ar) (100 Sccm) / Ufc-8160 (ar) (100 Sccm) / Unit
225.99  23d 17h
 
Ufc-1260a Sih2cl2 / Mfc 500 Psi Max Sih2cl2 / Unit
Ufc-1260a Sih2cl2 / Mfc 500 Psi Max Sih2cl2 / Unit
200.99  24d 12h
 
Ua-001901 / Pcb,input B,di,disco Eaua-001901 / Disco
Ua-001901 / Pcb,input B,di,disco Eaua-001901 / Disco
240.99  24d 13h
 
3160710 / Bruce I/o Board / Bruce Systems
3160710 / Bruce I/o Board / Bruce Systems
204.40  24d 17h
 
9635-0624 / Heater Jacket, 120v/112w / Mks
9635-0624 / Heater Jacket, 120v/112w / Mks
250.00  17h 52m
 
Eaum-984000 / Switch, Pressure (disco) (sunx Dp-22) / Disco
Eaum-984000 / Switch, Pressure (disco) (sunx Dp-22) / Disco
258.09  5d 12h
 
5516011 / Insulation 33 / Samsung America Inc
5516011 / Insulation 33 / Samsung America Inc
244.58  11d 12h
 
28-8875-011 / Pc Assy, Output Intrf,pre,p. / Ontrak
28-8875-011 / Pc Assy, Output Intrf,pre,p. / Ontrak
234.78  11d 17h
 
186475-000 / Ctr9642 / Thermco
186475-000 / Ctr9642 / Thermco
231.00  12d 12h
 
302759-002 / Circuit Breaker / Fsi
302759-002 / Circuit Breaker / Fsi
215.25  12d 14h
 
171790-001 / Assy,i/o Addr 2 / Scp Services
171790-001 / Assy,i/o Addr 2 / Scp Services
205.80  16d 14h
 
5900-cy / Uniformity Ring / Vat
5900-cy / Uniformity Ring / Vat
200.55  16d 19h
 
42279-03 / Pcb, Vac Controller, Panel / Genus Incorporated
42279-03 / Pcb, Vac Controller, Panel / Genus Incorporated
250.68  20d 15h
 
0020-76010(lot Of 10) / Pivot Pin, Wfr Cassetted / Applied Materials Amat
0020-76010(lot Of 10) / Pivot Pin, Wfr Cassetted / Applied Materials Amat
178.20  24d 12h
 
16215-01 / Forearm, 4.75" Pick Up Armb / Svg
16215-01 / Forearm, 4.75" Pick Up Armb / Svg
230.00  22d 13h
 
TEL Tokyo Electron 3D81-000030-V2 PCB Board TYB61B-1/GAS1 T-3044SS
TEL Tokyo Electron 3D81-000030-V2 PCB Board TYB61B-1/GAS1 T-3044SS
804.14  28d 14h
 
Xycarb Ceramics 10314665 Chamber with Rib ASM 4649710-0002 Refurbished
Xycarb Ceramics 10314665 Chamber with Rib ASM 4649710-0002 Refurbished
1,504.18  28d 14h
 
Nikon 4S013-392-1 Relay Interface Board PCB STGX41A NSR-S306C System
Nikon 4S013-392-1 Relay Interface Board PCB STGX41A NSR-S306C System
1,007.18  7d 15h
 
Kniel 314-200-04 .03 Power Supply PCB Card CP 8,5.2/SEMI 4022.436.72661 Working
Kniel 314-200-04 .03 Power Supply PCB Card CP 8,5.2/SEMI 4022.436.72661 Working
907.17  17d 11h
 
Nikon 4S008-187 Interface Control Board PCB AF-PSDX4B NSR-S205C  Working
Nikon 4S008-187 Interface Control Board PCB AF-PSDX4B NSR-S205C  Working
775.59  12d 14h
 
Amat 0140-02526 Cable Assy Sensor Cntrl B,  
Amat 0140-02526 Cable Assy Sensor Cntrl B,
300.00  6d 2h
 
Hitachi ZVC018-0 Interface Board ZVC018-B00 PCB ZVC018-BA0  Working
Hitachi ZVC018-0 Interface Board ZVC018-B00 PCB ZVC018-BA0  Working
305.17  22d 17h
 
ASM 1018-538-01 Moisture Analyzer Connection
ASM 1018-538-01 Moisture Analyzer Connection
2,507.18  2d 19h
 
AG Associates Heatpulse Ceramic Shield 6 Inch
AG Associates Heatpulse Ceramic Shield 6 Inch
3,800.00  6d 22h
 
PCB Cage For AG Associates Heatpulse
PCB Cage For AG Associates Heatpulse
1,500.00  12d 18h
 
KLA Instruments 710-658076-20 Rev. C0 Defect Processor PCB 2132  Working
KLA Instruments 710-658076-20 Rev. C0 Defect Processor PCB 2132  Working
2,001.17  22d 11h
 
AMAT Applied Materials 30614310 E23 INT. Board PCB SEMVision cX Defect
AMAT Applied Materials 30614310 E23 INT. Board PCB SEMVision cX Defect
312.18  26d 11h
 
AMAT Applied Materials ENDPT. Interface/Smoother PCB, 0100-00195
Top-Rated Plus Seller AMAT Applied Materials ENDPT. Interface/Smoother PCB, 0100-00195
227.50  14d 8h
 
Agilient Z4207-60003 Circuit Board PCB Z4207 NC1  Working
Agilient Z4207-60003 Circuit Board PCB Z4207 NC1  Working
609.16  2d 16h
 
SVG Silicon Valley Group 851-8220-011 Processor PCB Card Rev. G ASML 90S
SVG Silicon Valley Group 851-8220-011 Processor PCB Card Rev. G ASML 90S
310.18  5d 16h
 
SVG Silicon Valley Group 859-8027-004 Processor PCB Card Rev. G ASML 90S
SVG Silicon Valley Group 859-8027-004 Processor PCB Card Rev. G ASML 90S
310.18  22d 16h
 
ESI 960716 DIO-MMB INT-FACE  board
ESI 960716 DIO-MMB INT-FACE board
333.00  6d 8h
 
SCI Solid Controls 428-957 Transformer Board PCB Card 0428-9560  Working
SCI Solid Controls 428-957 Transformer Board PCB Card 0428-9560  Working
456.18  8d 16h
 
Liebert 415541G1 Interface Relay Board PCB Rev. 0  Working
Liebert 415541G1 Interface Relay Board PCB Rev. 0  Working
303.19  26d 17h
 
110-0201// Advanced 3152436-102v Pinnacle
110-0201// Advanced 3152436-102v Pinnacle
10,000.00  16d 2h
 
RECIF Technologies STDAH0347C Interface Board PCB PCB0347B Nikon NSR Working
RECIF Technologies STDAH0347C Interface Board PCB PCB0347B Nikon NSR Working
308.18  17d 10h
 
Pittman 8224D589 Motor 24VDC
Pittman 8224D589 Motor 24VDC
18.00  17d 12h
 
Omron Ly4
Omron Ly4
16.00  13d 16h
 
Phillips 4002.471.7312 Circuit Board PCB 2067480028  Working
Phillips 4002.471.7312 Circuit Board PCB 2067480028  Working
1,406.12  2d 16h
 
Veriflo Corp. HFR900W2PFS8MMV Regulator, MAX Inlet 500 PSI w/ Bracket, HFR900
Veriflo Corp. HFR900W2PFS8MMV Regulator, MAX Inlet 500 PSI w/ Bracket, HFR900
62.99  1d 9h
 
Applied Materials 0150-20158 Amat Control Cryo 2 50 Ft
Applied Materials 0150-20158 Amat Control Cryo 2 50 Ft
800.00 418.00  22d 16h
 
Applied Materials 3011-01310 Etch Plate
Applied Materials 3011-01310 Etch Plate
495.00  26d 13h
 
Applied Materials 3011-01302 , Etch Plate
Applied Materials 3011-01302 , Etch Plate
440.00  26d 13h
 
ASM 16-190115D01 PLATE-RC BASE PASS  Surplus
ASM 16-190115D01 PLATE-RC BASE PASS  Surplus
1,507.18  2d 21h
 
Merlin Gerin 600y/347v Circuit Breaker Compact Nsf150 N
Merlin Gerin 600y/347v Circuit Breaker Compact Nsf150 N
59.99  9d 14h
 
AMAT Applied Materials 0200-09617 Shield Perforated 8″ BSE Ceramic Working
AMAT Applied Materials 0200-09617 Shield Perforated 8″ BSE Ceramic Working
607.18  28d 11h
 
AMAT Applied Materials 0021-39942 IPS Port Inner Pump Rev. P2 Refurbished
AMAT Applied Materials 0021-39942 IPS Port Inner Pump Rev. P2 Refurbished
1,204.18  9h 39m
 
Hitachi Tank1 Via Clean Controller MU-712E  Working
Hitachi Tank1 Via Clean Controller MU-712E  Working
506.13  28d 9h
 
TEL Tokyo Electron 3210-2012-70-11 CARD HOLDER R(S)
TEL Tokyo Electron 3210-2012-70-11 CARD HOLDER R(S)
2,006.18  2d 17h
 
Ps464-01a X2 / 2 4 Phase Stepping Motors 4.0v 1.1a 1.8deg Step / Mycom
Ps464-01a X2 / 2 4 Phase Stepping Motors 4.0v 1.1a 1.8deg Step / Mycom
490.62  25d 17h
 
AMAT 0020-99422 Insert, Inner, 417867
AMAT 0020-99422 Insert, Inner, 417867
625.00  12d 18h
 
Varian 0471663004 Shield, Dep Q SRC, 406333
Varian 0471663004 Shield, Dep Q SRC, 406333
850.00  6d 13h
 
149492 / Temperature Panel Meter 5w 24v Model / Virtronics
149492 / Temperature Panel Meter 5w 24v Model / Virtronics
450.26  27d 20h
 
D116058004 / D116058100, D116059001, Pcb Assy, Microprocessor Board / Mrc
D116058004 / D116058100, D116059001, Pcb Assy, Microprocessor Board / Mrc
720.99  18d 17h
 
Harness Index Encoder 506-39753-02
Harness Index Encoder 506-39753-02
240.00  16d 4h
 
Nikon 4S013-310 Interface Board BLX3 PCB NRS System Working Spare
Nikon 4S013-310 Interface Board BLX3 PCB NRS System Working Spare
501.16  12d 17h
 
54-0412 /    Tencor Parallel I/o H2 Reva / Kla Tencor
54-0412 / Tencor Parallel I/o H2 Reva / Kla Tencor
510.82  1d 18h
 
1000-0003 / Pcb Transport Controller / Axcelis Technologies
1000-0003 / Pcb Transport Controller / Axcelis Technologies
500.00  2d 16h
 
64-81813-00 / C & D Cont Display Pcb Board Module Card / Applied Materials Amat
64-81813-00 / C & D Cont Display Pcb Board Module Card / Applied Materials Amat
324.99  17d 11h
 
0100-02075 / Override Pcb Sa-bf3 / Applied Materials Amat
0100-02075 / Override Pcb Sa-bf3 / Applied Materials Amat
290.00  17d 13h
 
2970236-01 / Robot Chr Controller / Asm America Inc
2970236-01 / Robot Chr Controller / Asm America Inc
550.82  24d 12h
 
857-033622-400 / Kit,upr Fr,supports, E4, Metric Hw / Lam Research Corporation
857-033622-400 / Kit,upr Fr,supports, E4, Metric Hw / Lam Research Corporation
300.00  21d 14h
 
42799-00 / Lens Power Supply Protection Pcb / Genius
42799-00 / Lens Power Supply Protection Pcb / Genius
300.00  24d 16h
 
317195 / Robot Distribution Assy Rev B / Kla Tencor
317195 / Robot Distribution Assy Rev B / Kla Tencor
351.00  27d 14h
 
715-031752-207 / Lower Cap Electrode 6 In / Lam
715-031752-207 / Lower Cap Electrode 6 In / Lam
550.03  17d 14h
 
0020-32963 / Cover,back,hv Center,esc /  Applied Materials Amat
0020-32963 / Cover,back,hv Center,esc / Applied Materials Amat
350.54  1d 16h
 
20-0003-012 E / Ssi Power Supply / Switching Systems International
20-0003-012 E / Ssi Power Supply / Switching Systems International
550.03  4d 17h
 
0020-70272 / Pivot, One Piece Right / Applied Materials Amat
0020-70272 / Pivot, One Piece Right / Applied Materials Amat
359.70  21d 17h
 
Crb 1bw50-270s / Actuator Rotary / Smc
Crb 1bw50-270s / Actuator Rotary / Smc
300.00  27d 16h
 
0020-40683 / Coupling, Flex / Applied Materials Amat
0020-40683 / Coupling, Flex / Applied Materials Amat
631.76  22d 10h
 
Paa300f-24 Xdak / Psu 24vdc Qx+ Stepscan Cabinet / Applied Materials Amat
Paa300f-24 Xdak / Psu 24vdc Qx+ Stepscan Cabinet / Applied Materials Amat
340.99  23d 10h
 
For2b0001 / Valve-4 Way 002-0005 / Sms
For2b0001 / Valve-4 Way 002-0005 / Sms
311.85  22d 12h
 
7142-0019-0002 / Slit-pinned,fixed Arc, Moly / Eaton
7142-0019-0002 / Slit-pinned,fixed Arc, Moly / Eaton
327.82  25d 13h
 
Bgc-024407 / Pcb, Fm Module 1g    / Advantest
Bgc-024407 / Pcb, Fm Module 1g / Advantest
420.62  16d 17h
 
0020-95749 / Enclosure Va 360 Modifi- Cation / Applied Materials Amat
0020-95749 / Enclosure Va 360 Modifi- Cation / Applied Materials Amat
411.34  29d 16h
 
17236730 / Liner Vg Pump Hosing     / Axcelis Technologies
17236730 / Liner Vg Pump Hosing / Axcelis Technologies
372.60  4d 16h
 
D126092 / React Sput Shield / Tokyo Electron Tel
D126092 / React Sput Shield / Tokyo Electron Tel
550.68  24d 18h
 
630030170.00 / Pcb / Asm America Inc
630030170.00 / Pcb / Asm America Inc
345.99  2d 13h
 
750-660066-001 / Fixture Lamp Replacement Kla 2132 / Kla Tencor
750-660066-001 / Fixture Lamp Replacement Kla 2132 / Kla Tencor
750.68  6d 16h
 
Pdu / Vg Gas Analysis System / Thermofisher Scientific
Pdu / Vg Gas Analysis System / Thermofisher Scientific
750.68  7d 16h
 
Ap1101shm2pw 2pw Mv4 Mv4 / Regulator-dcs / Ap Tech
Ap1101shm2pw 2pw Mv4 Mv4 / Regulator-dcs / Ap Tech
422.10  20d 9h
 
0050-75100 / Line, Gp Vent, Ch A, 300mm Rp Epi / Applied Materials Amat
0050-75100 / Line, Gp Vent, Ch A, 300mm Rp Epi / Applied Materials Amat
513.02  19d 18h
 
0015-09056 / Bellows,lift Solid,amt 0015-09056 / Applied Materials Amat
0015-09056 / Bellows,lift Solid,amt 0015-09056 / Applied Materials Amat
384.88  13d 10h
 
715-180242-001 / Plt Vert Spprt Tmp Bracket / Lam Research Corporation
715-180242-001 / Plt Vert Spprt Tmp Bracket / Lam Research Corporation
599.77  14d 11h
 
103386 / Miniux 1.3 Datalogic For Sky Track / Cca Financial Inc
103386 / Miniux 1.3 Datalogic For Sky Track / Cca Financial Inc
558.80  14d 13h
 
Ds1110-327479-11 / Seal Protector / Tokyo Electron Tel
Ds1110-327479-11 / Seal Protector / Tokyo Electron Tel
547.33  14d 17h
 
0150-76288 / Cable Assy, Chamber Dc So / Applied Materials Amat
0150-76288 / Cable Assy, Chamber Dc So / Applied Materials Amat
429.67  21d 13h
 
0150-03985 / Cable Assy., 208v Ups Interface, Tpcc / Applied Materials Amat
0150-03985 / Cable Assy., 208v Ups Interface, Tpcc / Applied Materials Amat
420.39  21d 17h
 
0200-09091 / Ring,graphite,150mm,amt 0200-09091 / Applied Materials Amat
0200-09091 / Ring,graphite,150mm,amt 0200-09091 / Applied Materials Amat
389.16  23d 10h
 
0240-23718 / Kit Pump Cb-20 Amp / Applied Materials Amat
0240-23718 / Kit Pump Cb-20 Amp / Applied Materials Amat
378.00  26d 8h
 
Bb81-000943-11 / Pcb R Robot-arm I/f / Tvl
Bb81-000943-11 / Pcb R Robot-arm I/f / Tvl
330.00  28d 17h
 
0020-22413 / Lower Cover, Slit Valve Bellows / Applied Materials Amat
0020-22413 / Lower Cover, Slit Valve Bellows / Applied Materials Amat
288.41  4d 14h
 
2981-600566-1 / Board, Dc/dc #02 / Tokyo Electron Tel
2981-600566-1 / Board, Dc/dc #02 / Tokyo Electron Tel
698.03  15d 18h
 
1270-01608 /sw Press Diff 2-12mm H20 Spdt Manostar-type/ Applied Materials Amat
1270-01608 /sw Press Diff 2-12mm H20 Spdt Manostar-type/ Applied Materials Amat
619.32  16d 15h
 
Type Dps-9000-14 / Decoder, Barcode / Em Industries
Type Dps-9000-14 / Decoder, Barcode / Em Industries
392.70  21d 17h
 
2172582/ Model 7617 Communications Interface Module / Bruce Industrial Controls
2172582/ Model 7617 Communications Interface Module / Bruce Industrial Controls
310.00  8d 13h
 
0620-07506 / S2 Driver Main And Control Ac Pwr 208v / Marinco
0620-07506 / S2 Driver Main And Control Ac Pwr 208v / Marinco
310.00  10d 19h
 
Bm06241r / Amp Servo Low Pwr Pb02807 / Brooks Automation Inc
Bm06241r / Amp Servo Low Pwr Pb02807 / Brooks Automation Inc
350.82  4d 17h
 
778-1i / Arm Rev 303 / Hine Design
778-1i / Arm Rev 303 / Hine Design
420.66  6d 9h
 
SVG Silicon Valley Group 851-8220-011 Processor PCB Card Rev. H ASML 90S
SVG Silicon Valley Group 851-8220-011 Processor PCB Card Rev. H ASML 90S
309.18  8d 17h
 
4845-0164 / Heater Blanket 4.5 El45lr A1 120v 101w 0.84a / Mks
4845-0164 / Heater Blanket 4.5 El45lr A1 120v 101w 0.84a / Mks
280.99  15d 13h
 
0021-39943 / Liner,outer Pump Port / Applied Materials
0021-39943 / Liner,outer Pump Port / Applied Materials
750.98  23d 14h
 
0020-31389  /cylinder Flow Thru 8/ Amat
0020-31389 /cylinder Flow Thru 8/ Amat
490.98  13d 15h
 
0040-70150 / Wldmnt Arm Source Wide B / Applied Materials
0040-70150 / Wldmnt Arm Source Wide B / Applied Materials
500.98  14d 13h
 
Lc621p / Top Loading Balance With Deep Cup / Sartorius
Lc621p / Top Loading Balance With Deep Cup / Sartorius
485.98  23d 13h
 
Bgr-016793 / Ifl Board / Advantest
Bgr-016793 / Ifl Board / Advantest
600.98  2d 14h
 
110114100 / Axcelis Energy Slit Controller / Axcelis
110114100 / Axcelis Energy Slit Controller / Axcelis
500.00  10d 10h
 
2506513-21 / Asm Processor Pcb Card / Asm America Inc
2506513-21 / Asm Processor Pcb Card / Asm America Inc
422.85  4d 15h
 
J69944 / Amat Bias Power Supply / Amat
J69944 / Amat Bias Power Supply / Amat
550.82  8d 13h
 
710-650879-20 / Dual Stepper Driver Rev F / Kla Tencor
710-650879-20 / Dual Stepper Driver Rev F / Kla Tencor
620.00  22d 14h
 
1507380 / Pcb Assy Exch Intfc / Axcelis Technologies
1507380 / Pcb Assy Exch Intfc / Axcelis Technologies
319.80  2d 13h
 
99-80203-01 / Rs422 Communication Pcb / Svg
99-80203-01 / Rs422 Communication Pcb / Svg
300.00  17d 12h
 
0620-02748 / Position Assembly, Position Indicator Gate Valve / Amat
0620-02748 / Position Assembly, Position Indicator Gate Valve / Amat
565.00  19d 10h
 
1-a08823-^a / Xt Er Protection Cover (lexan) / Tsm Hitachi
1-a08823-^a / Xt Er Protection Cover (lexan) / Tsm Hitachi
400.00  29d 12h
 
715-800326-004 / Lam Hsg Bias Electrode / Lam
715-800326-004 / Lam Hsg Bias Electrode / Lam
300.99  17d 11h
 
Bgo-022241x07 / Advantest Pcb / Advantest
Bgo-022241x07 / Advantest Pcb / Advantest
480.62  16d 18h
 
A52844460 / Interface Module / Edwards Vacuum
A52844460 / Interface Module / Edwards Vacuum
400.00  7d 11h
 
690-8051-001 / 24 Channel Pcb / Perkin Elmer
690-8051-001 / 24 Channel Pcb / Perkin Elmer
500.99  28d 14h
 
0010-01004 / Line Gas Feed Assy / Applied Materials Amat
0010-01004 / Line Gas Feed Assy / Applied Materials Amat
400.99  24d 17h
 
0068200100 / Table Insert / Varian
0068200100 / Table Insert / Varian
425.82  20d 9h
 
3870-01485 Pkg Of 2 / Valve Mnl Diaph 3500psi 1/4vcr-m/m 1/4shrt-hdl Sst/ Amat
3870-01485 Pkg Of 2 / Valve Mnl Diaph 3500psi 1/4vcr-m/m 1/4shrt-hdl Sst/ Amat
307.77  10d 13h
 
840b21pcb4ga--s / Pressure, Transducer / Mks
840b21pcb4ga--s / Pressure, Transducer / Mks
750.99  12d 10h
 
0020-04013 / Pressure Cap, Gas Cooled / Applied Materials Amat
0020-04013 / Pressure Cap, Gas Cooled / Applied Materials Amat
667.08  12d 12h
 
0240-60039 / Susceptor,plate Stock,6",amt 0240-60039,s33-796a / Amat
0240-60039 / Susceptor,plate Stock,6",amt 0240-60039,s33-796a / Amat
550.00  14d 16h
 
Stp-bg9-4955-000 / Pcb Elevator In/out Assy / Nikon
Stp-bg9-4955-000 / Pcb Elevator In/out Assy / Nikon
472.50  19d 19h
 
0242-11543 / Kit Heater Pm Replacement / Applied Materials Amat
0242-11543 / Kit Heater Pm Replacement / Applied Materials Amat
420.00  21d 17h
 
17143040 / Outer Sleeve, Tube /  Axcelis Technologies
17143040 / Outer Sleeve, Tube / Axcelis Technologies
391.80  23d 9h
 
0020-70271 / Pivot, One Piece Left / Applied Materials Amat
0020-70271 / Pivot, One Piece Left / Applied Materials Amat
359.70  26d 13h
 
0150-21357 / Chamber C Interconnect / Applied Materials Amat
0150-21357 / Chamber C Interconnect / Applied Materials Amat
345.45  27d 19h
 
0021-03255 / Blankoff, Slit Valve Mount Pr7 Above / Applied Materials Amat
0021-03255 / Blankoff, Slit Valve Mount Pr7 Above / Applied Materials Amat
343.35  28d 12h
 
0150-20023 / Cable Assy, Cryo Temp Interconnect, 25' / Applied Materials Amat
0150-20023 / Cable Assy, Cryo Temp Interconnect, 25' / Applied Materials Amat
319.15  29d 19h
 
0150-01116 / Cable Assy,mod Pwr Driver Control Ma-ch / Applied Materials Amat
0150-01116 / Cable Assy,mod Pwr Driver Control Ma-ch / Applied Materials Amat
303.37  12h 33m
 
0150-20168 / Cable Assy, Sec, Gen / Applied Materials Amat
0150-20168 / Cable Assy, Sec, Gen / Applied Materials Amat
283.50  4d 16h
 
Mbv-20000-a7 / Lower Exchange Arm / Nikon
Mbv-20000-a7 / Lower Exchange Arm / Nikon
295.03  23d 12h
 
Nikon 4S017-474 Interface Board PCB NSR System  Working
Nikon 4S017-474 Interface Board PCB NSR System  Working
502.19  13d 13h
 
Omron #0135 Interface Board PCB  Working
Omron #0135 Interface Board PCB  Working
310.18  12d 10h
 
Omron #0176 Interface Board PCB  Working
Omron #0176 Interface Board PCB  Working
310.18  12d 10h
 
13096 Recif Technologies Pcb, Pcb0427 W/ Cpubh0400b, Pcb0400 Mobah0427d1
13096 Recif Technologies Pcb, Pcb0427 W/ Cpubh0400b, Pcb0400 Mobah0427d1
1,267.32  4d 0h
 
AMAT 0150-70137 Assembly Cable System Video 25FT
AMAT 0150-70137 Assembly Cable System Video 25FT
250.00  10d 1h
 
TDK 3EA00B172 Interface Power Supply Board PCB  Working
TDK 3EA00B172 Interface Power Supply Board PCB  Working
508.18  9d 14h
 
TGL-80-506-013 OPTICAL FILTER 750 NM endpoint Filter Tegal Spare Parts
TGL-80-506-013 OPTICAL FILTER 750 NM endpoint Filter Tegal Spare Parts
650.00  2d 18h
 
Shinko Electric 3ASSYC010905 DC-DC Converter Board PCB OHT-P2 YEP-1789
Shinko Electric 3ASSYC010905 DC-DC Converter Board PCB OHT-P2 YEP-1789
311.18  24d 18h
 
Air Products DD 1050 Gasguard 250 I/O Processor Board PCB  Working
Air Products DD 1050 Gasguard 250 I/O Processor Board PCB  Working
403.18  4d 15h
 
Wallac Microcomputer DCD 1055 6200 A
Wallac Microcomputer DCD 1055 6200 A
209.75  15d 16h
 
AMAT Applied Materials S3M21437 SHADOW RING
AMAT Applied Materials S3M21437 SHADOW RING
407.18  2d 17h
 
Lambda Electronics LIS-3I-15 Power Supply PCB Card -15VDC  Working
Lambda Electronics LIS-3I-15 Power Supply PCB Card -15VDC  Working
306.18  10d 17h
 
Millipore Intelliflow FSEGD100FB00 Digital Flow Product,SF6 400SCCM,USA6758
Millipore Intelliflow FSEGD100FB00 Digital Flow Product,SF6 400SCCM,USA6758
99.00  7d 22h
 
AMAT Applied Materials 04079-0001 8" COHERENT TI CHAMBER KIT Refurbished
AMAT Applied Materials 04079-0001 8" COHERENT TI CHAMBER KIT Refurbished
906.18  2d 17h
 
Huntington A00-1549 Turbo Throttle Valve  Working
Huntington A00-1549 Turbo Throttle Valve  Working
607.18  2d 19h
 
Contec PI0-32/32L(PCI) No.7097A PI03232LPCI Board Card
Contec PI0-32/32L(PCI) No.7097A PI03232LPCI Board Card
50.00  21d 19h
 
Varian PPM 992622-00D, 87-195861-A, PCB, 40MHZ, DM992622-00. 322297
Varian PPM 992622-00D, 87-195861-A, PCB, 40MHZ, DM992622-00. 322297
995.00  28d 18h
 
FUJIKIN FBDV-9.52-2B3-NL-PI-ADY-UP O.P. 0.34-0.49 Mpa NO. 052477,
FUJIKIN FBDV-9.52-2B3-NL-PI-ADY-UP O.P. 0.34-0.49 Mpa NO. 052477,
120.00  27d 22h
 
Hitachi Tank5 Nitric Clean Controller MU-712E  Working
Hitachi Tank5 Nitric Clean Controller MU-712E  Working
506.13  28d 9h
 
Guide, Upper Unloader, 200mm 402608
Guide, Upper Unloader, 200mm 402608
450.00  13d 14h
 
Daikin Industries 3D80-00711-V2 Control Unit UBRP4C91IN  Working
Daikin Industries 3D80-00711-V2 Control Unit UBRP4C91IN  Working
1,505.13  28d 9h
 
PCB, Indexer Interface,  LAM P/N M81-600959-X
PCB, Indexer Interface, LAM P/N M81-600959-X
375.00  13d 3h
 
Hitachi 549-5525 RPSCONT2 Interface Board PCB 25496725  Working
Hitachi 549-5525 RPSCONT2 Interface Board PCB 25496725  Working
404.17  2d 14h
 
AMAT Applied Materials 0010-00591 150mm Stretch Flat Finder ASM 4645213-0001
AMAT Applied Materials 0010-00591 150mm Stretch Flat Finder ASM 4645213-0001
1,804.18  17d 11h
 
167-0101// Amat Applied 0190-26514 Control Computer, Eyed, Rohs Version 3 []
167-0101// Amat Applied 0190-26514 Control Computer, Eyed, Rohs Version 3 []
5,000.00  2d 19h
 
104-0201// Amat Applied 3870-02693 Valve Gate 8 Pneu Actr Confla []
104-0201// Amat Applied 3870-02693 Valve Gate 8 Pneu Actr Confla []
8,000.00  9d 3h
 
Amat 0240-31011, Kit Ferrule
Amat 0240-31011, Kit Ferrule
340.00  27d 19h
 
Edwards D37215020 Flash Module
Edwards D37215020 Flash Module
507.18  2d 17h
 
4542  Applied Materials 0010-00012 System Controller Power Supply
4542 Applied Materials 0010-00012 System Controller Power Supply
950.00  3d 17h
 
MPM Magnetic Board Support Block
MPM Magnetic Board Support Block
119.99  22d 14h
 
Nemic-Lambda PWB-656D Power Supply Board PCB  Working
Nemic-Lambda PWB-656D Power Supply Board PCB  Working
406.18  1d 12h
 
Asyst Technologies Inc.  SAM 4410
Asyst Technologies Inc. SAM 4410
999.00  12d 13h
 
4s019-126 / Wsdrvx4 Pcb / Nikon
4s019-126 / Wsdrvx4 Pcb / Nikon
1,500.82  5d 14h
 
ASM 02-193179-01 Assembly Gauge Lift Pin
ASM 02-193179-01 Assembly Gauge Lift Pin
1,606.18  2d 18h
 
Muratec 3ASSYC805402 Interface Board PCB OHT-SRVC2 M164A  Working
Muratec 3ASSYC805402 Interface Board PCB OHT-SRVC2 M164A  Working
512.18  1d 16h
 
GasTech 57-0010 Remote Amplifier Board PCB 24-0314  Working
GasTech 57-0010 Remote Amplifier Board PCB 24-0314  Working
307.18  14d 9h
 
G Zimmermann AG 9-9530.4F PCB Board Balzers BG 290 317 S  Working
G Zimmermann AG 9-9530.4F PCB Board Balzers BG 290 317 S  Working
458.16  14d 15h
 
Shinko Electric 3ASSYC006802 Interface Board PCB OHT-G YEP-1735A  Working
Shinko Electric 3ASSYC006802 Interface Board PCB OHT-G YEP-1735A  Working
311.18  24d 18h
 
Nikon 4S007-665 Interface Control Board PCB FIAAF-TYUUKEI-V NSR-S202A
Nikon 4S007-665 Interface Control Board PCB FIAAF-TYUUKEI-V NSR-S202A
506.18  3d 11h
 
Shinko Electric 3ASSYC807903 Processor Board PCB M-COM2A M-157  Working
Shinko Electric 3ASSYC807903 Processor Board PCB M-COM2A M-157  Working
512.18  1d 15h
 
ASM 1014-771-01 Cluster Tool Controller Kit with 1014-510-01  Surplus
ASM 1014-771-01 Cluster Tool Controller Kit with 1014-510-01  Surplus
2,007.18  2d 21h
 
SVG Silicon Valley Group 859-0808-008 Processor PCB Card Rev. C 90S  Working
SVG Silicon Valley Group 859-0808-008 Processor PCB Card Rev. C 90S  Working
310.18  5d 17h
 
Tel Ds027-007022-1 O-ring Du353fpe01
Tel Ds027-007022-1 O-ring Du353fpe01
403.00  15d 20h
 
SHOWA 41884-3 1 ph TRANSFORMER 250VA #01A46PR2
SHOWA 41884-3 1 ph TRANSFORMER 250VA #01A46PR2
44.99  14d 9h
 
Daifuku OPC-2634A Processor Interface Board PCB  Working
Daifuku OPC-2634A Processor Interface Board PCB  Working
506.18  17h 38m
 
428-0402// Shimpo Vrsd-pb-3c-750 Reducer []
428-0402// Shimpo Vrsd-pb-3c-750 Reducer []
100.00  10d 23h
 
Eaton 471882 Front/Rear Control Switch PCB Module 471872  Working
Eaton 471882 Front/Rear Control Switch PCB Module 471872  Working
311.17  16d 14h
 
Drytek/Lam 384T Door Control Interface, P/N 2800464B, Assy # 2800465
Top-Rated Plus Seller Drytek/Lam 384T Door Control Interface, P/N 2800464B, Assy # 2800465
175.00  26d 12h
 
Millipore 13" Filter Canister
Millipore 13" Filter Canister
307.18  2d 21h
 
412-0101// Nsk Rs0604fn002 (unclean) Motor [/fast]
412-0101// Nsk Rs0604fn002 (unclean) Motor [/fast]
1,000.00  27d 2h
 
Williams Advanced Materials ZTH08446 Ni/Fe 18.2% wt% Target for Nordiko AMR
Williams Advanced Materials ZTH08446 Ni/Fe 18.2% wt% Target for Nordiko AMR
1,001.18  22d 14h
 
Toshiba MCC-847-03 Processor Board PCB DM24756002 PC06031-3  Working
Toshiba MCC-847-03 Processor Board PCB DM24756002 PC06031-3  Working
460.17  24d 10h
 
SVG Silicon Valley Group 851-8300-005 Processor PCB Card Rev. A 90S  Working
SVG Silicon Valley Group 851-8300-005 Processor PCB Card Rev. A 90S  Working
310.18  24d 9h
 
SVG Silicon Valley Group 858-8163-003 Processor PCB Card Rev. A 90S  Working
SVG Silicon Valley Group 858-8163-003 Processor PCB Card Rev. A 90S  Working
410.18  10h 22m
 
Omron E3x-na Amplifier, Lk Vd Pusher Tr /  Amat 0090-02246
Omron E3x-na Amplifier, Lk Vd Pusher Tr / Amat 0090-02246
192.00  13d 2h
 
Donaldson, X009994-016-190, FILTER, P512925
Donaldson, X009994-016-190, FILTER, P512925
2,200.00  12d 20h
 
Air Products CRSD 1037 COMO Processor Board PCB  Working
Air Products CRSD 1037 COMO Processor Board PCB  Working
303.18  4d 15h
 
PCB AGV Interface Board SVG 99-80392-01
PCB AGV Interface Board SVG 99-80392-01
52.99  5d 16h
 
140-0101// Amat Applied 0240-23164 0010-23164
140-0101// Amat Applied 0240-23164 0010-23164
3,000.00  8d 0h
 
Applied Materials 0041-10531 Rr Inner Ring, 300mm Titan, Calypso Amat
Applied Materials 0041-10531 Rr Inner Ring, 300mm Titan, Calypso Amat
599.00  20d 15h
 
Philips KV Resconator 5322 694 14858
Philips KV Resconator 5322 694 14858
160.00  26d 10h
 
678827 / 4 T/c Mount Adaptor / Applied Materials Amat
678827 / 4 T/c Mount Adaptor / Applied Materials Amat
468.26  20d 11h
 
046124 / Ion Pac A514 Analytical Column / Dionex Corporation
046124 / Ion Pac A514 Analytical Column / Dionex Corporation
398.75  22d 18h
 
1956703-503 / Pcb Pid Controller / Delta
1956703-503 / Pcb Pid Controller / Delta
510.00  11d 22h
 
iQDP Extension Cables iQDP Pump Lot of 7  Working
iQDP Extension Cables iQDP Pump Lot of 7  Working
352.16  2d 16h
 
SVG Silicon Valley Group 851-8220-011 Processor PCB Card Rev. F ASML 90S
SVG Silicon Valley Group 851-8220-011 Processor PCB Card Rev. F ASML 90S
310.18  7d 11h
 
AMAT 0020-20112 CLAMP RING, 8"AL Major Flat,
AMAT 0020-20112 CLAMP RING, 8"AL Major Flat,
300.00  27d 23h
 
SVG Silicon Valley Group 859-0832-007 Interface PCB Card Rev. F 90S  Working
SVG Silicon Valley Group 859-0832-007 Interface PCB Card Rev. F 90S  Working
310.18  1d 12h
 
538491-120 V Gcaprecision Scientific Temperature Control P.c. Assem
538491-120 V Gcaprecision Scientific Temperature Control P.c. Assem
499.00  20d 23h
 
Alphasem AG AS420-1-01 Relay Board PCB AS420-1  Working
Alphasem AG AS420-1-01 Relay Board PCB AS420-1  Working
304.17  29d 9h
 
177-0204// Whitey B-44xf4 Valve []
177-0204// Whitey B-44xf4 Valve []
80.00  26d 0h
 
Air Products CRSD 1319 Power Supply Assembly PCB CRSD1319 SRW-65-2201
Air Products CRSD 1319 Power Supply Assembly PCB CRSD1319 SRW-65-2201
304.17  15d 17h
 
Applied Materials 0020-87943 Rev 03 Amat *un Surplus In Box*
Applied Materials 0020-87943 Rev 03 Amat *un Surplus In Box*
259.00  20d 13h
 
Amat 0020-78984 Plate,adapter Flex,
Amat 0020-78984 Plate,adapter Flex,
200.00  25d 23h
 
AMAT Applied Materials 0020-23278 8” SST Upper Shield 101% TIN  Working
AMAT Applied Materials 0020-23278 8” SST Upper Shield 101% TIN  Working
411.18  1d 14h
 
AMAT Applied Materials 0021-19152 Particle Ring  Surplus
AMAT Applied Materials 0021-19152 Particle Ring  Surplus
806.12  25d 17h
 
AMAT Applied Materials 9090-00846 Beamline Power Distribution Unit  Working
AMAT Applied Materials 9090-00846 Beamline Power Distribution Unit  Working
1,502.14  28d 12h
 
Asm 2506718-21 Pwba Motor Driver Servo Board,
Asm 2506718-21 Pwba Motor Driver Servo Board,
300.00  8d 1h
 
Systems Chemistry 99-85020-04 Signal Conitioner Pcb,
Systems Chemistry 99-85020-04 Signal Conitioner Pcb,
450.00  12d 21h
 
Amat 0040-01239 Base,heater,giga-fill Sacvd,
Amat 0040-01239 Base,heater,giga-fill Sacvd,
400.00  15d 20h
 
Tokyo Electron Bx80-070881-11 Support Tool, 3arm..br942679,
Tokyo Electron Bx80-070881-11 Support Tool, 3arm..br942679,
250.00  27d 2h
 
IDI Interconnect Devices Advantest 200 Mil Spring Probe & Coax XF209A004 7 Count
IDI Interconnect Devices Advantest 200 Mil Spring Probe & Coax XF209A004 7 Count
199.99  10h 18m
 
Komatsu 30022730 NOP OM-P Processor Board PCB CADK00360  Working
Komatsu 30022730 NOP OM-P Processor Board PCB CADK00360  Working
410.17  22d 16h
 
Lam Research DC Prove 853-002499-011
Lam Research DC Prove 853-002499-011
242.00  15d 1h
 
Lam Research SPCR,PTFE,SGI 713-082885-002
Lam Research SPCR,PTFE,SGI 713-082885-002
188.10  22d 6h
 
Asyst Shinko HASSYC810401 Power Supply Board PCB OHT-POW2A M198A  Working
Asyst Shinko HASSYC810401 Power Supply Board PCB OHT-POW2A M198A  Working
412.18  3d 18h
 
Kniel System-Electronic 193-011-34 .04 Power Supply Card PCB 4022.436.87911
Kniel System-Electronic 193-011-34 .04 Power Supply Card PCB 4022.436.87911
953.18  27d 9h
 
0100-02146 / Gap Servo Assy / Applied  Material Amat
0100-02146 / Gap Servo Assy / Applied Material Amat
990.00  27d 17h
 
ENGAGE NETWOTKS INC Serial Number 1072
ENGAGE NETWOTKS INC Serial Number 1072
150.00  23d 19h
 
 ASM PN: 01-17431 "B" Rosemount Transducer Holder Assembly
 ASM PN: 01-17431 "B" Rosemount Transducer Holder Assembly
249.99  19d 18h
 
STEC SEC-4400MC-RUC Mass Flow Controller, MFC, Ar, 200 SCCM, SEC-4400, 325403
STEC SEC-4400MC-RUC Mass Flow Controller, MFC, Ar, 200 SCCM, SEC-4400, 325403
950.00  17d 13h
 
Shinko Electric SCE93-100037-C1 Interface Board PCB SBX08-000041-11  Working
Shinko Electric SCE93-100037-C1 Interface Board PCB SBX08-000041-11  Working
503.18  8d 15h
 
119-0601// AMAT APPLIED 0020-04043 wBOTTOM PLATE
119-0601// AMAT APPLIED 0020-04043 wBOTTOM PLATE
1,200.00  12d 2h
 
AMAT Applied Materials Phase/Mag Detector 0010-00215R
Top-Rated Plus Seller AMAT Applied Materials Phase/Mag Detector 0010-00215R
175.00  18d 10h
 
Perkin-Elmer 851-8242-006 Processor PCB Card Rev. N SVG ASML 90S  Working
Perkin-Elmer 851-8242-006 Processor PCB Card Rev. N SVG ASML 90S  Working
410.18  7d 10h
 
0240-20520 / Consumable Kit,8" Al/ti Snnf/ Applied Materials
0240-20520 / Consumable Kit,8" Al/ti Snnf/ Applied Materials
800.98  7d 15h
 
H120b6-ee / Standard Vacuum Pump / Piab
H120b6-ee / Standard Vacuum Pump / Piab
825.98  8d 15h
 
15-139579-00  / Weight Clamp/novellus
15-139579-00 / Weight Clamp/novellus
1,000.00  9d 17h
 
Amat 0190-35390, Chamber Pnuematics, Solenoid
Amat 0190-35390, Chamber Pnuematics, Solenoid
2,000.00  12d 21h
 
Amat 0190-36497, Chamber Pnuematics, Solenoid
Amat 0190-36497, Chamber Pnuematics, Solenoid
2,000.00  12d 21h
 
Tokyo Electron 3d86-050676-v1 N W/ 3d80-000338-v1,
Tokyo Electron 3d86-050676-v1 N W/ 3d80-000338-v1,
950.00  26d 1h
 
Mrc D127726-s Shld,pod,2 Piece,
Mrc D127726-s Shld,pod,2 Piece,
700.00  28d 21h
 
Barnant 900-1555 Pump,
Barnant 900-1555 Pump,
650.00  3d 21h
 
Amat 0200-03298 Cylinder ,
Amat 0200-03298 Cylinder ,
800.00  14d 1h
 
Nikon 4S013-482 Interface Board PCB STGX42B  Working
Nikon 4S013-482 Interface Board PCB STGX42B  Working
405.17  29d 16h
 
Timer
Timer
40.00  21d 19h
 
SVG Silicon Valley Group 859-0808-008 Processor PCB Card Rev. B 90S  Working
SVG Silicon Valley Group 859-0808-008 Processor PCB Card Rev. B 90S  Working
310.18  22d 14h
 
TEL Tokyo Electron 2310-100726-V2 Depo Shield PM Upper Y-2  Working
TEL Tokyo Electron 2310-100726-V2 Depo Shield PM Upper Y-2  Working
803.15  2d 16h
 
Hitachi UTL550-02N Circuit Board PCB Hitachi MU-712E  Working
Hitachi UTL550-02N Circuit Board PCB Hitachi MU-712E  Working
350.14  1d 16h
 
ASM 16-141279-01 Panel - Side 300mm Split Flow Chamber
ASM 16-141279-01 Panel - Side 300mm Split Flow Chamber
601.18  2d 18h
 
0040-03897,0040-41017,  / Lid Assembly, 200mm Ticl4 Tin / Applied Materials
0040-03897,0040-41017, / Lid Assembly, 200mm Ticl4 Tin / Applied Materials
6,000.82  25d 17h
 
0010-31177 / 300mm Magnet /applied Materials
0010-31177 / 300mm Magnet /applied Materials
7,950.97  8d 14h
 
0010-70166 / Storage Elevator 15 Slot/ Applied Materials
0010-70166 / Storage Elevator 15 Slot/ Applied Materials
7,995.00  21d 13h
 
774-673033-004 / Power Supply Viper 2401 / Kla Tencor Corporation
774-673033-004 / Power Supply Viper 2401 / Kla Tencor Corporation
9,500.82  4d 9h
 
846-187997-001 / Kit,rtrft,gb,vxlcfd Oxide,nsr,1079394(vector Excel) / Lam
846-187997-001 / Kit,rtrft,gb,vxlcfd Oxide,nsr,1079394(vector Excel) / Lam
8,400.82  8d 7h
 
Cpx 0500m / Compax Controller 951-100200 / Parker
Cpx 0500m / Compax Controller 951-100200 / Parker
5,500.82  11d 8h
 
Ds2110-361026-11 / Reducing, 100a X 80a / Tokyo Electron Tel
Ds2110-361026-11 / Reducing, 100a X 80a / Tokyo Electron Tel
7,500.82  2d 7h
 
839-015129-001 / Assy,elctd,outer 17 In, Si, Bonded / Lam
839-015129-001 / Assy,elctd,outer 17 In, Si, Bonded / Lam
5,300.97  3d 14h
 
Ep1676 /shield / Ips Ltd
Ep1676 /shield / Ips Ltd
7,695.97  7d 13h
 
0200-00175 /dome Ceramic, Poly Dps Chamber/ Applied Materials
0200-00175 /dome Ceramic, Poly Dps Chamber/ Applied Materials
5,610.00  20d 10h
 
2-8227713-a / Lam Ash Chamber Base / Lam Research Corporation
2-8227713-a / Lam Ash Chamber Base / Lam Research Corporation
7,500.68  18h 31m
 
AMAT Applied Materials 0010-00345 150mm Pedestal Assembly Anodized Refurbished
AMAT Applied Materials 0010-00345 150mm Pedestal Assembly Anodized Refurbished
1,507.18  2d 19h
 
Air Products AP10204 Main Processor CPU PCB CRSD 1037 Working Surplus
Air Products AP10204 Main Processor CPU PCB CRSD 1037 Working Surplus
461.17  13d 17h
 
Semprex 17-2358.20 Motor Controller  Working
Semprex 17-2358.20 Motor Controller  Working
807.12  1d 17h
 
TEL Tokyo Electron 3D10-251415-V1 Inner Electrode Cover Refurbished
TEL Tokyo Electron 3D10-251415-V1 Inner Electrode Cover Refurbished
808.18  28d 16h
 
343-0402// Amat Applied 0020-10464 Nut, Feed Thru
343-0402// Amat Applied 0020-10464 Nut, Feed Thru
10.00  22d 18h
 
324-0202// Amat Applied 0020-04383 Upper Cap Insulator, Gas Coole []
324-0202// Amat Applied 0020-04383 Upper Cap Insulator, Gas Coole []
40.00  1d 3h
 
429-0102// Denso Msma082t2v2 Ac Servo Motor [/fast]
429-0102// Denso Msma082t2v2 Ac Servo Motor [/fast]
700.00  1d 1h
 
SVG Silicon Valley Group 851-8233-004 Processor PCB Card Rev. F 90S  Working
SVG Silicon Valley Group 851-8233-004 Processor PCB Card Rev. F 90S  Working
310.18  22d 15h
 
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424028
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424028
950.00  16d 14h
 
Vpdprt0410 / Pcb Prt M-040 / Disco
Vpdprt0410 / Pcb Prt M-040 / Disco
260.99  25d 19h
 
BTU Engineering 3161201 Battery Backup Assembly PCB Card 3161200  Working
BTU Engineering 3161201 Battery Backup Assembly PCB Card 3161200  Working
402.19  26d 11h
 
Amat Applied Materials Vacuum Fitting 0040-38849
Amat Applied Materials Vacuum Fitting 0040-38849
199.99  8d 11h
 
Amat Applied Materials Vacuum Fitting 0040-20106
Amat Applied Materials Vacuum Fitting 0040-20106
159.99  15d 13h
 
National Electronics MH6.OLAM-AT1 Microwave
National Electronics MH6.OLAM-AT1 Microwave
1,599.99  9d 19h
 
017-0710-01 Cable Assy, 451651
017-0710-01 Cable Assy, 451651
150.00  27d 12h
 
017-0710-01 Cable Assy, 451652
017-0710-01 Cable Assy, 451652
150.00  27d 12h
 
SMC NVNB212C-N10A-4G Process Valve, 451710
SMC NVNB212C-N10A-4G Process Valve, 451710
125.00  2d 11h
 
AMAT 0140-01001 Harness Turbo Purge, 451715
AMAT 0140-01001 Harness Turbo Purge, 451715
150.00  2d 12h
 
RECIF Technologies MOBJH0131D Motherboard PCB PCB0131 Nikon NSR Working Spare
RECIF Technologies MOBJH0131D Motherboard PCB PCB0131 Nikon NSR Working Spare
608.18  17d 15h
 
RECIF Technologies STDBH0130C Interface Board PCB Nikon NSR System  Working
RECIF Technologies STDBH0130C Interface Board PCB Nikon NSR System  Working
308.18  17d 15h
 
RECIF Technologies INTAH0540A Interface Board PCB Nikon NSR System  Working
RECIF Technologies INTAH0540A Interface Board PCB Nikon NSR System  Working
308.18  17d 11h
 
344-0501// Amat Applied 0020-33048 Brg Holder Secondary/eccentric
344-0501// Amat Applied 0020-33048 Brg Holder Secondary/eccentric
480.00  17d 0h
 
141-0603// Amat Applied 0140-76711 H/a, Cass Index/elev Step Exte
141-0603// Amat Applied 0140-76711 H/a, Cass Index/elev Step Exte
470.00  16d 1h
 
143-0502// Amat Applied 0150-10311 Cable H.v. Prsp Power Supply
143-0502// Amat Applied 0150-10311 Cable H.v. Prsp Power Supply
520.00  13d 0h
 
323-0201// Amat Applied 3700-01867 O-ring Id 12.984 Csd.139 Chemr
323-0201// Amat Applied 3700-01867 O-ring Id 12.984 Csd.139 Chemr
450.00  22d 20h
 
340-0201// Amat Applied 0040-61254 Shaft Alum Ceramic Heater, 200
340-0201// Amat Applied 0040-61254 Shaft Alum Ceramic Heater, 200
470.00  3d 0h
 
324-0201// Amat Applied 0021-10642 Feedthru,helium,housing
324-0201// Amat Applied 0021-10642 Feedthru,helium,housing
460.00  17d 1h
 
123-0402// Brooks 002-3465-01 Serial Communications Module []
123-0402// Brooks 002-3465-01 Serial Communications Module []
450.00  22d 23h
 
345-0101// Amat Applied 0020-24629 Special Side Receiver W/groove
345-0101// Amat Applied 0020-24629 Special Side Receiver W/groove
510.00  20d 2h
 
143-0501// Amat Applied 0150-09841 Cable Assy Power Cable Magnet
143-0501// Amat Applied 0150-09841 Cable Assy Power Cable Magnet
550.00  6d 3h
 
130-0201// Brooks 002-2901-01 Load Lock Node []
130-0201// Brooks 002-2901-01 Load Lock Node []
500.00  22d 23h
 
25304 Powerware Pcb Assy, 118400211 101073635-001
25304 Powerware Pcb Assy, 118400211 101073635-001
300.00  2d 22h
 
RECIF Technologies STDAH0237A Pneumatic Output Board PCB Nikon NSR System
RECIF Technologies STDAH0237A Pneumatic Output Board PCB Nikon NSR System
308.18  17d 10h
 
Micro Instrument Co. 500-102376-003 AUX I/O Board, PCB, LAM, 423716
Micro Instrument Co. 500-102376-003 AUX I/O Board, PCB, LAM, 423716
950.00  26d 18h
 
1-606-0013 /permanent Magnet Motor/ Cincinnati Milacron
1-606-0013 /permanent Magnet Motor/ Cincinnati Milacron
1,150.98  1d 14h
 
AMAT Applied Materials 0020-25444 Capture Ring  Surplus
AMAT Applied Materials 0020-25444 Capture Ring  Surplus
1,510.18  7d 11h
 
APPLIED MATERIALS 0150-36236 Pressure Switch
APPLIED MATERIALS 0150-36236 Pressure Switch
384.99  22d 17h
 
SCI Solid Controls 428-409 Smart Board PCB Card VSE 8024-0139  Working
SCI Solid Controls 428-409 Smart Board PCB Card VSE 8024-0139  Working
806.18  9d 10h
 
4362  Applied Materials Robot Drive
4362 Applied Materials Robot Drive
1,950.00  28d 14h
 
Asyst Shinko HASSYC810300 Operator Interface PCB with Key M197 2/2  Working
Asyst Shinko HASSYC810300 Operator Interface PCB with Key M197 2/2  Working
301.19  15d 10h
 
RECIF Technologies PWRAH0168A Power Supply Board PCB Nikon NSR System
RECIF Technologies PWRAH0168A Power Supply Board PCB Nikon NSR System
308.18  17d 10h
 
TEL Tokyo Electron 1B80-002393-12 CMC Add On Board (80/80) PCB  Working
TEL Tokyo Electron 1B80-002393-12 CMC Add On Board (80/80) PCB  Working
302.19  13d 11h
 
ASML 859-0931-003B Monolithic Stage Backplane PCB  Untested As-Is
ASML 859-0931-003B Monolithic Stage Backplane PCB  Untested As-Is
403.15  2d 16h
 
AMAT Applied Materials 0020-26477 Cover Ring TWAS Refurbished
AMAT Applied Materials 0020-26477 Cover Ring TWAS Refurbished
510.18  6d 12h
 
STEC SEC-4400MC-RUC Mass Flow Controller, MFC, Ar, 200 SCCM, SEC-4400, 325404
STEC SEC-4400MC-RUC Mass Flow Controller, MFC, Ar, 200 SCCM, SEC-4400, 325404
950.00  17d 13h
 
Perkin-Elmer 851-8242-006 Processor PCB Card Rev. L SVG ASML 90S  Working
Perkin-Elmer 851-8242-006 Processor PCB Card Rev. L SVG ASML 90S  Working
410.18  24d 9h
 
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424029
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424029
950.00  16d 17h
 
Cosel Noise Filter Tac-50-103
Cosel Noise Filter Tac-50-103
90.00  29d 7h
 
Canon BH8-2264-01 PCB BOARD
Canon BH8-2264-01 PCB BOARD
799.00  12d 2h
 
Applied Materials 0021-41491 Shutter Amat
Applied Materials 0021-41491 Shutter Amat
499.00  4d 9h
 
Nikon 4S018-913 Relay Control Board PCB MTR-CTRL2 NSR-S306C  Working
Nikon 4S018-913 Relay Control Board PCB MTR-CTRL2 NSR-S306C  Working
1,051.15  5d 10h
 
426-0101// Cincinnati 1007410101 Touchview Graphic Flat Panel []
426-0101// Cincinnati 1007410101 Touchview Graphic Flat Panel []
500.00  9d 20h
 
Advantest PLJ-429620AA Controller PCB Assembly BLJ-029620 Advantest M454AD
Advantest PLJ-429620AA Controller PCB Assembly BLJ-029620 Advantest M454AD
306.16  1d 16h
 
Hitachi 564-5515 EMO CNT Interface Board PCB 25646715  Working
Hitachi 564-5515 EMO CNT Interface Board PCB 25646715  Working
304.17  2d 14h
 
CKD AGD01V-X0001 Valve, Type N.C., 451635
CKD AGD01V-X0001 Valve, Type N.C., 451635
110.00  24d 15h
 
Swagelok SS-44S6, Ball Valve, 452154
Swagelok SS-44S6, Ball Valve, 452154
50.00  20d 17h
 
Blaylock Precision 715-009453-002 2 count lot BRAND
Blaylock Precision 715-009453-002 2 count lot BRAND
199.99  14h 42m
 
Air Products CRSD 1243 Pneumatic Distribution Board PCB CRSD1243  Working
Air Products CRSD 1243 Pneumatic Distribution Board PCB CRSD1243  Working
504.17  18d 11h
 
Tylan FC-2900M Mass Flow Controller, MFC, NF3, 1 SLPM, 2900 Series, 424319
Tylan FC-2900M Mass Flow Controller, MFC, NF3, 1 SLPM, 2900 Series, 424319
795.00  9d 16h
 
SVG Silicon Valley Group 99-80271-01 Sensor Multiplexor Board PCB 90S DUV
SVG Silicon Valley Group 99-80271-01 Sensor Multiplexor Board PCB 90S DUV
503.18  5d 15h
 
SVG Silicon Valley Group 99-80270-01 Sensor Multiplexor Board PCB 90S DUV
SVG Silicon Valley Group 99-80270-01 Sensor Multiplexor Board PCB 90S DUV
352.19  24d 18h
 
000000-1841-805 DC X Motor Unit, 000000-1332-169, 000000-1332-146, 418826
000000-1841-805 DC X Motor Unit, 000000-1332-169, 000000-1332-146, 418826
950.00  18h 3m
 
ESI 00 10-6952 RC Board
ESI 00 10-6952 RC Board
200.00  27d 7h
 
Oriel 7072 Detection System  Working
Oriel 7072 Detection System  Working
85.00  24d 9h
 
Nikon 4S019-137 Driver Control Board PCB REX-DRV3 NSR-S307E  Working
Nikon 4S019-137 Driver Control Board PCB REX-DRV3 NSR-S307E  Working
911.18  17d 12h
 
Tencor Instruments 294420 Motor Distribution S8000 PCB Board Rev. AC KLA
Tencor Instruments 294420 Motor Distribution S8000 PCB Board Rev. AC KLA
602.17  2d 12h
 
6010zzc3 (lot Of 8) / Bearing 6010zzc3-em 6010zzc3-l627 / Ntn
6010zzc3 (lot Of 8) / Bearing 6010zzc3-em 6010zzc3-l627 / Ntn
120.00  3d 18h
 
AMAT Applied Materials 0010-36476 RING 8" Refurbished
AMAT Applied Materials 0010-36476 RING 8" Refurbished
306.18  2d 17h
 
Applied Materials AMAT Gas Weldment, 0050-06447
Applied Materials AMAT Gas Weldment, 0050-06447
375.00  7d 5h
 
TEL Tokyo Electron XPC-T0090A-11 Interface Board PCB TOB1092  Working
TEL Tokyo Electron XPC-T0090A-11 Interface Board PCB TOB1092  Working
302.19  13d 11h
 
Muratec HASSYC810301 Operator Interface PCB with Key M197 2/2  Working
Muratec HASSYC810301 Operator Interface PCB with Key M197 2/2  Working
312.18  6d 13h
 
Horiba H220765 Driver Board PCB DRV-1 PD-201A  Working
Horiba H220765 Driver Board PCB DRV-1 PD-201A  Working
811.18  21d 11h
 
 Edwards U20001101 Cable Adapter
 Edwards U20001101 Cable Adapter
24.99  5d 8h
 
RECIF Technologies STDAH0237A Pneumatic Output Board PCB INTAH0281A  Working
RECIF Technologies STDAH0237A Pneumatic Output Board PCB INTAH0281A  Working
355.17  12h 33m
 
SoftSwitching Technologies 98-00119 Interface Board PCB Rev. A  Working
SoftSwitching Technologies 98-00119 Interface Board PCB Rev. A  Working
303.19  26d 16h
 
Thk, Amat 3760-00127, Machined Forging, Slide Raile
Thk, Amat 3760-00127, Machined Forging, Slide Raile
2,200.00  13d 0h
 
SVG Silicon Valley Group 858-8021-004 Backplane Interface Board PCB 90S DUV
SVG Silicon Valley Group 858-8021-004 Backplane Interface Board PCB 90S DUV
309.18  8d 17h
 
Es1805-320102-12  S-4270  / Ring Cover Exh / Tel
Es1805-320102-12 S-4270 / Ring Cover Exh / Tel
650.00  19d 21h
 
Csm-065-a / Absorber Column / Cs Clean Systems, Inc
Csm-065-a / Absorber Column / Cs Clean Systems, Inc
2,660.97  16d 13h
 
ASM 2467550-01 Motor M2
ASM 2467550-01 Motor M2
1,007.18  2d 18h
 
Novellus 966757 PART,
Novellus 966757 PART,
80.00  3d 4h
 
CKD EHS-3000S-B-265-95-LF-NL540481 Brush Cylinder Assembly 3/6  Working
CKD EHS-3000S-B-265-95-LF-NL540481 Brush Cylinder Assembly 3/6  Working
604.18  23d 17h
 
Bently Nevada 124534-01 Custom Proximitor, 452451
Bently Nevada 124534-01 Custom Proximitor, 452451
125.00  12d 13h
 
AC DC Power Box For AG Associates Heatpulse
AC DC Power Box For AG Associates Heatpulse
2,500.00  26d 21h
 
 MKS HPS Products AMAT 161-0040K Roughing Valve FREE SHIPPING
 MKS HPS Products AMAT 161-0040K Roughing Valve FREE SHIPPING
305.99  6d 9h
 
SSI / Novellus 01-17423-004 WAFER PROCESSING MOTION INTERFACE MODULE, C186EB
SSI / Novellus 01-17423-004 WAFER PROCESSING MOTION INTERFACE MODULE, C186EB
1,000.00  21d 18h
 
Lambda LRS 52M-5 DC Regulated Power Supply Reseller Lot of 5  Working
Lambda LRS 52M-5 DC Regulated Power Supply Reseller Lot of 5  Working
807.15  12d 10h
 
Honeywell GCP-33A , 10A Circuit Protector 3 Pole,
Honeywell GCP-33A , 10A Circuit Protector 3 Pole,
55.00  5d 16h
 
Honeywell GCP-33A , 20A Circuit Protector 3 Pole,
Honeywell GCP-33A , 20A Circuit Protector 3 Pole,
60.00  5d 16h
 
DS Techno Co. S3T40542 Upper Electrode (10T)
DS Techno Co. S3T40542 Upper Electrode (10T)
907.18  2d 17h
 
RECIF Technologies DISAH0482 A Interface Board PCB PCB0482 D  Working
RECIF Technologies DISAH0482 A Interface Board PCB PCB0482 D  Working
405.17  23d 12h
 
Packing Gasket P/N 1041
Packing Gasket P/N 1041
25.00  13d 13h
 
Berkeley Process Controls MWT-8-MNET Machiorks Machine controller MH7A
Berkeley Process Controls MWT-8-MNET Machiorks Machine controller MH7A
950.00  4d 16h
 
Fine Suntronix SN-E10H-CM Noise Filter Reseller Lot of 12  Working
Fine Suntronix SN-E10H-CM Noise Filter Reseller Lot of 12  Working
310.18  27d 11h
 
AMAT 0020-20164 Cover, Insulator Source, 419566
AMAT 0020-20164 Cover, Insulator Source, 419566
850.00  14d 13h
 
Now Technologies NPDO-31-1 Nowpack Level Monitor Control Box, button missing
Now Technologies NPDO-31-1 Nowpack Level Monitor Control Box, button missing
64.99  12d 9h
 
Perkin-Elmer 851-9993 Processor PCB Card SVG 879-8079-002 90S  Working
Perkin-Elmer 851-9993 Processor PCB Card SVG 879-8079-002 90S  Working
310.18  23d 9h
 
SVG Silicon Valley Group 99-80308-01 DC Distribution PCB MCE Mount 90S
SVG Silicon Valley Group 99-80308-01 DC Distribution PCB MCE Mount 90S
507.16  3d 13h
 
Festo DNC-50-170-PPV Pneumatic Cylinder AMAT Attachment 0020-05210 Lot of 6
Festo DNC-50-170-PPV Pneumatic Cylinder AMAT Attachment 0020-05210 Lot of 6
310.17  18d 18h
 
44742-03 / Gas Module Interface Pcb / Genus Incorporated
44742-03 / Gas Module Interface Pcb / Genus Incorporated
450.82  1d 13h
 
1b80-002817-11 / Buffer Add On Board 96-94 / Tokyo Electron
1b80-002817-11 / Buffer Add On Board 96-94 / Tokyo Electron
360.50  13h 14m
 
715-443098-001r / Ring;715-443098-001r,re-annodized / Lam
715-443098-001r / Ring;715-443098-001r,re-annodized / Lam
628.30  19d 17h
 
2506386-21 / Asm Pcb / Asm America Inc
2506386-21 / Asm Pcb / Asm America Inc
725.68  4d 15h
 
Ta-2-81149 / Transformer, 120/24vac 500va / Acme Electric
Ta-2-81149 / Transformer, 120/24vac 500va / Acme Electric
287.99  8d 17h
 
110300 / Pcb,pwa,pin Electronic.q2/62 / Zmisc
110300 / Pcb,pwa,pin Electronic.q2/62 / Zmisc
500.00  9d 11h
 
0040-90001 / Shield, Top Hat / Applied Materials Amat
0040-90001 / Shield, Top Hat / Applied Materials Amat
752.51  6d 10h
 
Mr-btcase / Mitsubishi Battery Case Servo Drive / Mitsubishi
Mr-btcase / Mitsubishi Battery Case Servo Drive / Mitsubishi
585.99  10d 14h
 
951655 / Cable Black / Novellus Systems Inc
951655 / Cable Black / Novellus Systems Inc
365.75  21d 12h
 
99-04013-02 / Stepping Motor Linear Actuator-hot Plate / Svg
99-04013-02 / Stepping Motor Linear Actuator-hot Plate / Svg
350.03  21d 15h
 
D107313001 / D-107314001, Pii-ccc-2, Pcb / Asm America Inc
D107313001 / D-107314001, Pii-ccc-2, Pcb / Asm America Inc
375.99  24d 18h
 
Ncdq2b80-38-dum03456 / Actuator Centura Sl / Smc
Ncdq2b80-38-dum03456 / Actuator Centura Sl / Smc
646.82  12d 20h
 
60-122735-00 / Mks / Hps 10099a0421 Air Valve/ Angle Valve / Kf 40 / Novellus
60-122735-00 / Mks / Hps 10099a0421 Air Valve/ Angle Valve / Kf 40 / Novellus
600.00  14d 10h
 
E17151480 / Liner Bottom Pole, Analyzer / Varian
E17151480 / Liner Bottom Pole, Analyzer / Varian
404.25  22d 11h
 
02-049582-01 / Assy Valve Heater Clamp / Novellus
02-049582-01 / Assy Valve Heater Clamp / Novellus
299.25  3d 14h
 
0150-76757 / C/a, Dome Pneumatics 60' / Applied Materials Amat
0150-76757 / C/a, Dome Pneumatics 60' / Applied Materials Amat
518.62  20d 12h
 
9000467     / Pcb, Boatload Control, Panel, Bruce 7600 / Btu Engineering
9000467 / Pcb, Boatload Control, Panel, Bruce 7600 / Btu Engineering
414.62  8d 11h
 
0020-76181 / Cover, Cooldown Chamber / Applied Materials Amat
0020-76181 / Cover, Cooldown Chamber / Applied Materials Amat
750.99  9d 9h
 
64-115413a03 / Pcb, Dual Iso Drive / Asm America Inc
64-115413a03 / Pcb, Dual Iso Drive / Asm America Inc
748.62  9d 16h
 
Ds1187-019932-12 / Assembly,tube G4-270 / Tokyo Electron Tel
Ds1187-019932-12 / Assembly,tube G4-270 / Tokyo Electron Tel
632.02  14h 45m
 
D-101529002 / Parrallel I O / Varian
D-101529002 / Parrallel I O / Varian
600.00  9d 15h
 
K658a004 / Pressure Sensor / Transducer / Edwards
K658a004 / Pressure Sensor / Transducer / Edwards
308.00  8d 11h
 
19-99555-04-05-06 / Cable Rnd To Flt / Chip Custom Config
19-99555-04-05-06 / Cable Rnd To Flt / Chip Custom Config
422.63  27d 12h
 
3006-tp / Photohelic Pressure Switch With Gauge / Dwyer Instruments, Inc
3006-tp / Photohelic Pressure Switch With Gauge / Dwyer Instruments, Inc
339.65  4d 12h
 
879-8076-002 / 851-7983-002 Pcb Drive Solenoid / Perkin Elmer
879-8076-002 / 851-7983-002 Pcb Drive Solenoid / Perkin Elmer
371.82  18d 17h
 
950-572-03 / Boards / Zmisc
950-572-03 / Boards / Zmisc
700.82  18d 18h
 
03-111737d01 / Asm Pcb Proportional Controller / Asm
03-111737d01 / Asm Pcb Proportional Controller / Asm
611.99  2d 12h
 
5000040/gould Contactor 600vac Max, 25amp Ind. 31.5 Amp Res, 2210 Dp-j/axcelis
5000040/gould Contactor 600vac Max, 25amp Ind. 31.5 Amp Res, 2210 Dp-j/axcelis
300.00  2d 13h
 
716-001163-001 / Ring, Wap 2&3, F Qtz, 10.35 Elct / Lam
716-001163-001 / Ring, Wap 2&3, F Qtz, 10.35 Elct / Lam
550.99  11d 10h
 
Pk543-nb / Stepping Motor,5-phase,0.72''/step,dc / Vexta
Pk543-nb / Stepping Motor,5-phase,0.72''/step,dc / Vexta
452.03  18d 12h
 
20885-04 / Shield, 200mm / Svg
20885-04 / Shield, 200mm / Svg
516.60  18d 13h
 
952570 / Ionizer / Novellus Systems Inc
952570 / Ionizer / Novellus Systems Inc
627.00  18d 17h
 
950972 / Input Module 24v,32pt / Novellus Systems Inc
950972 / Input Module 24v,32pt / Novellus Systems Inc
301.40  23d 11h
 
Hs190002 / Fluid Sensor-ptfe Encap Sensor H / Novellus Systems Inc
Hs190002 / Fluid Sensor-ptfe Encap Sensor H / Novellus Systems Inc
350.68  24d 13h
 
593-20337 / 07403-80003, Ambyx 6 Sbt Power Supply Adap Pcb / Micron
593-20337 / 07403-80003, Ambyx 6 Sbt Power Supply Adap Pcb / Micron
676.68  17d 12h
 
0020-34696 / Slit Valve Liner / Applied Materials Amat
0020-34696 / Slit Valve Liner / Applied Materials Amat
510.99  29d 15h
 
118871-001 / 118871-002, Siemens Valve Interconnect Board / Thermco
118871-001 / 118871-002, Siemens Valve Interconnect Board / Thermco
400.99  28d 12h
 
Sz3360-5nl0z-c6 (1) / Sz3360-5nl0z-c4 (4) / Pneumatic Air Cylinder / Smc
Sz3360-5nl0z-c6 (1) / Sz3360-5nl0z-c4 (4) / Pneumatic Air Cylinder / Smc
425.99  18h 3m
 
0020-76179 / Etc-housing  Lifter  Non-enp Cooldown Chamber /  Amat
0020-76179 / Etc-housing Lifter Non-enp Cooldown Chamber / Amat
628.65  26d 19h
 
2013083-001 / Shield, Slot Valve, Chamber, Ald Celsior / Aviza Technology
2013083-001 / Shield, Slot Valve, Chamber, Ald Celsior / Aviza Technology
500.00  16d 13h
 
0150-76868 / C/a 15 Cond, Umbilical 25.00 Ft.,emc Com / Applied Materials Amat
0150-76868 / C/a 15 Cond, Umbilical 25.00 Ft.,emc Com / Applied Materials Amat
319.15  29d 19h
 
02-049582-02 / Assy Valve Heater Clamp / Novellus
02-049582-02 / Assy Valve Heater Clamp / Novellus
299.25  3d 14h
 
Pmc30 / Switching Regulator / Cosel
Pmc30 / Switching Regulator / Cosel
415.99  17h 37m
 
Pk564ae-t3.6 / Vexta 5 Phase Stepping Motor / Oriental Motor
Pk564ae-t3.6 / Vexta 5 Phase Stepping Motor / Oriental Motor
440.03  18h 48m
 
15-047529-00 / Plate,ped,blnkoff,150mm / Novellus
15-047529-00 / Plate,ped,blnkoff,150mm / Novellus
450.77  20d 19h
 
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424077
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424077
950.00  17d 14h
 
0040-05243 / Box, 300mm Chamber Cable / Applied Materials Amat
0040-05243 / Box, 300mm Chamber Cable / Applied Materials Amat
401.93  21d 15h
 
A45264 / Assy. Pds Bottle B / Trikon/spts Technologies
A45264 / Assy. Pds Bottle B / Trikon/spts Technologies
291.66  23d 12h
 
SVG Silicon Valley Group 99-803-336-01 Nikon Interface Board PCB  Working
SVG Silicon Valley Group 99-803-336-01 Nikon Interface Board PCB  Working
456.16  3d 13h
 
Kondoh Kohsya LM-101 PCB Controller Assembly DSM-007  Working
Kondoh Kohsya LM-101 PCB Controller Assembly DSM-007  Working
1,010.13  11d 9h
 
Fujikin 316L Pneumatic Solenoid Valve, L# AGBDR000, C# 023718, 1/4" VCR, 452236
Fujikin 316L Pneumatic Solenoid Valve, L# AGBDR000, C# 023718, 1/4" VCR, 452236
45.00  27d 16h
 
SMC CDU25-50D-XB9 Cylinder 452355
SMC CDU25-50D-XB9 Cylinder 452355
50.00  7d 12h
 
Nikon 4S018-765 Driver Interface Board PCB IU-DRV4 NSR Series  Working
Nikon 4S018-765 Driver Interface Board PCB IU-DRV4 NSR Series  Working
807.12  12d 9h
 
Axcelis Shield Exit Outer - Graphite VIG Version 17122890 REV A (99466V)
Axcelis Shield Exit Outer - Graphite VIG Version 17122890 REV A (99466V)
300.00  14d 6h
 
Nikon 4S018-649 Elevator NSR-S306C  Working
Nikon 4S018-649 Elevator NSR-S306C  Working
1,324.47  20d 15h
 
Muto Technology M-22405 SS Spacer Ring ASM 4157041-0001  Working
Muto Technology M-22405 SS Spacer Ring ASM 4157041-0001  Working
306.18  23d 15h
 
Nikon 4S082-592AN Guide Assembly  Working
Nikon 4S082-592AN Guide Assembly  Working
1,515.28  1d 9h
 
Hitachi ZVV022-0 Processor PCB Card GRYCMP2 I-900SRT  Working
Hitachi ZVV022-0 Processor PCB Card GRYCMP2 I-900SRT  Working
1,203.18  9d 17h
 
Yaskawa ServoPack CACR-PRA5AC 4ERY9 Motor Driver Controller with warranty
Yaskawa ServoPack CACR-PRA5AC 4ERY9 Motor Driver Controller with warranty
950.00  25d 16h
 
Swagelok NUPRO 6LV-DA7213-C. N22857.  1/4" MVCR, 1/4" FVCR FITTING
Swagelok NUPRO 6LV-DA7213-C. N22857. 1/4" MVCR, 1/4" FVCR FITTING
155.00  14d 22h
 
Afadc10g Pcb 449-7102 Hcd79a
Afadc10g Pcb 449-7102 Hcd79a
367.40  23d 18h
 
409-0302// Tenta 31-000-00940 32-000-00114 Board [/fast]
409-0302// Tenta 31-000-00940 32-000-00114 Board [/fast]
400.00  27d 0h
 
426-0202// Digital Htk401 (broken) Touch Screen [/fast]
426-0202// Digital Htk401 (broken) Touch Screen [/fast]
400.00  21h 26m
 
426-0202// Sanoh Ca-ntsc Touch Screen [/fast]
426-0202// Sanoh Ca-ntsc Touch Screen [/fast]
400.00  21h 26m
 
441-0501// Oriental Motor B1456-d23aipd Ai Motor Driver [/fast]
441-0501// Oriental Motor B1456-d23aipd Ai Motor Driver [/fast]
400.00  6d 3h
 
Hitachi ZVV023-1 Processor PCB Card I-900 CELCMP2 I-900SRT  Working
Hitachi ZVV023-1 Processor PCB Card I-900 CELCMP2 I-900SRT  Working
1,201.17  6d 12h
 
Tegal 80-095-223 Spare Parts
Tegal 80-095-223 Spare Parts
175.00  10d 19h
 
Tegal 81-007-138 Bellow Spare Parts
Tegal 81-007-138 Bellow Spare Parts
175.00  10d 19h
 
Vacuum Valve ID-AWS-027
Vacuum Valve ID-AWS-027
199.00  12d 20h
 
N port P6000 Gate ID-AWM-F-1-016
N port P6000 Gate ID-AWM-F-1-016
175.00  15d 19h
 
Omega Engineering Inc CN5052K Temperature Controller ID-AWM-F-1-018
Omega Engineering Inc CN5052K Temperature Controller ID-AWM-F-1-018
175.00  15d 20h
 
Omega Engineering Inc Trendicator ID-AWM-F-1-019
Omega Engineering Inc Trendicator ID-AWM-F-1-019
175.00  15d 20h
 
GAST D0A-U191-AA Pump
GAST D0A-U191-AA Pump
195.00  20d 19h
 
Moeller Electric Faz2b13
Moeller Electric Faz2b13
20.66  27d 8h
 
Varian 105120001 Blbctrode ID-AWS-011
Varian 105120001 Blbctrode ID-AWS-011
40.00  11d 14h
 
F252549A Or F252599A ? AWM-F-5-5-013
F252549A Or F252599A ? AWM-F-5-5-013
75.00  19d 19h
 
Sandvik 4167115-0001 SS Furnace Collar  Working
Sandvik 4167115-0001 SS Furnace Collar  Working
308.18  2d 17h
 
Nikon 2S700-529-1 GPIBII Backplane Board PCB 2S017-139-3 OPTISTATION 3
Nikon 2S700-529-1 GPIBII Backplane Board PCB 2S017-139-3 OPTISTATION 3
412.18  18d 17h
 
Verteq 1076584-3 RD Controller  Surplus
Verteq 1076584-3 RD Controller  Surplus
1,006.18  2d 20h
 
114-0401// Amat Applied 0040-70158 Stabilizer Leg Right []
114-0401// Amat Applied 0040-70158 Stabilizer Leg Right []
200.00  14d 0h
 
KV Automation 4022.486.18091 Pneumatic PCB Card PNEUMASEAL ON/OFF 2W Working
KV Automation 4022.486.18091 Pneumatic PCB Card PNEUMASEAL ON/OFF 2W Working
506.19  16d 15h
 
Amat 0020-24804 Cover Ring Sst 8" 101 ,
Amat 0020-24804 Cover Ring Sst 8" 101 ,
1,300.00  6d 23h
 
346-0203// Edwards A505088000 Silencer []
346-0203// Edwards A505088000 Silencer []
1,000.00  23d 2h
 
110-0601// Amat Applied 0270-18047 Adaptor,modified Asis
110-0601// Amat Applied 0270-18047 Adaptor,modified Asis
800.00  6d 23h
 
116-0303// AMAT APPLIED 0200-35576 ISOLATOR,LID,TxZ
116-0303// AMAT APPLIED 0200-35576 ISOLATOR,LID,TxZ
800.00  10d 0h
 
320-0501// Amat Applied 0020-22584 Box, Resistivity Meter,dot Two []
320-0501// Amat Applied 0020-22584 Box, Resistivity Meter,dot Two []
1,000.00  19d 2h
 
Hitachi ZVV016-1 Processor Board PCB Card EXBF2 I-900SRT  Working
Hitachi ZVV016-1 Processor Board PCB Card EXBF2 I-900SRT  Working
1,201.17  6d 13h
 
Brooks 6256S, MFC, Ar / CF : 1000, 200 SCCM
Brooks 6256S, MFC, Ar / CF : 1000, 200 SCCM
200.00  27d 2h
 
AMAT 0015-09091, Phasetronic Lamp, Driver Assembly, P1038. 418442
AMAT 0015-09091, Phasetronic Lamp, Driver Assembly, P1038. 418442
950.00  26d 15h
 
ASM 02-184430-01 OBS Assembly Fixt Gate Valve Liquid Cooled
ASM 02-184430-01 OBS Assembly Fixt Gate Valve Liquid Cooled
1,286.18  2d 18h
 
Nagano Japan Radio AHS150-12C Power Supply AHS150-12 Lot of 2 Nikon  Working
Nagano Japan Radio AHS150-12C Power Supply AHS150-12 Lot of 2 Nikon  Working
911.18  26d 17h
 
Tylan General Adaptorr Ac-2s02 Rev G
Tylan General Adaptorr Ac-2s02 Rev G
1,299.00  8d 6h
 
Nikon 4S007-664 FIAAF Sensor Board PCB FIAAF-SENSOR-D NSR-S204B  Working
Nikon 4S007-664 FIAAF Sensor Board PCB FIAAF-SENSOR-D NSR-S204B  Working
507.18  7d 17h
 
SVG Silicon Valley Group 851-8440-008 Interface PCB Card A5101 90S  Working
SVG Silicon Valley Group 851-8440-008 Interface PCB Card A5101 90S  Working
510.18  7d 10h
 
National Instruments NPB-536C PCB Board Reseller Lot of 3  Working
National Instruments NPB-536C PCB Board Reseller Lot of 3  Working
308.15  2d 16h
 
Shinko Electric SCE93-100010-C1 Interface Board PCB SBX08-000033-12  Working
Shinko Electric SCE93-100010-C1 Interface Board PCB SBX08-000033-12  Working
503.18  8d 12h
 
Applied Materials AMAT Transducer Meter, 1040-01092
Applied Materials AMAT Transducer Meter, 1040-01092
325.00  15d 5h
 
Tylan FC-2900M Mass Flow Controller, N2 500 SCCM MFC, 424360
Tylan FC-2900M Mass Flow Controller, N2 500 SCCM MFC, 424360
795.00  24d 14h
 
ESI 970309 DC Regulator Driver Board
ESI 970309 DC Regulator Driver Board
333.00  6d 8h
 
Berkeley Process Controls MWT-8-MNET Machiorks Machine controller 2.1.1.
Berkeley Process Controls MWT-8-MNET Machiorks Machine controller 2.1.1.
950.00  4d 16h
 
SVG Silicon Valley Group 80241B-1-01 VB/VP Sensor Board
SVG Silicon Valley Group 80241B-1-01 VB/VP Sensor Board
92.99  9d 12h
 
406-0401// Amat 0190-22204 Sdu10 Controller [/fast]
406-0401// Amat 0190-22204 Sdu10 Controller [/fast]
1,000.00  20d 23h
 
416-0401// Yaskawa Cacr-pr03ae3er Servopack [/fast]
416-0401// Yaskawa Cacr-pr03ae3er Servopack [/fast]
1,000.00  29d 2h
 
Berkeley Process Controls MWTX-8-MNET, Machiorks Controller, 960537C, 422281
Berkeley Process Controls MWTX-8-MNET, Machiorks Controller, 960537C, 422281
850.00  26d 13h
 
RECIF Technologies STDAH0347D Interface Board PCB PCB0347B Working Surplus
RECIF Technologies STDAH0347D Interface Board PCB PCB0347B Working Surplus
305.17  12h 23m
 
Tokyo Electron Ltd. Duct Assembly, Left PN CT2987-466566-11 ()
Tokyo Electron Ltd. Duct Assembly, Left PN CT2987-466566-11 ()
99.00  15h 6m
 
SVG Silicon Valley Group 879-8077-002 Processor PCB Card Rev. D 90S  Working
SVG Silicon Valley Group 879-8077-002 Processor PCB Card Rev. D 90S  Working
310.18  17d 11h
 
0100-20004 / Wpcb Assy, Chamber Interconnect / Applied Materials Amat
0100-20004 / Wpcb Assy, Chamber Interconnect / Applied Materials Amat
523.20  13d 19h
 
136-0401// Amat Applied 0240-01704 Etch Corrosion []
136-0401// Amat Applied 0240-01704 Etch Corrosion []
9,600.00  11d 0h
 
TEL Tokyo Electron TKB7240 PCB Circuit Board IO SPIN-G #01 T-3044SS
TEL Tokyo Electron TKB7240 PCB Circuit Board IO SPIN-G #01 T-3044SS
805.16  2d 16h
 
417-0501// Yaskawa Sgd-01bpy10 Servopack [/fast]
417-0501// Yaskawa Sgd-01bpy10 Servopack [/fast]
300.00  28d 22h
 
Drytek / Lam 384T Digital Readout Assembly, P/N 600961
Top-Rated Plus Seller Drytek / Lam 384T Digital Readout Assembly, P/N 600961
175.00  19d 13h
 
ASML 859-0741-003 Circuit Board PCB 851-8240-007  Untested As-Is
ASML 859-0741-003 Circuit Board PCB 851-8240-007  Untested As-Is
803.15  2d 16h
 
Metron 16-193623-01 SUSCEPTOR 150MM 1.2MM THICK SUBSTRATE
Metron 16-193623-01 SUSCEPTOR 150MM 1.2MM THICK SUBSTRATE
1,507.18  2d 19h
 
120-0401// Amat Applied 0020-22920 Shield, 6" Preclean []
120-0401// Amat Applied 0020-22920 Shield, 6" Preclean []
600.00  1d 0h
 
FutureStar Flow Meter FX122-060   122060 FSI
FutureStar Flow Meter FX122-060  122060 FSI
275.00  29d 17h
 
AMAT 0010-10277 Assy, Susceptor, T1, 6", 6mm, BSE, CBS-4, MCVD. 417842
AMAT 0010-10277 Assy, Susceptor, T1, 6", 6mm, BSE, CBS-4, MCVD. 417842
2,100.00  12d 12h
 
Varian Implanter Feed-Thru Manifold E17063120 55095
Varian Implanter Feed-Thru Manifold E17063120 55095
360.00  6d 6h
 
 AMAT Wrench Tool Set Bellows Spanner FREE SHIPPING
 AMAT Wrench Tool Set Bellows Spanner FREE SHIPPING
80.75  1d 14h
 
Applied Materials AMAT 0100-90650 Rev B Wheel Current Board
Applied Materials AMAT 0100-90650 Rev B Wheel Current Board
385.00  11d 10h
 
AMAT 0020-20164 Cover, Insulator Source, 419562
AMAT 0020-20164 Cover, Insulator Source, 419562
850.00  14d 13h
 
Matsushita NAIS ANE 1040 LightPix AE10 Vision Sensor, Kit, 451566
Matsushita NAIS ANE 1040 LightPix AE10 Vision Sensor, Kit, 451566
1,400.00  20d 15h
 
332-0401// Amat Applied 0010-30528 (#1) Assembly, Upper Facilities Panel,
332-0401// Amat Applied 0010-30528 (#1) Assembly, Upper Facilities Panel,
2,000.00  12d 2h
 
316-0201// Amat Applied 0020-09021 Bottom Cover, Etch Chmbr
316-0201// Amat Applied 0020-09021 Bottom Cover, Etch Chmbr
1,500.00  16d 20h
 
333-0101// Amat Applied 0020-20296 Frame Source 13 2nd Source
333-0101// Amat Applied 0020-20296 Frame Source 13 2nd Source
2,800.00  29d 2h
 
140-0401// Amat Applied 0242-38209 0040-32680 Plate,clamp Lid
140-0401// Amat Applied 0242-38209 0040-32680 Plate,clamp Lid
3,000.00  4d 20h
 
Refurbed Perkin Elmer PN: 677-0595-001 4" Output Airtrack Assy
Refurbed Perkin Elmer PN: 677-0595-001 4" Output Airtrack Assy
999.99  11d 17h
 
A to Z Electronics 70512360100 SDT Module  Working
A to Z Electronics 70512360100 SDT Module  Working
302.17  25d 13h
 
Axcelis Implanter Filament Insulator Ceramic 1708680 (99022) QTY 25
Axcelis Implanter Filament Insulator Ceramic 1708680 (99022) QTY 25
65.00  13d 3h
 
ASML 854-8307-001F Circuit Board PCB A5402  Working
ASML 854-8307-001F Circuit Board PCB A5402  Working
400.14  1d 16h
 
ASML 854-8307-001D Circuit Board PCB A5402  Working
ASML 854-8307-001D Circuit Board PCB A5402  Working
400.14  1d 16h
 
14121 Iei Pcb, 4-slot Backplane Bp-4s
14121 Iei Pcb, 4-slot Backplane Bp-4s
506.93  31m 53s
 
ASML 4022.471.6850 Circuit Board PCB S15  Working
ASML 4022.471.6850 Circuit Board PCB S15  Working
309.16  2d 16h
 
Pb-1163b-u01 / Relay Print Pcb / Ushio
Pb-1163b-u01 / Relay Print Pcb / Ushio
950.82  1d 13h
 
100-062    /  2nd Io Pcb  /   Cybernetics Corp
100-062 / 2nd Io Pcb / Cybernetics Corp
1,205.66  26d 15h
 
0020-24243  /shield Upper Sst Flame Sp Al**lot Of 10** / Applied Materials
0020-24243 /shield Upper Sst Flame Sp Al**lot Of 10** / Applied Materials
1,050.98  1d 14h
 
0140-11019 / Harness Assy, Chamber Interconnect, Epi / Applied Materials
0140-11019 / Harness Assy, Chamber Interconnect, Epi / Applied Materials
1,510.00  8d 13h
 
0140-76831 / Cable Assy / Applied Materials
0140-76831 / Cable Assy / Applied Materials
1,170.00  8d 14h
 
2344775-00 / Power Supply G2 G3 Lo3 Ii-15 / Lam
2344775-00 / Power Supply G2 G3 Lo3 Ii-15 / Lam
1,135.00  8d 14h
 
2356799-00 / Hicv-g065ti4-139n / Pressure Regulator / Lam
2356799-00 / Hicv-g065ti4-139n / Pressure Regulator / Lam
1,010.00  8d 15h
 
Es1805-320102-12  S-4270  / Ring Cover Exh / Tel
Es1805-320102-12 S-4270 / Ring Cover Exh / Tel
920.00  8d 15h
 
304487 / Slit Valve Face Seal, Gen 200 / Applied Epi
304487 / Slit Valve Face Seal, Gen 200 / Applied Epi
1,495.00  11d 13h
 
879-8074-003-b / Cap Gauge Assembly / Asml
879-8074-003-b / Cap Gauge Assembly / Asml
1,304.00  23d 12h
 
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424024
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424024
950.00  11d 18h
 
Berkeley Process Controls MWT-8-MNET Machiorks Machine controller AUTO
Berkeley Process Controls MWT-8-MNET Machiorks Machine controller AUTO
950.00  4d 17h
 
332-0401// Amat Applied 0010-30528 (#2) Assembly, Upper Facilities Panel,
332-0401// Amat Applied 0010-30528 (#2) Assembly, Upper Facilities Panel,
2,000.00  15d 2h
 
1d80-004537-11 / Vacuum Valve, Angle, V Avb41v-x0004 / Tokyo Electron Tel
1d80-004537-11 / Vacuum Valve, Angle, V Avb41v-x0004 / Tokyo Electron Tel
908.44  9d 18h
 
05506-1000 / Assy, Vacuum System Controller, Otrt / Uti Instruments
05506-1000 / Assy, Vacuum System Controller, Otrt / Uti Instruments
850.68  15d 18h
 
839-024445-100 / Wldmt, He/vac Lower Match H/c  / Lam Research Corporation
839-024445-100 / Wldmt, He/vac Lower Match H/c / Lam Research Corporation
841.77  16d 17h
 
4040352 / Af Ccd (tatile) P.s Wire Assy / Vladimir Bliakher
4040352 / Af Ccd (tatile) P.s Wire Assy / Vladimir Bliakher
920.00  18d 13h
 
486141 /    300mm Module Control / Axcelis
486141 / 300mm Module Control / Axcelis
1,300.00  13d 16h
 
E14000570 / Pulsed Light Curtain Transmit Drive / Varian
E14000570 / Pulsed Light Curtain Transmit Drive / Varian
1,500.82  11d 7h
 
Fuh7131c-00 / Motor Drive Card / Baldor
Fuh7131c-00 / Motor Drive Card / Baldor
967.66  11d 8h
 
0015-02149 / Dc Power Supply Input / Applied Materials Amat
0015-02149 / Dc Power Supply Input / Applied Materials Amat
995.82  10d 13h
 
0140-09528  / Hharness Assy, Chamber Exhaust, Epi / Applied Materials
0140-09528 / Hharness Assy, Chamber Exhaust, Epi / Applied Materials
1,100.98  12d 14h
 
2328094-00/ Iko Precision Table Tu40s30/g4c09r / Lam
2328094-00/ Iko Precision Table Tu40s30/g4c09r / Lam
952.50  12d 16h
 
02-264833-00 / Sesioc Wts 0 Rev-a Firmware Version 4.72 / Novellus
02-264833-00 / Sesioc Wts 0 Rev-a Firmware Version 4.72 / Novellus
1,059.00  13d 17h
 
Bgr-026815x02 / Advantest Pcb / Advantest
Bgr-026815x02 / Advantest Pcb / Advantest
1,200.82  27d 12h
 
262791 / Chipset M150pc Ver 4.4 Software For Human Interface / Axcelis
262791 / Chipset M150pc Ver 4.4 Software For Human Interface / Axcelis
1,140.00  2d 12h
 
0040-07443 / Swill Bellows Indexer / Applied Materials Amat
0040-07443 / Swill Bellows Indexer / Applied Materials Amat
1,000.00  26d 13h
 
02-8146121-03 / Cover, Top / Novellus
02-8146121-03 / Cover, Top / Novellus
1,200.82  17d 13h
 
0020-30427 / Ring, Clamp, 8 , Ext Cath, Dc,4.4mm, .22 / Applied Materials Amat
0020-30427 / Ring, Clamp, 8 , Ext Cath, Dc,4.4mm, .22 / Applied Materials Amat
1,161.99  17d 13h
 
1903266-1 (lot Of 10) / Pcb Assy Ywp-ef / Tyco
1903266-1 (lot Of 10) / Pcb Assy Ywp-ef / Tyco
860.62  20d 21h
 
AMAT 0190-22967 REV.002 Analog I/O  PCB
AMAT 0190-22967 REV.002 Analog I/O PCB
499.00  29d 5h
 
AMAT 0040-03641 Blade, Right 300mm FI ECP, 406809
AMAT 0040-03641 Blade, Right 300mm FI ECP, 406809
1,850.00  29d 16h
 
Balzers BG 290 348 AU Measuring Amplifier PCB Card BG290348AU  Working
Balzers BG 290 348 AU Measuring Amplifier PCB Card BG290348AU  Working
357.16  8d 17h
 
Balzers Tmu065 Turbo Pump
Balzers Tmu065 Turbo Pump
599.99  21d 10h
 
SVG Silicon Valley Group 851-8220-011 Processor PCB Card Rev. A ASML 90S
SVG Silicon Valley Group 851-8220-011 Processor PCB Card Rev. A ASML 90S
310.18  24d 9h
 
SVG Silicon Valley Group 859-0866-004 Processor PCB Card Rev. A ASML 90S
SVG Silicon Valley Group 859-0866-004 Processor PCB Card Rev. A ASML 90S
310.18  1d 12h
 
SVG Silicon Valley Group 851-9953-003 Processor PCB Card Rev. F ASML 90S
SVG Silicon Valley Group 851-9953-003 Processor PCB Card Rev. F ASML 90S
310.18  11h 45m
 
STEC SEC-4400MC-RUG-G2 Mass Flow Controller MFC N2O, 500 SCCM, 424296
STEC SEC-4400MC-RUG-G2 Mass Flow Controller MFC N2O, 500 SCCM, 424296
850.00  3d 19h
 
UNIT Mass Flow Controller High Performance UFC-1000 CHF3 50 SCCM AWM-F-4-1-008
UNIT Mass Flow Controller High Performance UFC-1000 CHF3 50 SCCM AWM-F-4-1-008
225.00  26d 18h
 
UNIT Mass Flow Controller High Performance UFC-1020 SF6 15 SCCM AWM-F-4-1-010
UNIT Mass Flow Controller High Performance UFC-1020 SF6 15 SCCM AWM-F-4-1-010
225.00  26d 18h
 
Amat 0040-75329 Ch, Enp, Fast Cooldown ,
Amat 0040-75329 Ch, Enp, Fast Cooldown ,
5,200.00  10d 19h
 
TEL Tokyo Electron 2981-600619-11 Interface Connector Board PCB  Working
TEL Tokyo Electron 2981-600619-11 Interface Connector Board PCB  Working
302.19  13d 12h
 
Qx41 / Digital Input Module 32 Point, 24 Vdc Input / Mitsubishi
Qx41 / Digital Input Module 32 Point, 24 Vdc Input / Mitsubishi
125.98  16d 14h
 
A19924 / Assy Enhanced Height Sensor / Uti Inventory Management Solutions
A19924 / Assy Enhanced Height Sensor / Uti Inventory Management Solutions
150.00  28d 16h
 
Bm30519/g / Pcb Board / Pri
Bm30519/g / Pcb Board / Pri
150.00  5d 16h
 
0020-13602 / Mounting Bracket 1 / Amat
0020-13602 / Mounting Bracket 1 / Amat
120.99  13d 12h
 
90-80317-01 / 90s Motherboard / Svg
90-80317-01 / 90s Motherboard / Svg
167.55  18d 18h
 
Bm70000 / Pri Bm70000 R/b Pcb, Motherboard / Backplane / Pri
Bm70000 / Pri Bm70000 R/b Pcb, Motherboard / Backplane / Pri
156.99  2d 13h
 
9535-0290 / Jacket Heater 3.5 El 90 Sr, Tan, 1hp1 / Mks
9535-0290 / Jacket Heater 3.5 El 90 Sr, Tan, 1hp1 / Mks
129.62  2d 17h
 
D15-35 / Miniature Ac To Dc Power Module / Acopian
D15-35 / Miniature Ac To Dc Power Module / Acopian
120.99  16d 17h
 
81710-01794-010 / Pcb Input Conditioning / Oerlikon
81710-01794-010 / Pcb Input Conditioning / Oerlikon
170.62  8d 21h
 
Bm24312l02 / Pcb / Zmisc
Bm24312l02 / Pcb / Zmisc
150.00  22d 17h
 
Bm48467 / Transformer Pcb / Pri
Bm48467 / Transformer Pcb / Pri
150.00  5d 17h
 
0020-41512 / Bracket, Pneumatic Manifold Cover, Low P / Amat
0020-41512 / Bracket, Pneumatic Manifold Cover, Low P / Amat
159.10  16d 12h
 
3160710b / Bruce I/o Board / Bruce Systems
3160710b / Bruce I/o Board / Bruce Systems
150.00  24d 17h
 
3160710e / Bruce I/o Board / Bruce Systems
3160710e / Bruce I/o Board / Bruce Systems
146.75  24d 17h
 
Bm71160 / Pri Pcb, Sensor Board Iii / Pri
Bm71160 / Pri Pcb, Sensor Board Iii / Pri
121.99  2d 13h
 
400-34141-02 / Panel Station Center Touch Control / Excelteq Inc
400-34141-02 / Panel Station Center Touch Control / Excelteq Inc
164.00  8d 20h
 
Xld-40 / High Vacuum Line Valve / Smc
Xld-40 / High Vacuum Line Valve / Smc
140.00  14d 21h
 
ASM 16-187453D01 SUPPORT DTS SUSCEPTOR E3000  Surplus
ASM 16-187453D01 SUPPORT DTS SUSCEPTOR E3000  Surplus
1,006.18  2d 21h
 
Tektronix Handler Interface Board 671-4033-01 Rev.D 671-4033-9930013L
Tektronix Handler Interface Board 671-4033-01 Rev.D 671-4033-9930013L
199.90  12d 21h
 
Komatsu 7859-01-2610 Board Ks-2309
Komatsu 7859-01-2610 Board Ks-2309
199.90  24d 1h
 
Ni National Instruments GPIB-1014P ASSY180150 180152-01 REV.E
Ni National Instruments GPIB-1014P ASSY180150 180152-01 REV.E
199.90  12d 21h
 
Aera TC FC-PAR7820C MFC (H2 / 60 SLM) 1/2" VCR / AMAT 3030-17077
Aera TC FC-PAR7820C MFC (H2 / 60 SLM) 1/2" VCR / AMAT 3030-17077
249.90  19d 23h
 
ASML 4022.471.7496 Circuit Board PCB S31  Working
ASML 4022.471.7496 Circuit Board PCB S31  Working
409.16  26d 16h
 
Applied Materials 0020-02348 Lower Shield Clamp Amat *cleaned*
Applied Materials 0020-02348 Lower Shield Clamp Amat *cleaned*
1,199.00  6d 10h
 
Advantest BGR-016795 Processor Board PCB Card PGR-816795DD44  Working
Advantest BGR-016795 Processor Board PCB Card PGR-816795DD44  Working
1,401.19  17d 18h
 
Watlow Bhc611c13s-21 Item 012815 E4-1
Watlow Bhc611c13s-21 Item 012815 E4-1
350.00  20d 11h
 
LAM Research: QTZ SHIELD SECTION, TOP PLATE  PN 716-051054-001
LAM Research: QTZ SHIELD SECTION, TOP PLATE PN 716-051054-001
385.00  7d 15h
 
Applied Ceramics 95-00056A Quartz Cover Clamp  Surplus
Applied Ceramics 95-00056A Quartz Cover Clamp  Surplus
810.18  5d 11h
 
Novellus Systems 02-149841-02 C3 Vector 300mm Assembly 15-156474-02
Novellus Systems 02-149841-02 C3 Vector 300mm Assembly 15-156474-02
812.15  10d 18h
 
Saint Gobain 3492014R Semiconductor Component
Saint Gobain 3492014R Semiconductor Component
19.99  11d 15h
 
SCP Global Technologies 40005728-00 Door Plenum Insert Front Access 9400
SCP Global Technologies 40005728-00 Door Plenum Insert Front Access 9400
504.18  29d 11h
 
Balzers BG 290 563 U Potentiometer Switch PCB Card BG290563-U  Working
Balzers BG 290 563 U Potentiometer Switch PCB Card BG290563-U  Working
357.16  8d 17h
 
Nikon NK2551 EPROM Reciever Board PCB NSR-S306C DUV System  Working
Nikon NK2551 EPROM Reciever Board PCB NSR-S306C DUV System  Working
508.18  19d 16h
 
APPLIED MATERIALS 0020-37497 Bracket
APPLIED MATERIALS 0020-37497 Bracket
344.99  15d 17h
 
BELLOWS, 1911960, Disk Clamper Bellows
BELLOWS, 1911960, Disk Clamper Bellows
1,680.00  2d 3h
 
Asm Maiii-5ky-1
Asm Maiii-5ky-1
1,000.00  24d 23h
 
AMAT Applied Materials 0020-01058 Lower Overlay 6" Lot of 22
AMAT Applied Materials 0020-01058 Lower Overlay 6" Lot of 22
801.12  12d 10h
 
SAM Fantas SFC1480FPD2 Mass Flow Controller
SAM Fantas SFC1480FPD2 Mass Flow Controller
300.00  26d 14h
 
Lot Of 10  George Fischer 735 108 635 +gf+ 90° Elbow Free Shipping
Lot Of 10  George Fischer 735 108 635 +gf+ 90° Elbow Free Shipping
127.49  16d 8h
 
AMAT Applied Materials 0020-01059 Inner Overlay 6" Lot of 27
AMAT Applied Materials 0020-01059 Inner Overlay 6" Lot of 27
801.12  12d 10h
 
Dynax DNX5171 Processor Board Assembly PCB F104-CPU F104-IO/1 DNX5191
Dynax DNX5171 Processor Board Assembly PCB F104-CPU F104-IO/1 DNX5191
501.19  7d 15h
 
Applied Materials 0200-00242  Deposition Ring With Anti-rotation Cu Besc Amat
Applied Materials 0200-00242 Deposition Ring With Anti-rotation Cu Besc Amat
300.00  2d 13h
 
ASM Advanced Semiconductor Materials 2911779-21 PCB Board ESIGMA WK0705
ASM Advanced Semiconductor Materials 2911779-21 PCB Board ESIGMA WK0705
603.14  28d 14h
 
Asm 1060-390-01 Cl2 Gas Det Split Exhaust Cable Kit
Asm 1060-390-01 Cl2 Gas Det Split Exhaust Cable Kit
907.18  2d 19h
 
Daifuku PIO-3786A LED Display Board PCB  Working
Daifuku PIO-3786A LED Display Board PCB  Working
1,108.18  3d 11h
 
AMAT 0020-22237 Cover Ring, 8" 424116
AMAT 0020-22237 Cover Ring, 8" 424116
895.00  29d 14h
 
KLA-Tencor 0023936-001 Power Assy LPM AIT UV  Working
KLA-Tencor 0023936-001 Power Assy LPM AIT UV  Working
3,008.16  1d 14h
 
Matheson Gas Filter -- 6134-T6FF --
Matheson Gas Filter -- 6134-T6FF --
225.00  14d 19h
 
ASML 4022.471.6292 AT IM REM RES. RP Board PCB Card 05 4022.471.62911
ASML 4022.471.6292 AT IM REM RES. RP Board PCB Card 05 4022.471.62911
806.17  2d 15h
 
Nikon 4S019-288-1 Interface Board VME Card IFSIGCOR PCB NSR-S205C  Working
Nikon 4S019-288-1 Interface Board VME Card IFSIGCOR PCB NSR-S205C  Working
1,005.17  29d 16h
 
412-0101// Nsk Rs0608fn002 (broken) Motor [/fast]
412-0101// Nsk Rs0608fn002 (broken) Motor [/fast]
1,000.00  27d 2h
 
VAT 748708 Bellows feedthrough compl,
VAT 748708 Bellows feedthrough compl,
250.00  19d 0h
 
Bd2-6274 / Piping Box Unit 1 / Canon
Bd2-6274 / Piping Box Unit 1 / Canon
980.82  22d 9h
 
318-0302// Amat Applied 0010-04463 Applied Matrials Components
318-0302// Amat Applied 0010-04463 Applied Matrials Components
100.00  13d 0h
 
350-0203// Amat Applied 0040-77963 Applied Matrials Components []
350-0203// Amat Applied 0040-77963 Applied Matrials Components []
100.00  19d 19h
 
AMAT 0100-09210 Liquid Injector Interface Board, PCB, FAB 0110-09210, 424066
AMAT 0100-09210 Liquid Injector Interface Board, PCB, FAB 0110-09210, 424066
1,350.00  16d 17h
 
AMAT 0010-10713, Susceptor Assembly, 125mm Wafer, P21, Chamber, 5". 417347
AMAT 0010-10713, Susceptor Assembly, 125mm Wafer, P21, Chamber, 5". 417347
3,500.00  18h 22m
 
ASM 02-187762D01 ASSY-DYNAMIC PRESSURE REGULATOR-LH  Surplus
ASM 02-187762D01 ASSY-DYNAMIC PRESSURE REGULATOR-LH  Surplus
1,107.18  2d 21h
 
AMAT 0040-03631 Blade, Left 300MM FI ECP, 417552
AMAT 0040-03631 Blade, Left 300MM FI ECP, 417552
1,850.00  8d 12h
 
30614200000 / S2dio Assy / Applied Materials Amat
30614200000 / S2dio Assy / Applied Materials Amat
637.65  17d 13h
 
Pr7-1c11d8g114 / Meacon Corp Regulator, Pressure W/100psi Guage / Meacon Corp
Pr7-1c11d8g114 / Meacon Corp Regulator, Pressure W/100psi Guage / Meacon Corp
495.82  8d 12h
 
685-801852-012 / Spectrometer Control Unit / Lam
685-801852-012 / Spectrometer Control Unit / Lam
350.99  17d 12h
 
0020-21462 / Insulator Source 11.30" / Applied Materials Amat
0020-21462 / Insulator Source 11.30" / Applied Materials Amat
650.00  12d 19h
 
Novellus 38-276335-00 Cable, 406409
Novellus 38-276335-00 Cable, 406409
2,500.00  8d 11h
 
0242-85133 / Kit Gas Flow And Gas Name Labels / Applied Materials Amat
0242-85133 / Kit Gas Flow And Gas Name Labels / Applied Materials Amat
235.20  11d 17h
 
Aldpfom-t1 / Digital Input Module / Algo
Aldpfom-t1 / Digital Input Module / Algo
240.68  23d 17h
 
632630130.00 / Pcb / Asm America Inc
632630130.00 / Pcb / Asm America Inc
250.99  28d 13h
 
ASML 4022.471.6707 Interface Board PCB Card 01 4022.471.67081  Working
ASML 4022.471.6707 Interface Board PCB Card 01 4022.471.67081  Working
806.17  8d 15h
 
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424101
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424101
950.00  24d 12h
 
SVG Silicon Valley Group 851-9953-003 Processor PCB Card Rev. G ASML 90S
SVG Silicon Valley Group 851-9953-003 Processor PCB Card Rev. G ASML 90S
310.18  1d 12h
 
AMAT 0040-03631 Blade, Left 300MM FI ECP, 417552
AMAT 0040-03631 Blade, Left 300MM FI ECP, 417552
1,850.00  8d 12h
 
Applied Materials 0200-14671 Single Ring Amat *un, Sealed*
Applied Materials 0200-14671 Single Ring Amat *un, Sealed*
1,999.00  26d 12h
 
Applied Materials 0022-24449 Disk, Shutter, Mc Chamber Amat *un, Sealed*
Applied Materials 0022-24449 Disk, Shutter, Mc Chamber Amat *un, Sealed*
1,999.00  8d 3h
 
Applied Materials 0190-33595 Cable Assembly, Hn(m) To Hn(m) R/a, Puls Amat
Applied Materials 0190-33595 Cable Assembly, Hn(m) To Hn(m) R/a, Puls Amat
2,799.00  9d 11h
 
Tel Tokyo Electron 3285-004114-16 Square Card Insert **
Tel Tokyo Electron 3285-004114-16 Square Card Insert **
3,799.00  8d 14h
 
HONEYWELL TARGET 100132 AMAT 0190-41385 LC5 Al.5Cu 300mm, HIP 20.625 x 1.380
HONEYWELL TARGET 100132 AMAT 0190-41385 LC5 Al.5Cu 300mm, HIP 20.625 x 1.380
3,999.00  6h 14m
 
Applied Materials 0021-82399 Shield 1-piece, Al Amat *un*
Applied Materials 0021-82399 Shield 1-piece, Al Amat *un*
2,999.00  17d 9h
 
Shuttle Endstation Harness for 90 Series Track 99-42369-15
Shuttle Endstation Harness for 90 Series Track 99-42369-15
41.96  20d 8h
 
Pn 99-52145-01, Assy, Harn, Solvent Trigger
Pn 99-52145-01, Assy, Harn, Solvent Trigger
61.99  11d 7h
 
Gas Prop Mounting Bracket by Tokico Mfg # 12B/GPB-1-1. LOT OF 50
Gas Prop Mounting Bracket by Tokico Mfg # 12B/GPB-1-1. LOT OF 50
36.00  12d 12h
 
Yamamoto Pressure Switch MS61ALV600D
Yamamoto Pressure Switch MS61ALV600D
62.58  6d 12h
 
Pn 99-18427-01, Harness Assy, Flat Sensor
Pn 99-18427-01, Harness Assy, Flat Sensor
81.60  1d 9h
 
Pn 99-80343-01, Assy, Pcb, Svgl 1/0 Interface
Pn 99-80343-01, Assy, Pcb, Svgl 1/0 Interface
114.93  5d 14h
 
Pn 99-45108-01, Harn Assy, Intcon Efc
Pn 99-45108-01, Harn Assy, Intcon Efc
22.22  6d 8h
 
Applied Materials Encoder Interface Board 0100-09137
Applied Materials Encoder Interface Board 0100-09137
175.00  28d 13h
 
Allen-Bradley Kinetix 6000 Line Interface Module, 2904-BL02, BULLETIN, 423535
Allen-Bradley Kinetix 6000 Line Interface Module, 2904-BL02, BULLETIN, 423535
950.00  14d 13h
 
347-0403// Amat Applied 0040-09033 Applied Matrials Components
347-0403// Amat Applied 0040-09033 Applied Matrials Components
200.00  13d 1h
 
4552  Applied Materials 0010-00017 Ion/TC AC Module
4552 Applied Materials 0010-00017 Ion/TC AC Module
250.00  26d 16h
 
Amat 3870-01160 Valve Pneu1 Inline,
Amat 3870-01160 Valve Pneu1 Inline,
300.00  20d 21h
 
Varian E17326150 Shield Proofiler,shaft,
Varian E17326150 Shield Proofiler,shaft,
500.00  22d 5h
 
Air Products Unknown A7 Upper Quartz Ring,
Air Products Unknown A7 Upper Quartz Ring,
500.00  10d 15h
 
Amat 0270-01335 Right Angle Reducer,
Amat 0270-01335 Right Angle Reducer,
400.00  14d 1h
 
Hva 11250-0159x-001 Pneumatic Vacuum Valve,
Hva 11250-0159x-001 Pneumatic Vacuum Valve,
460.00  20d 21h
 
Semicon A-52570 Ceramic Hybred,
Semicon A-52570 Ceramic Hybred,
500.00  13d 14h
 
Unknow 4502330 Bracket, Ges Block Step2,
Unknow 4502330 Bracket, Ges Block Step2,
400.00  19d 21h
 
Amat 0050-30004 Weldment,upper,purge/pump,cajo,
Amat 0050-30004 Weldment,upper,purge/pump,cajo,
300.00  9d 19h
 
MKS High Vacuum Dual Bellows 796-801289-001
MKS High Vacuum Dual Bellows 796-801289-001
351.89  11d 0h
 
Applied Materials 0020-42082 Pumping Ring 8" 200mm Fc, Wxz Amat Recycled
Applied Materials 0020-42082 Pumping Ring 8" 200mm Fc, Wxz Amat Recycled
549.00  4d 14h
 
TEL CP-8280 PCB, Circuit 413041
TEL CP-8280 PCB, Circuit 413041
350.00  18d 11h
 
352-0201// Amat Applied 3500-01157 Nut Hex Full 10-24 Brass []
352-0201// Amat Applied 3500-01157 Nut Hex Full 10-24 Brass []
10.00  16d 3h
 
Tylan Fc-260v-4v 6 Slm N2, Vcr
Tylan Fc-260v-4v 6 Slm N2, Vcr
122.50  7d 14h
 
Perkin-Elmer 851-8242-006 Processor PCB Card Rev. M SVG ASML 90S  Working
Perkin-Elmer 851-8242-006 Processor PCB Card Rev. M SVG ASML 90S  Working
410.18  24d 9h
 
Asm 02-337061-01 Assy-h2 Chassis S2 Standard V1
Asm 02-337061-01 Assy-h2 Chassis S2 Standard V1
1,507.18  2d 19h
 
Tegal Model 421 Manual PCB 99-011-001
Top-Rated Plus Seller Tegal Model 421 Manual PCB 99-011-001
175.00  2d 15h
 
FUTURESTAR Pathfinder Teflon flow meter, 150-01500
FUTURESTAR Pathfinder Teflon flow meter, 150-01500
395.00  12d 7h
 
FUTURESTAR Pathfinder Teflon flow meter, 150-020
FUTURESTAR Pathfinder Teflon flow meter, 150-020
395.00  12d 7h
 
2 TEL Tokyo Electron SJ00006R Rotary Joint For Top Ring, Union, SP-CS34, 423648
2 TEL Tokyo Electron SJ00006R Rotary Joint For Top Ring, Union, SP-CS34, 423648
2,200.00  13d 15h
 
Amat 0020-77924 Bearing Retainer, Cap ,
Amat 0020-77924 Bearing Retainer, Cap ,
1,100.00  22d 21h
 
O-ring Du353tep10;2127-000003-11
O-ring Du353tep10;2127-000003-11
2,880.00  15d 3h
 
setra 2671025LD2DG1HD  /  Free Expedited Shipping
setra 2671025LD2DG1HD / Free Expedited Shipping
179.00  11d 1h
 
Minarik MicroMaster WP6311-AAAA Controller, WP6311AAAA, WP6311, 424542
Minarik MicroMaster WP6311-AAAA Controller, WP6311AAAA, WP6311, 424542
550.00  13d 15h
 
Acromag 822A-0200 2 units (1 lot) /  Free Expedited Shipping
Acromag 822A-0200 2 units (1 lot) / Free Expedited Shipping
169.00  12d 22h
 
RECIF Technologies MOBBH0131D Motherboard PCB PCB0131 Nikon NSR Working Spare
RECIF Technologies MOBBH0131D Motherboard PCB PCB0131 Nikon NSR Working Spare
608.18  17d 11h
 
AMAT Materials 0040-99951 200mm Polyimide Pedestal 0020-34017  Working
AMAT Materials 0040-99951 200mm Polyimide Pedestal 0020-34017  Working
804.18  6d 13h
 
Opal 50312570000 CVC Board PCB  Working
Opal 50312570000 CVC Board PCB  Working
1,503.19  8d 16h
 
AMAT Applied Materials DO P.C. SINK PCB P/N 678669 REV C
Top-Rated Plus Seller AMAT Applied Materials DO P.C. SINK PCB P/N 678669 REV C
199.50  17d 13h
 
ASML 4022.471.7726 DC/DC Converter Board PCB Card 4022.471.77311  Working
ASML 4022.471.7726 DC/DC Converter Board PCB Card 4022.471.77311  Working
806.17  8d 15h
 
Valve-air; Aov Dasdv-07017
Valve-air; Aov Dasdv-07017
300.00  14d 23h
 
Asm 1038-497-01 Kit-cable Pm Exhaust
Asm 1038-497-01 Kit-cable Pm Exhaust
1,307.18  2d 20h
 
RECIF Technologies INTBH0222 Interface Board PCB Nikon NSR System  Working
RECIF Technologies INTBH0222 Interface Board PCB Nikon NSR System  Working
308.18  17d 10h
 
A45188 / Lowk Ff Mk3b Lf / Trikon/spts Technologies
A45188 / Lowk Ff Mk3b Lf / Trikon/spts Technologies
21,000.97  12d 9h
 
ASM Advanced Semiconductor Materials 02-350955D01 Dynamic Pressure Regulator
ASM Advanced Semiconductor Materials 02-350955D01 Dynamic Pressure Regulator
1,107.18  9d 15h
 
140-0501// Amat Applied 0040-37192 Applied Matrials Components
140-0501// Amat Applied 0040-37192 Applied Matrials Components
2,000.00  10d 21h
 
Asm 1004-258-01 Chassis-liquid Source Elec Box Lh
Asm 1004-258-01 Chassis-liquid Source Elec Box Lh
807.18  2d 19h
 
Varian E17312890 Roughing Line, Ext, Beamline/sou,
Varian E17312890 Roughing Line, Ext, Beamline/sou,
900.00  2d 2h
 
Lam Research 3073070000w Operator Console, Sitzoption,
Lam Research 3073070000w Operator Console, Sitzoption,
1,000.00  26d 20h
 
Applied Materials AMAT VCR Weldment, 0050-32172
Applied Materials AMAT VCR Weldment, 0050-32172
195.00  7d 5h
 
Disco EAUF-790100 Operation Panel Unit 412106
Disco EAUF-790100 Operation Panel Unit 412106
1,100.00  19d 12h
 
Millipore Dispense Controller WCDS000F4 Pre Dispense Controller INTEGRIS
Millipore Dispense Controller WCDS000F4 Pre Dispense Controller INTEGRIS
499.99  21h 31m
 
324-0203// Amat Applied 0200-18102 Applied Matrials Components []
324-0203// Amat Applied 0200-18102 Applied Matrials Components []
120.00  5d 1h
 
Microscope objective 100/1.25 oil 160/0.17
Microscope objective 100/1.25 oil 160/0.17
250.00  13d 12h
 
Disco EAUF--167201 Operation Panel Unit 328930
Disco EAUF--167201 Operation Panel Unit 328930
850.00  14d 15h
 
Schneider E63335 3-Pole  LISTED,
Schneider E63335 3-Pole LISTED,
350.00  16d 19h
 
Varian PPM 992622-00D, 87-195861-A, PCB, 40MHZ, DM992622-00. 322301
Varian PPM 992622-00D, 87-195861-A, PCB, 40MHZ, DM992622-00. 322301
995.00  28d 18h
 
4478  Lot of 2 Matheson FF4374B Full Turn Diaphragm Valves
4478 Lot of 2 Matheson FF4374B Full Turn Diaphragm Valves
160.00  26d 12h
 
Lam Htg Jkt, L Blws/trtl Vlv Pn 678-063140-002
Lam Htg Jkt, L Blws/trtl Vlv Pn 678-063140-002
395.00  10h 45m
 
Nikon 4S018-727 Communications Card PCB IM-COM NSR-S205C System  Working
Nikon 4S018-727 Communications Card PCB IM-COM NSR-S205C System  Working
1,322.30  23d 14h
 
Asyst Technologies SAM 4410 Network Module,
Asyst Technologies SAM 4410 Network Module,
250.00  12d 14h
 
GTI Technologies 10-10891-BO REFL-S-PARABOLIC LWR LAMP 90 ASM 16-146941-01
GTI Technologies 10-10891-BO REFL-S-PARABOLIC LWR LAMP 90 ASM 16-146941-01
1,373.18  11d 14h
 
25315 Powerware Pcb Assy, 118400182 101073615-100
25315 Powerware Pcb Assy, 118400182 101073615-100
200.00  2d 22h
 
25322 Oem Pcb Assy, Sr2543a Sr2540a-i
25322 Oem Pcb Assy, Sr2543a Sr2540a-i
220.00  2d 22h
 
25324 Powerware Pcb Assy, 118400097, 101073615-100, 118400182 101073522-001
25324 Powerware Pcb Assy, 118400097, 101073615-100, 118400182 101073522-001
250.00  2d 22h
 
Tokyo Electron 5010-308928-11 Arm,
Tokyo Electron 5010-308928-11 Arm,
300.00  8d 18h
 
Yaskawa SGDV-1R6A11BY878AB Servopack,
Yaskawa SGDV-1R6A11BY878AB Servopack,
400.00  22h 10m
 
Amat 0020-79207 Adapter,
Amat 0020-79207 Adapter,
230.00  1d 19h
 
Schumacher Cabinet Controller A9 1730-3003
Schumacher Cabinet Controller A9 1730-3003
347.60  1d 5h
 
Matrix DSC-5K-SVGL Interface PCB Card 7911/DSC 851-8963-001D ASML SVG 90S
Matrix DSC-5K-SVGL Interface PCB Card 7911/DSC 851-8963-001D ASML SVG 90S
310.18  28d 9h
 
ASM 232720091.11 D/A Connector Board, PCB, 632720091.00, Farmon ID 408868
ASM 232720091.11 D/A Connector Board, PCB, 632720091.00, Farmon ID 408868
350.00  26d 19h
 
Balzers BG 531 189 T Integrate Circuit AD 202 PCB Board BG 531 187 CT
Balzers BG 531 189 T Integrate Circuit AD 202 PCB Board BG 531 187 CT
458.16  15d 16h
 
dresden elektronik 5 328 01 00.250.02/B Processor Board PCB  Working
dresden elektronik 5 328 01 00.250.02/B Processor Board PCB  Working
301.19  10d 11h
 
Wakefield Engineering Installation Tool 200-Series Aluminum
Wakefield Engineering Installation Tool 200-Series Aluminum
9.99  23d 9h
 
Nikon 4S008-060 Process Control Board Board PCB ALGAFPROCESS-D NSR-S205C Spare
Nikon 4S008-060 Process Control Board Board PCB ALGAFPROCESS-D NSR-S205C Spare
1,207.19  14d 15h
 
Nikon 4S008-061 Process Control Board PCB ALGAF-PROCESS-A NSR-S205C Spare
Nikon 4S008-061 Process Control Board PCB ALGAF-PROCESS-A NSR-S205C Spare
828.95  14d 15h
 
Nikon 4S008-052 Relay Sensor Board PCB Rev. B X4-AIS NSR-S205C Working Spare
Nikon 4S008-052 Relay Sensor Board PCB Rev. B X4-AIS NSR-S205C Working Spare
1,007.19  14d 16h
 
Nikon 4S019-582 Driver Control Board PCB RBTDRV(H) NSR-S205C Working Spare
Nikon 4S019-582 Driver Control Board PCB RBTDRV(H) NSR-S205C Working Spare
1,207.19  1d 16h
 
Yaskawa Electric CRC-023 Processor Board PCB UZZZ002250 Nikon NSR System Working
Yaskawa Electric CRC-023 Processor Board PCB UZZZ002250 Nikon NSR System Working
1,378.95  20d 15h
 
Nikon 4S018-867 Interface Board PCB PPD3X4-I/F NSR System  Working
Nikon 4S018-867 Interface Board PCB PPD3X4-I/F NSR System  Working
993.19  9d 17h
 
Yaskawa Electric TYA79-4005-NQ Processor Board PCB JASP-CAA3CPB Nikon NSR Spare
Yaskawa Electric TYA79-4005-NQ Processor Board PCB JASP-CAA3CPB Nikon NSR Spare
993.95  20d 15h
 
Pfeiffer Tsf 012 Mod-nr Pmz01105 Vacuum Emmeliusstr *pzb*
Pfeiffer Tsf 012 Mod-nr Pmz01105 Vacuum Emmeliusstr *pzb*
118.70  22d 8h
 
SVG AGV Interface 99-80392-01 PCB Board, REV A
SVG AGV Interface 99-80392-01 PCB Board, REV A
89.99  10d 13h
 
SVG Silicon Valley Group 858-8148-006 Processor PCB Card A5170 Rev. J 90S
SVG Silicon Valley Group 858-8148-006 Processor PCB Card A5170 Rev. J 90S
410.18  29d 15h
 
Fujikin Pneumatic Valve FPR-71-6.35-2 MALE 316L
Fujikin Pneumatic Valve FPR-71-6.35-2 MALE 316L
104.50  28d 17h
 
0040-40111 / Assly, 2 Slot Tray, 20 / Applied Materials Amat
0040-40111 / Assly, 2 Slot Tray, 20 / Applied Materials Amat
875.68  14d 13h
 
VAT 07512-UA24-ADA1 Rectangular Atmospheric Door L-VAT Series 075 Epsilon Spare
VAT 07512-UA24-ADA1 Rectangular Atmospheric Door L-VAT Series 075 Epsilon Spare
1,003.11  6d 17h
 
() Melec C-820A Module Board
() Melec C-820A Module Board
480.00  12d 20h
 
AMAT Applied Materials 0140-11539 Harness ASSY , Chamber B - Main Bundle VA
AMAT Applied Materials 0140-11539 Harness ASSY , Chamber B - Main Bundle VA
1,688.00  7d 3h
 
350-0303// Amat Applied 0020-38064 Cover, L/l Side []
350-0303// Amat Applied 0020-38064 Cover, L/l Side []
690.00  11d 2h
 
143-0501// Amat Applied 0150-09840 Cable Assy Lamp Module
143-0501// Amat Applied 0150-09840 Cable Assy Lamp Module
640.00  6d 3h
 
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424035
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424035
950.00  16d 19h
 
AMAT 0040-03631, Blade, Left 300mm FI ECP. 417727
AMAT 0040-03631, Blade, Left 300mm FI ECP. 417727
1,850.00  9d 14h
 
Minarik MicroMaster WP6311-AAAA Controller, WP6311AAAA, WP6311, 424540
Minarik MicroMaster WP6311-AAAA Controller, WP6311AAAA, WP6311, 424540
550.00  13d 15h
 
Varian PPM 992622-00D, 87-195861-A, PCB, 40MHZ, DM992622-00. 322303
Varian PPM 992622-00D, 87-195861-A, PCB, 40MHZ, DM992622-00. 322303
995.00  28d 18h
 
Amat 0140-18283, Harness Assembly, Right Chamber Signals
Amat 0140-18283, Harness Assembly, Right Chamber Signals
2,000.00  3d 2h
 
320-0202// AMAT APPLIED 0100-40044 (#1) wPCBA,REMOTE CHAMBER INTERFACE [ASIS]
320-0202// AMAT APPLIED 0100-40044 (#1) wPCBA,REMOTE CHAMBER INTERFACE [ASIS]
800.00  22d 19h
 
TEL Tokyo Electron 1805-320142-11 Integrated Base Ring
TEL Tokyo Electron 1805-320142-11 Integrated Base Ring
807.18  2d 17h
 
SPM FRS-ECP-000044 Splash Baffle Resist Reservoir Spider Reseller Lot of 5
SPM FRS-ECP-000044 Splash Baffle Resist Reservoir Spider Reseller Lot of 5
801.19  28d 11h
 
Nikon 4s019-205 / X3-ia-mnt Pcb Board
Nikon 4s019-205 / X3-ia-mnt Pcb Board
799.00  12d 5h
 
LAM 716-044111-001 Plate * working
LAM 716-044111-001 Plate * working
500.00  10d 16h
 
AMAT 0150-77547 Cable, TAKE UP MTR PM2, Harness, 413516
AMAT 0150-77547 Cable, TAKE UP MTR PM2, Harness, 413516
395.00  1d 14h
 
AMAT Applied Materials 0140-18336 Harness ASSY , Chamber A - Main Bundle V
AMAT Applied Materials 0140-18336 Harness ASSY , Chamber A - Main Bundle V
1,688.00  7d 4h
 
333-0401// Amat Applied 0020-20164 Cover, Insulator Source 11.30 [asis]
333-0401// Amat Applied 0020-20164 Cover, Insulator Source 11.30 [asis]
1,200.00  20h 42m
 
Applied Materials 0041-04138 Plate, Lid, Clear, Sswll A, Pr Amat *un*
Applied Materials 0041-04138 Plate, Lid, Clear, Sswll A, Pr Amat *un*
699.00  3d 3h
 
005-0201// Mks B-5303-00 (#4) 2l39-000016-23 Generator [asis]
005-0201// Mks B-5303-00 (#4) 2l39-000016-23 Generator [asis]
4,500.00  9d 1h
 
SVG Silicon Valley Group 851-9947-004 DMC Booster Amplifier PCB Card Rev. F
SVG Silicon Valley Group 851-9947-004 DMC Booster Amplifier PCB Card Rev. F
310.18  24d 16h
 
Pf466-02a / 4 Phase Stepping Motor 3.60v 2.0a 1.8deg Step / Mycom
Pf466-02a / 4 Phase Stepping Motor 3.60v 2.0a 1.8deg Step / Mycom
200.68  13d 21h
 
W10500000 / Model 1050 Range Multiplier / Edwards
W10500000 / Model 1050 Range Multiplier / Edwards
250.98  18d 15h
 
Ps464-01a / 4 Phase Stepping Motor 40v 1.1a 1.8deg Step / Mycom
Ps464-01a / 4 Phase Stepping Motor 40v 1.1a 1.8deg Step / Mycom
200.68  13d 21h
 
CMC Circuit Machine Corporation Circuitsaw 7500
CMC Circuit Machine Corporation Circuitsaw 7500
650.00  29d 15h
 
AMAT 0010-10371 Rev 004 APPLIED MATERIALS  7993-02 Rev C
AMAT 0010-10371 Rev 004 APPLIED MATERIALS 7993-02 Rev C
375.00  4d 9h
 
Nikon 2S700-580 SCPU Board PCB Card 2S014-033-3 V1.21 OPTISTATION 3  Working
Nikon 2S700-580 SCPU Board PCB Card 2S014-033-3 V1.21 OPTISTATION 3  Working
1,203.17  18d 13h
 
West Coast Quartz 1040-10-153-A Lower Isolator B-Liner 200MM TICL 4 Tin
West Coast Quartz 1040-10-153-A Lower Isolator B-Liner 200MM TICL 4 Tin
1,407.18  2d 22h
 
Amat 0020-79649 Angle Bracket, Bearing,
Amat 0020-79649 Angle Bracket, Bearing,
180.00  23d 6h
 
130-0203// Amat Applied 0100-09018 Applied Matrials Components
130-0203// Amat Applied 0100-09018 Applied Matrials Components
600.00  20d 4h
 
Carrier Totaline Recovery Reclaim & Recycle * working
Carrier Totaline Recovery Reclaim & Recycle * working
500.00  16d 14h
 
ASM Advanced Semiconductor Materials 02-146250-01 Lower Loadlock Enclosure
ASM Advanced Semiconductor Materials 02-146250-01 Lower Loadlock Enclosure
909.18  26d 11h
 
ASML 4022.471.6943 DC/DC Converter Board PCB Card 02 4022.471.69441
ASML 4022.471.6943 DC/DC Converter Board PCB Card 02 4022.471.69441
807.17  23d 16h
 
19-00155-01 /heater,bb 150mm Block/ Novellus Systems Inc
19-00155-01 /heater,bb 150mm Block/ Novellus Systems Inc
5,995.00  9d 12h
 
0246-01055 ,0041-05819, 0041-27270 /300mm Producer Se, Kit Silane / Applied Mate
0246-01055 ,0041-05819, 0041-27270 /300mm Producer Se, Kit Silane / Applied Mate
12,000.97  25d 15h
 
As2000-model/power Distribution Center / Dns
As2000-model/power Distribution Center / Dns
5,625.82  2d 13h
 
135-0504// Amat Applied 0020-25168 Support, Outer Magnet []
135-0504// Amat Applied 0020-25168 Support, Outer Magnet []
510.00  17d 19h
 
116-0104// Amat Applied 0200-10194 Shield, Tapered, 200mm Asis
116-0104// Amat Applied 0200-10194 Shield, Tapered, 200mm Asis
270.00  9d 20h
 
116-0202// Amat Applied 0200-10040 Ring,outer,4.00",dbl Annulus,sgd*
116-0202// Amat Applied 0200-10040 Ring,outer,4.00",dbl Annulus,sgd*
270.00  9d 21h
 
143-0402// Amat Applied 0190-20050 Cable Assy,nude Tube,30'
143-0402// Amat Applied 0190-20050 Cable Assy,nude Tube,30'
410.00  22d 1h
 
340-0202// Amat Applied 0020-34696  Filler, Slit Valve Asis
340-0202// Amat Applied 0020-34696 Filler, Slit Valve Asis
500.00  4d 20h
 
324-0203// Amat Applied 0040-09010 Arm, Lower Right []
324-0203// Amat Applied 0040-09010 Arm, Lower Right []
320.00  5d 1h
 
324-0203// Amat Applied 0040-09012 Arm, Lower Left []
324-0203// Amat Applied 0040-09012 Arm, Lower Left []
320.00  5d 1h
 
419-0401// Parker Cp*m83-135 Controller [/fast]
419-0401// Parker Cp*m83-135 Controller [/fast]
600.00  29d 1h
 
421-0202// Sanyo Denki 103h7851-72b3 (cut Cable) [/fast]
421-0202// Sanyo Denki 103h7851-72b3 (cut Cable) [/fast]
300.00  29d 19h
 
421-0202// Sanyo Denki 103h7851-72b2 Stepping Motor [/fast]
421-0202// Sanyo Denki 103h7851-72b2 Stepping Motor [/fast]
300.00  29d 19h
 
421-0501// Sanyo Denki Pmm-cs-801b-2 Pm Driver [/fast]
421-0501// Sanyo Denki Pmm-cs-801b-2 Pm Driver [/fast]
400.00  29d 22h
 
ASML 4022.455.21462 Z-sensor Assy,,US6817
ASML 4022.455.21462 Z-sensor Assy,,US6817
229.00  23d 21h
 
Varian Arc Chamber Mounting Bracket (Stainless Steel) E17063150  Other
Varian Arc Chamber Mounting Bracket (Stainless Steel) E17063150  Other
320.00  12d 5h
 
applied Materials DIP294-2 DeviceNet I/O Block 9090-00273
applied Materials DIP294-2 DeviceNet I/O Block 9090-00273
399.00  4h 52m
 
Cool Muscle Motor Cm1-c-17l30a
Cool Muscle Motor Cm1-c-17l30a
329.00  1d 4h
 
Balzers BG M29 000 Power Supply PCB Card EPS 101 EPS101  Working
Balzers BG M29 000 Power Supply PCB Card EPS 101 EPS101  Working
408.16  15d 14h
 
Advantest BLD-024486 Processor PCB Card PLD-424486CC FW SIS-007171A 00 Working
Advantest BLD-024486 Processor PCB Card PLD-424486CC FW SIS-007171A 00 Working
612.17  23d 17h
 
134-0501// Amat Applied 0040-09575 Hoop, Basket Wafer Support, Asp []
134-0501// Amat Applied 0040-09575 Hoop, Basket Wafer Support, Asp []
600.00  21h 13m
 
116-0202// Amat Applied 0200-09746 Collar Al 200mm Ceramic
116-0202// Amat Applied 0200-09746 Collar Al 200mm Ceramic
600.00  9d 21h
 
Applied Materials AMAT 0150-76116 REV A KTC
Applied Materials AMAT 0150-76116 REV A KTC
200.00  10d 1h
 
Micrion FIB-STGI SENSE AMP PCB 150-002230
Micrion FIB-STGI SENSE AMP PCB 150-002230
566.50  2d 10h
 
Xprt 17682
Xprt 17682
99.99  5d 14h
 
Applied Materials 0200-00221 Insulator, Pinless, 8" Snnf Amat *un, Sealed*
Applied Materials 0200-00221 Insulator, Pinless, 8" Snnf Amat *un, Sealed*
699.00  27d 9h
 
Vetra Systems 12609-185/1000 Operator Interface Panel ECI QLC-5100  Working
Vetra Systems 12609-185/1000 Operator Interface Panel ECI QLC-5100  Working
810.17  24d 16h
 
Navigator Digital Match Controller 2305862-A 1310050
Navigator Digital Match Controller 2305862-A 1310050
511.50  1d 5h
 
PN TGL-39-344-001 Tegal Spare Parts
PN TGL-39-344-001 Tegal Spare Parts
2,200.00  20d 11h
 
Kokusai D3E01299A Brake A/1 PCB w/ Omron H3FA-A
Kokusai D3E01299A Brake A/1 PCB w/ Omron H3FA-A
175.00  11d 16h
 
Komatsu Electronics 30025500 KE-2014-1 Power Supply Board PCB  Working
Komatsu Electronics 30025500 KE-2014-1 Power Supply Board PCB  Working
610.17  24d 12h
 
RECIF Technologies STDAH0130C Interface Board PCB Nikon NSR System  Working
RECIF Technologies STDAH0130C Interface Board PCB Nikon NSR System  Working
308.18  17d 10h
 
Hitachi Stage Control, Control Panel No Keys S-9380  Working
Hitachi Stage Control, Control Panel No Keys S-9380  Working
657.14  1d 14h
 
Bentek 02-10068-00 Cable, 405884
Bentek 02-10068-00 Cable, 405884
300.00  22d 18h
 
15-00703-00 / Fork, Top Plate/ Novellus 6 Inch Top Plate Top Fork Plate
15-00703-00 / Fork, Top Plate/ Novellus 6 Inch Top Plate Top Fork Plate
1,150.66  12d 15h
 
176-0104// Amat Applied 0242-13176 Is/af/i+, Purge Tree, Std [asis]
176-0104// Amat Applied 0242-13176 Is/af/i+, Purge Tree, Std [asis]
230.00  19d 20h
 
Watlow 05-C0164 Dual Temperature Controller
Watlow 05-C0164 Dual Temperature Controller
1,007.18  2d 21h
 
Tescom SK 3635 Pneumatic Actuated Packless Valve, 451611
Tescom SK 3635 Pneumatic Actuated Packless Valve, 451611
400.00  26d 15h
 
Asyst 4001-6908-01 Cassette, Loader, Platform, SMIF, 451761
Asyst 4001-6908-01 Cassette, Loader, Platform, SMIF, 451761
695.00  4d 12h
 
Muratec HASSYC810603 Processor Board PCB LDMIF2C M202  Working
Muratec HASSYC810603 Processor Board PCB LDMIF2C M202  Working
412.18  1d 16h
 
MRC Materials Research A119124 RMX Magnet Assembly TARGE GUN  Working
MRC Materials Research A119124 RMX Magnet Assembly TARGE GUN  Working
2,507.15  21d 16h
 
Lot of 20 Mill-Max  Standard Wire Wrap Terminal Pin 3 layer
Lot of 20 Mill-Max Standard Wire Wrap Terminal Pin 3 layer
1.00  26d 19h
 
TEL Tokyo Electron T-3044SS Circuit Breaker Module 3D93-M50268-V1  Working
TEL Tokyo Electron T-3044SS Circuit Breaker Module 3D93-M50268-V1  Working
1,501.16  23d 10h
 
AMAT 0140-02983 Rev.001, Harness Assembly, 6 Driver, DNET, Distribution. 415251
AMAT 0140-02983 Rev.001, Harness Assembly, 6 Driver, DNET, Distribution. 415251
350.00  3d 13h
 
119-0101// Amat Applied 0190-28013 Target, Diff Bond Ti W/o C'bore, Dura [asis]
119-0101// Amat Applied 0190-28013 Target, Diff Bond Ti W/o C'bore, Dura [asis]
1,500.00  10d 23h
 
Swagelok SS-18VM8 Needle Valve, 451612
Swagelok SS-18VM8 Needle Valve, 451612
185.00  26d 15h
 
Vek-8576-D Kytolo Muurame Finland Flower Meter ID-AWS-025
Vek-8576-D Kytolo Muurame Finland Flower Meter ID-AWS-025
199.00  12d 18h
 
Thermo SSCM Sensor Control Board 132497-02 Rev 9 13249900
Thermo SSCM Sensor Control Board 132497-02 Rev 9 13249900
1,000.00  5d 20h
 
111-0201// Amat Applied 0020-10732 Plate Pumping Bw 200mm []
111-0201// Amat Applied 0020-10732 Plate Pumping Bw 200mm []
700.00  10d 23h
 
340-0401// Amat Applied 0021-76028 Brkt,wtr/smk Pcb/oms Interface []
340-0401// Amat Applied 0021-76028 Brkt,wtr/smk Pcb/oms Interface []
760.00  20d 20h
 
123-0303// Amat Applied 0040-87211 Blankoff, 200mm Monolith []
123-0303// Amat Applied 0040-87211 Blankoff, 200mm Monolith []
840.00  1d 3h
 
345-0101// Amat Applied 0300-09049 Eprom Boss Synergy 4.5.2
345-0101// Amat Applied 0300-09049 Eprom Boss Synergy 4.5.2
100.00  11d 0h
 
345-0101// Amat Applied 0300-35006 Applied Matrials Components
345-0101// Amat Applied 0300-35006 Applied Matrials Components
100.00  11d 0h
 
Svg T/c Amplifier Board 600059-06 6 Input
Svg T/c Amplifier Board 600059-06 6 Input
229.99  26d 20h
 
RECIF Technologies CPUAH0027A CPU Board REC0027A PCB Nikon NSR System Working
RECIF Technologies CPUAH0027A CPU Board REC0027A PCB Nikon NSR System Working
808.18  17d 10h
 
3753001 / Pc Assy Scan Monitor / Varian
3753001 / Pc Assy Scan Monitor / Varian
350.82  1d 12h
 
70027040 / P- Port Sensor / Sunx
70027040 / P- Port Sensor / Sunx
450.82  7d 15h
 
0020-24082 / Shield 8 Lower 101 G-12 / Applied Materials Amat
0020-24082 / Shield 8 Lower 101 G-12 / Applied Materials Amat
488.29  19d 17h
 
Bhk-a-v-0  /     Pump Control  /  Busch
Bhk-a-v-0 / Pump Control / Busch
500.26  13d 19h
 
Map42-1005 / 40 Watt 5 Vdc Convection Cooled Single Output / Power One
Map42-1005 / 40 Watt 5 Vdc Convection Cooled Single Output / Power One
425.82  8d 12h
 
2506556-21 / 522143 Rev 01, Pcb Assy, Processor Dtc / Asm America Inc
2506556-21 / 522143 Rev 01, Pcb Assy, Processor Dtc / Asm America Inc
430.50  4d 14h
 
41447-00 / Fiber Optic Comm Box 1 / Varian
41447-00 / Fiber Optic Comm Box 1 / Varian
350.82  9d 15h
 
0020-32312 / Door, Slit Valve, S / Applied Materials Amat
0020-32312 / Door, Slit Valve, S / Applied Materials Amat
433.60  14h 58m
 
Ds012-000722-1 / Fujikin Valve,air Fpr-71-9.52-2-br (ku15495-1 / Tokyo Electron
Ds012-000722-1 / Fujikin Valve,air Fpr-71-9.52-2-br (ku15495-1 / Tokyo Electron
379.08  7d 13h
 
A00058 - Rev B / 8 Port Controller / Control Corporation Of America
A00058 - Rev B / 8 Port Controller / Control Corporation Of America
286.00  4d 15h
 
335-0101// Goldfinger Kaa2026 (#2) 1-60-890-001 230068-001 Asis
335-0101// Goldfinger Kaa2026 (#2) 1-60-890-001 230068-001 Asis
600.00  29d 2h
 
335-0201// Shimadzu Ei-3203md-a1 Power Unit Asis
335-0201// Shimadzu Ei-3203md-a1 Power Unit Asis
800.00  29d 2h
 
166-0301// Komatsu Dr62 Thermoelectric [asis]
166-0301// Komatsu Dr62 Thermoelectric [asis]
300.00  25d 22h
 
152-0401// Agilent Technologies E6393b Cdma Ms Test Set []
152-0401// Agilent Technologies E6393b Cdma Ms Test Set []
1,000.00  9d 20h
 
147-0201// Amat Applied 0150-76315 Cable Assy, Coaxial 13.56 Mhz
147-0201// Amat Applied 0150-76315 Cable Assy, Coaxial 13.56 Mhz
1,140.00  22d 1h
 
404-0401// Amat Applied 4ekgf63cx-4 Md4 Abm Pump Asis
404-0401// Amat Applied 4ekgf63cx-4 Md4 Abm Pump Asis
300.00  2d 21h
 
335-0101// Goldfinger Kaa2026 (#1) 230068-001
335-0101// Goldfinger Kaa2026 (#1) 230068-001
1,000.00  29d 2h
 
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424030
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424030
950.00  16d 17h
 
AMAT 0040-03641, Blade, Right 300mm FI ECP. 417728
AMAT 0040-03641, Blade, Right 300mm FI ECP. 417728
1,850.00  9d 14h
 
Varian PPM 992622-00D, 87-195861-00, PCB, 40MHZ, DM992622-00. 322299
Varian PPM 992622-00D, 87-195861-00, PCB, 40MHZ, DM992622-00. 322299
995.00  28d 18h
 
AMAT 0150-77547 Cable, TAKE UP MTR PM2, Harness, 413515
AMAT 0150-77547 Cable, TAKE UP MTR PM2, Harness, 413515
395.00  1d 14h
 
005-0201// Mks B-5303-00 (#1) 2l39-000016-14(r) Generator [asis]
005-0201// Mks B-5303-00 (#1) 2l39-000016-14(r) Generator [asis]
4,500.00  9d 1h
 
163-0201// Huntington A00-1549 Throttle Valve [asis]
163-0201// Huntington A00-1549 Throttle Valve [asis]
400.00  18d 1h
 
115-0501// Amat Applied 0010-16001 Assy,left Door, Gas Panel []
115-0501// Amat Applied 0010-16001 Assy,left Door, Gas Panel []
640.00  21d 1h
 
ASML 4022.471.6279 AT IM MC2 RP Board PCB Card 12 4022.471.62781  Working
ASML 4022.471.6279 AT IM MC2 RP Board PCB Card 12 4022.471.62781  Working
806.17  26d 17h
 
ASML 4022.471.6276 AT IM MC1 RP Board PCB Card 11 4022.471.62751  Working
ASML 4022.471.6276 AT IM MC1 RP Board PCB Card 11 4022.471.62751  Working
806.17  26d 17h
 
ASML 4022.471.6282 AT IM MC3 RP Board PCB Card 13 4022.471.62811  Working
ASML 4022.471.6282 AT IM MC3 RP Board PCB Card 13 4022.471.62811  Working
806.17  2d 15h
 
Power-One RPM5C4C4D4LCS677 Power Supply Schlumberger 97171049 A Working Spare
Power-One RPM5C4C4D4LCS677 Power Supply Schlumberger 97171049 A Working Spare
762.16  14d 13h
 
Drytek/Lam 384t / ASIQ End Point Amplifier, P/N 023913
Top-Rated Plus Seller Drytek/Lam 384t / ASIQ End Point Amplifier, P/N 023913
157.50  26d 9h
 
Nikon Awlcpu3 4s015-072
Nikon Awlcpu3 4s015-072
799.00  19d 4h
 
Axcelis Extraction Aperture - Graphite VIG Version 17099281 REV A (99181AV)
Axcelis Extraction Aperture - Graphite VIG Version 17099281 REV A (99181AV)
280.00  15d 4h
 
LAM 715-018611-117 , LOWER, Electrode, 8" CHUCK
LAM 715-018611-117 , LOWER, Electrode, 8" CHUCK
1,700.00  13d 13h
 
CKD EHS-3000S-B-265-95-LF-FL451638 Brush Cylinder Assembly 3/6  Working
CKD EHS-3000S-B-265-95-LF-FL451638 Brush Cylinder Assembly 3/6  Working
604.18  5d 17h
 
70317875200 / Board Assy Smc/m Vacuum / Applied Materials Amat
70317875200 / Board Assy Smc/m Vacuum / Applied Materials Amat
319.15  22d 18h
 
130-0401// Amat Applied 0100-09099 Assy Chbr Interconn
130-0401// Amat Applied 0100-09099 Assy Chbr Interconn
200.00  7d 20h
 
124-0203// Amat Applied 0021-15657 (cleaned) Shutter Disc, Mdp 300mm []
124-0203// Amat Applied 0021-15657 (cleaned) Shutter Disc, Mdp 300mm []
600.00  26d 3h
 
SVG Silicon Valley Group 859-0564-002 A 1900 PO Isolator ASML  Working
SVG Silicon Valley Group 859-0564-002 A 1900 PO Isolator ASML  Working
1,004.16  2d 16h
 
Airproducts 809-470172904A SST Gas Tube ID-AWS-021
Airproducts 809-470172904A SST Gas Tube ID-AWS-021
125.00  11d 19h
 
Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. G Copper Exposed
Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. G Copper Exposed
2,503.14  7d 14h
 
Lam 771-001032-014 Rev C Proteus Industries 98008SA10F3P2,1002600,,US^7124
Lam 771-001032-014 Rev C Proteus Industries 98008SA10F3P2,1002600,,US^7124
109.00  5d 21h
 
LAM Research 771-001032-014 Rev C Proteus 98008SA10F3P2,1002600,,US6958
LAM Research 771-001032-014 Rev C Proteus 98008SA10F3P2,1002600,,US6958
109.00  6d 1h
 
716-022493-343  / Ring,unif,300mm,btm,2300,cente / Lam
716-022493-343 / Ring,unif,300mm,btm,2300,cente / Lam
1,615.98  1d 15h
 
AMAT 0150-01790 Cable Assembly Cell Plating Power, 300mm, ECP, 422899
AMAT 0150-01790 Cable Assembly Cell Plating Power, 300mm, ECP, 422899
350.00  22d 14h
 
ASML 4022.471.5200 SHB Limms Safety Unit PCB Card  Working
ASML 4022.471.5200 SHB Limms Safety Unit PCB Card  Working
803.13  28d 9h
 
Es3d05-200143-11 / Insulator Bel Bottom (u) Sccm / Tokyo Electron Tel
Es3d05-200143-11 / Insulator Bel Bottom (u) Sccm / Tokyo Electron Tel
1,200.00  17d 20h
 
MC Systems Model 8834 Hot Chuck Controller Unit DC Made in the USA
MC Systems Model 8834 Hot Chuck Controller Unit DC Made in the USA
499.99  22d 21h
 
177-0103// Fujikin Aj63m000 023729 Valve [asis]
177-0103// Fujikin Aj63m000 023729 Valve [asis]
60.00  23d 0h
 
177-0103// Fujikin Akasx000 023717 Valve [asis]
177-0103// Fujikin Akasx000 023717 Valve [asis]
60.00  23d 1h
 
Tylan Fc-280-sav Mass Flow Controller 300 Sccm O2
Tylan Fc-280-sav Mass Flow Controller 300 Sccm O2
126.00  22d 13h
 
Nikon 2S700-555 MST Board PCB Card 2S015-064-4 v22.04 OPTISTATION 3  Working
Nikon 2S700-555 MST Board PCB Card 2S015-064-4 v22.04 OPTISTATION 3  Working
1,208.16  16d 16h
 
Power-One RPM5C5C5C5C5C5CS652 Power Supply Schlumberger 97172020 A Working Spare
Power-One RPM5C5C5C5C5C5CS652 Power Supply Schlumberger 97172020 A Working Spare
762.16  14d 15h
 
Nikon 4S007-946 Interface Board PCB OPD-IF-S NSR System  Working
Nikon 4S007-946 Interface Board PCB OPD-IF-S NSR System  Working
511.17  27d 18h
 
ASML 4022.471.6702 Interface Board PCB Card 16 4022.471.66981  Working
ASML 4022.471.6702 Interface Board PCB Card 16 4022.471.66981  Working
806.17  5d 10h
 
ASML 4022.471.6697 Interface Board PCB Card 14 4022.471.66981  Working
ASML 4022.471.6697 Interface Board PCB Card 14 4022.471.66981  Working
806.17  5d 10h
 
StereoZoom Microscope on a stand Tag # 2
StereoZoom Microscope on a stand Tag # 2
450.00  29d 13h
 
325-0102// Amat Applied 3030-04976 Mfc 8160 200sccm Hbr 1/4vcr Mtl Nc [asis]
325-0102// Amat Applied 3030-04976 Mfc 8160 200sccm Hbr 1/4vcr Mtl Nc [asis]
300.00  2d 0h
 
325-0102// Amat Applied 3030-04982 Mfc 8160 200sccm Cl2 1/4v [asis]
325-0102// Amat Applied 3030-04982 Mfc 8160 200sccm Cl2 1/4v [asis]
300.00  2d 0h
 
322-0101// Amat Applied 0150-36234 Applied Matrials Components []
322-0101// Amat Applied 0150-36234 Applied Matrials Components []
360.00  9d 19h
 
116-0401// Amat Applied 0020-10941 Isolator,teflon Ring
116-0401// Amat Applied 0020-10941 Isolator,teflon Ring
230.00  10d 0h
 
116-0502// Amat Applied 0020-24363 Cover Safety Orienter @ Pos #
116-0502// Amat Applied 0020-24363 Cover Safety Orienter @ Pos #
250.00  10d 1h
 
125-0202// Amat Applied 0020-31343 Applied Matrials Componentsa
125-0202// Amat Applied 0020-31343 Applied Matrials Componentsa
250.00  10d 2h
 
125-0202// Amat Applied 0020-31492 Gas Dist.plate,101 Holes
125-0202// Amat Applied 0020-31492 Gas Dist.plate,101 Holes
230.00  10d 2h
 
410-0501// Hugle 311a Controller [/fast]
410-0501// Hugle 311a Controller [/fast]
400.00  22d 3h
 
425-0201// Omron R88m-u20030h Ac Servo Motor [/fast]
425-0201// Omron R88m-u20030h Ac Servo Motor [/fast]
300.00  2h 38m
 
434-0501// Panasonic Adka400gvtdaq Driver []
434-0501// Panasonic Adka400gvtdaq Driver []
400.00  12d 20h
 
434-0501// Panasonic Adka100gvtaaa Driver []
434-0501// Panasonic Adka100gvtaaa Driver []
400.00  12d 20h
 
424-0401// Ae 3152334-000b Display []
424-0401// Ae 3152334-000b Display []
300.00  19d 21h
 
141-0401// Amat Applied 0020-23970 Panel Front Dc Power/remote Ga []
141-0401// Amat Applied 0020-23970 Panel Front Dc Power/remote Ga []
280.00  21d 1h
 
347-0302// Amat Applied 0021-06739 Manifold, Extension, Mainframe Water []
347-0302// Amat Applied 0021-06739 Manifold, Extension, Mainframe Water []
290.00  18d 3h
 
Digital Graphix Inc 068155-02 PCB Assy, 2166-1098, 07-0130-00, 58430212, 422377
Digital Graphix Inc 068155-02 PCB Assy, 2166-1098, 07-0130-00, 58430212, 422377
650.00  20d 15h
 
ASML - 99-48549-01- Chain Z Axis
ASML - 99-48549-01- Chain Z Axis
275.00  8d 2h
 
Parker Uhp 50-4v-2lp-r Qty 10
Parker Uhp 50-4v-2lp-r Qty 10
50.00  11d 13h
 
Ok Saf-1 Replacement Filters
Ok Saf-1 Replacement Filters
56.00  20d 13h
 
AMAT Applied Materials 0200-00176 Quartz Shadow Ring Plus MXP Refurbished Spare
AMAT Applied Materials 0200-00176 Quartz Shadow Ring Plus MXP Refurbished Spare
401.18  2d 20h
 
156-0201// Amat Applied 1410-01154 Htr   208vac 1.5w/sq In For Fo
156-0201// Amat Applied 1410-01154 Htr 208vac 1.5w/sq In For Fo
1,200.00  3d 21h
 
Yashibi HCU-3 Isolation Amplifier PCB Board IP-308A 90.2  Working
Yashibi HCU-3 Isolation Amplifier PCB Board IP-308A 90.2  Working
360.16  17d 14h
 
Kla 8450 Stage Assy    / Stage / Kla Tencor
Kla 8450 Stage Assy / Stage / Kla Tencor
25,005.26  29d 11h
 
11933 Opal Pcb, 702 Elv Supervisor, Ep70210213000 Ea70210224000
11933 Opal Pcb, 702 Elv Supervisor, Ep70210213000 Ea70210224000
461.10  5d 23h
 
405-0401// Brooks 122919 Aligner Asis
405-0401// Brooks 122919 Aligner Asis
1,600.00  7d 0h
 
012-0101// Tektronix Ots9000 (#1) Cpu Optical Test System  [asis]
012-0101// Tektronix Ots9000 (#1) Cpu Optical Test System [asis]
2,000.00  11d 1h
 
113-0101// Amat Applied 0040-20525 Bracket Smif Interface 2nd Source
113-0101// Amat Applied 0040-20525 Bracket Smif Interface 2nd Source
1,750.00  20d 0h
 
113-0301// Amat Applied 0010-70074 Ring Cntrllc Lid
113-0301// Amat Applied 0010-70074 Ring Cntrllc Lid
1,200.00  20d 2h
 
137-0201// Abb El3010-ipa Controller
137-0201// Abb El3010-ipa Controller
1,300.00  2d 21h
 
106-0201// Cli Rembrandt Ii/vp Edp Office Appliance []
106-0201// Cli Rembrandt Ii/vp Edp Office Appliance []
1,000.00  17d 21h
 
174-0201// Np Power Plasma Npr-508l Reactor [asis]
174-0201// Np Power Plasma Npr-508l Reactor [asis]
1,000.00  15d 2h
 
118-0101// Amat Applied 0190-20081 Applied Matrials Components []
118-0101// Amat Applied 0190-20081 Applied Matrials Components []
1,500.00  20d 1h
 
152-0101// Jdsu Ont-512 3061-9204.005 P7b M-0022 E-0008 Module []
152-0101// Jdsu Ont-512 3061-9204.005 P7b M-0022 E-0008 Module []
2,000.00  9d 20h
 
153-0501// Agilent Technologies N9360a Multi Ue Tester []
153-0501// Agilent Technologies N9360a Multi Ue Tester []
1,500.00  9d 23h
 
STI SR105E Safety Switch, SR105E02, Omron, Sensor, 452617
STI SR105E Safety Switch, SR105E02, Omron, Sensor, 452617
275.00  15d 18h
 
Aviza Technology 600053-01 Analog Output PCB Card  Working
Aviza Technology 600053-01 Analog Output PCB Card  Working
454.18  6d 11h
 
156-0503// Amat Applied 1410-01304 Applied Matrials Components Asis
156-0503// Amat Applied 1410-01304 Applied Matrials Components Asis
300.00  4d 23h
 
Novellus 22-133800-00 Gate,l-type Vlv,32x222,smc ,
Novellus 22-133800-00 Gate,l-type Vlv,32x222,smc ,
600.00  7d 3h
 
APPLIED MATERIALS 0200-05044 300MM CHARGER TiW DEPOSITION RING (NON Cu) AMAT
APPLIED MATERIALS 0200-05044 300MM CHARGER TiW DEPOSITION RING (NON Cu) AMAT
699.00  5d 11h
 
AMAT Applied Materials 0240-30808 Pedestal Kit 200mm  Surplus
AMAT Applied Materials 0240-30808 Pedestal Kit 200mm  Surplus
3,501.12  12d 17h
 
Schlumberger Technologies 97913172 HCDPS Board PCB Card 40913172  Working
Schlumberger Technologies 97913172 HCDPS Board PCB Card 40913172  Working
1,008.17  15d 10h
 
5 AMAT 0020-20837 Magnet, 451728
5 AMAT 0020-20837 Magnet, 451728
50.00  2d 17h
 
Vacuum Collar  Tag #7
Vacuum Collar Tag #7
423.00  7d 13h
 
GM Associates 673-1018518 Ring Set of 2 1018518-03 GMA049-0017 GMA049-0018
GM Associates 673-1018518 Ring Set of 2 1018518-03 GMA049-0017 GMA049-0018
808.18  27d 16h
 
Fuji Electric Sc03
Fuji Electric Sc03
12.64  4d 11h
 
Tel Tokyo Electron 2l81-050035-11 Tab311-1/prd-lf 2l08-050035-11 Pcb Board Card
Tel Tokyo Electron 2l81-050035-11 Tab311-1/prd-lf 2l08-050035-11 Pcb Board Card
799.00  27d 10h
 
AMAT 0150-00101 Cable, Operator, KYBD, 420198
AMAT 0150-00101 Cable, Operator, KYBD, 420198
300.00  8d 14h
 
Amat Applied Materials 3610-01048 Pul Tmg 3mmp 9mmw 32t.25
Amat Applied Materials 3610-01048 Pul Tmg 3mmp 9mmw 32t.25
500.00 261.25  22d 17h
 
Applied Material Cpu Board Part # 678623 Schem . Ref 7801-d-1034 Rev. G
Applied Material Cpu Board Part # 678623 Schem . Ref 7801-d-1034 Rev. G
150.00  18d 12h
 
1PC  Good MORITEX ILLUMINATOR MHAA-100W with FIBER OPTIC LAMP Guide # GY
1PC  Good MORITEX ILLUMINATOR MHAA-100W with FIBER OPTIC LAMP Guide # GY
199.00  25d 0h
 
GTC Products L112-DV2-3E-FXFF
GTC Products L112-DV2-3E-FXFF
86.00  8d 21h
 
Nikon 4S007-664 FIAAF Sensor Interface Board PCB FIAAF-SENSOR-D NSR-S202A
Nikon 4S007-664 FIAAF Sensor Interface Board PCB FIAAF-SENSOR-D NSR-S202A
456.18  3d 15h
 
Orion Machinery ETM832A-DNF-L-G2 Power Supply PEL THERMO Damaged Connector As-Is
Orion Machinery ETM832A-DNF-L-G2 Power Supply PEL THERMO Damaged Connector As-Is
755.17  20d 15h
 
TEL Tokyo Electron D126401-RS Plenum Clamp Shield 4628453-0001
TEL Tokyo Electron D126401-RS Plenum Clamp Shield 4628453-0001
306.18  2d 19h
 
Nikon 4S019-144 Backplane Interface Board PCB OPDMTHX4B1 NSR System  Working
Nikon 4S019-144 Backplane Interface Board PCB OPDMTHX4B1 NSR System  Working
666.15  1d 11h
 
AMAT 0020-23278 Upper Shield, 12.9" Source, SST, 424167
AMAT 0020-23278 Upper Shield, 12.9" Source, SST, 424167
300.00  8d 14h
 
Pcbb-105989, Blueice Spin Chuck, Spm Spin Chuck
Pcbb-105989, Blueice Spin Chuck, Spm Spin Chuck
14,400.00  25d 21h
 
2 Eye Pieces
2 Eye Pieces
100.00  10d 12h
 
Convum MPS-74EA-NGH Pressure Sensor
Convum MPS-74EA-NGH Pressure Sensor
73.27  12d 4h
 
APPLIED MATERIALS 0040-76419 Sensor Block
APPLIED MATERIALS 0040-76419 Sensor Block
554.99  20d 17h
 
TRW Semiconductors #SD71 (4 total)
TRW Semiconductors #SD71 (4 total)
13.49  2d 18h
 
ASM 16-178672D01 SUPPORT LARGE DIA SUSCEPTOR  Surplus
ASM 16-178672D01 SUPPORT LARGE DIA SUSCEPTOR  Surplus
1,006.18  2d 21h
 
Applied Material 20307-rdc Pump Misc
Applied Material 20307-rdc Pump Misc
199.00  18d 16h
 
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424031
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424031
950.00  16d 17h
 
012-0101// Tektronix Ots9000 (#2) Cpu Optical Test System  [asis]
012-0101// Tektronix Ots9000 (#2) Cpu Optical Test System [asis]
2,000.00  11d 2h
 
Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. J Copper No Covers
Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. J Copper No Covers
2,003.14  9d 12h
 
AMAT 0020-23278 Upper Shield, 12.9" Source, SST 424217
AMAT 0020-23278 Upper Shield, 12.9" Source, SST 424217
300.00  14d 19h
 
Applied Material 0150-76190 Able Assy Emc Comp Sys V
Applied Material 0150-76190 Able Assy Emc Comp Sys V
199.00  18d 16h
 
AMAT 1400-90058 Light Curtain 417371
AMAT 1400-90058 Light Curtain 417371
1,700.00  6d 14h
 
Nikon 4S007-794 Interface Board PCB XB-STGP/H NSR-S202A System
Nikon 4S007-794 Interface Board PCB XB-STGP/H NSR-S202A System
607.18  1d 17h
 
Ham-let HMB1-4VKLC-GM4GF4GF4-2.35 Diaphragm Valve, N/C, 60-90 PSI, 452458
Ham-let HMB1-4VKLC-GM4GF4GF4-2.35 Diaphragm Valve, N/C, 60-90 PSI, 452458
150.00  1d 14h
 
Ham-let HMB1-4VKLC-GM4GF4GF4-2.35 Diaphragm Valve, N/C, 60-90 PSI, 452461
Ham-let HMB1-4VKLC-GM4GF4GF4-2.35 Diaphragm Valve, N/C, 60-90 PSI, 452461
150.00  1d 15h
 
Ham-Let HM20 4VKLC GF4 150 PSI Shut Off Valve, 452465
Ham-Let HM20 4VKLC GF4 150 PSI Shut Off Valve, 452465
150.00  5d 12h
 
Ham-Let HM20 4VKLC GF4 150 PSI Shut Off Valve, 452466
Ham-Let HM20 4VKLC GF4 150 PSI Shut Off Valve, 452466
150.00  5d 12h
 
Ham-Let HM20 4VKLC GF4 150 PSI Shut Off Valve, 452467
Ham-Let HM20 4VKLC GF4 150 PSI Shut Off Valve, 452467
150.00  5d 12h
 
Ham-Let HM20 4VKLC GF4 150 PSI Shut Off Valve, 452468
Ham-Let HM20 4VKLC GF4 150 PSI Shut Off Valve, 452468
150.00  5d 12h
 
Ham-let HMB1-4VKLC-GM4GF4GF4-2.35 Diaphragm Valve, N/C, 60-90 PSI, 452457
Ham-let HMB1-4VKLC-GM4GF4GF4-2.35 Diaphragm Valve, N/C, 60-90 PSI, 452457
150.00  1d 14h
 
Ham-let HMB1-4VKLC-GM4GF4GF4-2.35 Diaphragm Valve, N/C, 60-90 PSI, 452462
Ham-let HMB1-4VKLC-GM4GF4GF4-2.35 Diaphragm Valve, N/C, 60-90 PSI, 452462
150.00  1d 15h
 
Ham-let HMB1-4VKLC-GM4GF4GF4-2.35 Diaphragm Valve, N/C, 60-90 PSI, 452463
Ham-let HMB1-4VKLC-GM4GF4GF4-2.35 Diaphragm Valve, N/C, 60-90 PSI, 452463
150.00  1d 15h
 
Ham-Let HM20 4VKLC GF4 150 PSI Shut Off Valve, 452464
Ham-Let HM20 4VKLC GF4 150 PSI Shut Off Valve, 452464
150.00  5d 12h
 
Ham-let HM20 4VKLO GF4 150 PSI Shut Off Valve, 452488
Ham-let HM20 4VKLO GF4 150 PSI Shut Off Valve, 452488
150.00  14d 12h
 
Schumacher 1730-3013 Operator Interface Control Panel Assembly Rev. D.1
Schumacher 1730-3013 Operator Interface Control Panel Assembly Rev. D.1
604.17  10d 12h
 
Varian  05720001 Retainer Seal  ID-AWS-011
Varian 05720001 Retainer Seal ID-AWS-011
150.00  11d 14h
 
Lisk S-1997 Id-aws-011
Lisk S-1997 Id-aws-011
150.00  11d 14h
 
Clippard Minimatic Lock-in 4-way R431 ID-AWS-011
Clippard Minimatic Lock-in 4-way R431 ID-AWS-011
150.00  11d 14h
 
10 Inch Shield Panel  ID-AWS-011
10 Inch Shield Panel ID-AWS-011
150.00  11d 14h
 
Special ISO  ID-AWS-L-1-1-006
Special ISO ID-AWS-L-1-1-006
150.00  13d 15h
 
VWR Traceable Mini-controller ID-AWM-D-2-6-006
VWR Traceable Mini-controller ID-AWM-D-2-6-006
150.00  15d 17h
 
#S-002 DX-3 Temp DX3-KCWNR Temperature Meter Controller ID-AWM-F-1-012
#S-002 DX-3 Temp DX3-KCWNR Temperature Meter Controller ID-AWM-F-1-012
125.00  15d 19h
 
Watlow Series 945Temperature Control ID -AWM-F-1-030
Watlow Series 945Temperature Control ID -AWM-F-1-030
155.00  15d 20h
 
Applied Materials AMAT Automatic Temperature Control 600369 ID -AWM-B-4-2-004
Applied Materials AMAT Automatic Temperature Control 600369 ID -AWM-B-4-2-004
150.00  16d 17h
 
SPAN LR100 Digital Display Systems ID-AWM-A-3-003
SPAN LR100 Digital Display Systems ID-AWM-A-3-003
125.00  16d 19h
 
Bay Pneumatic Inc BES-530-8-PCB 80-095-255. (?) PWK52486 AWM-B-4-5-009
Bay Pneumatic Inc BES-530-8-PCB 80-095-255. (?) PWK52486 AWM-B-4-5-009
155.00  22d 18h
 
318-0303// Amat Applied 0227-10344 Applied Matrials Components
318-0303// Amat Applied 0227-10344 Applied Matrials Components
60.00  13d 1h
 
352-0502// Smc Nvz3243 Smc Solenoid Valve []
352-0502// Smc Nvz3243 Smc Solenoid Valve []
60.00  18d 1h
 
Ultratech Stepper 03-15-02066 6-Axis Laser Transition XP-Axis PCB Card 4700
Ultratech Stepper 03-15-02066 6-Axis Laser Transition XP-Axis PCB Card 4700
456.18  10d 14h
 
116-0202// Amat Applied 0200-09182 Adapter, Pumping Plate, 200mm
116-0202// Amat Applied 0200-09182 Adapter, Pumping Plate, 200mm
200.00  9d 21h
 
AMAT Applied Materials 0100-00454 Backplane Board PCB TPS 35-406246-03 Working
AMAT Applied Materials 0100-00454 Backplane Board PCB TPS 35-406246-03 Working
410.17  18d 10h
 
Advantest A021105B Processor Board PCB BLD-024487  Working
Advantest A021105B Processor Board PCB BLD-024487  Working
608.17  5d 17h
 
ASM 16-183598D01 STOP TEACH TOOL  Surplus
ASM 16-183598D01 STOP TEACH TOOL  Surplus
1,107.18  2d 21h
 
Brooks 6256sbzvclac Smart Mass Flow Controller, P/n 22-045874-00
Brooks 6256sbzvclac Smart Mass Flow Controller, P/n 22-045874-00
350.00  21d 20h
 
7400-0136-01b / Quartz Baffle / Quartzfab
7400-0136-01b / Quartz Baffle / Quartzfab
417.62  3d 17h
 
Bg9-3769 / Pz Drive Pcb / Canon
Bg9-3769 / Pz Drive Pcb / Canon
300.97  9d 16h
 
70312541100 /(s)mod. System Cont 1 B /applied Materials
70312541100 /(s)mod. System Cont 1 B /applied Materials
300.98  23d 16h
 
70312542100 /  (s)mod. System Cont 2 Board / Applied Materials
70312542100 / (s)mod. System Cont 2 Board / Applied Materials
295.98  24d 13h
 
0003004/u00 / Pcb Board / Ushio
0003004/u00 / Pcb Board / Ushio
350.68  15d 11h
 
1d243 / Pcb, Digital I/o, Part Number Ci-ec012 / Evc
1d243 / Pcb, Digital I/o, Part Number Ci-ec012 / Evc
380.68  16d 15h
 
44-2264-rv1-481 / Regulator, 0-500psi Process / Metron Technology
44-2264-rv1-481 / Regulator, 0-500psi Process / Metron Technology
300.99  16d 17h
 
01-13231 / Plate,kakizaki Fosb Door Assembly / Novellus Systems Inc
01-13231 / Plate,kakizaki Fosb Door Assembly / Novellus Systems Inc
480.00  26d 13h
 
715-021274-008 / Lam Lower Electrode Cap 8 Inch / Lam
715-021274-008 / Lam Lower Electrode Cap 8 Inch / Lam
650.68  7d 11h
 
813 054-08 / Model Al Lida 28, Senor Head, 30v / Heidenhain
813 054-08 / Model Al Lida 28, Senor Head, 30v / Heidenhain
368.68  23d 22h
 
0050-86832 / Line Vac Exh With Lkg Check Port Ch-b Fac / Applied Materials
0050-86832 / Line Vac Exh With Lkg Check Port Ch-b Fac / Applied Materials
370.62  12d 18h
 
0040-49302 / Motion Systems 73058-001 / Applied Materials Amat
0040-49302 / Motion Systems 73058-001 / Applied Materials Amat
490.00  4d 12h
 
Ds3810-326014-12 / 46 Heater, 1/4 / Tokyo Electron 2110-353384-11 3810-326014-12
Ds3810-326014-12 / 46 Heater, 1/4 / Tokyo Electron 2110-353384-11 3810-326014-12
466.99  22d 11h
 
HID-3820A /Non Contact HID power supply **lot of 5 ** / Daifuku
HID-3820A /Non Contact HID power supply **lot of 5 ** / Daifuku
315.98  18d 13h
 
E17070491 / Varian Shield Waveguide Bottom / Varian Waveguide Shield
E17070491 / Varian Shield Waveguide Bottom / Varian Waveguide Shield
351.66  19h 51m
 
0240-70867 / Valve,slit,cam Follower Upgrade / Applied Materials Amat
0240-70867 / Valve,slit,cam Follower Upgrade / Applied Materials Amat
316.77  9d 11h
 
Bgc-025755x02 /  Fm Module 32mx2 Thinned A060822 / Advantest
Bgc-025755x02 / Fm Module 32mx2 Thinned A060822 / Advantest
370.62  16d 13h
 
147-0601// Amat Applied 0226-09003 Applied Matrials Components
147-0601// Amat Applied 0226-09003 Applied Matrials Components
200.00  27d 0h
 
Amdz1-x10 / Valve, Air Operated, 2-way / Ckd Corporation
Amdz1-x10 / Valve, Air Operated, 2-way / Ckd Corporation
350.82  22d 15h
 
Tencor Instruments 294420 Motor Distribution S8000 PCB Board Rev. B KLA
Tencor Instruments 294420 Motor Distribution S8000 PCB Board Rev. B KLA
610.16  18d 14h
 
6 Orings, 734-02301, 450425
6 Orings, 734-02301, 450425
650.00  28d 12h
 
Mks 4640-1104 Htr4 , Str4.0, 1ap1
Mks 4640-1104 Htr4 , Str4.0, 1ap1
65.00  24d 16h
 
Ckd Valve Usg-m5-1
Ckd Valve Usg-m5-1
49.00  12d 15h
 
ECI Technology Control PCB TQ501564-01  Working
ECI Technology Control PCB TQ501564-01  Working
456.12  10h 1m
 
Bruce Position Take-up Reel for a BDF41 Loader, 2952535, Lot of 8
Bruce Position Take-up Reel for a BDF41 Loader, 2952535, Lot of 8
225.00  27d 17h
 
AMAT Applied Materials 0200-02990 002 Top Liner 200MM  Surplus
AMAT Applied Materials 0200-02990 002 Top Liner 200MM  Surplus
1,006.18  2d 22h
 
AMAT Applied Materials 0100-90480 DAQ EXPANSION PCB Card 0120-92848  Working
AMAT Applied Materials 0100-90480 DAQ EXPANSION PCB Card 0120-92848  Working
402.19  24d 12h
 
AMAT Applied Materials 9091-01393 Loop Controller PXP41W  Working
AMAT Applied Materials 9091-01393 Loop Controller PXP41W  Working
407.15  22d 9h
 
IDI Integrated Designs Dispense Mother Board Rev. B IDI 200  Working
IDI Integrated Designs Dispense Mother Board Rev. B IDI 200  Working
406.16  22d 15h
 
Hitachi High Technologies 1-822328 Lower Coil ZPS90  Surplus
Hitachi High Technologies 1-822328 Lower Coil ZPS90  Surplus
2,012.08  17d 16h
 
Boc Edwards Xds10 A726-01-903 / Dry Vacuum Pump
Boc Edwards Xds10 A726-01-903 / Dry Vacuum Pump
1,400.00  29d 8h
 
Novellus Systems 06-2568 Centering Ring 200mm Platen Wall Isolation
Novellus Systems 06-2568 Centering Ring 200mm Platen Wall Isolation
407.18  2d 17h
 
ASML 4022.471.6341 AT IM ISB2-3 RP Board PCB Card 09 4022.471.62721
ASML 4022.471.6341 AT IM ISB2-3 RP Board PCB Card 09 4022.471.62721
806.17  26d 16h
 
Disco MAGAR933--C Chuck Table, 420186
Disco MAGAR933--C Chuck Table, 420186
1,950.00  3d 19h
 
156-0503// Amat Applied 0224-40643 Applied Matrials Components
156-0503// Amat Applied 0224-40643 Applied Matrials Components
200.00  4d 23h
 
407-0101// Simco 4011996 Ifc Pcm Controlle []
407-0101// Simco 4011996 Ifc Pcm Controlle []
400.00  22d 20h
 
428-0301// Parvex Rs220kr1048-z Motor []
428-0301// Parvex Rs220kr1048-z Motor []
400.00  8d 3h
 
428-0301// Parvex Rs220kr1048-z (cut Cable) Motor []
428-0301// Parvex Rs220kr1048-z (cut Cable) Motor []
400.00  8d 3h
 
Asyst Technologies (Part Number: ATM 2002-0089-BC002 Rev. C)
Asyst Technologies (Part Number: ATM 2002-0089-BC002 Rev. C)
825.00  17d 14h
 
Balzers BG 542 341 T Address Control BL 201 PCB Card BG 542 344 T  Working
Balzers BG 542 341 T Address Control BL 201 PCB Card BG 542 344 T  Working
457.16  14d 14h
 
OEM Group D127146-062A Dark Space Shield RM 12 PRF AL ASM 4628461-001
OEM Group D127146-062A Dark Space Shield RM 12 PRF AL ASM 4628461-001
306.18  23d 15h
 
Tylan Fc-280-sav Mass Flow Controller 5 Slpm N2,
Tylan Fc-280-sav Mass Flow Controller 5 Slpm N2,
140.00  22d 13h
 
Inficon LTSP-600 8-Channel Power Supply LTSP-75W LSTP-03W LinearTec  Working
Inficon LTSP-600 8-Channel Power Supply LTSP-75W LSTP-03W LinearTec  Working
1,010.14  3d 9h
 
Axcelis    17359160 Hc3 Inner Liner Strikerplate,
Axcelis 17359160 Hc3 Inner Liner Strikerplate,
300.00  15d 0h
 
Fujikura Bf Cylinder, Model Fcd-50-16,  Old Stock
Fujikura Bf Cylinder, Model Fcd-50-16,  Old Stock
129.50  10d 12h
 
applied Materials Cpu Board Versacontroller REV C
applied Materials Cpu Board Versacontroller REV C
49.99  19d 9h
 
Applied Materials 0020-38753 Left Pin
Applied Materials 0020-38753 Left Pin
35.00  18d 0h
 
KLA-Tencor 501-774049-002 Mag Tube 1.56 25X   1.5625X
KLA-Tencor 501-774049-002 Mag Tube 1.56 25X 1.5625X
300.00  29d 9h
 
Pacific Rubber O-ring  -269v75
Pacific Rubber O-ring -269v75
132.00  7d 11h
 
ST 032 Rechner Sensors KAS-70-20-S ,711800
ST 032 Rechner Sensors KAS-70-20-S ,711800
650.00  23d 20h
 
TGL-80-506-008 OPTICAL FILTER 777 NM endpoint Filter Tegal Spare Parts
TGL-80-506-008 OPTICAL FILTER 777 NM endpoint Filter Tegal Spare Parts
650.00  2d 18h
 
Now Technologies Smart Probe SD4AAAF
Now Technologies Smart Probe SD4AAAF
399.99  8d 10h
 
Asm 16-169538d01 Platform-cassette 200mm
Asm 16-169538d01 Platform-cassette 200mm
407.18  2d 18h
 
A46984-02 / Chamberheatingcontrol Box W/ 2digitron 4801 Temp Controllers / Zmisc
A46984-02 / Chamberheatingcontrol Box W/ 2digitron 4801 Temp Controllers / Zmisc
1,500.82  17d 7h
 
Tokyo Electron Tel 3d10-100433-12 Electrode Btm, H2 T4 12yg
Tokyo Electron Tel 3d10-100433-12 Electrode Btm, H2 T4 12yg
6,999.00  16d 3h
 
Applied Materials 0010-37969 Heater Assy, 8" Axz Plus Amat *un, Sealed*
Applied Materials 0010-37969 Heater Assy, 8" Axz Plus Amat *un, Sealed*
6,499.00  9d 6h
 
Applied Materials 0010-43083 Shadow Lift Assy, Tsv 300mm Amat *un*
Applied Materials 0010-43083 Shadow Lift Assy, Tsv 300mm Amat *un*
5,999.00  17d 4h
 
Applied Materials 0041-86142 Loadlock A, Acp, Enp Amat
Applied Materials 0041-86142 Loadlock A, Acp, Enp Amat
9,999.00  6h 59m
 
Applied Materials 0021-32918 Reflector Base Lower Mid 7.8 Oal 9 Amat *un*
Applied Materials 0021-32918 Reflector Base Lower Mid 7.8 Oal 9 Amat *un*
8,499.00  22d 9h
 
Applied Materials 0041-14820 Yttria Coated, An Al Annular Baffle, Neptune, 300mm
Applied Materials 0041-14820 Yttria Coated, An Al Annular Baffle, Neptune, 300mm
6,999.00  8d 1h
 
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424033
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424033
950.00  16d 18h
 
APPLIED MATERIALS 0041-88615 FACEPLATE FLAT w/ GROOVE TEOS LARGE EL AMAT
APPLIED MATERIALS 0041-88615 FACEPLATE FLAT w/ GROOVE TEOS LARGE EL AMAT
5,999.00  23d 7h
 
Applied Materials 0195-11147 Delphin Power Supply Amat *powers Up*
Applied Materials 0195-11147 Delphin Power Supply Amat *powers Up*
7,999.00  11d 8h
 
Applied Materials Varian E11605460 E17879380 E17879430 E17987544 Rf Amat
Applied Materials Varian E11605460 E17879380 E17879430 E17987544 Rf Amat
15,999.00  12d 4h
 
Varian E15004060 Pcb Assy P.s. Controller Card Board
Varian E15004060 Pcb Assy P.s. Controller Card Board
5,499.00  12d 8h
 
KLA Instruments 710-658363-20 KLA DF Board PCB Card 073-650069-00 2132 Rev. B0
KLA Instruments 710-658363-20 KLA DF Board PCB Card 073-650069-00 2132 Rev. B0
2,501.12  2d 14h
 
DS 510019 Power Supply * Working, 90 Day Warranty*
DS 510019 Power Supply * Working, 90 Day Warranty*
200.00  10d 18h
 
 PRI BM29066 Auto Motor I/O control, V2 PRI0305
 PRI BM29066 Auto Motor I/O control, V2 PRI0305
995.00  5d 15h
 
Amat 0041-59042 Gasbox Large Electrode  ,
Amat 0041-59042 Gasbox Large Electrode ,
2,722.00  29d 2h
 
Nikon 2S700-582-1 TCCNT Board PCB Card 2S014-035-5 v2.31 OPTISTATION 3
Nikon 2S700-582-1 TCCNT Board PCB Card 2S014-035-5 v2.31 OPTISTATION 3
1,205.17  17d 13h
 
Screen DSLE-0042 PCB Reseller Lot of 23  Working
Screen DSLE-0042 PCB Reseller Lot of 23  Working
658.13  26d 14h
 
ASML 4022.470.5381 Control Module LICB ASML  Working
ASML 4022.470.5381 Control Module LICB ASML  Working
650.15  1d 16h
 
340-0303// Amat Applied 0190-35254 Assy Bellows Upper Mag Coupled []
340-0303// Amat Applied 0190-35254 Assy Bellows Upper Mag Coupled []
540.00  21d 3h
 
Tsc-1w (lot Of 6) / Needle Valve For Coat Develop Track / Koganei
Tsc-1w (lot Of 6) / Needle Valve For Coat Develop Track / Koganei
140.00  3d 18h
 
98-176-001 / Pcb Sns-1 Sensor 15 90x / Tegal
98-176-001 / Pcb Sns-1 Sensor 15 90x / Tegal
185.26  11d 22h
 
Mmb50u-2 / Power Supply / Cosel
Mmb50u-2 / Power Supply / Cosel
266.70  9d 13h
 
Lon2xs / Interface Module / Hermos
Lon2xs / Interface Module / Hermos
200.03  17d 12h
 
Schumacher 1730-3013 Operator Interface Control Panel Assembly Rev. F
Schumacher 1730-3013 Operator Interface Control Panel Assembly Rev. F
604.17  10d 12h
 
Arlya Scales AR143009X9E Hazardous Environment Scale 300lbs  Working
Arlya Scales AR143009X9E Hazardous Environment Scale 300lbs  Working
354.15  25d 10h
 
Square D KAL262001127 3-Pole Thermal-Magnetic Circuit Breaker  Working
Square D KAL262001127 3-Pole Thermal-Magnetic Circuit Breaker  Working
305.14  1d 15h
 
TEL Tokyo Electron D117778 CATH ADAPTER, RMX-10
TEL Tokyo Electron D117778 CATH ADAPTER, RMX-10
1,257.18  2d 20h
 
Netmercury Ac2919a1,
Netmercury Ac2919a1,
55.00  3d 4h
 
Aera Pi-98 Fcpi981c4vxdiaa N2 3000sccm
Aera Pi-98 Fcpi981c4vxdiaa N2 3000sccm
119.99  10d 1h
 
Aera Pi-98 Fcpi981c4vxdiaa Cif3 3000sccm
Aera Pi-98 Fcpi981c4vxdiaa Cif3 3000sccm
119.99  10d 1h
 
[1unit] Aera Pi-98 Fcpi981c4vxdiaa Ar 2000sccm
[1unit] Aera Pi-98 Fcpi981c4vxdiaa Ar 2000sccm
119.99  10d 1h
 
Credence DMA-W Controller 678-1553-0036066B
Credence DMA-W Controller 678-1553-0036066B
149.90  12d 21h
 
Aera Pi-98 Fcpi981c4vxdiaa N2 10000sccm
Aera Pi-98 Fcpi981c4vxdiaa N2 10000sccm
119.99  10d 1h
 
Credence DMA-W Controller 678-1553-0034020B
Credence DMA-W Controller 678-1553-0034020B
149.90  16d 4h
 
CONTEC PIO-16/16RY(PCI) NO.7228 Interface board.
CONTEC PIO-16/16RY(PCI) NO.7228 Interface board.
149.90  24d 21h
 
SCHOTT Instruments Single pH Metal Electrode Pt 1200
SCHOTT Instruments Single pH Metal Electrode Pt 1200
149.90  26d 0h
 
IF IMAGE FOCUS IF-DRL6735-W OP2 LED ring illuminator 2UNIT
IF IMAGE FOCUS IF-DRL6735-W OP2 LED ring illuminator 2UNIT
149.90  10d 22h
 
346-0102// Amat Applied 0020-23031 Cam Left Inboard
346-0102// Amat Applied 0020-23031 Cam Left Inboard
250.00  12d 2h
 
ENENSYS NN6-1161RF-F Digital Broadcast Network Adaptor
ENENSYS NN6-1161RF-F Digital Broadcast Network Adaptor
307.18  2d 19h
 
0040-32513,0040-32530,0021-38934/ Ips Lower Chamber Body,extended,250mm / Amat
0040-32513,0040-32530,0021-38934/ Ips Lower Chamber Body,extended,250mm / Amat
17,500.97  12d 11h
 
Advantest BLL-029622 Over Current PCB Circuit Board M4542AD  Working
Advantest BLL-029622 Over Current PCB Circuit Board M4542AD  Working
356.16  2d 16h
 
Swagelok ? Connector ID-AWS-018
Swagelok ? Connector ID-AWS-018
150.00  11d 18h
 
Tegal 39-148-02  E ID-AWS-L-1-3-001
Tegal 39-148-02 E ID-AWS-L-1-3-001
150.00  13d 16h
 
DCA Simpson Electric ID -AWM-F-1-028
DCA Simpson Electric ID -AWM-F-1-028
155.00  15d 20h
 
2451-0014-01 QIR208-1000AAS / QIR190-1000 ASS IR Lamps AG Associates 8108 , 4108
2451-0014-01 QIR208-1000AAS / QIR190-1000 ASS IR Lamps AG Associates 8108 , 4108
150.00  18d 17h
 
ASM PWBA 2602792-21 Revision A6 Motor Drive-Dual Lot of 2  Working
ASM PWBA 2602792-21 Revision A6 Motor Drive-Dual Lot of 2  Working
500.14  1d 16h
 
AMAT 0620-00806 Dry Nova J-Box, Cables Assembly, 6.5M, 520-65150-00, 406544
AMAT 0620-00806 Dry Nova J-Box, Cables Assembly, 6.5M, 520-65150-00, 406544
850.00  19d 15h
 
Danfoss 131N0236 VLT HVAC Basic Drive, 15 kW (550V), 20 HP (575V), 423748
Danfoss 131N0236 VLT HVAC Basic Drive, 15 kW (550V), 20 HP (575V), 423748
1,450.00  2d 16h
 
ASML 4022.471.6607 AT IM ZZA T1100 RP Board PCB Card 19 4022.471.61591
ASML 4022.471.6607 AT IM ZZA T1100 RP Board PCB Card 19 4022.471.61591
806.17  28d 15h
 
14109 Dover Instrument Servo Board, 6520-1002, Ga6520-11 Ga6520-11
14109 Dover Instrument Servo Board, 6520-1002, Ga6520-11 Ga6520-11
576.05  30m 44s
 
BALDOR W069/0164 D121124 02 Brushless AC Servo Motor  Working
BALDOR W069/0164 D121124 02 Brushless AC Servo Motor  Working
506.18  2d 22h
 
316-0403// Amat Applied 0020-42290 0242-36510 0020-70271 0020-70272
316-0403// Amat Applied 0020-42290 0242-36510 0020-70271 0020-70272
2,500.00  24d 20h
 
TEL Tokyo Electron HA-033 PCB Circuit Board DC/DC CONV #06 T-3044SS
TEL Tokyo Electron HA-033 PCB Circuit Board DC/DC CONV #06 T-3044SS
355.16  2d 16h
 
Nikon 4S018-806 BMU-CTRL Board PCB H=9.4mm  Working
Nikon 4S018-806 BMU-CTRL Board PCB H=9.4mm  Working
1,003.12  21d 16h
 
AMAT 0270-03559 CALIBRATION TOOL COOLDOWN DEGAS 300mm
AMAT 0270-03559 CALIBRATION TOOL COOLDOWN DEGAS 300mm
1,500.00  14d 23h
 
Daifuku AGHHDA11 10.5" Operator Interface Display Panel  Working
Daifuku AGHHDA11 10.5" Operator Interface Display Panel  Working
601.18  28d 18h
 
Axcelis Exit Shield Outer 17100521 REV A (99576)
Axcelis Exit Shield Outer 17100521 REV A (99576)
360.00  15d 4h
 
System Interlock and Control Network Controller F8429-1, 0318124, 450529
System Interlock and Control Network Controller F8429-1, 0318124, 450529
495.00  20d 16h
 
TEL Tokyo Electron 2L08-050053-11 PCB TYB513-1/IOGS-LF T-3044SS
TEL Tokyo Electron 2L08-050053-11 PCB TYB513-1/IOGS-LF T-3044SS
506.16  26d 16h
 
AMAT Applied Materials 0021-11486 BESC 300mm Shutter Disk  Working
AMAT Applied Materials 0021-11486 BESC 300mm Shutter Disk  Working
403.19  2d 11h
 
Lasertec C-100320 Motor Drive Board PCB AutoLoader XYDRIVE  Working
Lasertec C-100320 Motor Drive Board PCB AutoLoader XYDRIVE  Working
303.19  7d 16h
 
Amat Adaptor Plate
Amat Adaptor Plate
1,500.00  10d 17h
 
FSI 290155-400 P1 to Handler Interface Board - 290155-200 REV A
FSI 290155-400 P1 to Handler Interface Board - 290155-200 REV A
71.40 33.35  2d 22h
 
STEC SEC-4400M Mass Flow Controller, MFC, N2, 100 SCCM, Calibrated, 423525
STEC SEC-4400M Mass Flow Controller, MFC, N2, 100 SCCM, Calibrated, 423525
850.00  13d 17h
 
Nikon  -  4S018-388  -  ADDRV2X2  -  Circuit Board
Nikon - 4S018-388 - ADDRV2X2 - Circuit Board
1,125.00  22d 20h
 
Orbotech Optrotech  optrotech EA 306-10094 Controller Board
Orbotech Optrotech optrotech EA 306-10094 Controller Board
333.00  11d 3h
 
Orbotech Optrotech EA 306-10044 Controller Board
Orbotech Optrotech EA 306-10044 Controller Board
333.00  11d 3h
 
Orbotech Optrotech  optrotech EA 306-11094 Controller Board
Orbotech Optrotech optrotech EA 306-11094 Controller Board
333.00  11d 3h
 
Orbotech Optrotech EA 306-10056 Controller Board
Orbotech Optrotech EA 306-10056 Controller Board
333.00  11d 3h
 
Orbotech Optrotech EA 306-10050 Controller Board
Orbotech Optrotech EA 306-10050 Controller Board
333.00  11d 3h
 
Amat 0040-77438 Rev P2,
Amat 0040-77438 Rev P2,
200.00  22h 9m
 
Amat 0040-77440 Rev P1,
Amat 0040-77440 Rev P1,
200.00  1d 22h
 
Ucv 6lvv-hsm-dpe-2-p-c G0902171-a77,
Ucv 6lvv-hsm-dpe-2-p-c G0902171-a77,
250.00  8d 0h
 
Asml 0812359r Pump Pfd2,
Asml 0812359r Pump Pfd2,
500.00  13d 2h
 
TOKYO ELECTRON SB-135SCN-R2 ICROS TAPE Lot of 2,
TOKYO ELECTRON SB-135SCN-R2 ICROS TAPE Lot of 2,
500.00  27d 16h
 
Amat 3010-01016 Pad, Politex, 20" Embossed,
Amat 3010-01016 Pad, Politex, 20" Embossed,
500.00  2d 23h
 
Amat 0020-33412 Shaft, Plug, Tool For Shipping,
Amat 0020-33412 Shaft, Plug, Tool For Shipping,
190.00  14d 5h
 
Tokyo Electron Ct5080-192513-11 Tool(z),handle..xy-p5052,
Tokyo Electron Ct5080-192513-11 Tool(z),handle..xy-p5052,
300.00  20d 18h
 
SMC FQ1011N-10-R013N-B Quick Change Filter  Working
SMC FQ1011N-10-R013N-B Quick Change Filter  Working
407.12  28d 14h
 
AMAT Applied Materials Sync Detect PWB, 0100-00132
Top-Rated Plus Seller AMAT Applied Materials Sync Detect PWB, 0100-00132
129.50  15d 9h
 
Optra  1-1207-4 Rev 05
Optra 1-1207-4 Rev 05
599.00  20d 5h
 
Hitachi High Technologies 1-822327-02 Upper Coil ZPS90
Hitachi High Technologies 1-822327-02 Upper Coil ZPS90
2,010.08  5d 10h
 
Nikon 4S005-334 Irradiance Illumination Sensor PCB STGSNS-X2A NSR-S204B
Nikon 4S005-334 Irradiance Illumination Sensor PCB STGSNS-X2A NSR-S204B
858.18  27d 15h
 
Air Products AP12251 Front Panel Display Board PCB  Working
Air Products AP12251 Front Panel Display Board PCB  Working
503.18  8d 9h
 
Tegal 50574-01 Tegal CM1104-00402 CM1104-00403? Tegal PCB
Tegal 50574-01 Tegal CM1104-00402 CM1104-00403? Tegal PCB
499.00  6d 19h
 
NC SERVO TECHNOLOGY HDX115C688 Servo Motor
NC SERVO TECHNOLOGY HDX115C688 Servo Motor
507.18  2d 22h
 
Asm 16-333699b01 Support-ext Arm-90 Deg Rot-rh
Asm 16-333699b01 Support-ext Arm-90 Deg Rot-rh
307.18  2d 19h
 
ASFH34x214 RE SRCE 18NPT And 7200-1224-03C For AG Asscoiates Heatpulse
ASFH34x214 RE SRCE 18NPT And 7200-1224-03C For AG Asscoiates Heatpulse
1,750.00  16d 20h
 
177-0103// Fujikin Kl3uy001 095153 Valve [asis]
177-0103// Fujikin Kl3uy001 095153 Valve [asis]
60.00  23d 2h
 
RECIF Technologies PCOBH2500B STD Processor Board PCB STDH2500A  Working
RECIF Technologies PCOBH2500B STD Processor Board PCB STDH2500A  Working
355.17  23d 11h
 
S&C Electric 005-90-12 Analog Interface Board PCB 004-90-14  Working
S&C Electric 005-90-12 Analog Interface Board PCB 004-90-14  Working
710.17  22d 16h
 
81710-05195-020 2020222b / Pcb Analog I/o Module Assy / Robotrol Corp
81710-05195-020 2020222b / Pcb Analog I/o Module Assy / Robotrol Corp
750.00  1d 16h
 
E11115110  /  Cvcf Cryo Interface Assy  /  Varian
E11115110 / Cvcf Cryo Interface Assy / Varian
651.66  2d 15h
 
1b80-001310-11 / Pcb - I/016 Cn Board 1 / Tokyo Electron Tel
1b80-001310-11 / Pcb - I/016 Cn Board 1 / Tokyo Electron Tel
350.82  10d 14h
 
Cs1b10-23298 / Weight,front L C2 / Tokyo Electron Tel
Cs1b10-23298 / Weight,front L C2 / Tokyo Electron Tel
350.00  28d 16h
 
Suppression Power Supply / Hunting Hivolt
Suppression Power Supply / Hunting Hivolt
392.00  12d 15h
 
4301g01 / Exhaust Controller / Progressive Technologies
4301g01 / Exhaust Controller / Progressive Technologies
595.67  17d 14h
 
Bx81-070098-14 / Tgb809-17 Guage Ctrl Bx80-070379-14  /  Tokyo Electron Tel
Bx81-070098-14 / Tgb809-17 Guage Ctrl Bx80-070379-14 / Tokyo Electron Tel
349.99  27d 12h
 
001788l / Pcb Assy Pamux,digital I/o Control / Opto 22
001788l / Pcb Assy Pamux,digital I/o Control / Opto 22
363.99  23d 18h
 
B0054100 / Shibaura, Lai0120 Pcb / Shibatec
B0054100 / Shibaura, Lai0120 Pcb / Shibatec
363.99  29d 14h
 
3700-01881 (set Of 10) / Seal, Lidchamber O-ring / Mks
3700-01881 (set Of 10) / Seal, Lidchamber O-ring / Mks
300.99  9d 14h
 
E15001280 / Pmac Serial To Parallel Interface / Varian
E15001280 / Pmac Serial To Parallel Interface / Varian
560.82  15d 13h
 
F3sn-a0442p25-d / Light Curtain Safety Sensor / Omron
F3sn-a0442p25-d / Light Curtain Safety Sensor / Omron
700.00  4d 13h
 
17338620 / Outer Striker Plate Liner / Axcelis Technologies
17338620 / Outer Striker Plate Liner / Axcelis Technologies
280.00  16d 16h
 
Anfezq8ac / Auto Notchfinder 8 Inch / Phillips Service Industries, Inc
Anfezq8ac / Auto Notchfinder 8 Inch / Phillips Service Industries, Inc
741.00  17d 13h
 
0720-106699 / Ring,floating Dr200mm 0720-106699 / Novellus Systems Inc
0720-106699 / Ring,floating Dr200mm 0720-106699 / Novellus Systems Inc
284.90  22d 16h
 
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424040
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424040
950.00  17d 14h
 
Ed090167 / 472, Platen, Deublin Rotary Union / Novellus Systems Inc
Ed090167 / 472, Platen, Deublin Rotary Union / Novellus Systems Inc
300.82  24d 13h
 
Blf-022828 / Mdc2 Board Pcb / Advantest
Blf-022828 / Mdc2 Board Pcb / Advantest
400.62  16d 13h
 
Bgr-021938  /  Board,tgfc I/f(h,p) /  Advantest
Bgr-021938 / Board,tgfc I/f(h,p) / Advantest
650.62  24d 16h
 
17122910 / Liner Analyzer Magnet / Axcelis Technologies
17122910 / Liner Analyzer Magnet / Axcelis Technologies
297.60  4d 14h
 
Ar66ak-2/ Ar Series Motor-driver Ar 60mm Frame Stpr 2m Cable Assy /oriental Mot.
Ar66ak-2/ Ar Series Motor-driver Ar 60mm Frame Stpr 2m Cable Assy /oriental Mot.
660.68  8d 18h
 
Mxq8b-75z / Slide Table Cylinder, 0.15-0.7 Mpa / Smc
Mxq8b-75z / Slide Table Cylinder, 0.15-0.7 Mpa / Smc
460.99  11d 13h
 
45100640 / Model 959100s6pxx4, Valve, Max Inlet 3500 Psi / Mks
45100640 / Model 959100s6pxx4, Valve, Max Inlet 3500 Psi / Mks
400.99  2d 16h
 
Ac1923 / Electrotech Pirani Head Amp / Aviza Technology
Ac1923 / Electrotech Pirani Head Amp / Aviza Technology
400.99  10d 17h
 
H1252006 / Retainer Ring / Varian
H1252006 / Retainer Ring / Varian
350.99  15d 14h
 
908210-001 / 908211-001, Circuit Board / Tylan
908210-001 / 908211-001, Circuit Board / Tylan
450.99  17d 12h
 
540241015 / V82 Pressure Regulator 1-1/2 Uhp Pvdf V82 W/spg End / Frank  ()
540241015 / V82 Pressure Regulator 1-1/2 Uhp Pvdf V82 W/spg End / Frank ()
450.00  22d 17h
 
Moensa06--az / Brush / Disco
Moensa06--az / Brush / Disco
500.99  23d 13h
 
0050-44161 / Adapter, Baratron Gauge /applied Materials Amat
0050-44161 / Adapter, Baratron Gauge /applied Materials Amat
506.25  1d 14h
 
0200-18074 / Sheath,rpsc,hdp-cvd / Applied Materials Amat
0200-18074 / Sheath,rpsc,hdp-cvd / Applied Materials Amat
427.72  20d 8h
 
0020-06231 / Cover, Cathode Hex / Applied Materials Amat
0020-06231 / Cover, Cathode Hex / Applied Materials Amat
442.20  20d 9h
 
6lvv-dp13680-c / Valve-diaphragm Dp Series / Swagelok
6lvv-dp13680-c / Valve-diaphragm Dp Series / Swagelok
714.60  7d 12h
 
0020-27816 / Plate Laminar, Ch C,d, Link / Applied Materials Amat
0020-27816 / Plate Laminar, Ch C,d, Link / Applied Materials Amat
638.29  13d 11h
 
5880-1167-0001 / Deflector Plate,lower / Axcelis Technologies
5880-1167-0001 / Deflector Plate,lower / Axcelis Technologies
620.95  13d 17h
 
0021-37053a / Bracket / Applied Materials Amat
0021-37053a / Bracket / Applied Materials Amat
285.82  4d 15h
 
0021-02796 / Plate Strike Cxz / Applied Materials Amat
0021-02796 / Plate Strike Cxz / Applied Materials Amat
746.65  15d 14h
 
2330132-01 / Valve A55m-2a-2iacb-v468mph / Lam Research Corporation
2330132-01 / Valve A55m-2a-2iacb-v468mph / Lam Research Corporation
647.70  16d 11h
 
985-618c / Torque Motor / Leeson Electric Corp.
985-618c / Torque Motor / Leeson Electric Corp.
583.00  16d 16h
 
643-003-0132 / E643 472, Polish Arm Drive Motor 79992-032 / Phillips
643-003-0132 / E643 472, Polish Arm Drive Motor 79992-032 / Phillips
484.00  20d 16h
 
Mqqld25-100dm / 5 Port Solenoid Valve / Smc
Mqqld25-100dm / 5 Port Solenoid Valve / Smc
449.86  21d 11h
 
Mh5-0308002-00/unload Picker, Festo Fmg-7-5-r-sa34765kr Max Press. 0.7 Mpa/festo
Mh5-0308002-00/unload Picker, Festo Fmg-7-5-r-sa34765kr Max Press. 0.7 Mpa/festo
425.00  21d 14h
 
506-38390-01 / Pcb Harness Brushless Dc Spin Motor / Excelteq Inc
506-38390-01 / Pcb Harness Brushless Dc Spin Motor / Excelteq Inc
500.62  8d 18h
 
13-00015-00 / End Station Board / Varian
13-00015-00 / End Station Board / Varian
750.66  27d 20h
 
E11123060  /  Gauge Regulator Panel (e17696100) /  Varian
E11123060 / Gauge Regulator Panel (e17696100) / Varian
750.66  5d 12h
 
4810088 /  Lot Of 6 /  Diode Vsuppr 11v  /  Varian
4810088 / Lot Of 6 / Diode Vsuppr 11v / Varian
550.82  7d 14h
 
9845-0058 / Vacuum Piping Heater 2006/95/ec Low Voltage Directive / Mks
9845-0058 / Vacuum Piping Heater 2006/95/ec Low Voltage Directive / Mks
280.99  15d 13h
 
Sy100-dui00226 /  Manifold 16x Sy114-5mou Solenoid Valve / Smc
Sy100-dui00226 / Manifold 16x Sy114-5mou Solenoid Valve / Smc
360.99  2d 12h
 
L7230301 / 8 Ch Valve Driver / Varian
L7230301 / 8 Ch Valve Driver / Varian
520.99  22d 17h
 
02-33270-0001 / Dc Power Supply / Condor
02-33270-0001 / Dc Power Supply / Condor
550.99  22d 17h
 
2856735-21 / Digital, Pwba I/o Dpc 8ch     / Asm America Inc
2856735-21 / Digital, Pwba I/o Dpc 8ch / Asm America Inc
655.99  25d 14h
 
Z18109-3ortb5226-90 / Fairchild Cat No. Tb5226-90 Regulator, Elec Pneu / Meacon
Z18109-3ortb5226-90 / Fairchild Cat No. Tb5226-90 Regulator, Elec Pneu / Meacon
495.03  12d 13h
 
96134473 C01 / 1771-ibd Input Mod 10-30vdc D12 45s 07 / Allen-bradley
96134473 C01 / 1771-ibd Input Mod 10-30vdc D12 45s 07 / Allen-bradley
618.55  18d 19h
 
17338610 / Plate Outer Striker Liner / Axcelis Technologies
17338610 / Plate Outer Striker Liner / Axcelis Technologies
295.00  16d 16h
 
372m-37059-1 / Assy, Brain Bd Digital Rail#4 372m-37059     / Novellus Systems Inc
372m-37059-1 / Assy, Brain Bd Digital Rail#4 372m-37059 / Novellus Systems Inc
284.90  18d 16h
 
372m-37051-1 / Assy, Brain Board Analog Rail 0 / Novellus Systems Inc
372m-37051-1 / Assy, Brain Board Analog Rail 0 / Novellus Systems Inc
491.15  21d 12h
 
2805-103420 / Assy, Slurry Feed Primary Below Tbl / Novellus Systems Inc
2805-103420 / Assy, Slurry Feed Primary Below Tbl / Novellus Systems Inc
421.85  23d 10h
 
10-153079-00 / Tube Assy. Ilds, 3 Ch, Ctg, Vtr / Lam Research Corporation
10-153079-00 / Tube Assy. Ilds, 3 Ch, Ctg, Vtr / Lam Research Corporation
750.03  16h 58m
 
Csfe-2-0-30 / Discharge Bypass Valve For Air Cond. Or Frig Systems / Sporlan
Csfe-2-0-30 / Discharge Bypass Valve For Air Cond. Or Frig Systems / Sporlan
310.68  1d 18h
 
Mbdht2510l07 / Ac Servo Driver, 240v, 3 Phase, 50/60 Hz, 400w / Panasonic
Mbdht2510l07 / Ac Servo Driver, 240v, 3 Phase, 50/60 Hz, 400w / Panasonic
560.68  1d 18h
 
Mxq12a-75za / High Precision Mxq Giuded Cylinder / Smc
Mxq12a-75za / High Precision Mxq Giuded Cylinder / Smc
350.68  3d 19h
 
P61002111102 / O/p Relay Contacts 2a, 120-240 Ac / West Temperature Control Soln
P61002111102 / O/p Relay Contacts 2a, 120-240 Ac / West Temperature Control Soln
600.99  10d 14h
 
715-140126-001 / Plate Baffle Middle / Lam Research Corporation
715-140126-001 / Plate Baffle Middle / Lam Research Corporation
400.32  6d 11h
 
Ss-8bk-v19 / Bellows Vlv Manuel Actuator / Nupro
Ss-8bk-v19 / Bellows Vlv Manuel Actuator / Nupro
300.99  27d 16h
 
0050-36214 / Line, Process Chambr Exh. A/b Mf / Applied Materials Amat
0050-36214 / Line, Process Chambr Exh. A/b Mf / Applied Materials Amat
383.59  27d 18h
 
G7vc-oa16 / Ouput Block, Relay Blk Out W/o Slave, 24vdc / Omron
G7vc-oa16 / Ouput Block, Relay Blk Out W/o Slave, 24vdc / Omron
584.99  5d 13h
 
0010-20138 / Assy Tc Amp Hsng / Applied Materials Amat
0010-20138 / Assy Tc Amp Hsng / Applied Materials Amat
739.67  16d 14h
 
540243010 / 1" Uhp Pvdf / Ptfe V782 W/spg End /  Frank
540243010 / 1" Uhp Pvdf / Ptfe V782 W/spg End / Frank
360.00  18d 19h
 
00-684145-00 / 5 Inch Shield Ring / Novellus
00-684145-00 / 5 Inch Shield Ring / Novellus
425.99  23d 13h
 
Sz3360-5nl0z-c6 (1) / Sz3360-5nl0z-c4 (6) / Pneumatic Air Cylinder / Smc
Sz3360-5nl0z-c6 (1) / Sz3360-5nl0z-c4 (6) / Pneumatic Air Cylinder / Smc
475.99  17h 53m
 
950525-3 / Spring Pressure Air Cylinder / Nor-cal
950525-3 / Spring Pressure Air Cylinder / Nor-cal
550.68  7d 16h
 
0020-02064 / Manifold Supply Pm Valve / Applied Materials Amat
0020-02064 / Manifold Supply Pm Valve / Applied Materials Amat
754.77  7d 8h
 
0020-21705 / Lifter 6 In. / Applied Materials Amat
0020-21705 / Lifter 6 In. / Applied Materials Amat
660.54  12d 18h
 
E17195650 / Block Insulator / Varian
E17195650 / Block Insulator / Varian
614.24  13d 19h
 
0040-06315 / Bracket Left Controller Mce / Applied Materials Amat
0040-06315 / Bracket Left Controller Mce / Applied Materials Amat
592.20  14d 12h
 
0190-40117 / Cable,assy / Applied Materials Amat
0190-40117 / Cable,assy / Applied Materials Amat
557.21  14d 14h
 
A95-216-01 / Loadlock Assy, Flow Switch (gasonic) 0100ss24 / Novellus
A95-216-01 / Loadlock Assy, Flow Switch (gasonic) 0100ss24 / Novellus
550.70  14d 15h
 
625cp / Temperature Controller,625cp-582-1373 / Bold Technologies
625cp / Temperature Controller,625cp-582-1373 / Bold Technologies
550.00  14d 16h
 
Ap1010sh / Regulator, Hastiloy / Ap Tech
Ap1010sh / Regulator, Hastiloy / Ap Tech
544.00  14d 17h
 
Xld-50 / Valve Pneumatic Air Operated / Smc
Xld-50 / Valve Pneumatic Air Operated / Smc
582.00  14d 21h
 
0140-10284 / H/a, Gas Dist To Lockout Bd/w Cust Intfc / Applied Materials Amat
0140-10284 / H/a, Gas Dist To Lockout Bd/w Cust Intfc / Applied Materials Amat
430.99  21d 12h
 
2rk6gk-aa / Reversible Motor /  Oriental Motor
2rk6gk-aa / Reversible Motor / Oriental Motor
390.60  23d 10h
 
18s0573 / Bracket / Eaton
18s0573 / Bracket / Eaton
339.15  28d 13h
 
16-042334-00 / Assy Spool Mesc / Novellus
16-042334-00 / Assy Spool Mesc / Novellus
299.25  3d 14h
 
Wrf30sx-u / Power Supply 115/230c 7.5 A 50/60hz / Power Source
Wrf30sx-u / Power Supply 115/230c 7.5 A 50/60hz / Power Source
375.99  19d 12h
 
04-718676-01 / Insulator Ceramic / Varian
04-718676-01 / Insulator Ceramic / Varian
675.03  15d 19h
 
17-129423-00 / Reflector, Lower 300pvd Module / Novellus
17-129423-00 / Reflector, Lower 300pvd Module / Novellus
477.77  20d 16h
 
985-627h / Dc Gear Motor 90vdc / Leeson Electric Corp.
985-627h / Dc Gear Motor 90vdc / Leeson Electric Corp.
469.00  20d 17h
 
0050-87331 / Weldment Ch B Process Frc, Ap Main Frame / Applied Materials Amat
0050-87331 / Weldment Ch B Process Frc, Ap Main Frame / Applied Materials Amat
360.68  8d 18h
 
0050-87467 / Gas Line Flow 1 Inlet To Outer Manifold / Applied Materials Amat
0050-87467 / Gas Line Flow 1 Inlet To Outer Manifold / Applied Materials Amat
360.68  8d 18h
 
Nikon 4S064-549 WL IOP NSR 17 NSR-S306C  Working
Nikon 4S064-549 WL IOP NSR 17 NSR-S306C  Working
1,623.12  1d 9h
 
Nikon 4S018-057-2 WL3SEN2 board
Nikon 4S018-057-2 WL3SEN2 board
749.00  26d 2h
 
AMAT Loadlock Door, 423941
AMAT Loadlock Door, 423941
750.00  22d 19h
 
Nrc Ionization Gauge Tube Type 507 Nos
Nrc Ionization Gauge Tube Type 507 Nos
112.50  18d 17h
 
AMAT 0020-24719 Cover Ring 8" 101 AL Coverage 424168
AMAT 0020-24719 Cover Ring 8" 101 AL Coverage 424168
650.00  8d 14h
 
TEL Tokyo Electron 3D10-100293-V1 Upper Body Electrode
TEL Tokyo Electron 3D10-100293-V1 Upper Body Electrode
3,006.11  20d 16h
 
STEC SEC-4400M Mass Flow Controller, MFC, He, 300 SCCM, SEC-4400, 324227
STEC SEC-4400M Mass Flow Controller, MFC, He, 300 SCCM, SEC-4400, 324227
895.00  29d 11h
 
Jeongil JI-DM2-P Touch Screen Interface Module Reseller Lot of 3 Benecom
Jeongil JI-DM2-P Touch Screen Interface Module Reseller Lot of 3 Benecom
506.18  7d 13h
 
STEC SEC-4400M Mass Flow Controller, MFC, Ar, 300 SCCM, SEC-4400, 411023
STEC SEC-4400M Mass Flow Controller, MFC, Ar, 300 SCCM, SEC-4400, 411023
950.00  17d 13h
 
SUNX SH-84R Photoelectric Sensor Switch, 452634
SUNX SH-84R Photoelectric Sensor Switch, 452634
40.00  18d 12h
 
8297 Applied Material Cable, 0150-76461
8297 Applied Material Cable, 0150-76461
500.00  10d 2h
 
Varian 0471663004 Shield, Dep Q SRC, 406332
Varian 0471663004 Shield, Dep Q SRC, 406332
850.00  6d 12h
 
Orbotech Optrotech PCK 30611116D00 Controller Board
Orbotech Optrotech PCK 30611116D00 Controller Board
333.00  11d 3h
 
Matrix DSC-5K-SVGL Interface PCB Card 7911/DSC 851-8630-001F ASML SVG 90S
Matrix DSC-5K-SVGL Interface PCB Card 7911/DSC 851-8630-001F ASML SVG 90S
309.18  9d 9h
 
4396  Applied Materials P/N: 0100-00012 Rev. F  VME Counter Board
4396 Applied Materials P/N: 0100-00012 Rev. F VME Counter Board
300.00  6d 12h
 
Lam 02-168108-00 Sesioc1 .bath Module
Lam 02-168108-00 Sesioc1 .bath Module
5,999.00  7d 5h
 
Nikon 4S005-387 Optical Sensor Daughterboard PCB AF-SENSORX4-SUB-A  Working
Nikon 4S005-387 Optical Sensor Daughterboard PCB AF-SENSORX4-SUB-A  Working
512.17  6d 11h
 
Nikon 4S018-912 REX-DRV2 Driver Board PCB  Working
Nikon 4S018-912 REX-DRV2 Driver Board PCB  Working
1,051.69  6d 15h
 
125-0101// Amat Applied 0020-03703 Pumping Plate Dcxz
125-0101// Amat Applied 0020-03703 Pumping Plate Dcxz
950.00  19d 1h
 
COILCRAFT SER2014-402MLB Fixed Inductors 4 uH 20% 27A Ferrite  20pcs
COILCRAFT SER2014-402MLB Fixed Inductors 4 uH 20% 27A Ferrite 20pcs
75.00  2d 14h
 
Amat Deposition Ring 0200-01725-207-006
Amat Deposition Ring 0200-01725-207-006
1,195.00  4d 17h
 
Get Control FAI05101, PCB, 411619
Get Control FAI05101, PCB, 411619
350.00  6d 18h
 
SVG Silicon Valley Group 99-38150-02 Shuttle IES Arm 9003 Horizontal Guide
SVG Silicon Valley Group 99-38150-02 Shuttle IES Arm 9003 Horizontal Guide
704.16  1d 10h
 
AMAT 0540-00054 Spare Vacuum Tube Assy, AMPL, Power Triode, 424281
AMAT 0540-00054 Spare Vacuum Tube Assy, AMPL, Power Triode, 424281
1,250.00  1d 14h
 
14050 Inspex Pcb Assy, Cntrl Mod Intfc 20008661a
14050 Inspex Pcb Assy, Cntrl Mod Intfc 20008661a
460.84  23d 19h
 
14116 National Display System Pcb Pca 17a0006
14116 National Display System Pcb Pca 17a0006
345.63  30m 22s
 
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424032
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424032
950.00  16d 17h
 
Varian 0471663004 Shield, Dep Q SRC, 406405
Varian 0471663004 Shield, Dep Q SRC, 406405
850.00  8d 11h
 
14196 Ltx Pcb 865-2650-00
14196 Ltx Pcb 865-2650-00
288.03  5d 1h
 
AMAT 0020-26820 Clamp Ring, 8", SNNF, AL, 6 Pads +/-.5mm 424241
AMAT 0020-26820 Clamp Ring, 8", SNNF, AL, 6 Pads +/-.5mm 424241
950.00  21d 13h
 
KLA-Tencor 0023936-001 Power Assy LPM AIT UV  Working
KLA-Tencor 0023936-001 Power Assy LPM AIT UV  Working
1,599.49  1d 14h
 
Powersource PS920956 Compvideo 3.0 PCB, 416223
Powersource PS920956 Compvideo 3.0 PCB, 416223
295.00  3d 12h
 
SUNX EX-23 Sensor Thru-beam, Side Sens, 452630
SUNX EX-23 Sensor Thru-beam, Side Sens, 452630
12.00  17d 15h
 
Profort PF810-ASE Teach Pendant RS-232C  Working
Profort PF810-ASE Teach Pendant RS-232C  Working
409.16  26d 16h
 
STEC SEC-4400MC-G1 Mass Flow Controller, MFC, N2, 300 SCCM, SEC-4400, 424323
STEC SEC-4400MC-G1 Mass Flow Controller, MFC, N2, 300 SCCM, SEC-4400, 424323
795.00  9d 19h
 
Heraeus 90153451 VT-Sonst Injector 2381788 ASM 2381788-01 QTZ INJECTOR
Heraeus 90153451 VT-Sonst Injector 2381788 ASM 2381788-01 QTZ INJECTOR
957.18  2d 21h
 
Tokyo Electron 2503833-0001 Mirror Mount Assembly,
Tokyo Electron 2503833-0001 Mirror Mount Assembly,
150.00  20d 9h
 
Amat 0150-00319 Cable Assy, Stepper Mtr Encoder, 300mm E,
Amat 0150-00319 Cable Assy, Stepper Mtr Encoder, 300mm E,
200.00  25d 1h
 
Amat 0020-78849 Holder,
Amat 0020-78849 Holder,
200.00  22d 19h
 
Tokyo Electron B22987-447157-12 8 Chp Cover Senser Parts,
Tokyo Electron B22987-447157-12 8 Chp Cover Senser Parts,
200.00  18d 6h
 
Tokyo Electron 3705-300037-11 Quartz Pin,lfter,
Tokyo Electron 3705-300037-11 Quartz Pin,lfter,
200.00  14d 5h
 
Amat 0021-19905 Strap Sst Lower Shield Ground 300mm ,
Amat 0021-19905 Strap Sst Lower Shield Ground 300mm ,
200.00  25d 1h
 
Amat 0150-97531 Cbl Assy Gplis Delivery Line Heater, Pro,
Amat 0150-97531 Cbl Assy Gplis Delivery Line Heater, Pro,
200.00  4d 15h
 
Novellus 03-032160-00 Cable Assy, 15pin, Breakout,
Novellus 03-032160-00 Cable Assy, 15pin, Breakout,
200.00  19d 19h
 
Amat 0720-04614 Plugconn Shorting Uhf Male W/ 36" Chain,
Amat 0720-04614 Plugconn Shorting Uhf Male W/ 36" Chain,
200.00  25d 1h
 
Sensoray 7409tb Pcb Board 0400075 Rev A,
Sensoray 7409tb Pcb Board 0400075 Rev A,
200.00  4d 18h
 
Varian E17306540 Aperture Pfg Serviceability,
Varian E17306540 Aperture Pfg Serviceability,
200.00  19d 22h
 
Varian E17167330 Plate, Ground,
Varian E17167330 Plate, Ground,
200.00  27d 16h
 
AMAT Applied Materials 0620-02279 Power Cord 18AWG 115VAC 24"  Surplus
AMAT Applied Materials 0620-02279 Power Cord 18AWG 115VAC 24"  Surplus
301.19  22d 21h
 
Air Products & Chemicals 10350 Lid  Style with T/C  Surplus
Air Products & Chemicals 10350 Lid  Style with T/C  Surplus
1,006.18  2d 20h
 
Muto Technology MR-23431 SS Plenum Shield
Muto Technology MR-23431 SS Plenum Shield
306.18  2d 19h
 
MAG SEAL, EKK 5124-000009-11, EKK Working
MAG SEAL, EKK 5124-000009-11, EKK Working
10,080.00  15d 1h
 
IDI Integrated Designs 2-102-037 Chemical Assist Module CAM-E-2S Working Spare
IDI Integrated Designs 2-102-037 Chemical Assist Module CAM-E-2S Working Spare
504.19  27d 16h
 
ASML 4022.470.4321 Interface Module QPAB SVG Silicon Valley Group Working Spare
ASML 4022.470.4321 Interface Module QPAB SVG Silicon Valley Group Working Spare
506.19  19d 12h
 
Aviza Technology 600058-01 Communication PCB Card  Working
Aviza Technology 600058-01 Communication PCB Card  Working
453.19  10d 12h
 
Nikon 4S008-058 Interface Relay Board PCB ALGAF-TYUUKEI-V NSR-S205C Working
Nikon 4S008-058 Interface Relay Board PCB ALGAF-TYUUKEI-V NSR-S205C Working
507.19  14d 15h
 
Omron 3385815-3A Processor Board PCB PW(CPU) NSR-S205C Working Spare
Omron 3385815-3A Processor Board PCB PW(CPU) NSR-S205C Working Spare
305.19  14d 16h
 
Asyst Technologies 3200-1015-01 Processor Board PCB Rev. F 5006-2101-0102
Asyst Technologies 3200-1015-01 Processor Board PCB Rev. F 5006-2101-0102
353.19  11d 12h
 
Nikon 4S008-059 Interface Board PCB ALGAF-TYUUKEI-H NSR-S205C Working Spare
Nikon 4S008-059 Interface Board PCB ALGAF-TYUUKEI-H NSR-S205C Working Spare
507.19  14d 15h
 
402-0501// Pacific Scientific Pc3405dd-001-e  Controller [/fast]
402-0501// Pacific Scientific Pc3405dd-001-e Controller [/fast]
400.00  19d 19h
 
Varian Purge Vent 5 Psi, Model 9699116s003
Top-Rated Plus Seller Varian Purge Vent 5 Psi, Model 9699116s003
125.00  20d 14h
 
Nikon 4S018-716 Control Board OPDCTRL3 PCB Card NSR-S307E  Working
Nikon 4S018-716 Control Board OPDCTRL3 PCB Card NSR-S307E  Working
1,049.46  12d 11h
 
Amat 0040-78419 P2,
Amat 0040-78419 P2,
150.00  22d 20h
 
Puls Sl4.100 Power Supply ,
Puls Sl4.100 Power Supply ,
120.00  7d 20h
 
TOSHIBA CERAMICS / SVG TPSS-CU BOAT-E-V Boat
TOSHIBA CERAMICS / SVG TPSS-CU BOAT-E-V Boat
807.18  21d 13h
 
Yaskawa Electric SGDR-AXC01B-E Robot Controller PCB Card NXC100  Working
Yaskawa Electric SGDR-AXC01B-E Robot Controller PCB Card NXC100  Working
456.18  8d 12h
 
117-0501// Amat Applied 0020-09591 Left Panel, I/o Compartment []
117-0501// Amat Applied 0020-09591 Left Panel, I/o Compartment []
150.00  15d 2h
 
2 Smc Rea32-z2727-375 Pneumatic Cylinders
2 Smc Rea32-z2727-375 Pneumatic Cylinders
99.95  17d 20h
 
ASML 4022.471.7488 Power Supply PCB Card S26  Working
ASML 4022.471.7488 Power Supply PCB Card S26  Working
505.15  1d 9h
 
AMAT Applied Materials ES30712310000 Power Unit Main PDU SEMVision
AMAT Applied Materials ES30712310000 Power Unit Main PDU SEMVision
507.16  7d 9h
 
Gavitec VisionSpy 2300SEMI
Gavitec VisionSpy 2300SEMI
449.00  6d 22h
 
Setra 26710R1WD2ED9CD, Pressure Transducer
Setra 26710R1WD2ED9CD, Pressure Transducer
100.00  13d 3h
 
UNIT Smart Cable Mass Flow Signal Translator Smart300-01,
UNIT Smart Cable Mass Flow Signal Translator Smart300-01,
39.99  18d 12h
 
TEL Tokyo Electron 3D10-202163-V1 300mm Depo Shield Refurbished
TEL Tokyo Electron 3D10-202163-V1 300mm Depo Shield Refurbished
2,806.11  20d 15h
 
Nikon  -  4S015-192  NK-C44-60S  -  Circuit Board
Nikon - 4S015-192 NK-C44-60S - Circuit Board
1,125.00  22d 21h
 
AMAT Applied Materials 310651R02 Spacer Ring  Working
AMAT Applied Materials 310651R02 Spacer Ring  Working
606.18  9d 15h
 
Harness Assy, Scan, Rotate Assy , E16147982,w6007r
Harness Assy, Scan, Rotate Assy , E16147982,w6007r
4,320.00  27d 1h
 
AMAT Applied Materials 0100-90899 Operator Prompt PCB 0120-92833  Working
AMAT Applied Materials 0100-90899 Operator Prompt PCB 0120-92833  Working
702.19  24d 11h
 
AMAT 0020-09368, External Cover. 419889
AMAT 0020-09368, External Cover. 419889
450.00  24d 12h
 
Spirent SMB-0200 Multi Port Stream Layer Performance Analysis System, 423777
Spirent SMB-0200 Multi Port Stream Layer Performance Analysis System, 423777
350.00  9d 13h
 
TEL Tokyo Electron 3D10-101276-V1 Shield Depo D3.0P35.6 W-LB N Refurbished
TEL Tokyo Electron 3D10-101276-V1 Shield Depo D3.0P35.6 W-LB N Refurbished
2,807.11  15h 0m
 
7100-1134-03 Pyrometer AG Associates Heatpulse (7100-1282-03 7100-5628-01)
7100-1134-03 Pyrometer AG Associates Heatpulse (7100-1282-03 7100-5628-01)
5,500.00  17d 13h
 
Ondyne Model 1800 Hygrotwin Hygrometer
Ondyne Model 1800 Hygrotwin Hygrometer
150.00  7d 12h
 
344-0501// Amat Applied 0040-23133 Applied Matrials Components []
344-0501// Amat Applied 0040-23133 Applied Matrials Components []
60.00  19d 1h
 
326-0303// Amat Applied 0140-07681 Applied Matrials Components
326-0303// Amat Applied 0140-07681 Applied Matrials Components
60.00  12d 21h
 
346-0401// Amat Applied 0020-40863 Applied Matrials Components
346-0401// Amat Applied 0020-40863 Applied Matrials Components
60.00  10d 21h
 
346-0401// Amat Applied 0020-40864 Applied Matrials Components
346-0401// Amat Applied 0020-40864 Applied Matrials Components
60.00  10d 21h
 
346-0401// Amat Applied 0020-40865 Applied Matrials Components
346-0401// Amat Applied 0020-40865 Applied Matrials Components
60.00  10d 21h
 
143-0502// Amat Applied 0140-09105 Applied Matrials Components
143-0502// Amat Applied 0140-09105 Applied Matrials Components
60.00  12d 21h
 
348-0203// Amat Applied 0020-31786 Applied Matrials Components []
348-0203// Amat Applied 0020-31786 Applied Matrials Components []
60.00  22d 20h
 
419-0202// Km35 Ka1 Square Button []
419-0202// Km35 Ka1 Square Button []
60.00  18d 2h
 
AMAT 0140-00726 Harness Assy Lamp Integration LTESC, 424061
AMAT 0140-00726 Harness Assy Lamp Integration LTESC, 424061
595.00  22d 19h
 
AMAT 0020-09029 Covering Pipe, 419901
AMAT 0020-09029 Covering Pipe, 419901
550.00  24d 15h
 
345-0101// Amat Applied 0300-09002 Applied Matrials Components
345-0101// Amat Applied 0300-09002 Applied Matrials Components
100.00  10d 23h
 
142-0601// Amat Applied 0140-09041 Harness Assy Cassette Present  
142-0601// Amat Applied 0140-09041 Harness Assy Cassette Present
80.00  25d 21h
 
143-0503// Amat Applied 0150-09093 Button Emergency Off Switch
143-0503// Amat Applied 0150-09093 Button Emergency Off Switch
100.00  12d 2h
 
352-0402// Amat Applied 0015-00425 Screw, Shouder Captive, 8-32 X
352-0402// Amat Applied 0015-00425 Screw, Shouder Captive, 8-32 X
100.00  2d 2h
 
324-0203// Amat Applied 0200-00113 Nozzle []
324-0203// Amat Applied 0200-00113 Nozzle []
80.00  5d 1h
 
324-0203// Amat Applied 0200-18101 Applied Matrials Components []
324-0203// Amat Applied 0200-18101 Applied Matrials Components []
100.00  5d 1h
 
346-0401// Amat Applied 0020-40101 Applied Matrials Components
346-0401// Amat Applied 0020-40101 Applied Matrials Components
100.00  10d 21h
 
142-0603// Amat Applied 0150-76522 Applied Matrials Components
142-0603// Amat Applied 0150-76522 Applied Matrials Components
70.00  3d 1h
 
343-0102// Amat Applied 0020-21716 Plug, Cvd/monolith Interface []
343-0102// Amat Applied 0020-21716 Plug, Cvd/monolith Interface []
100.00  7d 3h
 
1270-01041 / Sw Temperature Control 50-350 Deg F A / Burling Instruments Inc
1270-01041 / Sw Temperature Control 50-350 Deg F A / Burling Instruments Inc
1,046.65  26d 16h
 
130-0401// AMAT APPLIED 0100-09139 wASSY ROBOT EXTENSION SENSOR P [ASIS]
130-0401// AMAT APPLIED 0100-09139 wASSY ROBOT EXTENSION SENSOR P [ASIS]
250.00  11d 1h
 
Robitech 990-9131 Valve Module PCB 681-0181-009 Lot of 5  Working
Robitech 990-9131 Valve Module PCB 681-0181-009 Lot of 5  Working
458.13  8d 15h
 
Nikon 4S013-368 Backplane Interface Board PCB STGSTCBLWTX4 NSR System
Nikon 4S013-368 Backplane Interface Board PCB STGSTCBLWTX4 NSR System
718.95  15d 10h
 
124-0404// Amat Applied 0020-28633 Ring, Deposition, 8" Jmf, 9mm,
124-0404// Amat Applied 0020-28633 Ring, Deposition, 8" Jmf, 9mm,
2,800.00  19d 1h
 
355-0501// Amat Applied 0020-22187 (#1) 0020-13953 Plate Mount Adapter [asis]
355-0501// Amat Applied 0020-22187 (#1) 0020-13953 Plate Mount Adapter [asis]
240.00  17d 21h
 
126-0203// Alctel 26332-ka64-1002 Valve [asis]
126-0203// Alctel 26332-ka64-1002 Valve [asis]
300.00  16d 0h
 
126-0203// Nc Stv-1502-nwb Valve [asis]
126-0203// Nc Stv-1502-nwb Valve [asis]
250.00  16d 2h
 
177-0103// Fujikin Akewa000 408212 Valve [asis]
177-0103// Fujikin Akewa000 408212 Valve [asis]
200.00  23d 1h
 
126-0203// Nc Aiv-1002-nwb Valve [asis]
126-0203// Nc Aiv-1002-nwb Valve [asis]
200.00  16d 0h
 
176-0104// Amat Applied 0050-34787 Line, 1/4 Manifold Ar-wf6 [asis]
176-0104// Amat Applied 0050-34787 Line, 1/4 Manifold Ar-wf6 [asis]
200.00  19d 20h
 
Precision Manipulator Taurus R-B lot of 2 + other parts
Precision Manipulator Taurus R-B lot of 2 + other parts
100.00  3d 12h
 
6904zzcm Ns7s (lot Of 10) / Bearing Deep Groove Single Row / Nsk
6904zzcm Ns7s (lot Of 10) / Bearing Deep Groove Single Row / Nsk
90.00  3d 18h
 
Oriental Motor PK243M-01BA Motor VEXTA A193201  Working
Oriental Motor PK243M-01BA Motor VEXTA A193201  Working
504.13  28d 9h
 
SMC MXS6-30 Pneumatic Table Slide
SMC MXS6-30 Pneumatic Table Slide
49.00  1d 15h
 
Nemic Lambda YM-06-1348 Optical RX Bank Power Supply  Working
Nemic Lambda YM-06-1348 Optical RX Bank Power Supply  Working
601.15  1d 16h
 
Omron S8PS-30024C Power Supply (Lot of 6)  working
Omron S8PS-30024C Power Supply (Lot of 6)  working
366.16  2d 16h
 
32 AMAT 0050-77177 Tube RR, 451572
32 AMAT 0050-77177 Tube RR, 451572
40.00  20d 17h
 
National Electronics 6BK4C/6EL4A Scan Amplified Tubes, 108086001, 451583
National Electronics 6BK4C/6EL4A Scan Amplified Tubes, 108086001, 451583
25.00  21d 15h
 
AMAT 3870-02803 Check Valve, 451605
AMAT 3870-02803 Check Valve, 451605
95.00  26d 13h
 
10 SMC KQL07-34S-X23 Valve Fittings, 451613
10 SMC KQL07-34S-X23 Valve Fittings, 451613
20.00  26d 16h
 
211252730 Cable Harness, 4999, 450700
211252730 Cable Harness, 4999, 450700
25.00  27d 11h
 
Cable Assy 210186, 451668
Cable Assy 210186, 451668
95.00  27d 17h
 
Omron T2-W5F1 Proximity Switch, Sensor, 451706
Omron T2-W5F1 Proximity Switch, Sensor, 451706
60.00  1d 18h
 
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424025
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424025
950.00  14d 11h
 
National Electronics 6BK4C/6EL4A Scan Amplified Tubes, 108086001, 451586
National Electronics 6BK4C/6EL4A Scan Amplified Tubes, 108086001, 451586
25.00  21d 15h
 
AMAT 26-81342-00 Bushing, 451743
AMAT 26-81342-00 Bushing, 451743
95.00  3d 13h
 
AMAT 0020-70781 Plate 18KW PWRSP, 451752
AMAT 0020-70781 Plate 18KW PWRSP, 451752
50.00  3d 18h
 
Noshok 25-900-30/30 PSI/KPA Gauge, 1/4 NPT Bottom Conn, 451756
Noshok 25-900-30/30 PSI/KPA Gauge, 1/4 NPT Bottom Conn, 451756
45.00  4d 11h
 
AMAT 3300-04733 Water Hose Fitting, 329388
AMAT 3300-04733 Water Hose Fitting, 329388
95.00  11d 15h
 
Telemecanique GV2, M32 Circuit Breaker, Balzers, 452137
Telemecanique GV2, M32 Circuit Breaker, Balzers, 452137
75.00  20d 12h
 
Telemecanique GV2 M16 Circuit Breaker Balzers, 452139
Telemecanique GV2 M16 Circuit Breaker Balzers, 452139
50.00  20d 12h
 
Amat 1200-01445 Rly 4pdt 24v 5a, 451562
Amat 1200-01445 Rly 4pdt 24v 5a, 451562
50.00  20d 14h
 
10 SMC KQL07-34S-X23 Valve Fitting, 451609
10 SMC KQL07-34S-X23 Valve Fitting, 451609
20.00  26d 14h
 
AMAT 1270-03124 SW Toggle 4PST ON-NONE-OFF SCR-TERM, 451656
AMAT 1270-03124 SW Toggle 4PST ON-NONE-OFF SCR-TERM, 451656
50.00  27d 12h
 
10 Spears 1/2" PVC Connector, 451700
10 Spears 1/2" PVC Connector, 451700
20.00  1d 15h
 
Tokyo Electron 047-000275-1 Heat Shrinkable Tube, 451727
Tokyo Electron 047-000275-1 Heat Shrinkable Tube, 451727
50.00  2d 17h
 
Oriental Motor 2RK6RGN-A Speed Control Motor, 4P 6W 100V, 50/60 Hz, 0.3A, 453075
Oriental Motor 2RK6RGN-A Speed Control Motor, 4P 6W 100V, 50/60 Hz, 0.3A, 453075
50.00  26d 11h
 
Applied Ceramics 91-01768A Dome Tapered Style AMAT 0200-40218
Applied Ceramics 91-01768A Dome Tapered Style AMAT 0200-40218
3,201.12  1d 12h
 
Applied Materials 0200-05396 Insert Amat *un, Sealed*
Applied Materials 0200-05396 Insert Amat *un, Sealed*
499.00  6d 3h
 
Metron 16-193953-01 L-Inner Refelector
Metron 16-193953-01 L-Inner Refelector
308.18  2d 11h
 
SVG Silicon Valley Group 851-8518-005 A/D Conversion PCB Card Rev. C 90S
SVG Silicon Valley Group 851-8518-005 A/D Conversion PCB Card Rev. C 90S
410.11  9d 9h
 
AMAT Applied Materials 0270-03850 300mm Top Cover Ship Assembly
AMAT Applied Materials 0270-03850 300mm Top Cover Ship Assembly
1,507.12  25d 12h
 
LAM Funnel, 50MM, SIC-CTD Graphite PN 716-051392-003
LAM Funnel, 50MM, SIC-CTD Graphite PN 716-051392-003
395.00  10h 1m
 
0090-20119, Interlock Switch
0090-20119, Interlock Switch
850.00  5d 23h
 
Applied Materials 670774 Prom Board, 7802-D-5942, REV B
Applied Materials 670774 Prom Board, 7802-D-5942, REV B
42.99  3d 8h
 
Micropump WE5002/ICS Warrender PVDF WET END Part for 70900-87
Micropump WE5002/ICS Warrender PVDF WET END Part for 70900-87
306.18  2d 16h
 
ASM 02-196601-01 Assembly Cable Reactor PLT to SC
ASM 02-196601-01 Assembly Cable Reactor PLT to SC
307.18  2d 18h
 
Muto Technology MR-23331 Plenum Shield AL 4157044-0001 MRC D124736-A
Muto Technology MR-23331 Plenum Shield AL 4157044-0001 MRC D124736-A
306.18  2d 19h
 
BRUCE TECHNOLOGIES 9017138V01 Temperature Microcontroller Module
BRUCE TECHNOLOGIES 9017138V01 Temperature Microcontroller Module
350.00  17d 12h
 
TeleFrank Modul Parallel I/O E84
TeleFrank Modul Parallel I/O E84
89.95  28d 13h
 
Screen SL-2210-FC-Z Network Control Lot of 5  Working
Screen SL-2210-FC-Z Network Control Lot of 5  Working
378.15  1d 14h
 
326-0402// Amat Applied 0140-20277 Harness Pump Overtemp 6.5ft []
326-0402// Amat Applied 0140-20277 Harness Pump Overtemp 6.5ft []
30.00  7d 2h
 
123-0202// Amat Applied 0020-04144 Applied Matrials Components []
123-0202// Amat Applied 0020-04144 Applied Matrials Components []
40.00  19d 0h
 
350-0202// Amat Applied 0040-00543 Tube, Mounting []
350-0202// Amat Applied 0040-00543 Tube, Mounting []
40.00  18d 3h
 
141-0702// Amat Applied 0150-09050 Applied Matrials Components
141-0702// Amat Applied 0150-09050 Applied Matrials Components
40.00  28d 0h
 
143-0503// Amat Applied 0140-09007 Harness,chbr Interconn D 6 Pos
143-0503// Amat Applied 0140-09007 Harness,chbr Interconn D 6 Pos
20.00  13d 1h
 
324-0201// Amat Applied 0020-04135 Support, 1/4 Tube
324-0201// Amat Applied 0020-04135 Support, 1/4 Tube
10.00  16d 20h
 
319-0302// Applied Matrials 0020-62324 Components []
319-0302// Applied Matrials 0020-62324 Components []
20.00  1d 3h
 
410-0201// Smc Vz215-1 Solenoid Valve-1-37 []
410-0201// Smc Vz215-1 Solenoid Valve-1-37 []
20.00  22d 0h
 
325-0203// Amat Applied 0020-23469 K.mfg., Block, Mount, Unit Mfc []
325-0203// Amat Applied 0020-23469 K.mfg., Block, Mount, Unit Mfc []
40.00  19d 19h
 
350-0301// Amat Applied 0020-09095 Plate Front []
350-0301// Amat Applied 0020-09095 Plate Front []
50.00  20d 1h
 
123-0202// Amat Applied 0020-70091 Duct Pan Pump []
123-0202// Amat Applied 0020-70091 Duct Pan Pump []
40.00  19d 1h
 
123-0202// Amat Applied 0040-09681 Applied Matrials Components []
123-0202// Amat Applied 0040-09681 Applied Matrials Components []
20.00  19d 1h
 
352-0502// Smc Vj5140 Smc Solenoid Valve []
352-0502// Smc Vj5140 Smc Solenoid Valve []
20.00  18d 1h
 
AMAT 0150-76558 Cable, Assembly, MF Interlocks, System AC, Harness, 414078
AMAT 0150-76558 Cable, Assembly, MF Interlocks, System AC, Harness, 414078
295.00  11d 15h
 
Amat 0150-04418 Cable Assy Interconnect Sensors To Spi,
Amat 0150-04418 Cable Assy Interconnect Sensors To Spi,
200.00  14d 2h
 
Mott Corp 5140-1/4-SS-800SCCM-SIH4-30PSI-CLS100-10
Mott Corp 5140-1/4-SS-800SCCM-SIH4-30PSI-CLS100-10
168.00  29d 12h
 
Seiko Instrumenes Ns-2230h
Seiko Instrumenes Ns-2230h
899.00  5h 29m
 
Telebyte Model 458 Control Module, 422638
Telebyte Model 458 Control Module, 422638
400.00  2d 11h
 
Agilent Technologies Z4206A I/O Board PCB Card ASML 4022.470.06582  Working
Agilent Technologies Z4206A I/O Board PCB Card ASML 4022.470.06582  Working
1,508.12  15d 16h
 
MXI Micropyretics Heaters International Simgas 4 PLC Gas Mixing Controller
Top-Rated Plus Seller MXI Micropyretics Heaters International Simgas 4 PLC Gas Mixing Controller
750.00  20d 10h
 
STEC SEC-4400MC-UC-G2 Mass Flow Controller, MFC, N2, 200 SCCM, SEC-4400, 424321
STEC SEC-4400MC-UC-G2 Mass Flow Controller, MFC, N2, 200 SCCM, SEC-4400, 424321
850.00  9d 18h
 
Shinko Electric 3ASSYC805000 Interface PCB LDMIF Asyst VHT5-1-1  Working
Shinko Electric 3ASSYC805000 Interface PCB LDMIF Asyst VHT5-1-1  Working
309.18  24d 11h
 
Saint Gobain 3492015R Semiconductor Component
Saint Gobain 3492015R Semiconductor Component
19.99  27d 14h
 
SMC MDBG63-90-A53L Cylinder Reseller Lot of 4  Working
SMC MDBG63-90-A53L Cylinder Reseller Lot of 4  Working
407.16  28d 9h
 
Applied Materials 0040-95463 Face Plate, Remote Clean, Teos 300mm Amat
Applied Materials 0040-95463 Face Plate, Remote Clean, Teos 300mm Amat
699.00  13d 3h
 
005-0201// Mks B-5303-00 (#3) 2l39-000016-22(r) Generator [asis]
005-0201// Mks B-5303-00 (#3) 2l39-000016-22(r) Generator [asis]
4,500.00  9d 1h
 
231001-0 / Lift Hoop 8" Tin Chamber / Applied Materials Amat
231001-0 / Lift Hoop 8" Tin Chamber / Applied Materials Amat
950.03  20d 10h
 
0021-35008 / Plate, Reflector, 200mm, Mod Ii /applied Materials
0021-35008 / Plate, Reflector, 200mm, Mod Ii /applied Materials
800.98  23d 14h
 
03331-0761-0022 / Pressure Transmitter / Rosemount
03331-0761-0022 / Pressure Transmitter / Rosemount
1,000.98  1d 16h
 
716-022495-333   / Ring,edge,2300, Uniformity,low Temp / Lam
716-022495-333 / Ring,edge,2300, Uniformity,low Temp / Lam
1,400.98  5d 16h
 
2350881-00 / Main Circuit B. Nzm4 3p 630a Dly (eaton) / Lam
2350881-00 / Main Circuit B. Nzm4 3p 630a Dly (eaton) / Lam
1,150.98  5d 16h
 
716-031257-739 / Ring,edge,top,cer,300mm / Lam
716-031257-739 / Ring,edge,top,cer,300mm / Lam
920.98  7d 15h
 
Xy-hrs055zm109 / Linear Drive / Nsk
Xy-hrs055zm109 / Linear Drive / Nsk
815.98  12d 14h
 
17-291673-00 / Shield, .090 Thk, Passthru, Arc Spr / Novellus
17-291673-00 / Shield, .090 Thk, Passthru, Arc Spr / Novellus
880.98  20d 14h
 
05-00023-00 / Pm Kit, Semi-annual / Novellus Systems Inc
05-00023-00 / Pm Kit, Semi-annual / Novellus Systems Inc
950.00  21d 16h
 
386065 / Pcb Assy, Gpio, Ait2, Slot 8, W/exchange/ Kla-tencor
386065 / Pcb Assy, Gpio, Ait2, Slot 8, W/exchange/ Kla-tencor
1,200.98  1d 16h
 
ASM 1127-023-01 LID-HTM PROCESS CHAMBER-PREVIUM V2 Rev B
ASM 1127-023-01 LID-HTM PROCESS CHAMBER-PREVIUM V2 Rev B
1,253.18  9d 16h
 
Orbotech Optrotech EA 306-11064 Controller Board
Orbotech Optrotech EA 306-11064 Controller Board
333.00  11d 3h
 
Applied Materials AMAT TC Cable, 0140-01813
Applied Materials AMAT TC Cable, 0140-01813
315.00  25d 7h
 
26-82454 / Base, Pivot, Cap, Upper, 8300 / Amat
26-82454 / Base, Pivot, Cap, Upper, 8300 / Amat
96.77  7d 11h
 
AMAT Applied Materials  0010-09340 SUSCEPTOR LIFT without 0090-09145 ASSY TC AMP
AMAT Applied Materials 0010-09340 SUSCEPTOR LIFT without 0090-09145 ASSY TC AMP
688.00  18d 7h
 
Amat 0010-13268
Amat 0010-13268
5,350.00  14h 9m
 
Esec 901.910/1 901.181/8
Esec 901.910/1 901.181/8
239.00  15d 1h
 
MKS MFVA23C026AAA Mass Flow Verifier, 423480
MKS MFVA23C026AAA Mass Flow Verifier, 423480
550.00  27d 17h
 
LAM Research 713-107488-029 Rev B,Un,US^95631
LAM Research 713-107488-029 Rev B,Un,US^95631
59.90  25d 23h
 
AMAT Applied Materials 326426R06-PJ Mainframe Monitor 0240-15601 0242-25191
AMAT Applied Materials 326426R06-PJ Mainframe Monitor 0240-15601 0242-25191
507.16  19d 9h
 
344-0401// Amat Applied 0020-24771 Coupler 4 Bar Linkage Shutter
344-0401// Amat Applied 0020-24771 Coupler 4 Bar Linkage Shutter
300.00  24d 1h
 
326-0403// Amat Applied 0620-02279 Applied Matrials Components
326-0403// Amat Applied 0620-02279 Applied Matrials Components
300.00  12d 21h
 
322-0102// AMAT APPLIED 0190-20030 wFLOW SWITCH&CONTRL BD
322-0102// AMAT APPLIED 0190-20030 wFLOW SWITCH&CONTRL BD
600.00  12d 21h
 
344-0501// Amat Applied 0020-21736 Applied Matrials Components
344-0501// Amat Applied 0020-21736 Applied Matrials Components
260.00  16d 23h
 
344-0502// Amat Applied 0090-20283 Elect Assy N2 Flow Switch 24 S
344-0502// Amat Applied 0090-20283 Elect Assy N2 Flow Switch 24 S
240.00  12d 21h
 
141-0501// Amat Applied 0140-01587 Harness Assy, Ceb Dnet Input
141-0501// Amat Applied 0140-01587 Harness Assy, Ceb Dnet Input
250.00  16d 21h
 
141-0603// Amat Applied 0140-01888 Harness Assy, Throttling Gate  
141-0603// Amat Applied 0140-01888 Harness Assy, Throttling Gate
220.00  16d 1h
 
141-0701// Amat Applied 0150-09146 Cable Assy N2 Flow Swit
141-0701// Amat Applied 0150-09146 Cable Assy N2 Flow Swit
240.00  27d 21h
 
141-0703// Amat Applied 0140-02278 Applied Matrials Components
141-0703// Amat Applied 0140-02278 Applied Matrials Components
300.00  28d 1h
 
141-0703// Amat Applied 0140-09056 Harness Airflow Interlk
141-0703// Amat Applied 0140-09056 Harness Airflow Interlk
250.00  28d 1h
 
142-0601// Amat Applied 0140-40388 Hrns Assy, External Endpnt Int
142-0601// Amat Applied 0140-40388 Hrns Assy, External Endpnt Int
260.00  26d 0h
 
142-0602// Amat Applied 0150-08784 Cable Bleed Drain,meg Ldm 300mm Reflexio
142-0602// Amat Applied 0150-08784 Cable Bleed Drain,meg Ldm 300mm Reflexio
260.00  26d 3h
 
143-0503// Amat Applied 0150-02032 Cable Assy Leak Detector Tantalum Pdd Ba
143-0503// Amat Applied 0150-02032 Cable Assy Leak Detector Tantalum Pdd Ba
300.00  12d 2h
 
323-0201// Amat Applied 0030-09066 Lipseal,large 200mm,flat Chemr
323-0201// Amat Applied 0030-09066 Lipseal,large 200mm,flat Chemr
300.00  19d 1h
 
110-0602// AMAT APPLIED 0020-70487 Manifold, water, Anodized Alum
110-0602// AMAT APPLIED 0020-70487 Manifold, water, Anodized Alum
520.00  6d 23h
 
116-0503// Amat Applied 0200-09087 Qtz Ring 150mm Sputter
116-0503// Amat Applied 0200-09087 Qtz Ring 150mm Sputter
230.00  10d 2h
 
125-0404// Amat Applied 0020-31305 Insert,alum,outer,200mm Poly,2
125-0404// Amat Applied 0020-31305 Insert,alum,outer,200mm Poly,2
230.00  13d 20h
 
340-0201// Amat Applied 0020-21779 Flange, Blankoff Ccd
340-0201// Amat Applied 0020-21779 Flange, Blankoff Ccd
300.00  2d 23h
 
324-0203// Amat Applied 0200-20344 Bracket []
324-0203// Amat Applied 0200-20344 Bracket []
300.00  5d 1h
 
327-0401// Amat Applied 0020-18810 Screw, Holder, 300mm, Hdpcvd []
327-0401// Amat Applied 0020-18810 Screw, Holder, 300mm, Hdpcvd []
240.00  13d 3h
 
111-0303// Amat Applied 0020-32121 Ring Adapter Throttle Valve []
111-0303// Amat Applied 0020-32121 Ring Adapter Throttle Valve []
300.00  3d 20h
 
177-0402// Amat Applied 0040-00537 Helium Control Manifold []
177-0402// Amat Applied 0040-00537 Helium Control Manifold []
250.00  25d 0h
 
342-0303// Amat Applied 0020-23315 Bracket, Coherent ,w/b Ch []
342-0303// Amat Applied 0020-23315 Bracket, Coherent ,w/b Ch []
240.00  6d 3h
 
350-0402// Amat Applied 0020-04065 Cover, Heated Window []
350-0402// Amat Applied 0020-04065 Cover, Heated Window []
250.00  20d 19h
 
347-0201// Amat Applied 0020-22995 Side Receiver, Right 6"
347-0201// Amat Applied 0020-22995 Side Receiver, Right 6"
280.00  6d 20h
 
345-0101// Amat Applied 0020-23878 Side Receiver Special
345-0101// Amat Applied 0020-23878 Side Receiver Special
290.00  20d 2h
 
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424076
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424076
950.00  17d 14h
 
142-0601// Amat Applied 0140-20709 Harness Assy  External C & D Pcii Interc
142-0601// Amat Applied 0140-20709 Harness Assy External C & D Pcii Interc
250.00  26d 0h
 
116-0301// Amat Applied 0270-20144 Tool 6" Precln Pass Thru Calibration
116-0301// Amat Applied 0270-20144 Tool 6" Precln Pass Thru Calibration
260.00  9d 23h
 
327-0402// Amat Applied 0040-76296 Manifold Adapter, Otb Fac I/f, Return []
327-0402// Amat Applied 0040-76296 Manifold Adapter, Otb Fac I/f, Return []
320.00  17d 22h
 
327-0402// Amat Applied 0050-10186 Adaptor,valve-to-adaptor, Unibody/e []
327-0402// Amat Applied 0050-10186 Adaptor,valve-to-adaptor, Unibody/e []
250.00  17d 22h
 
406-0201// Amat 0040-09022 Valve [/fast]
406-0201// Amat 0040-09022 Valve [/fast]
500.00  20d 20h
 
407-0401// Electro-craft Ddm-005 Controller []
407-0401// Electro-craft Ddm-005 Controller []
400.00  22d 21h
 
417-0401// Yaskawa Sgds-08a05a Servopack [/fast]
417-0401// Yaskawa Sgds-08a05a Servopack [/fast]
500.00  28d 3h
 
418-0401// Yaskawa Sgdm-04ada Servopack [/fast]
418-0401// Yaskawa Sgdm-04ada Servopack [/fast]
300.00  29d 23h
 
425-0501// Omron R88d-ua10l Ac Servo Driver [/fast]
425-0501// Omron R88d-ua10l Ac Servo Driver [/fast]
300.00  2h 32m
 
435-0302// Panasonic Msma042a1e Ac Servo Motor [/fast]
435-0302// Panasonic Msma042a1e Ac Servo Motor [/fast]
400.00  5d 1h
 
445-0201// Oriental Motor Pk543aw-h100s Motor []
445-0201// Oriental Motor Pk543aw-h100s Motor []
300.00  7d 3h
 
434-0401// Panasonic Wv-mf212 Driver []
434-0401// Panasonic Wv-mf212 Driver []
300.00  12d 20h
 
177-0204// Veriflo 945aoplpncsfsfts4882 Valve []
177-0204// Veriflo 945aoplpncsfsfts4882 Valve []
300.00  1h 40m
 
341-0402// Amat Applied 0020-29328 Block, Magnet Holder, Rh-1, Rh-2 []
341-0402// Amat Applied 0020-29328 Block, Magnet Holder, Rh-1, Rh-2 []
300.00  4d 2h
 
350-0402// Amat Applied 0020-99241 Flange,pda Support, Std []
350-0402// Amat Applied 0020-99241 Flange,pda Support, Std []
560.00  21d 1h
 
Yamada AD Series Pulsation Damper 100 PSI  Working
Yamada AD Series Pulsation Damper 100 PSI  Working
606.14  1d 9h
 
AMAT Applied Materials 0100-90302 DAQ MICRO PCB Card 0120-92751  Working
AMAT Applied Materials 0100-90302 DAQ MICRO PCB Card 0120-92751  Working
502.19  24d 11h
 
AMAT Applied Materials 0020-26905 PB Shield Lower Knee 6" Refurbished Spare
AMAT Applied Materials 0020-26905 PB Shield Lower Knee 6" Refurbished Spare
1,307.18  2d 19h
 
145-0201// CIT 13FT (15Ax3570L) FLEX HE LINE HOSE [ASIS]
145-0201// CIT 13FT (15Ax3570L) FLEX HE LINE HOSE [ASIS]
200.00  1d 3h
 
325-0102// Amat Applied 0227-11914 Mfc 8160 20 Sccm Cf4 1/4vcr Mtl Nc [asis]
325-0102// Amat Applied 0227-11914 Mfc 8160 20 Sccm Cf4 1/4vcr Mtl Nc [asis]
300.00  2d 0h
 
325-0102// Amat Applied 3030-01886 Mfc 1660 100sccm He 1/4vcr Mtl Nc Hov [asis]
325-0102// Amat Applied 3030-01886 Mfc 1660 100sccm He 1/4vcr Mtl Nc Hov [asis]
300.00  2d 0h
 
325-0102// AMAT APPLIED 3030-01912 wMFC 1660 2SLM H2 1/4VCR MTL [ASIS]
325-0102// AMAT APPLIED 3030-01912 wMFC 1660 2SLM H2 1/4VCR MTL [ASIS]
300.00  2d 0h
 
325-0102// AMAT APPLIED 3030-01956 wMFC 1660 20SCCM TMB 1/4 VCR MTL NC [ASIS]
325-0102// AMAT APPLIED 3030-01956 wMFC 1660 20SCCM TMB 1/4 VCR MTL NC [ASIS]
300.00  2d 0h
 
325-0102// Amat Applied 3030-04975 Mfc 8160 100sccm Sf6 1/4vcr Mtl [asis]
325-0102// Amat Applied 3030-04975 Mfc 8160 100sccm Sf6 1/4vcr Mtl [asis]
300.00  2d 0h
 
325-0102// Amat Applied 3030-06944 Applied Matrials Components [asis]
325-0102// Amat Applied 3030-06944 Applied Matrials Components [asis]
300.00  2d 0h
 
325-0102// Amat Applied 3030-09288 Mfc 8160 200sccm Cl2 1/4vcr Mtl Nc Hov [asis]
325-0102// Amat Applied 3030-09288 Mfc 8160 200sccm Cl2 1/4vcr Mtl Nc Hov [asis]
300.00  2d 0h
 
325-0201// AMAT APPLIED 0225-33916 wUNIT UFC1160A HBR 200 SCCM [ASIS]
325-0201// AMAT APPLIED 0225-33916 wUNIT UFC1160A HBR 200 SCCM [ASIS]
300.00  2d 2h
 
325-0201// AMAT APPLIED 0225-33922 wUNIT MFC1160A AR, 200SCCM 7RA [ASIS]
325-0201// AMAT APPLIED 0225-33922 wUNIT MFC1160A AR, 200SCCM 7RA [ASIS]
300.00  2d 2h
 
325-0201// AMAT APPLIED 0225-33923 wUNIT MFC1160A CF4 50SCCM [ASIS]
325-0201// AMAT APPLIED 0225-33923 wUNIT MFC1160A CF4 50SCCM [ASIS]
300.00  2d 2h
 
325-0201// Amat Applied 0225-33924 Unit Mfc1160a 02 200sccm [asis]
325-0201// Amat Applied 0225-33924 Unit Mfc1160a 02 200sccm [asis]
300.00  2d 2h
 
325-0202// Amat Applied 0226-33157 Mfc Unit 1260 N2 2slm 10ra [asis]
325-0202// Amat Applied 0226-33157 Mfc Unit 1260 N2 2slm 10ra [asis]
300.00  2d 3h
 
325-0202// Amat Applied 3030-01059 Mfc 1660 300sccm N2 1/4vc [asis]
325-0202// Amat Applied 3030-01059 Mfc 1660 300sccm N2 1/4vc [asis]
300.00  2d 3h
 
325-0203// AMAT APPLIED 3030-01060 wAFC MFC 100 SCCM N2 SST [ASIS]
325-0203// AMAT APPLIED 3030-01060 wAFC MFC 100 SCCM N2 SST [ASIS]
300.00  2d 3h
 
325-0203// AMAT APPLIED 3030-01062 wAFC MASS FLOW CONT 100S **DEPOTS [ASIS]
325-0203// AMAT APPLIED 3030-01062 wAFC MASS FLOW CONT 100S **DEPOTS [ASIS]
300.00  2d 3h
 
325-0203// AMAT APPLIED 3030-01067 wAFC 100 SCCM NH3 [ASIS]
325-0203// AMAT APPLIED 3030-01067 wAFC 100 SCCM NH3 [ASIS]
300.00  2d 3h
 
325-0203// AMAT APPLIED 3030-01654 (#1) wAFC MFC UNIT N2 2SLM PIN D-CON [ASIS]
325-0203// AMAT APPLIED 3030-01654 (#1) wAFC MFC UNIT N2 2SLM PIN D-CON [ASIS]
300.00  2d 3h
 
325-0203// AMAT APPLIED 3030-01654 (#2) wAFC MFC UNIT N2 1SLM PIN D-CON [ASIS]
325-0203// AMAT APPLIED 3030-01654 (#2) wAFC MFC UNIT N2 1SLM PIN D-CON [ASIS]
300.00  2d 3h
 
325-0203// Amat Applied 3030-01662 Mfc Unit 300 Sccm Nf3 15 Pin D-con [asis]
325-0203// Amat Applied 3030-01662 Mfc Unit 300 Sccm Nf3 15 Pin D-con [asis]
300.00  2d 3h
 
325-0303// Unit Ufc-8160 (#3) N2 100sccm [asis]
325-0303// Unit Ufc-8160 (#3) N2 100sccm [asis]
300.00  3d 3h
 
325-0403// Sam Sfc1480fpd2 N2 20/60sccm [asis]
325-0403// Sam Sfc1480fpd2 N2 20/60sccm [asis]
200.00  15d 2h
 
111-0502// Amat Applied 0270-20212 Obs, Tool Zero Position Dual Blade []
111-0502// Amat Applied 0270-20212 Obs, Tool Zero Position Dual Blade []
260.00  23d 2h
 
340-0401// Amat Applied 0040-39504 Support, Turbo Controller []
340-0401// Amat Applied 0040-39504 Support, Turbo Controller []
200.00  22h 22m
 
346-0403// Amat Applied 0040-80356 Applied Matrials Components []
346-0403// Amat Applied 0040-80356 Applied Matrials Components []
200.00  1d 2h
 
126-0102// Smc Crb80-180 Rotary Actuator []
126-0102// Smc Crb80-180 Rotary Actuator []
150.00  7d 23h
 
126-0102// Smc Crb80-180-xjn Rotary Actuator []
126-0102// Smc Crb80-180-xjn Rotary Actuator []
150.00  7d 23h
 
348-0202// Amat Applied 0020-13337 Plate Blankoff Degas/orienter []
348-0202// Amat Applied 0020-13337 Plate Blankoff Degas/orienter []
220.00  12d 2h
 
180-0601// Ofs Dcm120 Rightwave Tm Module []
180-0601// Ofs Dcm120 Rightwave Tm Module []
500.00  9d 20h
 
147-0501// Amat Applied 0150-20077 Cable Assy Main Frame Pump Control
147-0501// Amat Applied 0150-20077 Cable Assy Main Frame Pump Control
490.00  22d 1h
 
147-0601// Amat Applied 0150-20008 Cable Assy, Convectron Interconnect I 25 Asis
147-0601// Amat Applied 0150-20008 Cable Assy, Convectron Interconnect I 25 Asis
430.00  22d 1h
 
113-0403// Amat Applied 0040-06392 Applied Matrials Components
113-0403// Amat Applied 0040-06392 Applied Matrials Components
200.00  4d 20h
 
340-0202// Amat Applied 0021-09718 Liner,slit Valve Asis
340-0202// Amat Applied 0021-09718 Liner,slit Valve Asis
200.00  4d 20h
 
109-0101// Nfovion Psig-0215ia Controller
109-0101// Nfovion Psig-0215ia Controller
500.00  29d 3h
 
407-0401// Nc 3930-00015 Adaptive Pressure Controller
407-0401// Nc 3930-00015 Adaptive Pressure Controller
200.00  29d 3h
 
411-0101// Dkm 9srdg1-60fw 9wd10br Motor [/fast]
411-0101// Dkm 9srdg1-60fw 9wd10br Motor [/fast]
100.00  27d 0h
 
435-0103// Panasonic Mbmh01dzls1 Motor [/fast]
435-0103// Panasonic Mbmh01dzls1 Motor [/fast]
300.00  4d 22h
 
439-0503// Oriental Motor Kxpm6180-abm Ac Servo Motor [/fast]
439-0503// Oriental Motor Kxpm6180-abm Ac Servo Motor [/fast]
500.00  5d 19h
 
443-0203// Oriental Motor K0624-m (cut Cable) Brushless Dc Motor []
443-0203// Oriental Motor K0624-m (cut Cable) Brushless Dc Motor []
200.00  6d 21h
 
443-0202// Oriental Motor K0366-m (cut Cable) Motor [/fast]
443-0202// Oriental Motor K0366-m (cut Cable) Motor [/fast]
200.00  6d 21h
 
443-0101// Oriental Motor Bhi82st-g Bh8g-50 (unclean) Induction Motor []
443-0101// Oriental Motor Bhi82st-g Bh8g-50 (unclean) Induction Motor []
200.00  6d 21h
 
430-0301// Syowa Kensan Million Skm-50 Motor []
430-0301// Syowa Kensan Million Skm-50 Motor []
200.00  11d 23h
 
430-0402// Aichi Cl3076 Motor []
430-0402// Aichi Cl3076 Motor []
200.00  12d 1h
 
430-0402// Aichi Cl3062 Motor []
430-0402// Aichi Cl3062 Motor []
200.00  12d 2h
 
430-0303// Cmc Jght-4921-2 Nt23-010-poo-q Motor []
430-0303// Cmc Jght-4921-2 Nt23-010-poo-q Motor []
300.00  12d 2h
 
430-0302// Inductive Ic-10368-0 Motor []
430-0302// Inductive Ic-10368-0 Motor []
200.00  12d 2h
 
438-0101// Oriental Motor Drl60pb4-05m (unclean) Motor []
438-0101// Oriental Motor Drl60pb4-05m (unclean) Motor []
200.00  14d 0h
 
440-0402// Oriental Motor Bm315-412 Motor []
440-0402// Oriental Motor Bm315-412 Motor []
200.00  14d 2h
 
442-0101// Orienatal Motor A4886-9215km Motor []
442-0101// Orienatal Motor A4886-9215km Motor []
200.00  14d 18h
 
442-0201// Orienatal Motor A5653-9215ktgm Motor []
442-0201// Orienatal Motor A5653-9215ktgm Motor []
200.00  14d 19h
 
316-0403// Amat Applied 0020-22391 Shield Hi Pressure Flow Restrictor []
316-0403// Amat Applied 0020-22391 Shield Hi Pressure Flow Restrictor []
200.00  18d 20h
 
118-0401// Mrc C16-0437-a4528-02 Target [asis]
118-0401// Mrc C16-0437-a4528-02 Target [asis]
500.00  20d 1h
 
114-0501// Amat Applied 0015-20030 Mod Interior Trim 54 Position []
114-0501// Amat Applied 0015-20030 Mod Interior Trim 54 Position []
160.00  20d 3h
 
114-0501// Amat Applied 0020-09522 Housing, Power Connector []
114-0501// Amat Applied 0020-09522 Housing, Power Connector []
190.00  20d 3h
 
355-0501// Amat Applied 0020-22187 Plate Mount Adapter []
355-0501// Amat Applied 0020-22187 Plate Mount Adapter []
210.00  17d 21h
 
123-0103// Amat Applied 3020-01126 Cyl Air 63mm Bore 25mm Stroke W/auto []
123-0103// Amat Applied 3020-01126 Cyl Air 63mm Bore 25mm Stroke W/auto []
200.00  7d 19h
 
176-0303// Smc Kka7s-x33 Coupler Stainless Steel [asis]
176-0303// Smc Kka7s-x33 Coupler Stainless Steel [asis]
200.00  7d 3h
 
349-0501// Amat Applied 0020-22351 Housing Position D []
349-0501// Amat Applied 0020-22351 Housing Position D []
200.00  12d 3h
 
9103400374 / Fast Pulse Converter /canon
9103400374 / Fast Pulse Converter /canon
5,500.97  26d 16h
 
SVG Silicon Valley Group 24-45451-01 Fixture Parts Lot A 24-37963-01 90S
SVG Silicon Valley Group 24-45451-01 Fixture Parts Lot A 24-37963-01 90S
2,011.09  2d 14h
 
Xycarb 10129601 Susceptor Concave 6.65OD 150MM ASM 3552985-02
Xycarb 10129601 Susceptor Concave 6.65OD 150MM ASM 3552985-02
807.18  2d 19h
 
AMAT Applied Materials EMAX SLIT LINER EMAX KIT Manufacturer Refurbished
AMAT Applied Materials EMAX SLIT LINER EMAX KIT Manufacturer Refurbished
408.18  2d 17h
 
APPLIED MATERIALS 21016404034 Board Assy Backplane
APPLIED MATERIALS 21016404034 Board Assy Backplane
168.00  27d 5h
 
Asyst 4002-8135-01 Blade Mount, 411232
Asyst 4002-8135-01 Blade Mount, 411232
950.00  24d 15h
 
SUNX LA-310P LA-310D Beam Sensor and LA-A1 Controller  Working
SUNX LA-310P LA-310D Beam Sensor and LA-A1 Controller  Working
1,208.11  28d 17h
 
UFC-1100 CHF3 100 SCCM MFC Unit Instruments Inc
UFC-1100 CHF3 100 SCCM MFC Unit Instruments Inc
120.00  18d 15h
 
Base Plate Assembly, 102207731, 102207711, Lot of 2,
Base Plate Assembly, 102207731, 102207711, Lot of 2,
50.00  11d 13h
 
Buckley Systems 17133424 Electrode RE 11.0 CM QD33424  Surplus
Buckley Systems 17133424 Electrode RE 11.0 CM QD33424  Surplus
306.18  20d 9h
 
ASML 4022.437.10233 Board AD
ASML 4022.437.10233 Board AD
479.99  23d 14h
 
Power-One RPM5H4H4KCS673 Dual Output Power Supply 2500W  Working
Power-One RPM5H4H4KCS673 Dual Output Power Supply 2500W  Working
760.17  27d 10h
 
Nien-Yi AWM E212689 Grey DB9 Male to DB9 Female Serial Cable, 452352
Nien-Yi AWM E212689 Grey DB9 Male to DB9 Female Serial Cable, 452352
10.00  6d 15h
 
8 Parker X172PL-2-2 Brass Fitting, Tee, LAM 452363
8 Parker X172PL-2-2 Brass Fitting, Tee, LAM 452363
50.00  7d 14h
 
SMC CDQ2B20-10DCM Pneumatic Cylinder, 233-3851-38, 452364
SMC CDQ2B20-10DCM Pneumatic Cylinder, 233-3851-38, 452364
95.00  7d 15h
 
AMAT 0150-09101 Cable Asy Ozonator Control B, 452403
AMAT 0150-09101 Cable Asy Ozonator Control B, 452403
40.00  17d 17h
 
Oriental Motor T-MU9255-21-GP Fan, 452288
Oriental Motor T-MU9255-21-GP Fan, 452288
30.00  3d 17h
 
AMAT 26-81147-00 Strap Bracket 452358
AMAT 26-81147-00 Strap Bracket 452358
50.00  7d 13h
 
10 Parker X172PL-2-2 Brass Fitting, Tee, LAM 452357
10 Parker X172PL-2-2 Brass Fitting, Tee, LAM 452357
50.00  7d 14h
 
AMAT 0150-20720 Cable Assy CH C/D Pneumatic Interconnect, 452495
AMAT 0150-20720 Cable Assy CH C/D Pneumatic Interconnect, 452495
30.00  19d 12h
 
Novellus 03-153948-00 Cable, 452497
Novellus 03-153948-00 Cable, 452497
95.00  19d 13h
 
SUNX EX-23 Sensor Thru-beam, Side Sens, 452615
SUNX EX-23 Sensor Thru-beam, Side Sens, 452615
15.00  15d 17h
 
Tescom 31-1a65aahf2gf2y Penobscot Valve,
Tescom 31-1a65aahf2gf2y Penobscot Valve,
450.00  22d 19h
 
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP 424160
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP 424160
950.00  6d 19h
 
325-0303// Unit Ufc-8160 (#4) N2 50sccm [asis]
325-0303// Unit Ufc-8160 (#4) N2 50sccm [asis]
300.00  3d 3h
 
443-0201// Oriental Motor K0366-m Brushless Dc Motor [/fast]
443-0201// Oriental Motor K0366-m Brushless Dc Motor [/fast]
200.00  6d 21h
 
FESTO DSR-32-180-P Semi Rotary Drive Actuator ,
FESTO DSR-32-180-P Semi Rotary Drive Actuator ,
400.00  1d 23h
 
Amat 0040-03664 Arm, Right, 300mm, Robot Wrist,
Amat 0040-03664 Arm, Right, 300mm, Robot Wrist,
450.00  9d 18h
 
Amat 0240-76980 Kit, 8" Cassette Handler Shipping Clam,
Amat 0240-76980 Kit, 8" Cassette Handler Shipping Clam,
280.00  14d 5h
 
Novellus 15-105432-05 Screen Louvered Tmp Spd/spd,
Novellus 15-105432-05 Screen Louvered Tmp Spd/spd,
400.00  3d 0h
 
Agilent Z4201-20002 PCB Z4401 PC RPIU  Working
Agilent Z4201-20002 PCB Z4401 PC RPIU  Working
459.16  2d 16h
 
Gasonics 90-2607 Controller Board PCB Rev. F  Working
Gasonics 90-2607 Controller Board PCB Rev. F  Working
1,507.12  25d 17h
 
DIP EH0111(D)-10C Power Supply PCB EH0111 DB-D56-101E Working Spare
DIP EH0111(D)-10C Power Supply PCB EH0111 DB-D56-101E Working Spare
610.17  14d 15h
 
545-5517 / Pcb, Pmcont / Hitachi
545-5517 / Pcb, Pmcont / Hitachi
452.68  9d 19h
 
ASM Advanced Semiconductor Materials P114295400 Kit-Swap FLR Generic-Dev
ASM Advanced Semiconductor Materials P114295400 Kit-Swap FLR Generic-Dev
1,207.18  2d 18h
 
TEL Tokyo Electron P1264 Telius Clean Trench Shutter cleaned  Working
TEL Tokyo Electron P1264 Telius Clean Trench Shutter cleaned  Working
404.15  2d 16h
 
Axcelis Technologies 531061 E84 Interface Board PCB Nikon NSR System
Axcelis Technologies 531061 E84 Interface Board PCB Nikon NSR System
308.18  18d 10h
 
Millipore Interface cable WCDI00020
Millipore Interface cable WCDI00020
109.00  16d 12h
 
Kenshington servo positioning controller 4000A
Kenshington servo positioning controller 4000A
1,500.00  26d 2h
 
17338570 / Inner Striker Plate Liner / Axcelis Technologies
17338570 / Inner Striker Plate Liner / Axcelis Technologies
256.00  15d 15h
 
17122900 / Shield Exit (inner) / Axcelis Technologies
17122900 / Shield Exit (inner) / Axcelis Technologies
228.00  4d 14h
 
604/6/d75245a-1hpw / High Purity Diaphragm Valve 2/2 Way Pneumatic Plast / Gemu
604/6/d75245a-1hpw / High Purity Diaphragm Valve 2/2 Way Pneumatic Plast / Gemu
175.99  14d 12h
 
Unit Instruments, Model UFC-1500A MASS FLOW CONTROLLER, N2, 500 SCCM
Top-Rated Plus Seller Unit Instruments, Model UFC-1500A MASS FLOW CONTROLLER, N2, 500 SCCM
58.00  1d 14h
 
347-0403// Amat Applied 0020-40723 Applied Matrials Components
347-0403// Amat Applied 0020-40723 Applied Matrials Components
300.00  4d 0h
 
Tegal 41-238-008 RF Shield React Fill
Tegal 41-238-008 RF Shield React Fill
1,006.18  2d 17h
 
142-0502// Amat Applied 0227-02544 Assy, Harness Interface To Switch
142-0502// Amat Applied 0227-02544 Assy, Harness Interface To Switch
210.00  7d 0h
 
177-0501// Amat Applied 0050-76073 Applied Matrials Components []
177-0501// Amat Applied 0050-76073 Applied Matrials Components []
180.00  21h 44m
 
177-0501// Amat Applied 0050-76072 Applied Matrials Components []
177-0501// Amat Applied 0050-76072 Applied Matrials Components []
180.00  21h 43m
 
000-0000// Amat Applied 0040-20652 Applied Matrials Components [asis]
000-0000// Amat Applied 0040-20652 Applied Matrials Components [asis]
3,500.00  15d 20h
 
Millipore FC-2979MEP5-W Mass Flow Controller, MFC, O2, 100 SCCM, 424287
Millipore FC-2979MEP5-W Mass Flow Controller, MFC, O2, 100 SCCM, 424287
850.00  1d 15h
 
ASML 4022.471.4657 Interface Board PCB Card S15 4022.471.46581  Working
ASML 4022.471.4657 Interface Board PCB Card S15 4022.471.46581  Working
506.17  8d 15h
 
AMAT Applied Materials 0040-80228 QX Source Chamber 0040-80232 002-86470
AMAT Applied Materials 0040-80228 QX Source Chamber 0040-80232 002-86470
1,006.15  28d 10h
 
ASM 16-184983-01 Pin Quartz Angle No Step Lot of 11
ASM 16-184983-01 Pin Quartz Angle No Step Lot of 11
307.18  9d 15h
 
AMAT 0200-04180 Cover, Quartz, 8", EXT 417437
AMAT 0200-04180 Cover, Quartz, 8", EXT 417437
900.00  1d 18h
 
GaSonics 90-2608 PCA Loadlock Interface PCB Board Rev. D A-2000LL  Working
GaSonics 90-2608 PCA Loadlock Interface PCB Board Rev. D A-2000LL  Working
410.16  12h 34m
 
ASML 4022.471.5752 Circuit Board PCB  Working
ASML 4022.471.5752 Circuit Board PCB  Working
309.16  26d 16h
 
AMAT Applied Materials 0040-41887 Slit Valve External Insert Piece Lot of 3
AMAT Applied Materials 0040-41887 Slit Valve External Insert Piece Lot of 3
1,205.12  13d 16h
 
323-0201// Amat Applied 3700-01927 Oring Id 13.984 Csd .139 Kalre
323-0201// Amat Applied 3700-01927 Oring Id 13.984 Csd .139 Kalre
450.00  22d 20h
 
116-0502// Amat Applied 0200-09425 Ring Focusing Qtz Al 200mm .89
116-0502// Amat Applied 0200-09425 Ring Focusing Qtz Al 200mm .89
600.00  10d 1h
 
114-0401// Amat Applied 0242-38062 0021- 36695 Kit, Upper Dome Ring []
114-0401// Amat Applied 0242-38062 0021- 36695 Kit, Upper Dome Ring []
620.00  10d 23h
 
319-0303// Amat Applied 3620-01355 Kit Horizontal Water Ftg Seiko Stp []
319-0303// Amat Applied 3620-01355 Kit Horizontal Water Ftg Seiko Stp []
560.00  11d 1h
 
347-0203// Amat Applied 0040-31844 Assy, Bellows, Lift Pin []
347-0203// Amat Applied 0040-31844 Assy, Bellows, Lift Pin []
590.00  17d 1h
 
323-0402// Amat Applied 0040-00457 (#1) Cable Fibre Optic 6 Foot []
323-0402// Amat Applied 0040-00457 (#1) Cable Fibre Optic 6 Foot []
500.00  1d 2h
 
176-0104// Amat Applied 0050-09385 Weldment Mainifold,3 Lines []
176-0104// Amat Applied 0050-09385 Weldment Mainifold,3 Lines []
590.00  19d 20h
 
323-0302// Amat Applied 0010-20511 Assy, Dc Bais Short Monitor []
323-0302// Amat Applied 0010-20511 Assy, Dc Bais Short Monitor []
450.00  22d 20h
 
322-0203// Amat Applied 0190-10982 Sensor Hx/fcw Flowswitch 5 Sec Delay []
322-0203// Amat Applied 0190-10982 Sensor Hx/fcw Flowswitch 5 Sec Delay []
600.00  3d 2h
 
320-0303// Amat Applied 0190-16926 Dnet Bus Scanner, Single Channel, Sst Cp
320-0303// Amat Applied 0190-16926 Dnet Bus Scanner, Single Channel, Sst Cp
500.00  17d 0h
 
327-0402// Amat Applied 0040-76298 Manifold Adapter, Otb Fac I/f []
327-0402// Amat Applied 0040-76298 Manifold Adapter, Otb Fac I/f []
500.00  18d 0h
 
426-0401// Omron Nt30c-st141-ek Interactive Display [/fast]
426-0401// Omron Nt30c-st141-ek Interactive Display [/fast]
500.00  22h 9m
 
426-0401// Omron Nt31-st121b-v2 Interactive Display [/fast]
426-0401// Omron Nt31-st121b-v2 Interactive Display [/fast]
500.00  22h 10m
 
430-0203// Pittman Tcp-542-35-h1-or (unclean) Motor []
430-0203// Pittman Tcp-542-35-h1-or (unclean) Motor []
500.00  11d 23h
 
352-0501// Amat Applied 0240-05308 Kit, W/ Modified Flex Conductor []
352-0501// Amat Applied 0240-05308 Kit, W/ Modified Flex Conductor []
550.00  17d 21h
 
134-0502// Amat Applied 0020-21707 Lifter 8 [2nd ]
134-0502// Amat Applied 0020-21707 Lifter 8 [2nd ]
600.00  5d 2h
 
176-0403// Amat Applied 0050-75741 Gasline Lower,heater Bypass,wb,mixed []
176-0403// Amat Applied 0050-75741 Gasline Lower,heater Bypass,wb,mixed []
520.00  24d 23h
 
176-0504// Amat Applied 0040-76367 Manifold Tall []
176-0504// Amat Applied 0040-76367 Manifold Tall []
470.00  21h 38m
 
176-0504// Amat Applied 0040-93545 Flexible Manifold,btl 1 Din 8 []
176-0504// Amat Applied 0040-93545 Flexible Manifold,btl 1 Din 8 []
520.00  21h 39m
 
176-0504// Amat Applied 0040-93983 Pigtail, Bottle, Din8 []
176-0504// Amat Applied 0040-93983 Pigtail, Bottle, Din8 []
580.00  19d 3h
 
320-0403// Amat Applied 0190-45576 Applied Matrials Components []
320-0403// Amat Applied 0190-45576 Applied Matrials Components []
500.00  3d 2h
 
402-0102// Dart Controls Pu-40r Sensor []
402-0102// Dart Controls Pu-40r Sensor []
430.00  15d 0h
 
ASML 4022.471.6809 Interface Board PCB Card 03 4022.471.57531  Working
ASML 4022.471.6809 Interface Board PCB Card 03 4022.471.57531  Working
506.17  8d 15h
 
SVG Silicon Valley Group 851-8518-005 A/D Conversion PCB Card Rev. B 90S
SVG Silicon Valley Group 851-8518-005 A/D Conversion PCB Card Rev. B 90S
410.18  22d 14h
 
IHH RF Detector 3.39MHz
IHH RF Detector 3.39MHz
99.90  20h 44m
 
[10UNITS] PISCO Stainless steel SUS 316 fitting Union SSG8-6
[10UNITS] PISCO Stainless steel SUS 316 fitting Union SSG8-6
99.90  29d 1h
 
Comtrol 5302265 REV C PC Board
Comtrol 5302265 REV C PC Board
99.90  10d 22h
 
[10UNITS] PISCO Stainless steel SUS 316 fitting Union SSU6
[10UNITS] PISCO Stainless steel SUS 316 fitting Union SSU6
99.90  29d 1h
 
010-0101// Amat Applied 0010-37255 (#2) Applied Matrials Components [asis]
010-0101// Amat Applied 0010-37255 (#2) Applied Matrials Components [asis]
10,000.00  17d 21h
 
010-0101// Amat Applied 0010-37255 (#1) Applied Matrials Components [asis]
010-0101// Amat Applied 0010-37255 (#1) Applied Matrials Components [asis]
10,000.00  17d 21h
 
Amat 0041-12192 Rev 03 Ham139259,
Amat 0041-12192 Rev 03 Ham139259,
2,200.00  28d 0h
 
9903 United Electronic Industries Pcb, Powerdaq 16-chnl Analog O/p Pd2-ao-16/16
9903 United Electronic Industries Pcb, Powerdaq 16-chnl Analog O/p Pd2-ao-16/16
200.00  5d 1h
 
TEL Tokyo Electron 2981-600669-11 Interface Board PCB CONN CT NDP DRV #01
TEL Tokyo Electron 2981-600669-11 Interface Board PCB CONN CT NDP DRV #01
503.18  5d 16h
 
Applied Materials AMAT Flow Restirctor, 3510-00244
Applied Materials AMAT Flow Restirctor, 3510-00244
195.00  27d 5h
 
Applied Materials AMAT Flow Restirctor, 3510-00274
Applied Materials AMAT Flow Restirctor, 3510-00274
195.00  27d 5h
 
SVG Silicon Valley Group 854-8307-001 PCB Board 859-5485-002 ASML  Working
SVG Silicon Valley Group 854-8307-001 PCB Board 859-5485-002 ASML  Working
301.17  24d 18h
 
AMAT 0021-08749 Spacer, Small Footprint System, 200mm CE, 417505
AMAT 0021-08749 Spacer, Small Footprint System, 200mm CE, 417505
950.00  6d 13h
 
TEL Tokyo Electron 2985-527776-11 Shutter Assembly 8LHP  Working
TEL Tokyo Electron 2985-527776-11 Shutter Assembly 8LHP  Working
361.18  24d 14h
 
4423  Applied Materials 0100-00035 PWB, MANO Range Select
4423 Applied Materials 0100-00035 PWB, MANO Range Select
250.00  12d 18h
 
Asm Rf Power Source Maiii-5ky
Asm Rf Power Source Maiii-5ky
1,000.00  24d 23h
 
AMAT Applied Materials 0090-91409 Beamline Interlock Box Rev. A
AMAT Applied Materials 0090-91409 Beamline Interlock Box Rev. A
1,001.13  22d 15h
 
352-0103// Amat Applied 0020-23086 Spacer Shield High- Conduct., Coll/101% []
352-0103// Amat Applied 0020-23086 Spacer Shield High- Conduct., Coll/101% []
200.00  7d 20h
 
Applied Materials AMAT Opal Flow Indicator, 230880330
Applied Materials AMAT Opal Flow Indicator, 230880330
345.00  18d 8h
 
Sharp D94013A 1/2 Processor Board PCB RUNTK5059JPZZ  Working
Sharp D94013A 1/2 Processor Board PCB RUNTK5059JPZZ  Working
502.19  27d 16h
 
99-75158-01 PCB Assembly Board, CONT CONN
99-75158-01 PCB Assembly Board, CONT CONN
54.65  2d 13h
 
RECIF Technologies PCOFH2500B STD Processor Board PCB STDH2500A  Working
RECIF Technologies PCOFH2500B STD Processor Board PCB STDH2500A  Working
355.17  23d 10h
 
Esi 79575 Rev H Pcb  Board Assy
Esi 79575 Rev H Pcb Board Assy
488.00  21d 8h
 
Omron T-Port Tap Terminal DCN1-1 DCN11
Omron T-Port Tap Terminal DCN1-1 DCN11
10.00  23d 8h
 
AMAT 0020-98259 Nosepiece, Elect E1 Univ, 417633
AMAT 0020-98259 Nosepiece, Elect E1 Univ, 417633
785.00  8d 13h
 
DIP 15049105 DeviceNet Analog I/O PCB Card CDN491 AMAT 0190-08860 Rev. 001 Spare
DIP 15049105 DeviceNet Analog I/O PCB Card CDN491 AMAT 0190-08860 Rev. 001 Spare
510.17  8d 10h
 
AMAT 0200-00042-B, Quartz Cover, 6", POLY, 0.40. 417402
AMAT 0200-00042-B, Quartz Cover, 6", POLY, 0.40. 417402
1,100.00  1d 16h
 
TEL Tokyo Electron 3D81-00004-15 PCB TYB622-1/GAS2 T-3044SS  Working
TEL Tokyo Electron 3D81-00004-15 PCB TYB622-1/GAS2 T-3044SS  Working
504.16  3d 13h
 
137-0404// Amat Applied 0050-40653 Applied Matrials Components []
137-0404// Amat Applied 0050-40653 Applied Matrials Components []
290.00  2d 3h
 
Yaskawa Electric USAREM-01DS2K AC Servo Motor
Yaskawa Electric USAREM-01DS2K AC Servo Motor
707.18  2d 18h
 
Halstrup Walcher REG 21 Differential Pressure Transmitter  Working
Halstrup Walcher REG 21 Differential Pressure Transmitter  Working
311.14  1d 16h
 
Opal Ea 30612720100 Hmdb Board Ek 1 94v-0 0401
Opal Ea 30612720100 Hmdb Board Ek 1 94v-0 0401
699.99  3d 19h
 
Sy3120-5gs-m5 (lot Of 3) / Pneumatic Valve Bal01 Bal10b Bal20 Bal30b / Smc
Sy3120-5gs-m5 (lot Of 3) / Pneumatic Valve Bal01 Bal10b Bal20 Bal30b / Smc
225.03  3d 10h
 
Asm 75-125051a08 Enclosure-torus Service Liquid Level Kit
Asm 75-125051a08 Enclosure-torus Service Liquid Level Kit
807.18  2d 19h
 
TEL Tokyo Electron APC-T0047A-11 IF AMHS #02 Board PCB 5044-000063-11
TEL Tokyo Electron APC-T0047A-11 IF AMHS #02 Board PCB 5044-000063-11
602.19  10d 18h
 
Tel Tokyo Electron Seal,bellows 810-220084-2
Tel Tokyo Electron Seal,bellows 810-220084-2
999.99  7d 18h
 
Asm 04-332828-03 Kit-blades-stepped-intmd Inj Plt-stm
Asm 04-332828-03 Kit-blades-stepped-intmd Inj Plt-stm
1,207.18  2d 19h
 
AMAT Applied Materials 0140-38097 IPS CHDIPS032010 Lower Lamp Connector
AMAT Applied Materials 0140-38097 IPS CHDIPS032010 Lower Lamp Connector
504.18  28d 10h
 
2 M-3-30120 Electrode, Body, Made of Teflon, 423853
2 M-3-30120 Electrode, Body, Made of Teflon, 423853
850.00  16d 14h
 
Wallac POS.HV Supply EPK 1055 2638 A
Wallac POS.HV Supply EPK 1055 2638 A
159.75  15d 17h
 
 Axsys Technology B/SYSPDIAF
 Axsys Technology B/SYSPDIAF
500.00  21d 14h
 
Kniel 303-013-04 .03 Power Supply PCB Card ASML 4022.476.01311 Working Surplus
Kniel 303-013-04 .03 Power Supply PCB Card ASML 4022.476.01311 Working Surplus
509.17  19d 16h
 
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424016
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424016
950.00  9d 18h
 
AMAT 0200-04180, Quartz Cover 8", EXT, 418653
AMAT 0200-04180, Quartz Cover 8", EXT, 418653
900.00  28d 18h
 
DIP 15039603 DeviceNet Analog I/O PCB Card CDN396 AMAT 0660-00078 FW 3.004 Spare
DIP 15039603 DeviceNet Analog I/O PCB Card CDN396 AMAT 0660-00078 FW 3.004 Spare
508.18  3d 10h
 
Amat Outer Rf Filter 0040-88041
Amat Outer Rf Filter 0040-88041
616.00  2d 2h
 
Spectra Physics 2000 Stack Control PCB ASSY 0129-8060 Rev 7 Board Made in USA
Spectra Physics 2000 Stack Control PCB ASSY 0129-8060 Rev 7 Board Made in USA
199.75  15d 13h
 
10335-000 / Applicator Tube 1018882 / Ltd Materials Llc
10335-000 / Applicator Tube 1018882 / Ltd Materials Llc
352.00  19d 10h
 
Amat 0140-01852 Cable Chem Facility Inter Box Facility B,
Amat 0140-01852 Cable Chem Facility Inter Box Facility B,
400.00  29d 18h
 
Pb24599 / Mlrv Safety Pcb, Right (bm24599) / Pri
Pb24599 / Mlrv Safety Pcb, Right (bm24599) / Pri
100.99  12d 13h
 
9545-0025 / Heater Jacket 4.5, Ins, Flg/v1i, 0dp0 / Hps
9545-0025 / Heater Jacket 4.5, Ins, Flg/v1i, 0dp0 / Hps
35.82  12d 18h
 
4545-0016 / Heater Jacket 4.5, Ins Flg, 0dp0 / Hps
4545-0016 / Heater Jacket 4.5, Ins Flg, 0dp0 / Hps
49.62  12d 18h
 
Nikon 2S700-583-1 CCCNT Board PCB Card 2S014-036-4 v2.23 OPTISTATION 3
Nikon 2S700-583-1 CCCNT Board PCB Card 2S014-036-4 v2.23 OPTISTATION 3
666.70  17d 17h
 
156-0504// Amat Applied 1410-01325 Applied Matrials Component
156-0504// Amat Applied 1410-01325 Applied Matrials Component
300.00  4d 23h
 
Power-One HD15-6-A, Power Supply, 15VDC, 6A. 419953
Power-One HD15-6-A, Power Supply, 15VDC, 6A. 419953
30.00  24d 18h
 
143-0203// Amat Applied 0150-35662 Cable, 24vac, Rear Service Lam
143-0203// Amat Applied 0150-35662 Cable, 24vac, Rear Service Lam
300.00  8d 1h
 
Vector Technology Control Cabinet with Boards FAB 1473-00 Rev. 2 Made in USA
Vector Technology Control Cabinet with Boards FAB 1473-00 Rev. 2 Made in USA
999.99  22d 0h
 
AMAT Applied Materials 0021-04644 Lower Shield 8" STD Ti OEM Refurbished Surplus
AMAT Applied Materials 0021-04644 Lower Shield 8" STD Ti OEM Refurbished Surplus
807.18  2d 22h
 
Accent Optical Technologies PMM40000 Handler Module MC/2488  Working
Accent Optical Technologies PMM40000 Handler Module MC/2488  Working
1,009.12  8d 10h
 
Dalsa TA-C1-04K30-50E Video Controller   Working
Dalsa TA-C1-04K30-50E Video Controller  Working
501.17  13h 23m
 
ASM 3753603-01 Shield PCE LWR Side
ASM 3753603-01 Shield PCE LWR Side
956.18  2d 18h
 
392-1b-794-0789 / Serial I/o Pcb, 392a / Lam Research Corporation
392-1b-794-0789 / Serial I/o Pcb, 392a / Lam Research Corporation
350.68  9d 14h
 
3200394 / Valve Bellows High Pressure 3500psig 1/4 Mvcr / Axcelis Technologies
3200394 / Valve Bellows High Pressure 3500psig 1/4 Mvcr / Axcelis Technologies
541.00  10d 11h
 
TEL Tokyo Electron 1B80-001529-11 Module Board PCB 3482944-0A-A  Working
TEL Tokyo Electron 1B80-001529-11 Module Board PCB 3482944-0A-A  Working
503.18  8d 16h
 
AMAT 0150-20639 Cable Assembly 2-Phase, 451569
AMAT 0150-20639 Cable Assembly 2-Phase, 451569
150.00  20d 17h
 
AMAT 0140-13106 2-Phase Driver, 451567
AMAT 0140-13106 2-Phase Driver, 451567
150.00  20d 16h
 
SVG Silicon Valley Group 859-0950-008-C Power I/O Module A1300  Working
SVG Silicon Valley Group 859-0950-008-C Power I/O Module A1300  Working
907.12  2d 15h
 
TEL Tokyo Electron 3Z81-000011-V1 Interface Board PCB TDB343-1/AC
TEL Tokyo Electron 3Z81-000011-V1 Interface Board PCB TDB343-1/AC
506.18  20d 15h
 
Fujikin 467000 Type NC Diaphragm Valve 0.39~0.59MPa 2 Port C-Seal Lot of 12
Fujikin 467000 Type NC Diaphragm Valve 0.39~0.59MPa 2 Port C-Seal Lot of 12
484.16  2d 16h
 
Asm 1054-500-01 Rfk-manometer Strain Relief Bracket
Asm 1054-500-01 Rfk-manometer Strain Relief Bracket
757.18  2d 19h
 
GME 762168 Type MT4218-S DC Servo Motor  Working
GME 762168 Type MT4218-S DC Servo Motor  Working
306.18  2d 20h
 
MECS OF101 Robot Controller  Working
MECS OF101 Robot Controller  Working
1,507.12  28d 17h
 
AMAT 0010-00561 w/ 0100-00195 Endpoint Interface/Smoother PCB, 400927
AMAT 0010-00561 w/ 0100-00195 Endpoint Interface/Smoother PCB, 400927
1,450.00  18d 18h
 
Komatsu Electronics 20000240 Heat Exchanger Power Supply HGR-72  Working
Komatsu Electronics 20000240 Heat Exchanger Power Supply HGR-72  Working
1,002.14  1d 11h
 
AMAT 3300-03164 FTGQDISC STEM 1/4T Swagelok, SESO 2.36 LG, 453024
AMAT 3300-03164 FTGQDISC STEM 1/4T Swagelok, SESO 2.36 LG, 453024
30.00  20d 13h
 
201-008 Bearing, 3/8ID x 7/8OD, FLG DBL SH, 453026
201-008 Bearing, 3/8ID x 7/8OD, FLG DBL SH, 453026
30.00  20d 13h
 
4 AMAT 3880-01215 Washer Flat .375OD X .161ID X .062THK, 453048
4 AMAT 3880-01215 Washer Flat .375OD X .161ID X .062THK, 453048
40.00  22d 14h
 
408-0301// Glentek Sma9815-000-000-1a-1 Controller [/fast]
408-0301// Glentek Sma9815-000-000-1a-1 Controller [/fast]
400.00  21d 1h
 
421-0501// Sanyo Denki Pm-udpc1a02-20 Driver [/fast]
421-0501// Sanyo Denki Pm-udpc1a02-20 Driver [/fast]
400.00  29d 22h
 
0150-20112 Cable Assy Emo Generator 1/2 Int
0150-20112 Cable Assy Emo Generator 1/2 Int
99.00  18d 17h
 
Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. J No Motors  Working
Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. J No Motors  Working
1,503.14  10d 11h
 
Applied Materials/AMAT (Part Number: 0041-01494)
Applied Materials/AMAT (Part Number: 0041-01494)
600.00  27d 13h
 
SVG Silicon Valley Group 859-8366-011 Power Supply Assembly ASML  Working
SVG Silicon Valley Group 859-8366-011 Power Supply Assembly ASML  Working
908.13  10d 14h
 
ESI 616  Measurement Board 168146
ESI 616 Measurement Board 168146
1,388.00  5d 23h
 
KLA-Tencor 781-11759-000 Lid Lift Leg Assembly  Working
KLA-Tencor 781-11759-000 Lid Lift Leg Assembly  Working
906.08  9d 11h
 
UNIT UFC-1660 Mass Flow Controller MFC SF6, 100 SCCM, 424332
UNIT UFC-1660 Mass Flow Controller MFC SF6, 100 SCCM, 424332
795.00  10d 19h
 
343-0403// Amat Applied 0020-02220 Connecting Rod
343-0403// Amat Applied 0020-02220 Connecting Rod
60.00  1d 21h
 
BALDOR K1599005 Brushless AC Servomotor
BALDOR K1599005 Brushless AC Servomotor
307.18  2d 21h
 
BALDOR K2497013 Brushless AC Servomotor
BALDOR K2497013 Brushless AC Servomotor
307.18  2d 21h
 
SVG Silicon Valley Group 879-8074-003-B Cap Gauge Assembly ASML  Working
SVG Silicon Valley Group 879-8074-003-B Cap Gauge Assembly ASML  Working
1,408.13  5d 9h
 
Advanced Semiconductor Materials ASM 10134 E101640-1 Automatic Probe System
Advanced Semiconductor Materials ASM 10134 E101640-1 Automatic Probe System
750.00  14d 12h
 
E19009260 / Feed Thru Rotary Left Hand / Varian
E19009260 / Feed Thru Rotary Left Hand / Varian
580.68  26d 13h
 
Daytronic 3530 Indicator Display SEM Vision CX  Working
Daytronic 3530 Indicator Display SEM Vision CX  Working
1,506.14  1d 12h
 
 25mw3-430-004 Ceramic Insulator 25mw3430004
 25mw3-430-004 Ceramic Insulator 25mw3430004
50.00  2d 11h
 
Tegal 50590-01 Tegal CM1104-00403 Tegal PCB
Tegal 50590-01 Tegal CM1104-00403 Tegal PCB
499.00  6d 19h
 
Filter For STS
Filter For STS
125.00  15d 0h
 
123-0202// Amat Applied 0020-31186 Brcket,waveguide Support Prsp3 []
123-0202// Amat Applied 0020-31186 Brcket,waveguide Support Prsp3 []
20.00  19d 1h
 
324-0102// Amat Applied 0020-20470 (10ea) Applied Matrials Components
324-0102// Amat Applied 0020-20470 (10ea) Applied Matrials Components
50.00  20d 2h
 
318-0302// Amat Applied 0023-95053 Applied Matrials Components
318-0302// Amat Applied 0023-95053 Applied Matrials Components
40.00  13d 1h
 
141-0702// Amat Applied 0150-09037 Applied Matrials Components
141-0702// Amat Applied 0150-09037 Applied Matrials Components
20.00  28d 0h
 
347-0302// Amat Applied 0020-09103 Flap, Throttle   *msg*
347-0302// Amat Applied 0020-09103 Flap, Throttle *msg*
40.00  28d 20h
 
407-0201// Oriental Sbmr501 Brake Pack []
407-0201// Oriental Sbmr501 Brake Pack []
50.00  22d 20h
 
325-0203// Amat Applied 0020-30000 Clamp,pin Adj, Wb []
325-0203// Amat Applied 0020-30000 Clamp,pin Adj, Wb []
30.00  19d 19h
 
114-0601// Amat Applied 0020-10360 Applied Matrials Components []
114-0601// Amat Applied 0020-10360 Applied Matrials Components []
50.00  20d 19h
 
123-0303// Amat Applied 0040-20794 Applied Matrials Components []
123-0303// Amat Applied 0040-20794 Applied Matrials Components []
50.00  1d 3h
 
350-0201// Amat Applied 0020-20617 (#1) Bracket Handle []
350-0201// Amat Applied 0020-20617 (#1) Bracket Handle []
30.00  16d 3h
 
123-0202// Amat Applied 0020-09818 Bracket Front Mounting []
123-0202// Amat Applied 0020-09818 Bracket Front Mounting []
20.00  19d 0h
 
350-0202// Amat Applied 0020-09358 Applied Matrials Components []
350-0202// Amat Applied 0020-09358 Applied Matrials Components []
20.00  18d 3h
 
350-0203// Amat Applied 0020-23469 K.mfg., Block, Mount, Unit Mfc [asis]
350-0203// Amat Applied 0020-23469 K.mfg., Block, Mount, Unit Mfc [asis]
20.00  12d 2h
 
Tokyo Electron TEL , BX81-070012-19
Tokyo Electron TEL , BX81-070012-19
388.00  7d 8h
 
177-0103// Fujikin Age0s000 067633 Valve [asis]
177-0103// Fujikin Age0s000 067633 Valve [asis]
60.00  23d 0h
 
177-0103// Fujikin Age1e000 100099 Valve [asis]
177-0103// Fujikin Age1e000 100099 Valve [asis]
60.00  23d 0h
 
ASML 4022.471.7488 Capacitor Interconnect PCB Card  Working
ASML 4022.471.7488 Capacitor Interconnect PCB Card  Working
453.16  28d 11h
 
Axcelis 17332510 Plate
Axcelis 17332510 Plate
304.00  16d 2h
 
Philips FEI DLCB module 4022 192 71092
Philips FEI DLCB module 4022 192 71092
949.99  9d 12h
 
Philips FEI DLCB module 4022 192 71111
Philips FEI DLCB module 4022 192 71111
949.99  9d 12h
 
Tel Rmt-dio16-3 2180-020557-12
Tel Rmt-dio16-3 2180-020557-12
899.00  18d 21h
 
MILLIPORE Intelliflow MFC, 3030-10323, FSFAE100F405
MILLIPORE Intelliflow MFC, 3030-10323, FSFAE100F405
475.00  1d 5h
 
APPLIED MATERIALS 0010-70385 TTW Bracket
APPLIED MATERIALS 0010-70385 TTW Bracket
284.99  7d 17h
 
HD Hokuto Denko HZ-3000 Automatic Polarization System working
HD Hokuto Denko HZ-3000 Automatic Polarization System working
1,506.12  1d 17h
 
Nikon 4S018-225 NSR System PCB Connector Input Output Board WL3MTR3  Working
Nikon 4S018-225 NSR System PCB Connector Input Output Board WL3MTR3  Working
411.13  24d 11h
 
Kniel System-Electronic 313-101-04 .05 Power Supply Card PCB 4022.476.01101
Kniel System-Electronic 313-101-04 .05 Power Supply Card PCB 4022.476.01101
503.18  26d 16h
 
Kniel System-Electronic 313-102-04 .04 Power Supply Card PCB 4022.476.01111
Kniel System-Electronic 313-102-04 .04 Power Supply Card PCB 4022.476.01111
603.18  26d 16h
 
Ham-let UCV HM20 4VKLQ GF4 ISLT Diaphragm Valve, 1/4" VCR, 316L, 300 PSI, 452489
Ham-let UCV HM20 4VKLQ GF4 ISLT Diaphragm Valve, 1/4" VCR, 316L, 300 PSI, 452489
150.00  18d 14h
 
Fairchild Analog Controller 97750537 Rev. 4  Working
Fairchild Analog Controller 97750537 Rev. 4  Working
1,007.12  23d 17h
 
Hitachi 1B19227 ZVL897 OFV-DTCT PCB Card Working
Hitachi 1B19227 ZVL897 OFV-DTCT PCB Card Working
408.13  8d 11h
 
SEMY Engineering 501.01.32 Extended Front Board MYP9200002 Rev. 2.2 or 2.1
SEMY Engineering 501.01.32 Extended Front Board MYP9200002 Rev. 2.2 or 2.1
150.00  22d 16h
 
125-0401// Amat Applied 0020-31767 Cylinder,clamping,200mm Poly/w 2nd Source
125-0401// Amat Applied 0020-31767 Cylinder,clamping,200mm Poly/w 2nd Source
1,000.00  19d 21h
 
116-0501// Amat Applied 0200-35782 Shadow Ring, 200mm Flat, Si/qt
116-0501// Amat Applied 0200-35782 Shadow Ring, 200mm Flat, Si/qt
700.00  10d 1h
 
409-0401// Ikd Tdl1-4000e046 Controller [/fast]
409-0401// Ikd Tdl1-4000e046 Controller [/fast]
1,000.00  27d 0h
 
423-401// Omron R88d-rb05 Ac Servo Driver [/fast]
423-401// Omron R88d-rb05 Ac Servo Driver [/fast]
1,000.00  42m 31s
 
176-0104// Amat Applied 0050-03182 Manifold 2-1 Process Fujikin Valve Cxz []
176-0104// Amat Applied 0050-03182 Manifold 2-1 Process Fujikin Valve Cxz []
680.00  23d 2h
 
Asm 16-178672d01 Support-large Dia Susceptor
Asm 16-178672d01 Support-large Dia Susceptor
1,007.18  2d 19h
 
LTD Material 10945-000 Quartz DC Bias Plug NGDT Reseller Lot of 8  Spare
LTD Material 10945-000 Quartz DC Bias Plug NGDT Reseller Lot of 8  Spare
1,003.19  8d 15h
 
LTD Material 10299-000 Cover Quartz ESC NGDT  Spare
LTD Material 10299-000 Cover Quartz ESC NGDT  Spare
1,503.19  8d 15h
 
Refurbished CMS 9600A MPU PCB PC Processor Board for ASM
Refurbished CMS 9600A MPU PCB PC Processor Board for ASM
299.99  5d 12h
 
AMAT 0040-01424 Retainer, Bearing, Arm, ECP, 411005
AMAT 0040-01424 Retainer, Bearing, Arm, ECP, 411005
400.00  19d 18h
 
TEL Tokyo Electron 1810-225156-12 Upper Plate Ring Y203  Working
TEL Tokyo Electron 1810-225156-12 Upper Plate Ring Y203  Working
409.18  7d 18h
 
TEL Tokyo Electron 3D10-250834-V1 CEL Process Plate  Working
TEL Tokyo Electron 3D10-250834-V1 CEL Process Plate  Working
1,007.15  13d 11h
 
Amat 0190-a1510 Cont. Assy,leak Detectorwith Solenoid Vl,
Amat 0190-a1510 Cont. Assy,leak Detectorwith Solenoid Vl,
3,100.00  27d 1h
 
MKS 901-1 Loadlock Transducer ( Working, 90 Day Warranty)
MKS 901-1 Loadlock Transducer ( Working, 90 Day Warranty)
150.00  2d 22h
 
Fwa 10 / Cooling System  / Frigofluid Impianti S.r.l
Fwa 10 / Cooling System / Frigofluid Impianti S.r.l
6,400.82  24d 15h
 
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424037
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424037
950.00  16d 19h
 
AMAT 0200-04180, Quartz Cover 8", EXT, 418680
AMAT 0200-04180, Quartz Cover 8", EXT, 418680
900.00  28d 18h
 
Philips FEI DLCB module 4022 192 71092
Philips FEI DLCB module 4022 192 71092
949.99  9d 12h
 
Ham-let UCV HM20 4VKLQ GF4 ISLT Diaphragm Valve, 1/4" VCR, 316L, 300 PSI, 452490
Ham-let UCV HM20 4VKLQ GF4 ISLT Diaphragm Valve, 1/4" VCR, 316L, 300 PSI, 452490
150.00  18d 14h
 
527-35457-03 / Pcb 24v Regulator Power Off Vacuum / Excelteq Inc
527-35457-03 / Pcb 24v Regulator Power Off Vacuum / Excelteq Inc
250.62  17h 33m
 
81710-04173-020 / Pcb Digital Interface / Oerlikon
81710-04173-020 / Pcb Digital Interface / Oerlikon
210.62  2d 12h
 
3160711 /  I/o Board 3-60711 / Bruce Systems
3160711 / I/o Board 3-60711 / Bruce Systems
225.62  14d 16h
 
Bm26010rf / Pcb Assy / Zmisc
Bm26010rf / Pcb Assy / Zmisc
175.00  23d 15h
 
0020-22237 / Cover Ring /  Applied Materials Amat
0020-22237 / Cover Ring / Applied Materials Amat
180.60  25d 16h
 
26-82534-00 / Spacer / Applied Materials Amat
26-82534-00 / Spacer / Applied Materials Amat
243.72  3d 16h
 
Bm24482r/d / Motor Servo Expansion Board Bm24482r/c Dos Reticle / Brooks Auto
Bm24482r/d / Motor Servo Expansion Board Bm24482r/c Dos Reticle / Brooks Auto
203.35  5d 15h
 
M/1339 /  Amat 9090-01392 Pressure Switch Pneumatic 0-10 Bar Trmp+5-+80c /  Amat
M/1339 / Amat 9090-01392 Pressure Switch Pneumatic 0-10 Bar Trmp+5-+80c / Amat
186.35  10d 12h
 
15-00534-00 / Flange, Brake / Novellus
15-00534-00 / Flange, Brake / Novellus
206.85  17d 12h
 
001-9197-06 / Assy, Emitter Autodoor Safe; Emitter Assembly Alliance / Zmisc
001-9197-06 / Assy, Emitter Autodoor Safe; Emitter Assembly Alliance / Zmisc
206.38  23d 14h
 
Bx81-070103-13 / Pf-db T-mesc/c 300 Pcb / Tokyo Electron Tel
Bx81-070103-13 / Pf-db T-mesc/c 300 Pcb / Tokyo Electron Tel
200.99  27d 11h
 
Pfj-t162u / Idec Transistor Ouput Unit Expansion / Idec Izumi Corporation
Pfj-t162u / Idec Transistor Ouput Unit Expansion / Idec Izumi Corporation
264.99  26d 12h
 
Wme-afb / Signal Tower Lamp / Patlite Corporation
Wme-afb / Signal Tower Lamp / Patlite Corporation
200.00  4d 13h
 
Vrgs-11b60-200-te1 / Shimpo Nidec Able Reducer / Mitsubishi
Vrgs-11b60-200-te1 / Shimpo Nidec Able Reducer / Mitsubishi
275.99  10d 15h
 
Bh8-0248-01 / Bg9-1879, Pcb / Canon
Bh8-0248-01 / Bg9-1879, Pcb / Canon
200.99  2d 13h
 
U/c Power / 6000145l, Power Supply / Yec Co.,ltd
U/c Power / 6000145l, Power Supply / Yec Co.,ltd
175.99  3d 11h
 
Pc3598-01 / Panel Interface Module / Disco
Pc3598-01 / Panel Interface Module / Disco
200.99  7d 11h
 
6461 / Temperture Controller Ecs / Ecs Technology
6461 / Temperture Controller Ecs / Ecs Technology
200.00  8d 21h
 
Chaaa00000510 / Sensor-level Fl-7161-2 Fi / Ses Co, Ltd (dba Ses Internat
Chaaa00000510 / Sensor-level Fl-7161-2 Fi / Ses Co, Ltd (dba Ses Internat
261.24  10d 12h
 
0050-31610 / Wldmnt,ch-d Mxp He Dump To Valve Phii Cmn Mnfr / Applied Materials
0050-31610 / Wldmnt,ch-d Mxp He Dump To Valve Phii Cmn Mnfr / Applied Materials
260.40  10d 12h
 
0050-33961 / Foreline / Applied Materials Amat
0050-33961 / Foreline / Applied Materials Amat
260.40  10d 12h
 
0020-25731 / Adapter Shield, 6 / Applied Materials Amat
0020-25731 / Adapter Shield, 6 / Applied Materials Amat
255.00  10d 15h
 
0255-00034 / Mce,supply/return Chamber A Sp3 Install / Applied Materials Amat
0255-00034 / Mce,supply/return Chamber A Sp3 Install / Applied Materials Amat
236.25  11d 16h
 
Ecab-622300 / Switch, Indicating Pressure, 30-0-60psi / Atmi Systems
Ecab-622300 / Switch, Indicating Pressure, 30-0-60psi / Atmi Systems
220.00  12d 12h
 
100317416 / Bellows Thin Wall Kf50 / Mks
100317416 / Bellows Thin Wall Kf50 / Mks
200.97  16d 16h
 
0020-25357 / Bracket Water Flow Sw Ch D / Applied Materials Amat
0020-25357 / Bracket Water Flow Sw Ch D / Applied Materials Amat
200.26  16d 19h
 
P1050-50 / Power Control System / Phasetronics
P1050-50 / Power Control System / Phasetronics
250.99  1d 17h
 
0020-40722 / Base Platform Llc / Applied Materials Amat
0020-40722 / Base Platform Llc / Applied Materials Amat
235.44  14d 12h
 
0040-01304 / W/c Adaptor, Halogen Lamp Fixture Low Te / Applied Materials Amat
0040-01304 / W/c Adaptor, Halogen Lamp Fixture Low Te / Applied Materials Amat
245.25  23d 16h
 
238-05 574-01 / Square D Circuit Breaker 60a 3 Pole / Schneider
238-05 574-01 / Square D Circuit Breaker 60a 3 Pole / Schneider
200.00  9d 13h
 
0520-0539 / Pcb Assy     / Telebyte
0520-0539 / Pcb Assy / Telebyte
200.00  18d 11h
 
8104575 / O-rings / Varian
8104575 / O-rings / Varian
250.00  22d 13h
 
Bm24482r / Motor Servo Expansion Board Bm24482r/c Dos Reticle /  Pri
Bm24482r / Motor Servo Expansion Board Bm24482r/c Dos Reticle / Pri
226.25  23d 13h
 
Bm25846l02r / Assy, Pcb, Ac, Laddr, 230v /  Pri
Bm25846l02r / Assy, Pcb, Ac, Laddr, 230v / Pri
182.00  23d 13h
 
70312538200 / (s)mod. Sys. Cont 3 Boa / Amat
70312538200 / (s)mod. Sys. Cont 3 Boa / Amat
225.98  28d 13h
 
0100-70019 / Assy Controller Distribution/wps Bd / Amat
0100-70019 / Assy Controller Distribution/wps Bd / Amat
206.75  23d 16h
 
Bm13285 / Limit Sensor Assy-x Mtr / Brooks
Bm13285 / Limit Sensor Assy-x Mtr / Brooks
182.05  9d 15h
 
Bm24482 / Pcb, Servo, Exp .bd, Ver / Pri
Bm24482 / Pcb, Servo, Exp .bd, Ver / Pri
235.55  27d 12h
 
E37000262 / Tube Weldment Supply / Varian
E37000262 / Tube Weldment Supply / Varian
250.26  25d 18h
 
L9182-302 / Pneumatic Angle Valve / Varian
L9182-302 / Pneumatic Angle Valve / Varian
176.68  25d 22h
 
Vqz1251l-5l0-x21(set Of 6) / Solenoid Valve, Vvqz1000-10a-5 / Smc
Vqz1251l-5l0-x21(set Of 6) / Solenoid Valve, Vvqz1000-10a-5 / Smc
200.99  11d 12h
 
0020-08882 / Shield, Cooled Tube / Applied Materials Amat
0020-08882 / Shield, Cooled Tube / Applied Materials Amat
265.93  9d 14h
 
0021-08490 / Plate, Bottom, Prematch, Biasable Electr / Applied Materials Amat
0021-08490 / Plate, Bottom, Prematch, Biasable Electr / Applied Materials Amat
262.39  10d 11h
 
0050-92814 / Rough Cooldown Kf50 Vacuum Line Nw/kf-50 / Applied Materials Amat
0050-92814 / Rough Cooldown Kf50 Vacuum Line Nw/kf-50 / Applied Materials Amat
257.39  10d 14h
 
Bede Scientific Instruments 60-013041-000 Sensor Interface FAB300  Working
Bede Scientific Instruments 60-013041-000 Sensor Interface FAB300  Working
758.12  15d 10h
 
Novellus Systems 04-722686-02 PVD Clampless w/Notch Stage Shield Refurbished
Novellus Systems 04-722686-02 PVD Clampless w/Notch Stage Shield Refurbished
901.12  5d 18h
 
Nikon 4S007-865 SR8-XY2 Interface Board PCB A-552 NSR  Working
Nikon 4S007-865 SR8-XY2 Interface Board PCB A-552 NSR  Working
906.12  1d 12h
 
TEL Tokyo Electron E281-000016-41 FDP3 Display PCB Card T-3044SS Working Spare
TEL Tokyo Electron E281-000016-41 FDP3 Display PCB Card T-3044SS Working Spare
1,004.17  26d 11h
 
Brooks Aligner  171168
Brooks Aligner 171168
1,100.00  21d 1h
 
Brooks Aligner  122078
Brooks Aligner 122078
1,100.00  21d 1h
 
Asm 16-187453d01 Support-dts Susceptor E3000
Asm 16-187453d01 Support-dts Susceptor E3000
1,007.18  2d 20h
 
Shibaura 9QA3045 Joint, 406423
Shibaura 9QA3045 Joint, 406423
450.00  8d 15h
 
Optrotech Orbotech NPRD 020439 Board
Optrotech Orbotech NPRD 020439 Board
333.00  11d 3h
 
Molecular Imprints 3100-0045-01 Rev B DTLC Interface board
Molecular Imprints 3100-0045-01 Rev B DTLC Interface board
849.99  12d 13h
 
Applied Materials 200mm Susceptor Assy. 0010-01398
Applied Materials 200mm Susceptor Assy. 0010-01398
2,999.99  29d 12h
 
AMAT 0150-21550 Assembly, CH E Orienter Umbilical, 407265
AMAT 0150-21550 Assembly, CH E Orienter Umbilical, 407265
550.00  9d 17h
 
TEL Tokyo Electron 3D05-350006-V1 Insulator Ring CD R&D
TEL Tokyo Electron 3D05-350006-V1 Insulator Ring CD R&D
757.15  11d 11h
 
APPLIED MATERIALS 0100-00470 Schematic 0130-00470 PCB MOTION CONTROL ECP
APPLIED MATERIALS 0100-00470 Schematic 0130-00470 PCB MOTION CONTROL ECP
195.00  15d 13h
 
Brooks Aligner  002-7391-21
Brooks Aligner 002-7391-21
1,100.00  21d 2h
 
14inch Moly Pallet no pocket
14inch Moly Pallet no pocket
300.00  20d 1h
 
Hitachi 571-7703 CLKIF Processor PCB Card I-900SRT  Working
Hitachi 571-7703 CLKIF Processor PCB Card I-900SRT  Working
803.11  27d 13h
 
Ace Company CS33-333 Showerhead 233-2089-77  Surplus
Ace Company CS33-333 Showerhead 233-2089-77  Surplus
810.09  9d 10h
 
INNOTEC High Voltage Controller
INNOTEC High Voltage Controller
333.00  18d 23h
 
8053 Rudolph Tech Tilt Psd Amp Board A10766
8053 Rudolph Tech Tilt Psd Amp Board A10766
261.75  6d 21h
 
177-0202// Nupro Ss-4by-1c-6226 Valve [asis]
177-0202// Nupro Ss-4by-1c-6226 Valve [asis]
300.00  22d 22h
 
TEL Tokyo Electron 1810-250048-11 Cover Set I/L P1 P2 A-RDC
TEL Tokyo Electron 1810-250048-11 Cover Set I/L P1 P2 A-RDC
505.18  13d 13h
 
345-0102// Amat Applied 0190-13410 Tc, Probe K-type Quick-disconn
345-0102// Amat Applied 0190-13410 Tc, Probe K-type Quick-disconn
60.00  12d 21h
 
142-0501// Amat Applied 0140-10097 Applied Matrials Components
142-0501// Amat Applied 0140-10097 Applied Matrials Components
60.00  29d 23h
 
143-0502// Amat Applied 0140-09426 Applied Matrials Components
143-0502// Amat Applied 0140-09426 Applied Matrials Components
60.00  12d 21h
 
123-0302// Amat Applied 0015-70041 Assy Cam Follower Crowne []
123-0302// Amat Applied 0015-70041 Assy Cam Follower Crowne []
60.00  5d 3h
 
Varian PPM 992622-00D, 87-195861-A, PCB, 40MHZ, DM992622-00. 322300
Varian PPM 992622-00D, 87-195861-A, PCB, 40MHZ, DM992622-00. 322300
995.00  28d 18h
 
ASM 16-193482-01 Susceptor 300mm Refurbished
ASM 16-193482-01 Susceptor 300mm Refurbished
706.18  2d 18h
 
AMAT 0140-02983 Harness Assembly 6 Driver Dnet Distribution, 407261
AMAT 0140-02983 Harness Assembly 6 Driver Dnet Distribution, 407261
350.00  9d 17h
 
ASM Advanced Semiconductor Materials 1015-944-01 Motor Plate  Surplus
ASM Advanced Semiconductor Materials 1015-944-01 Motor Plate  Surplus
802.19  7d 12h
 
Nikon MGX22560/A201-0 Differential Pressure Meter  Working
Nikon MGX22560/A201-0 Differential Pressure Meter  Working
305.16  4d 9h
 
Nikon 17AC-1 Pneumatic Air Module  Working
Nikon 17AC-1 Pneumatic Air Module  Working
905.12  11h 53m
 
OMRON G39W-A220EU-S Power Controller 20A
OMRON G39W-A220EU-S Power Controller 20A
199.90  25d 2h
 
Matsushita  ER24 AER 12420 360 P/R Open Collector Encoder
Matsushita ER24 AER 12420 360 P/R Open Collector Encoder
295.00  13d 13h
 
130-0303// Amat Applied 99-299-001rw Board
130-0303// Amat Applied 99-299-001rw Board
1,000.00  10d 1h
 
Schilfknecht Elektronik Teaching Pendant 4372 07042/841061 Version RS232
Schilfknecht Elektronik Teaching Pendant 4372 07042/841061 Version RS232
69.00  7d 10h
 
TEL Tokyo Electron 1D10-313620-11 Upper Clamp (ME)  Surplus
TEL Tokyo Electron 1D10-313620-11 Upper Clamp (ME)  Surplus
1,506.18  14d 21h
 
Meiden Ua2025/0115-9933be Slave Controller
Meiden Ua2025/0115-9933be Slave Controller
399.95  16d 22h
 
AMAT Applied Materials 0100-90708 Vacuum Control Interlock PCB Card  Working
AMAT Applied Materials 0100-90708 Vacuum Control Interlock PCB Card  Working
309.16  16d 16h
 
ASM 16-401650-01 Quartz Step Center Pin Reseller Lot of 14  Surplus
ASM 16-401650-01 Quartz Step Center Pin Reseller Lot of 14  Surplus
806.18  8d 15h
 
Applied Materials AMAT Amplifier NPN-Out, 0540-00012
Applied Materials AMAT Amplifier NPN-Out, 0540-00012
310.00  18d 8h
 
Toshiba MCC-1369-02 Power Distribution Board PCB  Working
Toshiba MCC-1369-02 Power Distribution Board PCB  Working
460.17  24d 11h
 
Nikon 4S018-775-1 X Axis Interface Board X_I/F PCB  Working
Nikon 4S018-775-1 X Axis Interface Board X_I/F PCB  Working
611.16  11d 13h
 
Nikon Elevator NSR-S307E  Working
Nikon Elevator NSR-S307E  Working
1,201.12  21d 17h
 
AMAT 0020-20193-B Cover PS, RMT Controller, 406272
AMAT 0020-20193-B Cover PS, RMT Controller, 406272
500.00  4d 17h
 
Agilent Technologies Z4207-20006 Interface Board PCB Card Z4207 NC4  Working
Agilent Technologies Z4207-20006 Interface Board PCB Card Z4207 NC4  Working
609.16  2d 16h
 
Novellus Systems 16-126664-01 PVD 1 Stage Shield Novellus  Surplus
Novellus Systems 16-126664-01 PVD 1 Stage Shield Novellus  Surplus
1,204.17  12h 43m
 
Digital Electronics UF7811-2-DV2S-24V Touch Screen Display Panel  Working
Digital Electronics UF7811-2-DV2S-24V Touch Screen Display Panel  Working
1,005.17  9d 16h
 
APPLIED MATERIALS 0190-04583 208VAC 1.5W/SQ.IN Heater
APPLIED MATERIALS 0190-04583 208VAC 1.5W/SQ.IN Heater
599.99  21d 17h
 
PN 7100-5128-03 AG Associates Heatpulse PCB
PN 7100-5128-03 AG Associates Heatpulse PCB
2,800.00  29d 11h
 
PN 7100-5128-03 AG Associates Heatpulse PCB
PN 7100-5128-03 AG Associates Heatpulse PCB
2,800.00  29d 11h
 
PN 7100-5168-02 AG Associates Heatpulse PCB
PN 7100-5168-02 AG Associates Heatpulse PCB
3,500.00  29d 20h
 
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424036
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424036
950.00  16d 19h
 
AMAT 0200-04180, Quartz Cover 8", EXT, 418652
AMAT 0200-04180, Quartz Cover 8", EXT, 418652
900.00  28d 18h
 
Ham-let UCV HM20 4VKLQ GF4 ISLT Diaphragm Valve, 1/4" VCR, 316L, 300 PSI, 452491
Ham-let UCV HM20 4VKLQ GF4 ISLT Diaphragm Valve, 1/4" VCR, 316L, 300 PSI, 452491
150.00  18d 14h
 
Agilent Technologies Z4207-20006 Interface Board PCB Card Z4207 NC3  Working
Agilent Technologies Z4207-20006 Interface Board PCB Card Z4207 NC3  Working
609.16  2d 16h
 
PN 7100-5192-01 AG Associates Heatpulse PCB
PN 7100-5192-01 AG Associates Heatpulse PCB
2,800.00  1d 14h
 
PN 7100-5222-02 AG Associates Heatpulse PCB
PN 7100-5222-02 AG Associates Heatpulse PCB
3,500.00  1d 20h
 
Lot of 6 Pulleys, Drive Belts 1/4" Shaft
Lot of 6 Pulleys, Drive Belts 1/4" Shaft
75.00  8d 13h
 
112-0203// Amat Applied 0010-09341 (#2) Wafer Lift Assy [asis]
112-0203// Amat Applied 0010-09341 (#2) Wafer Lift Assy [asis]
900.00  22d 19h
 
Mks 4640-1117 Htr4.0, Str17.0, 1ap1
Mks 4640-1117 Htr4.0, Str17.0, 1ap1
250.00  24d 16h
 
Edwards 15-112301-00 Dark Space Ring Shield Refurbished
Edwards 15-112301-00 Dark Space Ring Shield Refurbished
812.11  18d 17h
 
Edwards 15-136402-01 Dark Space Ring Shield Refurbished
Edwards 15-136402-01 Dark Space Ring Shield Refurbished
812.11  18d 17h
 
Amat 0190-16615 Rev.003
Amat 0190-16615 Rev.003
1,999.00  4h 54m
 
FSI 209104-200 PCB Board Interface 419248
FSI 209104-200 PCB Board Interface 419248
1,100.00  7d 18h
 
Hitachi MTIF01 #2201 Interface Connection Board PCB I-900SRT  Working
Hitachi MTIF01 #2201 Interface Connection Board PCB I-900SRT  Working
311.11  14d 12h
 
ASM 1134-624-01 LID-HTM PROCESS CHAMBER-PREVIUM V3
ASM 1134-624-01 LID-HTM PROCESS CHAMBER-PREVIUM V3
1,373.18  9d 16h
 
RECIF Technologies Sorter Computer IDLW8-A9607  Working
RECIF Technologies Sorter Computer IDLW8-A9607  Working
1,008.12  13d 14h
 
AMAT 0240-99280 Kit, MRS Shields, Standoff Fix, 0240-99280ITL, 415294
AMAT 0240-99280 Kit, MRS Shields, Standoff Fix, 0240-99280ITL, 415294
295.00  3d 18h
 
Omron S8PS-05005C Power Supply Reseller Lot of 11  Working
Omron S8PS-05005C Power Supply Reseller Lot of 11  Working
557.16  28d 9h
 
Hitachi EVAC Control Panel No Keys S-9380  Working
Hitachi EVAC Control Panel No Keys S-9380  Working
657.14  1d 14h
 
AMAT Applied Materials 9090-00273 DeviceNet I/O Block DIP294-2  Working
AMAT Applied Materials 9090-00273 DeviceNet I/O Block DIP294-2  Working
359.13  21d 11h
 
AMAT Applied Materials 0200-01086 K(M) SST Focus Ring MXP+ Poly
AMAT Applied Materials 0200-01086 K(M) SST Focus Ring MXP+ Poly
501.18  2d 20h
 
Tegal 50590-01 Tegal CM1104-00402 CM1104-00403? Tegal PCB
Tegal 50590-01 Tegal CM1104-00402 CM1104-00403? Tegal PCB
499.00  6d 19h
 
Alcatel 3SEC37971AA VDLT-A Module, PCB, CP040600413, 411886
Alcatel 3SEC37971AA VDLT-A Module, PCB, CP040600413, 411886
350.00  13d 15h
 
CKD USG3-X0693 Valve, 451675
CKD USG3-X0693 Valve, 451675
195.00  28d 11h
 
3030-0764 / Pdh-s3-6/10-cy3 / Western Servo Design
3030-0764 / Pdh-s3-6/10-cy3 / Western Servo Design
224.99  6d 18h
 
Millipore Fsgdb100c700 Intelliflow Digital Flow Controller Gas: N2 Range: 30000
Millipore Fsgdb100c700 Intelliflow Digital Flow Controller Gas: N2 Range: 30000
149.99  11d 12h
 
Novellus 02-273739-00 Rev.1, HDSIOC 1 PDL OXIDE Firmware 4.72, 417235
Novellus 02-273739-00 Rev.1, HDSIOC 1 PDL OXIDE Firmware 4.72, 417235
1,000.00  27d 18h
 
Opal 50312350000 STC DR Assembly AMAT Applied Materials SEMVision cX
Opal 50312350000 STC DR Assembly AMAT Applied Materials SEMVision cX
1,506.14  2d 10h
 
Fujikin Liquid Process Ampule
Fujikin Liquid Process Ampule
3,499.99  14d 15h
 
Orbotech Optrotech EA 306 11067 Controller Board
Orbotech Optrotech EA 306 11067 Controller Board
333.00  11d 3h
 
111-0601// Amat Applied 0020-09546 Enclosure, Remote Interconnect [2nd ]
111-0601// Amat Applied 0020-09546 Enclosure, Remote Interconnect [2nd ]
120.00  21h 45m
 
Buss Chcc3 Fuse Holder Block -
Buss Chcc3 Fuse Holder Block -
12.38  28d 9h
 
02-298157-00 (lot Of 2) / Heater Block Pedestal / Novellus
02-298157-00 (lot Of 2) / Heater Block Pedestal / Novellus
800.00  12d 13h
 
346-0102// Amat Applied 0020-23035 Cam, Right Inboard
346-0102// Amat Applied 0020-23035 Cam, Right Inboard
250.00  12d 2h
 
Copley Controls Corp. 800-353 amplifier UIC p/n 46553301 repaired/tested
Copley Controls Corp. 800-353 amplifier UIC p/n 46553301 repaired/tested
125.00  29d 6h
 
Liebert Emerson 4D13461G-1 Monitor Circuit Board PLC 4D13461G1 (TK4161-1)
Liebert Emerson 4D13461G-1 Monitor Circuit Board PLC 4D13461G1 (TK4161-1)
174.85  15d 22h
 
PN 7100-3604-02 AG Associates Heatpulse PCB 7310-1055-02?
PN 7100-3604-02 AG Associates Heatpulse PCB 7310-1055-02?
2,800.00  28d 19h
 
Leybold PS113 Low Pressure Safety Switch
Leybold PS113 Low Pressure Safety Switch
299.00  9d 8h
 
WPI THERMIFLEX 263119 Robot Teach Pendant  Surplus
WPI THERMIFLEX 263119 Robot Teach Pendant  Surplus
906.18  2d 19h
 
VARIAN 00-666315-00, Detector
VARIAN 00-666315-00, Detector
2,000.00  16d 1h
 
Optronics Remote Camera Head and Cable 0.55 - 1.1X
Optronics Remote Camera Head and Cable 0.55 - 1.1X
407.18  2d 18h
 
Advantest BLD-024486 Processor PCB Card PLD-424486CC FW SIS-007430A 00 Working
Advantest BLD-024486 Processor PCB Card PLD-424486CC FW SIS-007430A 00 Working
612.17  18d 12h
 
Amat 0021-79836 Base, Outer. 407274
Amat 0021-79836 Base, Outer. 407274
550.00  10d 12h
 
Aviza Technology TMS211417 Heater Jacket
Aviza Technology TMS211417 Heater Jacket
103.97  3d 17h
 
Edwards A52844524 iQ Interface Module NOV CON2  Working
Edwards A52844524 iQ Interface Module NOV CON2  Working
310.12  13d 15h
 
Agilent Z4207-60005 PCB Z4207 NC2  Working
Agilent Z4207-60005 PCB Z4207 NC2  Working
609.16  2d 16h
 
AMAT 0150-06357 Rev.003, Cable Assembly, MFCM to Pneumatic Manifold, Pro. 417609
AMAT 0150-06357 Rev.003, Cable Assembly, MFCM to Pneumatic Manifold, Pro. 417609
295.00  8d 11h
 
Ab1f00215em8h1 / Super-cheminert Stripper 732 Filter / Pall
Ab1f00215em8h1 / Super-cheminert Stripper 732 Filter / Pall
350.99  24d 17h
 
Techno Digital UF-PW002 Power Unit  Working
Techno Digital UF-PW002 Power Unit  Working
309.12  2d 10h
 
Novellus 03-277147-00, Main Power Supply, Cable, 406383
Novellus 03-277147-00, Main Power Supply, Cable, 406383
350.00  7d 14h
 
ASML 4022.471.6949 S15 Serial Interface VME Card PCB 4022 471 69501  Working
ASML 4022.471.6949 S15 Serial Interface VME Card PCB 4022 471 69501  Working
353.17  10d 12h
 
Phoenix Contact SBM PUSH-IN TERMINALS 5053175 -
Phoenix Contact SBM PUSH-IN TERMINALS 5053175 -
60.00  13d 16h
 
AMAT 0140-01067 Rev.P2, Harness SPCL To CNTRLR PWR 300MM CENTURAm RTron. 413420
AMAT 0140-01067 Rev.P2, Harness SPCL To CNTRLR PWR 300MM CENTURAm RTron. 413420
300.00  14h 40m
 
Quad systems EPCU 10-18279 rev C & 10-21176 rev D
Quad systems EPCU 10-18279 rev C & 10-21176 rev D
1,029.00  8d 21h
 
AMAT 0140-02261 H/A, Press Cont Modular Lower Adapter, I 413856
AMAT 0140-02261 H/A, Press Cont Modular Lower Adapter, I 413856
650.00  8d 14h
 
AMAT 0200-09313, Ring Inner, 6", 140mm, 1 FLAT, Shadow Ring, BWCVD. 417379
AMAT 0200-09313, Ring Inner, 6", 140mm, 1 FLAT, Shadow Ring, BWCVD. 417379
450.00  12h 29m
 
Molecular Imprints 3100-0098-01 rev B pcb quad laser alignment board
Molecular Imprints 3100-0098-01 rev B pcb quad laser alignment board
799.99  12d 13h
 
Varian D-12004145 Precision Deadband AMP Assembly, 408551
Varian D-12004145 Precision Deadband AMP Assembly, 408551
350.00  15d 15h
 
Orbotech Optrotech EP 306 11049 Controller Board
Orbotech Optrotech EP 306 11049 Controller Board
333.00  11d 3h
 
Orbotech Optrotech EP 306 11099 Controller Board
Orbotech Optrotech EP 306 11099 Controller Board
333.00  11d 3h
 
Orbotech Optrotech EP 306 10052 Controller Board
Orbotech Optrotech EP 306 10052 Controller Board
333.00  11d 3h
 
2 Kaydon KA020XP4 Ball Bearing, AMAT 3060-10168, 452668
2 Kaydon KA020XP4 Ball Bearing, AMAT 3060-10168, 452668
175.00  22d 16h
 
Edwards A52844500 iQ Interface Module  Working
Edwards A52844500 iQ Interface Module  Working
310.12  13d 15h
 
AERA FC-PA7810C-BA MFC, Mass Flow Controller, NF3 (0.500), 5 SLM, 423696
AERA FC-PA7810C-BA MFC, Mass Flow Controller, NF3 (0.500), 5 SLM, 423696
450.00  24d 18h
 
Applied Materials 0040-96822 Bearing Block Amat *un Surplus In Box - Sealed*
Applied Materials 0040-96822 Bearing Block Amat *un Surplus In Box - Sealed*
209.00  19d 6h
 
Amat 0021-42477 Bladder Dechuck Upper 8.00 Titan Head,
Amat 0021-42477 Bladder Dechuck Upper 8.00 Titan Head,
200.00  15d 1h
 
Amat 0015-09378 Socket, Adapter, Mod.,
Amat 0015-09378 Socket, Adapter, Mod.,
200.00  15d 20h
 
Amat 0150-22036 C/a, E-clean Mfc Interconnect, Htesc,  
Amat 0150-22036 C/a, E-clean Mfc Interconnect, Htesc,
200.00  25d 1h
 
APPLIED MATERIALS 0150-21057 50-Feet Encoder Interconnect
APPLIED MATERIALS 0150-21057 50-Feet Encoder Interconnect
554.99  22d 17h
 
Tegal Matching Network Item CR-1113-00301 SN 13145 Tegal Spare Parts
Tegal Matching Network Item CR-1113-00301 SN 13145 Tegal Spare Parts
1,800.00  12d 21h
 
Tokyo Electron 50-02238-00-04 Little Pcb Connector Board,
Tokyo Electron 50-02238-00-04 Little Pcb Connector Board,
100.00  8d 16h
 
Varian E17328650 Rib, Opposite Hand, Vaporizer,
Varian E17328650 Rib, Opposite Hand, Vaporizer,
100.00  8d 19h
 
156-0101// Amat Applied 1410-01129 Htr 208vac 2.5w/sq In For Htr-
156-0101// Amat Applied 1410-01129 Htr 208vac 2.5w/sq In For Htr-
540.00  3d 21h
 
Tokyo Electron Tel 3208-000034-17 3281-000034-18 Tvb3002-1 Bxy Pcb Board Card
Tokyo Electron Tel 3208-000034-17 3281-000034-18 Tvb3002-1 Bxy Pcb Board Card
1,299.00  10d 8h
 
Bio-Rad 3.5/0.06 Microscope Objective Quaestor Q7  Working
Bio-Rad 3.5/0.06 Microscope Objective Quaestor Q7  Working
404.09  28d 13h
 
344-0302// Amat Applied 0021-90211 Mount Pillar
344-0302// Amat Applied 0021-90211 Mount Pillar
240.00  2h 15m
 
Novellus 680228-00 Cable, 406487
Novellus 680228-00 Cable, 406487
350.00  9d 14h
 
Svg P.i.d Compasation 80218a
Svg P.i.d Compasation 80218a
299.25  26d 12h
 
ASML 8540138001 A1 Prime DSX Stepper  Working
ASML 8540138001 A1 Prime DSX Stepper  Working
808.09  20d 9h
 
ASM 16-350881C01 Quartz Robot Alignment Tool Lot of 17  Surplus
ASM 16-350881C01 Quartz Robot Alignment Tool Lot of 17  Surplus
802.19  7d 12h
 
RadiSys EXP-MX PCB Assembly  Working
RadiSys EXP-MX PCB Assembly  Working
308.13  5d 12h
 
ASM 16-176613D01 TOOL-SUSCEPTOR-QUARTZ
ASM 16-176613D01 TOOL-SUSCEPTOR-QUARTZ
1,006.18  2d 18h
 
 SNK 117H0220 240V/2160W Heater Module *OPEN BOX!*
 SNK 117H0220 240V/2160W Heater Module *OPEN BOX!*
1,279.97  19h 56m
 
Amat 0040-78523 Rev. P2,
Amat 0040-78523 Rev. P2,
80.00  22d 20h
 
Amat 0020-78899 Bracket,
Amat 0020-78899 Bracket,
100.00  22d 20h
 
Amat 0021-23555 001,
Amat 0021-23555 001,
70.00  25d 0h
 
National Instruments Npb-536c Pcb, Board Reseller,
National Instruments Npb-536c Pcb, Board Reseller,
100.00  14h 28m
 
Amat 0020-78410 Frame,splash Guard,
Amat 0020-78410 Frame,splash Guard,
100.00  23d 5h
 
Amat 0040-73499 Panel,
Amat 0040-73499 Panel,
100.00  24d 5h
 
Lot of 23 EAO 61.820.22/.25 Contact Block
Lot of 23 EAO 61.820.22/.25 Contact Block
300.00  3d 16h
 
WEC Technology 932CLA300.005 Type A 300mm Carbon Leaf inserts FREE SHIPPING
WEC Technology 932CLA300.005 Type A 300mm Carbon Leaf inserts FREE SHIPPING
72.24  1d 12h
 
1906850 /bellows Ld/unld Manip 19s0091/ Axcelis
1906850 /bellows Ld/unld Manip 19s0091/ Axcelis
680.98  12d 16h
 
0020-27896 / Upper Shield, Coti / Applied Materials
0020-27896 / Upper Shield, Coti / Applied Materials
639.25  21d 10h
 
3d10-101152-13 / Shutter, Btm Type Y-al,se / Tokyo Electron Tel
3d10-101152-13 / Shutter, Btm Type Y-al,se / Tokyo Electron Tel
480.00  2d 20h
 
0050-14801 / Line, Process Cham. Exhaust, Exh. Cap/ Applied Materials
0050-14801 / Line, Process Cham. Exhaust, Exh. Cap/ Applied Materials
330.00  9d 16h
 
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424023
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424023
950.00  11d 14h
 
AMAT 0200-04180, Quartz Cover 8", EXT, 418681
AMAT 0200-04180, Quartz Cover 8", EXT, 418681
900.00  28d 18h
 
AMAT 0240-99280 Kit, MRS Shields, Standoff Fix, 0240-99280ITL, 415184
AMAT 0240-99280 Kit, MRS Shields, Standoff Fix, 0240-99280ITL, 415184
295.00  3d 18h
 
Advantest BLD-024486 Processor Board PCB Card PLD-624486BB FW: 007171A 00
Advantest BLD-024486 Processor Board PCB Card PLD-624486BB FW: 007171A 00
612.17  23d 16h
 
AMAT 0140-00024, AF19, Brake Wiring Assy. 412474
AMAT 0140-00024, AF19, Brake Wiring Assy. 412474
400.00  27d 18h
 
AMAT 0020-76818, Panel, Degas Cooldown Intake. 412987
AMAT 0020-76818, Panel, Degas Cooldown Intake. 412987
495.00  17d 15h
 
AMAT 0040-03597 DP Box Lower Half, 411122
AMAT 0040-03597 DP Box Lower Half, 411122
350.00  22d 18h
 
Muto Technology MR-16401 SS Door Shield 4182280-0001
Muto Technology MR-16401 SS Door Shield 4182280-0001
356.18  2d 19h
 
AMAT 0200-20426 Insulator Pinless Quartz 8" SNNF Preclean II, 422932
AMAT 0200-20426 Insulator Pinless Quartz 8" SNNF Preclean II, 422932
1,500.00  24d 14h
 
Balzers BG M70 000 Shutter Control Unit SSC 101  Working
Balzers BG M70 000 Shutter Control Unit SSC 101  Working
608.16  15d 12h
 
Tempress Ratio Monitor Pcb Assy 92533 D Rev 01
Tempress Ratio Monitor Pcb Assy 92533 D Rev 01
120.00  10d 13h
 
AMAT Applied Materials 0242-70297 Standard Insert Kit  Surplus
AMAT Applied Materials 0242-70297 Standard Insert Kit  Surplus
308.12  25d 11h
 
Eaton Axcelis SCAN ALARM PCB, 0342-0175-4001 REV L
Top-Rated Plus Seller Eaton Axcelis SCAN ALARM PCB, 0342-0175-4001 REV L
195.00  14d 15h
 
AMAT 0021-06581, PB3-08-05, Shaft, Guide, Lift, Rot Head-ECP, ZAABI. 419276
AMAT 0021-06581, PB3-08-05, Shaft, Guide, Lift, Rot Head-ECP, ZAABI. 419276
300.00  10d 13h
 
Ham-let HMB1-4VKLC-GM4GF4GF4-2.35 Diaphragm Valve, N/C, 60-90 PSI, 452460
Ham-let HMB1-4VKLC-GM4GF4GF4-2.35 Diaphragm Valve, N/C, 60-90 PSI, 452460
150.00  1d 14h
 
AMAT 0150-76558 C/A MF Interlocks, System AC 300MM 414150
AMAT 0150-76558 C/A MF Interlocks, System AC 300MM 414150
295.00  12d 13h
 
AMAT 0040-01424, Retainer, Bearing, 410957
AMAT 0040-01424, Retainer, Bearing, 410957
400.00  18d 13h
 
Novellus 16-01276-00 Cable, 406382
Novellus 16-01276-00 Cable, 406382
350.00  7d 14h
 
Varian 111003001 Insert Assembly, Beamgate, 111003-1, 419060
Varian 111003001 Insert Assembly, Beamgate, 111003-1, 419060
350.00  3d 14h
 
SVG Silicon Valley Group 859-0564-002-D A1900 P.O. Isolator  Working
SVG Silicon Valley Group 859-0564-002-D A1900 P.O. Isolator  Working
907.12  2d 15h
 
Amat 0140-11272 Harness Assembly ,
Amat 0140-11272 Harness Assembly ,
350.00  10d 19h
 
Crown Regulator Valve ERSB-2019-WX Lot of 15  Working
Crown Regulator Valve ERSB-2019-WX Lot of 15  Working
1,108.12  27d 17h
 
Ultratech Stepper Temperature Controller Module RKC REX-F700 224i As-Is
Ultratech Stepper Temperature Controller Module RKC REX-F700 224i As-Is
353.16  22d 10h
 
Yaskawa Electric USAHEM-01DS22 AC Servo Motor
Yaskawa Electric USAHEM-01DS22 AC Servo Motor
707.18  2d 21h
 
AMAT Applied Materials 0020-98953 Front Plate
AMAT Applied Materials 0020-98953 Front Plate
307.18  2d 20h
 
Asyst Technologies (Part Number: 3200-1229-01)
Asyst Technologies (Part Number: 3200-1229-01)
1,300.00  11d 16h
 
AMAT Applied Materials 0400-50414 EMAX DOOR LINER  Surplus
AMAT Applied Materials 0400-50414 EMAX DOOR LINER  Surplus
606.18  2d 17h
 
Applied Materials AMAT 1/2" Tubing, 20', 0190-77389
Applied Materials AMAT 1/2" Tubing, 20', 0190-77389
245.00  7d 5h
 
150-0501// Amat Applied 0150-07099 Applied Matrials Components
150-0501// Amat Applied 0150-07099 Applied Matrials Components
500.00  1d 0h
 
2328  Lot of 2 Glemco Source Cans
2328 Lot of 2 Glemco Source Cans
250.00  16d 17h
 
5 Pivot Assembly 957330 Revision A 414744
5 Pivot Assembly 957330 Revision A 414744
300.00  12h 26m
 
Omhart Heater Controller PRSYAY-0045175
Omhart Heater Controller PRSYAY-0045175
190.00  14d 9h
 
Prolog 0400065 Memory Board PCB Card  Working
Prolog 0400065 Memory Board PCB Card  Working
309.12  25d 10h
 
InUSA A399025 IN-2000 UV Lamp Driver PCB Board  Working
InUSA A399025 IN-2000 UV Lamp Driver PCB Board  Working
352.17  6d 17h
 
Novellus 03-276843-00, Cable, 406384
Novellus 03-276843-00, Cable, 406384
350.00  7d 14h
 
AMAT 0020-83300, Housing, 200MM. 413679
AMAT 0020-83300, Housing, 200MM. 413679
300.00  7d 12h
 
AMAT 0200-10506, Cover, Quartz, 8", Ext Poly, 6.1mm. 417401
AMAT 0200-10506, Cover, Quartz, 8", Ext Poly, 6.1mm. 417401
350.00  1d 16h
 
4424 Applied Materials 0010-00028w Assy. System +/- 15V Power Supply
4424 Applied Materials 0010-00028w Assy. System +/- 15V Power Supply
250.00  12d 18h
 
Oriental Motor Governor Brake, SB32S-IN
Oriental Motor Governor Brake, SB32S-IN
88.00  23d 9h
 
Yashibi 306SCR-2A Thyristor Transformer PCB Board IP-268A YCC-18K  Working
Yashibi 306SCR-2A Thyristor Transformer PCB Board IP-268A YCC-18K  Working
310.16  17d 15h
 
AMAT 0020-10100, NDM B 363, Flange Adapter for CVD Pump Stack. 414877
AMAT 0020-10100, NDM B 363, Flange Adapter for CVD Pump Stack. 414877
350.00  14d 15h
 
Hitachi BBDP2-01 Circuit Board PCB Hitachi MU-712E  Working
Hitachi BBDP2-01 Circuit Board PCB Hitachi MU-712E  Working
300.14  1d 16h
 
Artesyn 494000711 2-Slot CBVVI VME Interface Card PCB  Working
Artesyn 494000711 2-Slot CBVVI VME Interface Card PCB  Working
410.13  6d 14h
 
NOW Technologies ND-EP-5-T Probe Extended
NOW Technologies ND-EP-5-T Probe Extended
200.00  2d 14h
 
Amat 0190-24298 Rev002, 10762200-2012  Rf Cable Assy, N R/a
Amat 0190-24298 Rev002, 10762200-2012 Rf Cable Assy, N R/a
3,500.00  12d 19h
 
126-0403// Amat Applied 0680-01521 Cb Mag Therm 3p 240vac 60a Bolt-on Ri []
126-0403// Amat Applied 0680-01521 Cb Mag Therm 3p 240vac 60a Bolt-on Ri []
120.00  25d 1h
 
170-0301// Geanville-phillips 307004/06 Geanville-phillips 01 Power Supply
170-0301// Geanville-phillips 307004/06 Geanville-phillips 01 Power Supply
100.00  9d 3h
 
AMAT Applied Materials 0200-10447 SHADOW RING Manufacturer Refurbished
AMAT Applied Materials 0200-10447 SHADOW RING Manufacturer Refurbished
707.18  2d 17h
 
Yaskawa Electric JANCD-NBB31B Backplane PCB F352077-1 NXC100 Working Spare
Yaskawa Electric JANCD-NBB31B Backplane PCB F352077-1 NXC100 Working Spare
509.17  2d 17h
 
7200-0654-01 7310-3265-01,plate, Wfr Carr, 8in Popa
7200-0654-01 7310-3265-01,plate, Wfr Carr, 8in Popa
250.00  10d 13h
 
Socapel 024.7040 Servo Drive EXT PCB  Working
Socapel 024.7040 Servo Drive EXT PCB  Working
407.12  2d 16h
 
Applied Materials 0020-92461 Shield Inner , 300MM PC11 / RPC .
Applied Materials 0020-92461 Shield Inner , 300MM PC11 / RPC .
1,688.00  1d 3h
 
AMAT 0150-21927 Cable, Control Box to SW Box Relays, 420918
AMAT 0150-21927 Cable, Control Box to SW Box Relays, 420918
300.00  5d 18h
 
Millipore CPVP01PLT Filter Cartridge Fluorogard HP ASM 4015529-0002 Lot of 6
Millipore CPVP01PLT Filter Cartridge Fluorogard HP ASM 4015529-0002 Lot of 6
312.18  2d 17h
 
409-0401// Allen-bradley 2092-kap8 Kinetix 3 Axis Module []
409-0401// Allen-bradley 2092-kap8 Kinetix 3 Axis Module []
400.00  27d 0h
 
Lam Research Ring, ISO, HORN BOT, OVERSIZE  PN 716-071961-002
Lam Research Ring, ISO, HORN BOT, OVERSIZE PN 716-071961-002
220.00  10h 32m
 
Ajinextec AXT ARC-II Teaching Pendent ARC-II TP
Ajinextec AXT ARC-II Teaching Pendent ARC-II TP
742.50  22d 11h
 
Amat 0021-86513 Brkt, Wtm, 300mm Hdpcvd,
Amat 0021-86513 Brkt, Wtm, 300mm Hdpcvd,
500.00  12d 20h
 
Axcelis 1916920 300mm Tc Spike Zone 1,
Axcelis 1916920 300mm Tc Spike Zone 1,
400.00  20d 18h
 
Amat 0200-10285 Guide Pin Lift Dxz Lot Of 2,
Amat 0200-10285 Guide Pin Lift Dxz Lot Of 2,
300.00  9d 16h
 
Amat 0200-10285 Guide Pin Lift Dxz Lot Of 3,
Amat 0200-10285 Guide Pin Lift Dxz Lot Of 3,
450.00  9d 16h
 
Novellus 16-155584-00 Install Kit, Epd, C25pd-s,
Novellus 16-155584-00 Install Kit, Epd, C25pd-s,
300.00  10d 17h
 
SYSTEMS CHEMISTRY 99-85016-00 SST Modular Dispense MDU Interconnect ASS,
SYSTEMS CHEMISTRY 99-85016-00 SST Modular Dispense MDU Interconnect ASS,
600.00  27d 17h
 
Amat 0140-02972 Harness Assy, 300mm Dc Power, Endpoint U,
Amat 0140-02972 Harness Assy, 300mm Dc Power, Endpoint U,
250.00  15d 19h
 
Novellus 17-143268-00 Metal Casing, 406347
Novellus 17-143268-00 Metal Casing, 406347
395.00  6d 17h
 
Varian Semiconductor VSEA E17096640 FRAME WINDOW DOOR EL  Suplus
Varian Semiconductor VSEA E17096640 FRAME WINDOW DOOR EL  Suplus
407.18  2d 17h
 
AMAT 0040-46720 Guard, Spoolpiece, Left, TICL4 Producer, 417751
AMAT 0040-46720 Guard, Spoolpiece, Left, TICL4 Producer, 417751
500.00  9d 13h
 
423-0301// Omron Pa204r Oc225 Oa224 Oc222 Od212 Plc [/fast]
423-0301// Omron Pa204r Oc225 Oa224 Oc222 Od212 Plc [/fast]
200.00  34m 32s
 
Signet ELH003 Ground Electrode, 411748
Signet ELH003 Ground Electrode, 411748
450.00  9d 18h
 
Hitachi ILC4-1 Control Board  Working
Hitachi ILC4-1 Control Board  Working
407.12  29d 17h
 
AMAT Applied Materials 0270-04365 EVR Extraction Tool  Working
AMAT Applied Materials 0270-04365 EVR Extraction Tool  Working
307.13  7d 14h
 
177-0103// Fujikin B9xn00 021329 Valve [asis]
177-0103// Fujikin B9xn00 021329 Valve [asis]
40.00  1h 7m
 
177-0204// Ohno K-nc-10-16 Valve [asis]
177-0204// Ohno K-nc-10-16 Valve [asis]
40.00  2h 7m
 
Hitachi BBDS-11 Connector Board PCB Lot of 2  Working
Hitachi BBDS-11 Connector Board PCB Lot of 2  Working
308.13  26d 11h
 
Nikon NK2551 EPROM Sensor Board PCB NSR-S306C DUV System  Working
Nikon NK2551 EPROM Sensor Board PCB NSR-S306C DUV System  Working
664.50  19d 16h
 
ASM Advanced Semiconductor Materials 02-33082 HiPEC Power Supply Assembly
ASM Advanced Semiconductor Materials 02-33082 HiPEC Power Supply Assembly
454.17  10d 16h
 
CKD USG3-X0693 Valve, 451677
CKD USG3-X0693 Valve, 451677
195.00  28d 11h
 
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve, 45100583, 451733
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve, 45100583, 451733
195.00  3d 11h
 
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve, 45100583, 451734
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve, 45100583, 451734
195.00  3d 11h
 
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve, 45100583, 451736
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve, 45100583, 451736
195.00  3d 11h
 
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve, 45100583, 451738
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve, 45100583, 451738
195.00  3d 12h
 
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve, 45100583, 451739
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve, 45100583, 451739
195.00  3d 12h
 
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve, 45100583, 451740
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve, 45100583, 451740
195.00  3d 12h
 
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve, 45100583, 451741
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve, 45100583, 451741
195.00  3d 12h
 
Pittman 9234E318-R4 Servomotor, 9700-6191-01, 451988
Pittman 9234E318-R4 Servomotor, 9700-6191-01, 451988
175.00  7d 17h
 
AMAT 0130-11000 Analog Input Board
AMAT 0130-11000 Analog Input Board
650.00  1d 19h
 
AMAT 0021-39716 Plate, Adapter, 300mm, Autobias, 417963
AMAT 0021-39716 Plate, Adapter, 300mm, Autobias, 417963
350.00  14d 11h
 
0040-36236, Applied Materials, HEATER, TXZ 1500MM DMF
0040-36236, Applied Materials, HEATER, TXZ 1500MM DMF
12,770.00  19d 13h
 
XYCARB CERAMICS XYA-632279-04 Hanger Quartz 7800
XYCARB CERAMICS XYA-632279-04 Hanger Quartz 7800
857.18  2d 20h
 
Tel Ct5085-425235-11 / Oee-t07715-1 Duct(sr-exh) Assy
Tel Ct5085-425235-11 / Oee-t07715-1 Duct(sr-exh) Assy
334.00  16d 0h
 
14056 Tokyo Electron Pcb, Ext Communication If Board, 208-500497-9 281-500497-9
14056 Tokyo Electron Pcb, Ext Communication If Board, 208-500497-9 281-500497-9
806.47  23d 19h
 
AMAT 0200-00038 Ring, Clamping, 100mm, Rigid, 3/16 THK, Oxide, 417408
AMAT 0200-00038 Ring, Clamping, 100mm, Rigid, 3/16 THK, Oxide, 417408
295.00  1d 17h
 
MRC 884-07-000 PCB, RF DRIVE 884-07-101 Farmon ID 402848
MRC 884-07-000 PCB, RF DRIVE 884-07-101 Farmon ID 402848
350.00  20d 14h
 
Applied Materials 0041-91614 Lh Wafer Support Amat *un*
Applied Materials 0041-91614 Lh Wafer Support Amat *un*
999.00  25d 12h
 
STEC SEC-4400MC-UC MFC, Mass Flow Controller, CL2, 500 SCCM, SEC-4400, 423770
STEC SEC-4400MC-UC MFC, Mass Flow Controller, CL2, 500 SCCM, SEC-4400, 423770
450.00  4d 17h
 
Amat 0140-03248 Cable Meg Tank Lvl/door Cl Sw Lo Elect M,
Amat 0140-03248 Cable Meg Tank Lvl/door Cl Sw Lo Elect M,
200.00  3d 0h
 
AMAT Applied Materials Small Quartz Plate Reseller Lot of 6
AMAT Applied Materials Small Quartz Plate Reseller Lot of 6
309.18  10d 11h
 
344-0501// Amat Applied 0020-30887 Washer 3/16 (#10) Spring Inco
344-0501// Amat Applied 0020-30887 Washer 3/16 (#10) Spring Inco
10.00  16d 23h
 
Varian D-12004145 Precision Deadband Amp Assy D-12004145 A9291 405851
Varian D-12004145 Precision Deadband Amp Assy D-12004145 A9291 405851
350.00  22d 15h
 
381-600338-1 / 308-600338-1, Indexer I/o Board / Tokyo Electron Tel
381-600338-1 / 308-600338-1, Indexer I/o Board / Tokyo Electron Tel
166.99  6d 11h
 
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424026
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424026
950.00  16d 14h
 
AMAT 0200-04180, Quartz Cover 8", EXT, 418651
AMAT 0200-04180, Quartz Cover 8", EXT, 418651
900.00  28d 18h
 
Novellus 16-01276-00 Cable, 406346
Novellus 16-01276-00 Cable, 406346
295.00  6d 16h
 
CKD USG3-X0693 Valve, 451679
CKD USG3-X0693 Valve, 451679
195.00  28d 11h
 
Sy3340-5hz / Tel Bridge Solenoid / Smc
Sy3340-5hz / Tel Bridge Solenoid / Smc
159.68  17d 19h
 
Millipore FC-2950MEP5 MFC Mass Flow Controller, 500 SCCM, AR, Calibrated, 423660
Millipore FC-2950MEP5 MFC Mass Flow Controller, 500 SCCM, AR, Calibrated, 423660
750.00  18d 11h
 
Applied Materials 3690-01883 QTY 50 Silver Plated Screws
Applied Materials 3690-01883 QTY 50 Silver Plated Screws
50.00  5d 3h
 
AXCELAMP;0219034-000,Lamp, Rev 7 Lamp Discov  OSRAM
AXCELAMP;0219034-000,Lamp, Rev 7 Lamp Discov OSRAM
1,809.00  13d 0h
 
Applied Materials 679633 Power Display Board, SCH REF 7801-D-2237, REV C1
Applied Materials 679633 Power Display Board, SCH REF 7801-D-2237, REV C1
39.99  17d 11h
 
HOLDER;1919620,Ball Screw Wafer, BALL SCREW(19S3013)
HOLDER;1919620,Ball Screw Wafer, BALL SCREW(19S3013)
2,160.00  13d 3h
 
Sti OF4172B-2 Light Curtain Controller 42614-0720  Working
Sti OF4172B-2 Light Curtain Controller 42614-0720  Working
406.12  1d 11h
 
AMAT 0020-21095 Issue.A, Shielding Disc (Buncher Elect). 419322
AMAT 0020-21095 Issue.A, Shielding Disc (Buncher Elect). 419322
495.00  10d 16h
 
Edwards U20000953 MCM Interface Module
Edwards U20000953 MCM Interface Module
407.18  2d 17h
 
4538  Applied Materials 0100-00195 Assy. End Point Interface/Smoother
4538 Applied Materials 0100-00195 Assy. End Point Interface/Smoother
250.00  3d 17h
 
323-0301// Amat Applied 0200-18073 Tube,saphire,mwave Source,hdp-cvd
323-0301// Amat Applied 0200-18073 Tube,saphire,mwave Source,hdp-cvd
1,500.00  28d 22h
 
Anorad Motion Controller Power Module AMAT Applied Materials VeraSEM Working
Anorad Motion Controller Power Module AMAT Applied Materials VeraSEM Working
504.16  26d 17h
 
Novellus 03-028661-03, A0P4, Cable 402557
Novellus 03-028661-03, A0P4, Cable 402557
350.00  12d 12h
 
Corbett RG62749 board for RG-3000
Corbett RG62749 board for RG-3000
1,249.00  16d 2h
 
AMAT 0020-05051 Perf Plate, Performance+, 150mm 415304
AMAT 0020-05051 Perf Plate, Performance+, 150mm 415304
450.00  4d 12h
 
Novellus Systems 02-318371-00 Over Ash Pedestal Assembly Rev. B  Working
Novellus Systems 02-318371-00 Over Ash Pedestal Assembly Rev. B  Working
1,507.12  2d 11h
 
Vision Semicon Vs-l400 Brightiness Led Lighting,
Vision Semicon Vs-l400 Brightiness Led Lighting,
200.00  29d 23h
 
FSI Cable - FSI Polaris 905143-025
FSI Cable - FSI Polaris 905143-025
51.00 24.07  9d 12h
 
AMAT 0190-09081 Insulator Kit, Ampule, Dopant, 423649
AMAT 0190-09081 Insulator Kit, Ampule, Dopant, 423649
350.00  13d 15h
 
Tylan FC-2900M Mass Flow Controller, MFC, O2, 1 SLPM, 2900 Series, 424320
Tylan FC-2900M Mass Flow Controller, MFC, O2, 1 SLPM, 2900 Series, 424320
750.00  9d 15h
 
Asm 45-115280a14 Single Ecomag Actuator Control Pendant
Asm 45-115280a14 Single Ecomag Actuator Control Pendant
307.18  2d 20h
 
Dynax K3AX-095 Robot Teach Pendant Hand Held Controller  Working
Dynax K3AX-095 Robot Teach Pendant Hand Held Controller  Working
462.17  1d 17h
 
0050-22607 / Valve N/c Valve / Applied Materials Amat
0050-22607 / Valve N/c Valve / Applied Materials Amat
1,057.50  13d 18h
 
Applied Materials 0050-52305 Adapter Foreline
Applied Materials 0050-52305 Adapter Foreline
388.00  26d 7h
 
8700 Tokyo Electron Pcb Sat-ao-bip, 3m08-001114-11 3m81-001114-11
8700 Tokyo Electron Pcb Sat-ao-bip, 3m08-001114-11 3m81-001114-11
350.00  14d 22h
 
8701 Tokyo Electron Pcb Sat-ao, Bb08-000003-14 Bb81-000003-13
8701 Tokyo Electron Pcb Sat-ao, Bb08-000003-14 Bb81-000003-13
349.52  14d 22h
 
7114 Applied Materials Pcb 4 Channel Devicenet Scanner 0190-34512
7114 Applied Materials Pcb 4 Channel Devicenet Scanner 0190-34512
300.00  3d 1h
 
343-0102// Amat Applied 0020-37436 Plate,cover Flowmeter
343-0102// Amat Applied 0020-37436 Plate,cover Flowmeter
240.00  19d 1h
 
Canon BF6-1366-000-000A, Motor Unit, Supply Hand 412554
Canon BF6-1366-000-000A, Motor Unit, Supply Hand 412554
450.00  3d 12h
 
401-0201// Pilz Pnoz-x1
401-0201// Pilz Pnoz-x1
29.00  8d 1h
 
141-0502// Amat Applied 0140-09076 Harness Heating Element
141-0502// Amat Applied 0140-09076 Harness Heating Element
30.00  17d 2h
 
141-0703// Amat Applied 0140-09056 (#1) Harness Airflow Interlk
141-0703// Amat Applied 0140-09056 (#1) Harness Airflow Interlk
20.00  28d 1h
 
142-0501// Amat Applied 0150-09707 Applied Matrials Components
142-0501// Amat Applied 0150-09707 Applied Matrials Components
50.00  1h 0m
 
143-0502// Amat Applied 0150-09884 Cable, Assy Lid Interlock Wxz
143-0502// Amat Applied 0150-09884 Cable, Assy Lid Interlock Wxz
40.00  13d 0h
 
143-0503// Amat Applied 0150-09531 Applied Matrials Components
143-0503// Amat Applied 0150-09531 Applied Matrials Components
20.00  12d 2h
 
352-0402// Amat Applied 0022-77049 (4ea) Screw
352-0402// Amat Applied 0022-77049 (4ea) Screw
10.00  29d 2h
 
176-0301// Ina-13-815 Smc Regulator[/fast]
176-0301// Ina-13-815 Smc Regulator[/fast]
50.00  21d 3h
 
441-0101// Oriental Motor 0rk1gn-aul Om Reversible Motor [/fast]
441-0101// Oriental Motor 0rk1gn-aul Om Reversible Motor [/fast]
50.00  5d 21h
 
428-0402// Yokogawa 6rh-d75aa Reducer []
428-0402// Yokogawa 6rh-d75aa Reducer []
50.00  10d 23h
 
348-0202// Amat Applied 0020-40051 Applied Matrials Components[]
348-0202// Amat Applied 0020-40051 Applied Matrials Components[]
20.00  19d 0h
 
348-0202// Amat Applied 0020-70180 Support Door Dll []
348-0202// Amat Applied 0020-70180 Support Door Dll []
40.00  19d 23h
 
352-0403// Amat Applied 0020-38101 Fixture, Captive Screw []
352-0403// Amat Applied 0020-38101 Fixture, Captive Screw []
20.00  9d 23h
 
342-0303// Amat Applied 0021-19364 Bracket, High Air Flow Valve, Slotted []
342-0303// Amat Applied 0021-19364 Bracket, High Air Flow Valve, Slotted []
20.00  6d 3h
 
123-0202// Amat Applied 0020-70377 Applied Matrials Components []
123-0202// Amat Applied 0020-70377 Applied Matrials Components []
40.00  19d 0h
 
ASML 4022.471.6418 / 4022.436.4479 Shutter Booster
ASML 4022.471.6418 / 4022.436.4479 Shutter Booster
375.00  9d 10h
 
Motor-etc, Tem16km-24-864, Think Engineering
Motor-etc, Tem16km-24-864, Think Engineering
180.00  2d 1h
 
UNIT UFC-8160 Mass Flow Controller, MFC, Cl2, 500 SCCM, 424248
UNIT UFC-8160 Mass Flow Controller, MFC, Cl2, 500 SCCM, 424248
750.00  24d 20h
 
322-0202// Amat Applied 0190-75066 0.8 Gpm Brs Flow Switch, Water [asis]
322-0202// Amat Applied 0190-75066 0.8 Gpm Brs Flow Switch, Water [asis]
250.00  13d 0h
 
Amat 3060-01623 Bearing,
Amat 3060-01623 Bearing,
150.00  10d 19h
 
Verteq 108300-1 58204, CE MEG Power Filter, 406362
Verteq 108300-1 58204, CE MEG Power Filter, 406362
350.00  6d 18h
 
124-0103// Amat Applied 0020-28113 Applied Matrials Components
124-0103// Amat Applied 0020-28113 Applied Matrials Components
1,200.00  18d 2h
 
AMAT 0150-39235 Rev.P1, Cable Assembly, Front End Interlock ADAPTER. 415285
AMAT 0150-39235 Rev.P1, Cable Assembly, Front End Interlock ADAPTER. 415285
295.00  3d 15h
 
403-0301// Mecapion Apm-hc05hc(c7)h Motor-1  
403-0301// Mecapion Apm-hc05hc(c7)h Motor-1
299.00  8d 23h
 
136-0401// Amat Applied 0040-31886 Shield- Right, R2 5000 []
136-0401// Amat Applied 0040-31886 Shield- Right, R2 5000 []
130.00  3d 3h
 
AMAT Applied Materials 0040-07477 Enclosure CB Cleaner Panel 0180-00385
AMAT Applied Materials 0040-07477 Enclosure CB Cleaner Panel 0180-00385
407.16  24d 14h
 
Omron S82D-6024 Power Supply * working, 90-day warranty
Omron S82D-6024 Power Supply * working, 90-day warranty
200.00  10d 12h
 
AMAT 0150-03907 Harness Assy, 300mm Endpoint Adaptor, 417462
AMAT 0150-03907 Harness Assy, 300mm Endpoint Adaptor, 417462
295.00  4d 16h
 
AMAT 0020-10273 Chassis, Power Supplies, 417755
AMAT 0020-10273 Chassis, Power Supplies, 417755
300.00  9d 17h
 
AMAT Applied Materials 0020-26721 Transfer Mount Ball Rev. 003
AMAT Applied Materials 0020-26721 Transfer Mount Ball Rev. 003
357.15  18d 13h
 
STEC SEC-4400M Mass Flow Controller, MFC, O2, 400 SCCM, Calibrated, 423527
STEC SEC-4400M Mass Flow Controller, MFC, O2, 400 SCCM, Calibrated, 423527
795.00  13d 17h
 
Transat ALC-2000 automatic lap controller
Transat ALC-2000 automatic lap controller
1,299.99  7d 12h
 
Amat 0040-48108 Shield Cover 300mm Srd Cleaner,
Amat 0040-48108 Shield Cover 300mm Srd Cleaner,
150.00  23d 0h
 
Esec 889.0913/01
Esec 889.0913/01
259.00  12d 1h
 
Esec 730.0970/01
Esec 730.0970/01
249.00  12d 3h
 
Applied Materials Mizar 7710 Video Card
Applied Materials Mizar 7710 Video Card
299.99  10d 14h
 
RKC Instrument Temperature Controller REX-F700 Ultratech 2244i Stepper
RKC Instrument Temperature Controller REX-F700 Ultratech 2244i Stepper
403.16  10d 13h
 
SMC DEVICE NET SI UNIT EX240-SDN2 24Vdc  EX240SDN2
SMC DEVICE NET SI UNIT EX240-SDN2 24Vdc EX240SDN2
125.00  29d 12h
 
National Instruments NuDrive 4SX-411 Motion Controller with 14 day warranty
National Instruments NuDrive 4SX-411 Motion Controller with 14 day warranty
1,500.00  24d 11h
 
NOW Technologies    SD5AAAP-040312    Probe Extended
NOW Technologies SD5AAAP-040312 Probe Extended
200.00  2d 14h
 
NOW Technologies SD5AAAP-040314 Probe Extended
NOW Technologies SD5AAAP-040314 Probe Extended
200.00  2d 14h
 
AMAT Applied Materials 0270-03559 Calibration Tool Cooldown/DEGAS 300mm
AMAT Applied Materials 0270-03559 Calibration Tool Cooldown/DEGAS 300mm
506.15  15d 9h
 
Tylan FC-2952 MASS FLOW CONTROLLER MFC 200 SCCM FC-2952MOEP5
Tylan FC-2952 MASS FLOW CONTROLLER MFC 200 SCCM FC-2952MOEP5
95.00  26d 10h
 
Alcatel 967-1609-008 Capacity Key AO Receiver Card UD-35AQ  Working
Alcatel 967-1609-008 Capacity Key AO Receiver Card UD-35AQ  Working
312.13  14d 17h
 
Asm 16-145384-01 Manifold-rh-upper Lamps-xl
Asm 16-145384-01 Manifold-rh-upper Lamps-xl
307.18  2d 19h
 
AERA FC-PA7810C-BA MFC, Mass Flow Controller AR, 20 SLM, 423705
AERA FC-PA7810C-BA MFC, Mass Flow Controller AR, 20 SLM, 423705
550.00  25d 18h
 
APPLIED MATERIALS 0240-35384 2-Phase Driver
APPLIED MATERIALS 0240-35384 2-Phase Driver
374.99  15d 17h
 
Ckd Xca-00-20-265-95 Ckd Ehs-300s-b-265-95-lf-nl540481 Cylender Assembly
Ckd Xca-00-20-265-95 Ckd Ehs-300s-b-265-95-lf-nl540481 Cylender Assembly
399.95  15d 9h
 
Unit  Ufc-1100 / N2 / 2 Slm
Unit Ufc-1100 / N2 / 2 Slm
350.00  12d 20h
 
XYCARB CERAMICS 10326476 Baffle Quartz
XYCARB CERAMICS 10326476 Baffle Quartz
308.18  2d 20h
 
Ion Systems Controller Tt1er4-1-ion2
Ion Systems Controller Tt1er4-1-ion2
282.01  24d 13h
 
Esec 694.0910/01 & 769.0906/04
Esec 694.0910/01 & 769.0906/04
279.00  14d 3h
 
Swagelok 6LVV-DPFR4-P-C Diaphragm Valve, 452149
Swagelok 6LVV-DPFR4-P-C Diaphragm Valve, 452149
125.00  20d 13h
 
APPLIED MATERIALS 0200-16563 LOW RESISTIVITY Si COLLAR SILICON AMAT
APPLIED MATERIALS 0200-16563 LOW RESISTIVITY Si COLLAR SILICON AMAT
1,399.00  14d 5h
 
Applied Materials 0200-09072 Ceramic Shield Amat *recycled*
Applied Materials 0200-09072 Ceramic Shield Amat *recycled*
1,199.00  12d 10h
 
Applied Materials 0100-13024 Interface Processor Dist. Assy Pcb Board Card Amat
Applied Materials 0100-13024 Interface Processor Dist. Assy Pcb Board Card Amat
1,199.00  8d 8h
 
Amat Ep 50312441000 Ui Switch Board
Amat Ep 50312441000 Ui Switch Board
200.00  4d 0h
 
Cosel SPS-001 DC Power Supply Board PCB  Working
Cosel SPS-001 DC Power Supply Board PCB  Working
404.16  3d 13h
 
112-0203// Amat Applied 0010-09549 Wafer Lift Assy [asis]
112-0203// Amat Applied 0010-09549 Wafer Lift Assy [asis]
1,000.00  22d 19h
 
Saint-Gobain 1102064 Fluid Valve 1/4" C-NC-C TEF. T2 FURON
Saint-Gobain 1102064 Fluid Valve 1/4" C-NC-C TEF. T2 FURON
607.18  2d 19h
 
Millipore CPVP01PLT Filter Fluorogard 0.1µm Reseller Lot of 8  Surplus
Millipore CPVP01PLT Filter Fluorogard 0.1µm Reseller Lot of 8  Surplus
408.18  11d 11h
 
Disco EAUA-002100 PCB, D1 Operation I/F Board, 405828
Disco EAUA-002100 PCB, D1 Operation I/F Board, 405828
450.00  21d 15h
 
Lucas Labs PDC Power Unit  Working
Lucas Labs PDC Power Unit  Working
509.12  22d 15h
 
Cyber Systems 7030-103-1 VMEbus Digital Servo Module (KeneticSystems CY70310131)
Cyber Systems 7030-103-1 VMEbus Digital Servo Module (KeneticSystems CY70310131)
49.95  28d 22h
 
Oriel 7072 Detection System  Working
Oriel 7072 Detection System  Working
507.12  23d 14h
 
Tel Tokyo Electron 2180-020557-12 Rmt-dio16-3 Pcb Board Card
Tel Tokyo Electron 2180-020557-12 Rmt-dio16-3 Pcb Board Card
729.00  20d 10h
 
0040-03142 , 0010-06510/ Chamber Body / Amat
0040-03142 , 0010-06510/ Chamber Body / Amat
19,500.97  18d 16h
 
AMAT 0200-04180, Quartz Cover 8", EXT, 418682
AMAT 0200-04180, Quartz Cover 8", EXT, 418682
900.00  28d 18h
 
Novellus 03-028661-03, A0P4, Cable 402556
Novellus 03-028661-03, A0P4, Cable 402556
350.00  12d 12h
 
Aera FC-PA7810C-BA MFC, Mass Flow Controller, NH3, 8 SLM, 3030-16230, 423741
Aera FC-PA7810C-BA MFC, Mass Flow Controller, NH3, 8 SLM, 3030-16230, 423741
550.00  2d 12h
 
Balzers BG 525 570 DT Power Supply PCB Card BG 525 574 CS  Working
Balzers BG 525 570 DT Power Supply PCB Card BG 525 574 CS  Working
408.16  5d 15h
 
Novellus RF Cable 03-00125-FT4/F UN
Novellus RF Cable 03-00125-FT4/F UN
160.00  8d 19h
 
AMAT 0140-08133 Harness Assembly, Producer E, Left Chamber, Cable, 413346
AMAT 0140-08133 Harness Assembly, Producer E, Left Chamber, Cable, 413346
950.00  14h 35m
 
Ultratech Left Power Supply Assembly 2244i Photolithograph System  Working
Ultratech Left Power Supply Assembly 2244i Photolithograph System  Working
404.16  18d 14h
 
Entegris Gauge Prot  217-6-4 , 1/4" FNPT , 60PSI ,
Entegris Gauge Prot 217-6-4 , 1/4" FNPT , 60PSI ,
118.00  1d 5h
 
KLA-Tencor 003087 000381 Mirror Assembly CRS1010  Working
KLA-Tencor 003087 000381 Mirror Assembly CRS1010  Working
510.09  12d 12h
 
Xenon 4.2 Lamp Housing
Xenon 4.2 Lamp Housing
648.00  19d 12h
 
AMAT 0020-78719 Main Plate, 417750
AMAT 0020-78719 Main Plate, 417750
325.00  9d 13h
 
AMAT Applied Materials 0270-03559 Calbration Tool Cooldown/DEGAS 300mm
AMAT Applied Materials 0270-03559 Calbration Tool Cooldown/DEGAS 300mm
405.15  11d 9h
 
Amat 0021-21890 Ring, Deposition, 8 Jmf, Ti/tin, Htesc ,
Amat 0021-21890 Ring, Deposition, 8 Jmf, Ti/tin, Htesc ,
1,500.00  24d 1h
 
RECIF Technologies IDLW8 Operator Panel  Working
RECIF Technologies IDLW8 Operator Panel  Working
409.12  19d 9h
 
ASML 4022.437.3013 Shutter Control Interface Card PCB  Working
ASML 4022.437.3013 Shutter Control Interface Card PCB  Working
403.17  10d 15h
 
ASM 16-141264-01 Panel Side 300mm Split Flow Chamber
ASM 16-141264-01 Panel Side 300mm Split Flow Chamber
406.18  2d 18h
 
TDK Control Transceiver Board 78Q2120-64T  Working
TDK Control Transceiver Board 78Q2120-64T  Working
307.12  6d 16h
 
UNIT UFC-1660 Mass Flow Controller, MFC, N2, 1 SLM, 1660, 424301
UNIT UFC-1660 Mass Flow Controller, MFC, N2, 1 SLM, 1660, 424301
750.00  8d 18h
 
AMAT 0020-42348 Plate, Pumping 8" SRCMP WXY, 424178
AMAT 0020-42348 Plate, Pumping 8" SRCMP WXY, 424178
795.00  8d 17h
 
SVG Silicon Valley Group 859-0564-002-F A1900 P.O. Isolator  Working
SVG Silicon Valley Group 859-0564-002-F A1900 P.O. Isolator  Working
907.12  2d 15h
 
Edwards Ngr409000  Devicnet Interface Module Software  950 Rev. C
Edwards Ngr409000 Devicnet Interface Module Software 950 Rev. C
299.95  7d 21h
 
325-0401// Tylan Fc-280sakz (#1) Sih2cl2 1slpm [asis]
325-0401// Tylan Fc-280sakz (#1) Sih2cl2 1slpm [asis]
300.00  3d 3h
 
322-0403// Amat Applied 0021-23550 Manifold, Input Mod []
322-0403// Amat Applied 0021-23550 Manifold, Input Mod []
390.00  7d 2h
 
322-0102// Amat Applied 0190-35606 Switch, Flow, Water 1 Gpm Set Proteus []
322-0102// Amat Applied 0190-35606 Switch, Flow, Water 1 Gpm Set Proteus []
310.00  9d 21h
 
409-0401// Moritex Mhf-h50lr Controller [/fast]
409-0401// Moritex Mhf-h50lr Controller [/fast]
300.00  27d 0h
 
409-0401// Lust Cda32.008,c1,4,hf Controller [/fast]
409-0401// Lust Cda32.008,c1,4,hf Controller [/fast]
400.00  27d 0h
 
419-0101// Parker Cm231gj-115002 Px23-030-s2 Motor [/fast]
419-0101// Parker Cm231gj-115002 Px23-030-s2 Motor [/fast]
500.00  29d 1h
 
419-0101// Parker Cm231gj-114066 Px23-030 Motor [/fast]
419-0101// Parker Cm231gj-114066 Px23-030 Motor [/fast]
500.00  29d 1h
 
430-0501// National Acf-0705t (unclean) Ac Servo Motor []
430-0501// National Acf-0705t (unclean) Ac Servo Motor []
500.00  12d 1h
 
116-0404// Amat Applied 0020-20896 Reflector Heater, 5/6, Degas []
116-0404// Amat Applied 0020-20896 Reflector Heater, 5/6, Degas []
560.00  19d 23h
 
322-0102// Amat Applied 0190-09470 Coolant Flow Switch, 50gpm,proteus,sst []
322-0102// Amat Applied 0190-09470 Coolant Flow Switch, 50gpm,proteus,sst []
360.00  9d 19h
 
LAM Research 515-088020-100,VAT 409213,#94753
LAM Research 515-088020-100,VAT 409213,#94753
39.90  6d 2h
 
4428  Applied Materials 0100-00010 Ion Gauge PCB Assy.
4428 Applied Materials 0100-00010 Ion Gauge PCB Assy.
250.00  12d 18h
 
Novellus 04-732323-00 O/P Power Cable Assembly, 408510
Novellus 04-732323-00 O/P Power Cable Assembly, 408510
1,500.00  14d 10h
 
125-0301// AMAT APPLIED 0020-10122 wPLATE PERF 125 MM OXIDE
125-0301// AMAT APPLIED 0020-10122 wPLATE PERF 125 MM OXIDE
500.00  29d 21h
 
AMAT 0242-70251 Kit Teardown, Preclean W/T, 0690-01038, 3300-02254, 424311
AMAT 0242-70251 Kit Teardown, Preclean W/T, 0690-01038, 3300-02254, 424311
300.00  9d 11h
 
SMC VV5Q11-ULB000113 8-Port Pneumatic Manifold EX160-SDN1  Working
SMC VV5Q11-ULB000113 8-Port Pneumatic Manifold EX160-SDN1  Working
304.12  4d 11h
 
AMAT 0010-13188, Crescent Drive Assy, VDPM, 300mm Desica, 410951
AMAT 0010-13188, Crescent Drive Assy, VDPM, 300mm Desica, 410951
900.00  18d 12h
 
TEL Tokyo Electron TKB7250 PCB Circuit Board ADD-ON SPIN-G #01 T-3044SS
TEL Tokyo Electron TKB7250 PCB Circuit Board ADD-ON SPIN-G #01 T-3044SS
405.16  2d 16h
 
Api-11168200 Wafer Transfer Lid 13.5" X 13.5" X 1" - Clear -
Api-11168200 Wafer Transfer Lid 13.5" X 13.5" X 1" - Clear -
20.00  8d 15h
 
TRU RF Union Fe-Fe Silver Plated 1 inch, 415204
TRU RF Union Fe-Fe Silver Plated 1 inch, 415204
20.00  17d 15h
 
AMAT 0150-20994 Cable Assy, 2 - Phase Driver Signal Interface, 424059
AMAT 0150-20994 Cable Assy, 2 - Phase Driver Signal Interface, 424059
495.00  23d 12h
 
4427  Applied Materials 0100-00008 TC Gauge P.W. B.
4427 Applied Materials 0100-00008 TC Gauge P.W. B.
250.00  12d 18h
 
MCDOWELL & COMPANY 1100 Aluminum Spindle Bottom PL Mod
MCDOWELL & COMPANY 1100 Aluminum Spindle Bottom PL Mod
507.18  2d 20h
 
Spicer Consulting Sc20 Field Cancelling Sysrem
Spicer Consulting Sc20 Field Cancelling Sysrem
1,399.90  23d 21h
 
Cutter Unit Assy
Cutter Unit Assy
1,000.00  10d 11h
 
Clean Sciences 15-262478-00 PED Blankoff Novellus C3 Altus Copper Refurbished
Clean Sciences 15-262478-00 PED Blankoff Novellus C3 Altus Copper Refurbished
406.15  12d 16h
 
344-0401// Amat Applied 0021-21469 Ring Restraint, 1.574 Dia Shft, 8 Besc
344-0401// Amat Applied 0021-21469 Ring Restraint, 1.574 Dia Shft, 8 Besc
400.00  24d 1h
 
347-0301// Amat Applied 0020-55944 Ufo-xz-200-nch-center
347-0301// Amat Applied 0020-55944 Ufo-xz-200-nch-center
400.00  16d 20h
 
142-0703// Amat Applied 0150-90524 Cable Assy Ijj3/ijj4
142-0703// Amat Applied 0150-90524 Cable Assy Ijj3/ijj4
380.00  6d 1h
 
323-0201// Amat Applied 3700-03098 Use 3700-02571 Seal Ctr Ring A
323-0201// Amat Applied 3700-03098 Use 3700-02571 Seal Ctr Ring A
380.00  22d 21h
 
116-0503// Amat Applied 0200-09197 Plate Gas Dist,lower, Quartz,4
116-0503// Amat Applied 0200-09197 Plate Gas Dist,lower, Quartz,4
370.00  10d 1h
 
128-0903// Amat Applied 3700-03051 Seal Assy Formed .228w Fluoroly W/sst S
128-0903// Amat Applied 3700-03051 Seal Assy Formed .228w Fluoroly W/sst S
400.00  1d 0h
 
320-0403// Amat Applied 0100-09213 Display Board, Asp []
320-0403// Amat Applied 0100-09213 Display Board, Asp []
400.00  22d 23h
 
347-0201// Amat Applied 0020-22994 Side Receiver, Left 6"
347-0201// Amat Applied 0020-22994 Side Receiver, Left 6"
390.00  6d 20h
 
319-0303// Amat Applied 3620-01355 Kit Horizontal Water Ftg Seiko Stp []
319-0303// Amat Applied 3620-01355 Kit Horizontal Water Ftg Seiko Stp []
400.00  11d 1h
 
350-0402// Amat Applied 0020-31288 Adapter, Top Lid, 25mm Prsp3 []
350-0402// Amat Applied 0020-31288 Adapter, Top Lid, 25mm Prsp3 []
650.00  21d 0h
 
Esec 943.052/1
Esec 943.052/1
249.00  18d 19h
 
Coaxial Power Systems Ltd AMN 2K RF Match with controller
Coaxial Power Systems Ltd AMN 2K RF Match with controller
3,333.00  5d 4h
 
SCP-Technologies 746-234-1D Interconnect PCB 171-511-1B  Working
SCP-Technologies 746-234-1D Interconnect PCB 171-511-1B  Working
310.13  19d 16h
 
AMAT 0020-05957 Iss. A, Bracket, Golfclub, Suppression. 417733
AMAT 0020-05957 Iss. A, Bracket, Golfclub, Suppression. 417733
850.00  9d 18h
 
Unit UFC-1660 Mass Flow Controller, MFC, NF3, 100 SCCM, 3030-02845, 423993
Unit UFC-1660 Mass Flow Controller, MFC, NF3, 100 SCCM, 3030-02845, 423993
1,100.00  2d 18h
 
07-01012-000 / Servo Amplifier / Copley Controls Corp
07-01012-000 / Servo Amplifier / Copley Controls Corp
751.03  28d 14h
 
207944 Or 380963 / Actuator, Ald Ll Vac 0310x-ca24-akk3 / Vat
207944 Or 380963 / Actuator, Ald Ll Vac 0310x-ca24-akk3 / Vat
475.98  7d 14h
 
28-8875-038 / Pc Assy, Smif, Ce96 / Ontrak
28-8875-038 / Pc Assy, Smif, Ce96 / Ontrak
350.98  5d 16h
 
0295-0099-0003 / Aperature, Scan Plate Collumator / Zmisc
0295-0099-0003 / Aperature, Scan Plate Collumator / Zmisc
450.68  10d 17h
 
17334760 / Flag Faraday Apert Extension / Axcelis Technologies
17334760 / Flag Faraday Apert Extension / Axcelis Technologies
380.00  16d 16h
 
Qu140006 / 472,motor Controller,carrier Rotation / Novellus Systems Inc
Qu140006 / 472,motor Controller,carrier Rotation / Novellus Systems Inc
500.82  24d 14h
 
Ldfn1f00213e0 / Super-cheminert Kleen-change Filter / Pall
Ldfn1f00213e0 / Super-cheminert Kleen-change Filter / Pall
400.99  10d 14h
 
4223500 / Teflon Spoon, 150mm / Varian
4223500 / Teflon Spoon, 150mm / Varian
550.99  12d 12h
 
Sups16x50-25w / Air Cylinder / Koganei
Sups16x50-25w / Air Cylinder / Koganei
300.99  12d 13h
 
8002a / Air Flow Monitor 800 Model Fkm130.11 / Proxitron
8002a / Air Flow Monitor 800 Model Fkm130.11 / Proxitron
500.99  18d 13h
 
Ap1510sm / Regulator, Diborane / Ap Tech
Ap1510sm / Regulator, Diborane / Ap Tech
655.00  16d 14h
 
0200-39346 / Ring - Shadow 200 Mm Snnf Si Quartz / Applied Materials Amat
0200-39346 / Ring - Shadow 200 Mm Snnf Si Quartz / Applied Materials Amat
565.95  18d 10h
 
Ds012-000721-1 / Valve,air.fpr-71-6.35-2-br (ku15495-1) / Tokyo Electron Tel
Ds012-000721-1 / Valve,air.fpr-71-6.35-2-br (ku15495-1) / Tokyo Electron Tel
418.01  20d 9h
 
9645-1112 / Mks Pipe Vac Heater Jacket 100v 197w 1.97a 50/60hz / Mks
9645-1112 / Mks Pipe Vac Heater Jacket 100v 197w 1.97a 50/60hz / Mks
350.82  2d 16h
 
40416-074197 / Dep Ring, 8” Snff, Esc, 9mm / Muto Technologies
40416-074197 / Dep Ring, 8” Snff, Esc, 9mm / Muto Technologies
700.00  7d 12h
 
Wgfg40hp1 / Tel: Ct024-012470-1 F-40 Waferguard 0.2micron 2-015 Nose / Millpore
Wgfg40hp1 / Tel: Ct024-012470-1 F-40 Waferguard 0.2micron 2-015 Nose / Millpore
742.00  12d 17h
 
605346-01 / Mounting Kit, Ir Sensor / Thermco
605346-01 / Mounting Kit, Ir Sensor / Thermco
320.82  3d 17h
 
E17232410 / Liner, Lower, C-magnet / Varian
E17232410 / Liner, Lower, C-magnet / Varian
747.67  15d 17h
 
3870-04569 / Valve Pneumatic Diaphragm 2 Way 125psig 1/4vcr-f/m No Vesp / Amat
3870-04569 / Valve Pneumatic Diaphragm 2 Way 125psig 1/4vcr-f/m No Vesp / Amat
351.66  18d 12h
 
372-15050-1 / Assy, Thru Beam Sensor / Novellus Systems Inc
372-15050-1 / Assy, Thru Beam Sensor / Novellus Systems Inc
522.50  18d 13h
 
152-1025k / Hps Pneumatic Valve / Mks
152-1025k / Hps Pneumatic Valve / Mks
695.82  16d 12h
 
501626-34-v / Valve Spdsa500-fsm-f-10lv-no / Fujikin
501626-34-v / Valve Spdsa500-fsm-f-10lv-no / Fujikin
363.66  26d 11h
 
Yaskawa Clsr-ca-4590n2a, Nikon 4s013-374 Padataif-x4b, 4s013-373 Padataif-x4a
Yaskawa Clsr-ca-4590n2a, Nikon 4s013-374 Padataif-x4b, 4s013-373 Padataif-x4a
980.00  28d 9h
 
Interloop I/O Driver Model 231
Interloop I/O Driver Model 231
249.99  12d 13h
 
322-0202// Amat Applied 0190-75067 0.5 Gpm Brs Flow Switch, Water [asis]
322-0202// Amat Applied 0190-75067 0.5 Gpm Brs Flow Switch, Water [asis]
200.00  14d 2h
 
TEL Tokyo Electron AP9Z-1835B Interface Board PCB Add-On PIR #02 TKB7121
TEL Tokyo Electron AP9Z-1835B Interface Board PCB Add-On PIR #02 TKB7121
511.17  22d 12h
 
Unit Instruments UFC-1200A Mass Flow Controller, MFC, SF6, 500 SCCM, 410572
Unit Instruments UFC-1200A Mass Flow Controller, MFC, SF6, 500 SCCM, 410572
550.00  4d 12h
 
LEYBOLD D30AC  Rebuilt
LEYBOLD D30AC Rebuilt
2,310.00  4d 11h
 
AMAT 0200-FT166 Ring, 424097
AMAT 0200-FT166 Ring, 424097
495.00  14d 18h
 
AMAT Applied Materials 0040-86239 Housing 300mm Titan  Surplus
AMAT Applied Materials 0040-86239 Housing 300mm Titan  Surplus
1,003.19  2d 14h
 
110-0602// Amat Applied 0020-33416 Bracket,hinge,r2
110-0602// Amat Applied 0020-33416 Bracket,hinge,r2
1,020.00  6d 22h
 
116-0301// AMAT APPLIED 0270-09247 WINDOW, QUARTZ, LID, WxZ
116-0301// AMAT APPLIED 0270-09247 WINDOW, QUARTZ, LID, WxZ
1,000.00  10d 1h
 
345-0501// Asml Shb-bf Ii 24v Service
345-0501// Asml Shb-bf Ii 24v Service
1,000.00  29d 3h
 
Amat 0040-83668 Housing Tall, 300mm Titan Profiler ,
Amat 0040-83668 Housing Tall, 300mm Titan Profiler ,
1,490.00  27d 22h
 
Spears 805-005 PVC Tee, 1/2", 451701
Spears 805-005 PVC Tee, 1/2", 451701
15.00  1d 15h
 
MC Systems Model 8830 Hot Chuck Controller Unit
MC Systems Model 8830 Hot Chuck Controller Unit
599.99  22d 20h
 
AMAT 0140-08133 Harness Assembly, Producer E, Left Chamber, Cable, 413347
AMAT 0140-08133 Harness Assembly, Producer E, Left Chamber, Cable, 413347
950.00  14h 37m
 
AERA FC-PA7810C-BA MFC, Mass Flow Controller N2, 10 SLM, AMAT 3030-16228, 423697
AERA FC-PA7810C-BA MFC, Mass Flow Controller N2, 10 SLM, AMAT 3030-16228, 423697
550.00  24d 18h
 
325-0401// Tylan Fc-280sakz (#2) Sicl4 500sccm [asis]
325-0401// Tylan Fc-280sakz (#2) Sicl4 500sccm [asis]
300.00  3d 3h
 
419-0101// Parker Cm231gj-115002 Px23-030 Motor [/fast]
419-0101// Parker Cm231gj-115002 Px23-030 Motor [/fast]
500.00  29d 1h
 
AMAT 0242-70252 Kit Teardown, Narrowbody L, 0020-40137, 3690-01847, 424308
AMAT 0242-70252 Kit Teardown, Narrowbody L, 0020-40137, 3690-01847, 424308
450.00  9d 11h
 
71-153266-03 / Metal Bracket / Novellus 71-153266-03
71-153266-03 / Metal Bracket / Novellus 71-153266-03
15.66  16d 17h
 
Tegal  93-128-004 Rev E Cable RF
Tegal 93-128-004 Rev E Cable RF
258.00  10d 20h
 
Servopack Digital Operator JUSP-OPO2A ID-AWM-A-3–4-015
Servopack Digital Operator JUSP-OPO2A ID-AWM-A-3–4-015
175.00  18d 17h
 
ACS Electronics SB214VME-E VME Controller PCB Card AMAT Orbot WF 736
ACS Electronics SB214VME-E VME Controller PCB Card AMAT Orbot WF 736
508.16  20d 11h
 
7500-0096-03 TRAY ALIGNMENT ,6 INCH, E  Version
7500-0096-03 TRAY ALIGNMENT ,6 INCH, E Version
1,000.00  10d 13h
 
Yaskawa Electric JANCD-NBB30-E Backplane PCB F352773-1 NXC100 Working Spare
Yaskawa Electric JANCD-NBB30-E Backplane PCB F352773-1 NXC100 Working Spare
554.18  1d 16h
 
Lot of 3 Berkeley Process Controls MWT-8-MNET Machiorks Machine controller
Lot of 3 Berkeley Process Controls MWT-8-MNET Machiorks Machine controller
2,600.00  4d 13h
 
Lot of 5 Berkeley Process Controls MWT-8-MNET Machiorks Machine controller
Lot of 5 Berkeley Process Controls MWT-8-MNET Machiorks Machine controller
4,000.00  4d 13h
 
Cincinnati Electrosystems Receiver Controller 9650-128  Working
Cincinnati Electrosystems Receiver Controller 9650-128  Working
309.12  1d 15h
 
Tegal 50590-01 Tegal CM1104-00402 Tegal PCB
Tegal 50590-01 Tegal CM1104-00402 Tegal PCB
499.00  6d 19h
 
Leybold 306396-2002-R System Controller  Working
Leybold 306396-2002-R System Controller  Working
707.12  23d 13h
 
452-S-1109 Elect Assy Active Filter/For NTR-51C
452-S-1109 Elect Assy Active Filter/For NTR-51C
3,787.50  15d 9h
 
Elect Air 95656-N0V-3 Pneumatic Manifold PCB Reseller Lot of 4  Working
Elect Air 95656-N0V-3 Pneumatic Manifold PCB Reseller Lot of 4  Working
404.13  23d 9h
 
Asyst Shinko 3FC120A010100 Assembly Assy Obstruction 328792
Asyst Shinko 3FC120A010100 Assembly Assy Obstruction 328792
1,200.00  1d 12h
 
062e1 3-11-20-35 / Pneumatic Directional Valve 0-125psi 24vdc 6.7w / Humphrey
062e1 3-11-20-35 / Pneumatic Directional Valve 0-125psi 24vdc 6.7w / Humphrey
230.00  6d 16h
 
Bg9-4955-000-000 / Pcb / Canon
Bg9-4955-000-000 / Pcb / Canon
210.00  16d 11h
 
Novellus 11332 Junction Box, 418583
Novellus 11332 Junction Box, 418583
300.00  28d 11h
 
Burr Brown MP8316-U Board with warranty
Burr Brown MP8316-U Board with warranty
1,500.00  14d 19h
 
AMAT 3310-01026 Gauge, Reg 0-160 PSI, 451747
AMAT 3310-01026 Gauge, Reg 0-160 PSI, 451747
95.00  3d 14h
 
Oriental Motor 5GU18KB Gearhead, 452019
Oriental Motor 5GU18KB Gearhead, 452019
95.00  12d 12h
 
Tubo Varian M - 147sp
Tubo Varian M - 147sp
4,500.00  9d 11h
 
PN: 2451-0010-05  J208V-1500WB3 LAMP For AG Associates Heatpulse 4100 4100S
PN: 2451-0010-05 J208V-1500WB3 LAMP For AG Associates Heatpulse 4100 4100S
160.00  24d 19h
 
ASM 16-350907D01 Quartz Arm 150mm
ASM 16-350907D01 Quartz Arm 150mm
601.18  2d 18h
 
Leybold 307285-2002-F System Controller  Working
Leybold 307285-2002-F System Controller  Working
707.12  24d 9h
 
Air Products CRSD 1537 Digital Processor Board PCB Card CRSD1537  Working
Air Products CRSD 1537 Digital Processor Board PCB Card CRSD1537  Working
203.17  6d 10h
 
Applied Materials 0200-21025 Ring Chuck Edge Stop Llbe Amat *un, Sealed*
Applied Materials 0200-21025 Ring Chuck Edge Stop Llbe Amat *un, Sealed*
899.00  14d 8h
 
ASM 1083-866-01 CANISTER BOX / GF  Surplus
ASM 1083-866-01 CANISTER BOX / GF  Surplus
607.18  2d 21h
 
HP Binary Interface Board 10746-60001 C
HP Binary Interface Board 10746-60001 C
799.99  18d 15h
 
199958 / Psf Driver, Sfs75 Pcb Rev F / Kla Tencor
199958 / Psf Driver, Sfs75 Pcb Rev F / Kla Tencor
950.69  14d 13h
 
AMAT 0021-01796 Adapater Plate
AMAT 0021-01796 Adapater Plate
1,250.00  23d 12h
 
Applied Materals AMAT Circuit Board 36-0572 REV 1
Applied Materals AMAT Circuit Board 36-0572 REV 1
211.94  14d 9h
 
Cosel MMB5OU-6 Power Supply Multiple Output Lot of 5
Cosel MMB5OU-6 Power Supply Multiple Output Lot of 5
407.15  29d 9h
 
Liebert 4D15271G1 Control Board PCB Rev. 14  Working
Liebert 4D15271G1 Control Board PCB Rev. 14  Working
603.19  26d 15h
 
AMAT Applied Materials 0200-08583 300mm PCII 2.0 Quartz Bushings Lot of 18
AMAT Applied Materials 0200-08583 300mm PCII 2.0 Quartz Bushings Lot of 18
358.12  21d 10h
 
5-39-01334 / Coil Tube Robot Vertical / Zmisc
5-39-01334 / Coil Tube Robot Vertical / Zmisc
144.39  21d 10h
 
Wa10000nv21 / Dresser 8 / Disco
Wa10000nv21 / Dresser 8 / Disco
150.00  12d 17h
 
80697-001-g / Pcs Ram Module Cm4503 / Varian
80697-001-g / Pcs Ram Module Cm4503 / Varian
150.00  16d 10h
 
111617 / Dfr Carriage Bd. / Brooks Automation Inc
111617 / Dfr Carriage Bd. / Brooks Automation Inc
150.00  18d 12h
 
20652 / Powertec Pcb / Power Technology Inc.
20652 / Powertec Pcb / Power Technology Inc.
150.00  7d 10h
 
A131554 / Gp Adapter Pwr Dist / Metron
A131554 / Gp Adapter Pwr Dist / Metron
152.00  16d 16h
 
715-002040-001 / Shunt,capdrillmod / Lam
715-002040-001 / Shunt,capdrillmod / Lam
153.45  17d 13h
 
Bm16037 / Pcb Inductor Ptcr Assy / Zmisc
Bm16037 / Pcb Inductor Ptcr Assy / Zmisc
124.55  25d 11h
 
0240-23499 / Pump Kit Hardware / Applied Materials Amat
0240-23499 / Pump Kit Hardware / Applied Materials Amat
172.20  21d 12h
 
965406 / Shaft, Guide, Lower / Novellus Systems Inc
965406 / Shaft, Guide, Lower / Novellus Systems Inc
150.15  21d 14h
 
100317423 / Metal Hose - Thin Wall, 20 Inches,nw 25,stainless Steel / Mks
100317423 / Metal Hose - Thin Wall, 20 Inches,nw 25,stainless Steel / Mks
139.67  22d 14h
 
15-251847-00 / Injector Tube, Close, Linch / Novellus Systems Inc
15-251847-00 / Injector Tube, Close, Linch / Novellus Systems Inc
172.77  24d 11h
 
Vqz1251l-5l0-x21 (set Of 3) / Solenoid Valve, Vvqz1000-10a-5 / Smc
Vqz1251l-5l0-x21 (set Of 3) / Solenoid Valve, Vvqz1000-10a-5 / Smc
120.99  13d 11h
 
43600921 42600944 / Pressure Gauge -1.0-2.0 Vac 0-3000  / Veriflo Valves Parker
43600921 42600944 / Pressure Gauge -1.0-2.0 Vac 0-3000 / Veriflo Valves Parker
150.00  15d 15h
 
D-e15001550 / Memory Board / Varian
D-e15001550 / Memory Board / Varian
150.00  16d 11h
 
36002882 / Water Sensor,300mm / Varian
36002882 / Water Sensor,300mm / Varian
120.00  18d 15h
 
10-00360-00 / Tube Assy,degas By-pass / Novellus Systems Inc
10-00360-00 / Tube Assy,degas By-pass / Novellus Systems Inc
172.99  27d 10h
 
002-3826-01 / Kit Small Cylinder / Samsung America Inc
002-3826-01 / Kit Small Cylinder / Samsung America Inc
150.00  17d 11h
 
111164-g3 / Pcb, Mks 0558a / Mks
111164-g3 / Pcb, Mks 0558a / Mks
171.00  22d 16h
 
Bm16037 / Pcb Inductor Ptcr Assy / Zmisc
Bm16037 / Pcb Inductor Ptcr Assy / Zmisc
124.55  27d 11h
 
Ldh-s2-4-5-cy2 / Motor Drive Amplifier / Western Servo Design Inc
Ldh-s2-4-5-cy2 / Motor Drive Amplifier / Western Servo Design Inc
140.00  6d 12h
 
0021-83785 / Esip Wnx Ti Shutter Disk Cleaned Clean Parts / Applied Materials
0021-83785 / Esip Wnx Ti Shutter Disk Cleaned Clean Parts / Applied Materials
140.99  7d 9h
 
Mr-rb032 / Servo Brake Resistor / Mitsubishi
Mr-rb032 / Servo Brake Resistor / Mitsubishi
130.99  10d 13h
 
Tas-rin8 / Backplane Interface Board / Tdk
Tas-rin8 / Backplane Interface Board / Tdk
132.99  1d 12h
 
3870-01366 / Nor Cal Isolation Valve / Nor-cal
3870-01366 / Nor Cal Isolation Valve / Nor-cal
130.00  8d 20h
 
RadiSys 23158-100 Processor Board PCB Card PME SIO-1 Quaestor Q7 Working Surplus
RadiSys 23158-100 Processor Board PCB Card PME SIO-1 Quaestor Q7 Working Surplus
607.13  12h 28m
 
AMAT Applied Materials 0200-00157-P1 Top Ring Flat 125mm
AMAT Applied Materials 0200-00157-P1 Top Ring Flat 125mm
462.11  24d 12h
 
142-0503// Amat Applied 0140-00150 Expanded Harness Assy,b
142-0503// Amat Applied 0140-00150 Expanded Harness Assy,b
260.00  7d 0h
 
355-0501// Amat Applied 0240-35702 Kit, Calibration Disk, 8" []
355-0501// Amat Applied 0240-35702 Kit, Calibration Disk, 8" []
270.00  11d 0h
 
351-0201// Amat Applied 0020-28487 A-1 Machine Mfg. []
351-0201// Amat Applied 0020-28487 A-1 Machine Mfg. []
280.00  25d 0h
 
348-0203// Amat Applied 1040-01065 Meter Flow Gas 70lph Sst W/sst Viton []
348-0203// Amat Applied 1040-01065 Meter Flow Gas 70lph Sst W/sst Viton []
270.00  22d 1h
 
177-0302// Amat Applied 3870-02150 Valve Blws 1/4vcr Nor Open Male Sst Air []
177-0302// Amat Applied 3870-02150 Valve Blws 1/4vcr Nor Open Male Sst Air []
280.00  23d 2h
 
ABB QSB23175L, Circuit Breaker 240V, 175 AMP 3 Phase, 24380-00, 423531
ABB QSB23175L, Circuit Breaker 240V, 175 AMP 3 Phase, 24380-00, 423531
350.00  13d 21h
 
TEL Tokyo Electron 1810-431718-11 Gas Pipe Inlet (3.6) G2L Reseller Lot of 4
TEL Tokyo Electron 1810-431718-11 Gas Pipe Inlet (3.6) G2L Reseller Lot of 4
311.18  17d 12h
 
545-5518 / Pcb, Para-i/f / Hitachi
545-5518 / Pcb, Para-i/f / Hitachi
325.68  9d 19h
 
APPLIED MATERIALS 0140-09035 Inert Gas Alarm Harness
APPLIED MATERIALS 0140-09035 Inert Gas Alarm Harness
284.99  17d 17h
 
ASM 1028-330-01 Rev. B Board to Gas Distribution BHD Cable Lot of 3  Surplus
ASM 1028-330-01 Rev. B Board to Gas Distribution BHD Cable Lot of 3  Surplus
303.19  9d 12h
 
Nikon 4S008-093 Processor Board PCB STGX41SUB NSR-S205C Working Spare
Nikon 4S008-093 Processor Board PCB STGX41SUB NSR-S205C Working Spare
507.19  14d 16h
 
Helms Industrial Supply ULOCK 11050200 Flex Hose  Surplus
Helms Industrial Supply ULOCK 11050200 Flex Hose  Surplus
354.20  18d 12h
 
Sanyo Denki A0348561 P80c18050bxs22 Motor 500w, 50mm, Thru Bore
Sanyo Denki A0348561 P80c18050bxs22 Motor 500w, 50mm, Thru Bore
200.00  10d 10h
 
AMAT 0140-21235, H/A, AC Power CH, F, HTR, Cryo, Control, Cable Assembly, 413799
AMAT 0140-21235, H/A, AC Power CH, F, HTR, Cryo, Control, Cable Assembly, 413799
400.00  7d 18h
 
AMAT 0020-22852 Shield, 8", Preclean, 424218
AMAT 0020-22852 Shield, 8", Preclean, 424218
495.00  14d 19h
 
118-0401// Amat Applied 0020-23620 Target Flat 13coherent Dummy [asis]
118-0401// Amat Applied 0020-23620 Target Flat 13coherent Dummy [asis]
500.00  20d 1h
 
177-0502// Amat Applied 0224-45666 Manifold, 6 Pos, Ti Avezzano []
177-0502// Amat Applied 0224-45666 Manifold, 6 Pos, Ti Avezzano []
330.00  7d 23h
 
Socapel 024.7036.A Servo Drive Main Board PCB  Working
Socapel 024.7036.A Servo Drive Main Board PCB  Working
507.12  2d 16h
 
Migatron Tsr-1  Ultrasonic Sensor Tsr1
Migatron Tsr-1  Ultrasonic Sensor Tsr1
200.00  1d 11h
 
Disco EAUA-266103, D3 PMCB, PCB (D3 PNM Control A), Farmon ID 412579
Disco EAUA-266103, D3 PMCB, PCB (D3 PNM Control A), Farmon ID 412579
500.00  17h 16m
 
Varian E17085491 Electrode Suppresion
Varian E17085491 Electrode Suppresion
250.00  15d 18h
 
144-0501// Cti 8043074g096 Hose Hp Flexline
144-0501// Cti 8043074g096 Hose Hp Flexline
400.00  19d 0h
 
SMC CDS1F160TN-100 Tie Rod Cylinder #50C42PR4
SMC CDS1F160TN-100 Tie Rod Cylinder #50C42PR4
489.99  18d 8h
 
GasTech 81-0221 H2 Gas Calibration Kit ASM 83-107564A06
GasTech 81-0221 H2 Gas Calibration Kit ASM 83-107564A06
310.18  5d 11h
 
LAM Pneumatic Manifold, V100-97-11A, SMC, 423717
LAM Pneumatic Manifold, V100-97-11A, SMC, 423717
450.00  27d 16h
 
AMAT 0020-40608, Insulator Cover. 418814
AMAT 0020-40608, Insulator Cover. 418814
400.00  17h 0m
 
DIP 15039603 DeviceNet Analog I/O PCB Card CDN396 AMAT 0190-12148 Working Spare
DIP 15039603 DeviceNet Analog I/O PCB Card CDN396 AMAT 0190-12148 Working Spare
504.17  17d 14h
 
Asm 1067-983-01 Manometer-htm 100 Torr
Asm 1067-983-01 Manometer-htm 100 Torr
607.18  2d 19h
 
Amat 0020-17121 Connector Heater 300mm Ultima
Amat 0020-17121 Connector Heater 300mm Ultima
373.00  15d 22h
 
Square D Vertron Circuit Breaker KAL262001127 Lot of 4  Working
Square D Vertron Circuit Breaker KAL262001127 Lot of 4  Working
504.12  12d 11h
 
Hitachi High Technologies 1-807879 PCB AI16-2
Hitachi High Technologies 1-807879 PCB AI16-2
611.08  22d 17h
 
Varian Primary Protect Pcb B686030
Varian Primary Protect Pcb B686030
85.00  2d 14h
 
Robot Arm Robot Effector with optical sensor Robot Accessories  ITEM 3
Robot Arm Robot Effector with optical sensor Robot Accessories ITEM 3
2,700.00  17d 21h
 
Mass Flow Controller
Mass Flow Controller
300.00  14d 21h
 
AERA FC-PA7810C-BA MFC, Mass Flow Controller, SiH4, 2 SLM, 423688
AERA FC-PA7810C-BA MFC, Mass Flow Controller, SiH4, 2 SLM, 423688
450.00  24d 13h
 
AMAT 0140-21235, H/A, AC Power CH, F, HTR, Cryo, Control, Cable Assembly, 413800
AMAT 0140-21235, H/A, AC Power CH, F, HTR, Cryo, Control, Cable Assembly, 413800
400.00  7d 18h
 
AMAT 0720-90519 Connector Ringlock Cable Clamp SS20, 452494
AMAT 0720-90519 Connector Ringlock Cable Clamp SS20, 452494
10.00  19d 12h
 
Lambda LRS-50-15 AC-DC Switching Power Supply Reseller Lot of 5  Working
Lambda LRS-50-15 AC-DC Switching Power Supply Reseller Lot of 5  Working
407.15  12d 14h
 
Applied Ceramics 91-01228A Pinless 8" Quartz Insulator AMAT  Surplus
Applied Ceramics 91-01228A Pinless 8" Quartz Insulator AMAT  Surplus
1,212.11  18d 18h
 
Amat 3310-01012 Gauge
Amat 3310-01012 Gauge
299.00  1d 4h
 
LH Leybold DRYVAC Controller ThermoVac TM 22 Display+++
LH Leybold DRYVAC Controller ThermoVac TM 22 Display+++
2,995.00  7d 9h
 
PT Tech Clutch Display Unit CMCDU-008
PT Tech Clutch Display Unit CMCDU-008
250.00  19d 9h
 
B&G Precision 56-010559 Overcenter SMIF OP Paddle  Working
B&G Precision 56-010559 Overcenter SMIF OP Paddle  Working
507.15  18d 16h
 
Ultrapointe 001004 White Light Power Supply Module KLA-Tencor  Working
Ultrapointe 001004 White Light Power Supply Module KLA-Tencor  Working
310.15  19d 9h
 
TEL Tokyo Electron T-3044SS PATLITE SIGNAL TOWER
TEL Tokyo Electron T-3044SS PATLITE SIGNAL TOWER
140.00  16d 23h
 
ASML 858-8163-002A Board ASML Lithography  Working
ASML 858-8163-002A Board ASML Lithography  Working
410.11  8d 15h
 
AMAT Applied Materials 0150-13757 75' DC Cable
AMAT Applied Materials 0150-13757 75' DC Cable
407.12  1d 10h
 
156-0202// Amat Applied Apm211010 Applied Matrials Components
156-0202// Amat Applied Apm211010 Applied Matrials Components
300.00  4d 0h
 
AMAT Applied Materials 0200-03406 C-CHANNEL SIDE 1 PRODUCER SE APF
AMAT Applied Materials 0200-03406 C-CHANNEL SIDE 1 PRODUCER SE APF
1,888.00  17d 6h
 
AMAT 0020-34444 Plate, Face DXZ, 406028
AMAT 0020-34444 Plate, Face DXZ, 406028
1,250.00  25d 12h
 
AMAT 0190-05836, WATLOW, HTR GL, CHC 0050-52510 Part 1 TICL4 PRODU. 418375
AMAT 0190-05836, WATLOW, HTR GL, CHC 0050-52510 Part 1 TICL4 PRODU. 418375
850.00  22d 18h
 
Nikon PCB 4S020-023A Control 2 (4S020-023-A or 4S020-023 A)
Nikon PCB 4S020-023A Control 2 (4S020-023-A or 4S020-023 A)
2,060.00  16d 18h
 
HP Agilent E5515-60124-3837-01-200023-21521 PCB Made in USA
HP Agilent E5515-60124-3837-01-200023-21521 PCB Made in USA
159.75  15d 17h
 
Disco EAUA-334701, FAPCB-0412, Driver Board PCB 402542
Disco EAUA-334701, FAPCB-0412, Driver Board PCB 402542
345.00  12d 16h
 
VAT Airlock Door Gate 04112-BA24-ACE2
VAT Airlock Door Gate 04112-BA24-ACE2
4,068.90  17d 11h
 
Amat Applied Materials 0270-76103 A Wpi Cal Plate, Preclean, Cooldown, 8"
Amat Applied Materials 0270-76103 A Wpi Cal Plate, Preclean, Cooldown, 8"
24.99  19d 13h
 
PN 7310-4213-02 AG Associates Heatpulse PCB 7100-4213-01?
PN 7310-4213-02 AG Associates Heatpulse PCB 7100-4213-01?
2,200.00  1d 21h
 
XP Power 10006055 DC Power Supply F7B6J6J6G2  Surplus
XP Power 10006055 DC Power Supply F7B6J6J6G2  Surplus
454.12  22d 10h
 
Applied Materials      0020-10941      Ndm-a-270
Applied Materials 0020-10941 Ndm-a-270
275.00  28d 9h
 
AMAT Applied Materials 0150-21025 CH 2 Bakeout Cable
AMAT Applied Materials 0150-21025 CH 2 Bakeout Cable
508.18  18d 17h
 
AMAT Applied Materials 0150-21029 CH 4 Bakeout Cable
AMAT Applied Materials 0150-21029 CH 4 Bakeout Cable
508.18  19d 17h
 
746-234-1d /interconnect, Y-axiz, 9200a / Scp
746-234-1d /interconnect, Y-axiz, 9200a / Scp
175.98  18d 14h
 
Mgqm20-75-y59a / Compact Guide Pneumatic Cylinder / Smc
Mgqm20-75-y59a / Compact Guide Pneumatic Cylinder / Smc
212.99  11d 10h
 
Nsavh-12bo / Nison Ventil / Nse Haruna
Nsavh-12bo / Nison Ventil / Nse Haruna
200.99  3d 11h
 
Kscpu-29a-01a / Pcb / Tdk
Kscpu-29a-01a / Pcb / Tdk
275.99  29d 13h
 
343-0302// Amat Applied 0040-93448 Clamp Assy,filament Guide,
343-0302// Amat Applied 0040-93448 Clamp Assy,filament Guide,
800.00  26d 21h
 
ASM Advanced Semiconductor Materials 116-328946D01 REFL-S-SINGLE PARABOLIC
ASM Advanced Semiconductor Materials 116-328946D01 REFL-S-SINGLE PARABOLIC
603.18  9d 16h
 
Esec 694.0913/1 & 694.0015/4
Esec 694.0913/1 & 694.0015/4
279.00  16d 3h
 
Advantest BGD-022241 Processor PCB Card PGD-622241BB Working Surplus
Advantest BGD-022241 Processor PCB Card PGD-622241BB Working Surplus
612.17  18d 12h
 
Square D FAL22031127J Molded Case Circuit Breaker Lot of 5
Square D FAL22031127J Molded Case Circuit Breaker Lot of 5
306.13  16d 8h
 
XYCARB CERAMICS 10051650 Hanger Quartz 11.5"
XYCARB CERAMICS 10051650 Hanger Quartz 11.5"
507.18  2d 19h
 
Balzers BG 541 087-S/B Partial Pressure Gauge PCB Card RF 064  Working
Balzers BG 541 087-S/B Partial Pressure Gauge PCB Card RF 064  Working
409.16  3d 9h
 
Amat 0150-07299 Cable Assy, Rs232, Rbt 1,
Amat 0150-07299 Cable Assy, Rs232, Rbt 1,
160.00  15d 6h
 
Schlumberger Technologies 97911437 APGID Y Board PCB Working
Schlumberger Technologies 97911437 APGID Y Board PCB Working
608.13  1d 16h
 
Tegal 99-188-001 Rev A EPE-1 Tegal PCB
Tegal 99-188-001 Rev A EPE-1 Tegal PCB
499.00  6d 19h
 
AMAT 0021-04242 Shield, DK Space Cover (ALPS), Micron 811-02328R, 423988
AMAT 0021-04242 Shield, DK Space Cover (ALPS), Micron 811-02328R, 423988
450.00  2d 15h
 
AMAT Applied Materials 0150-21032 Mainframe Cable Convenience Outlet
AMAT Applied Materials 0150-21032 Mainframe Cable Convenience Outlet
508.18  19d 18h
 
Boc Edwards U20000521 Interface Module
Boc Edwards U20000521 Interface Module
519.95  29d 9h
 
Modus Instruments DA-4-04M-0-RR-15-114 Display Alarm Reseller Lot of 5 Working
Modus Instruments DA-4-04M-0-RR-15-114 Display Alarm Reseller Lot of 5 Working
506.12  3d 15h
 
Hitachi High Technologies 2-819080 Ring Gate  Surplus
Hitachi High Technologies 2-819080 Ring Gate  Surplus
311.08  11h 6m
 
Nikon 4S018-710 LIB-I/F Board PCB NSR-S306C  Working
Nikon 4S018-710 LIB-I/F Board PCB NSR-S306C  Working
807.12  9d 15h
 
Amat 0190-77527 Servomotor, Pc Rotation,
Amat 0190-77527 Servomotor, Pc Rotation,
1,500.00  3d 19h
 
7100-0874-05 Associates Heatpulse RTP ERP Pyrometer
7100-0874-05 Associates Heatpulse RTP ERP Pyrometer
4,500.00  8d 21h
 
Yashibi IP-246B Optical Sensor PCB Board 1993.10  Working
Yashibi IP-246B Optical Sensor PCB Board 1993.10  Working
260.16  17d 14h
 
Esec 895.0906/1 & 895.0922/1
Esec 895.0906/1 & 895.0922/1
279.00  16d 2h
 
Staticon Stativolt Design 2000 Rectifier  (#2216)
Staticon Stativolt Design 2000 Rectifier (#2216)
299.99  2d 20h
 
KLA-Tencor 000056 Lens Filter Wheel Assembly Rev. A CRS-1010 Working Surplus
KLA-Tencor 000056 Lens Filter Wheel Assembly Rev. A CRS-1010 Working Surplus
806.12  3d 11h
 
Hitachi ZVV037 Control Board PCB Card I-900 HK3 MONIT2 I-900SRT  Working
Hitachi ZVV037 Control Board PCB Card I-900 HK3 MONIT2 I-900SRT  Working
811.11  14d 9h
 
000276 / Spectrometer Pmt Assembly / Ultrapointe
000276 / Spectrometer Pmt Assembly / Ultrapointe
995.82  4d 19h
 
ASM Advanced Semiconductor Materials 1130-467-01 Kit-Wiring Preclean PDU
ASM Advanced Semiconductor Materials 1130-467-01 Kit-Wiring Preclean PDU
603.18  9d 15h
 
AMAT 0020-21278, Clamping Ring, 6", AL SMRMF. 416196
AMAT 0020-21278, Clamping Ring, 6", AL SMRMF. 416196
795.00  2d 17h
 
Spring Door Bar Vac018168-01
Spring Door Bar Vac018168-01
88.00  21d 18h
 
Ncdq2wb50-uia970152 / Cylinder Mzx. Press 145psi / Smc
Ncdq2wb50-uia970152 / Cylinder Mzx. Press 145psi / Smc
360.68  4d 20h
 
E15001400 / Air Pressure Sensor / Varian
E15001400 / Air Pressure Sensor / Varian
320.68  8d 20h
 
C&H Enterprises 15-289073-00 Blankoff Top Plate WCVD
C&H Enterprises 15-289073-00 Blankoff Top Plate WCVD
607.15  19d 11h
 
APPLIED MATERIALS 0190-B3840 TELESCOPE B_V2 UVISION AMAT 34157/ 120nm/ KV2019
APPLIED MATERIALS 0190-B3840 TELESCOPE B_V2 UVISION AMAT 34157/ 120nm/ KV2019
19,999.00  6d 5h
 
Lam Research 715-330151-004 ACTR Flange Ring 420D0027 Refurbished
Lam Research 715-330151-004 ACTR Flange Ring 420D0027 Refurbished
506.14  17d 14h
 
FMS BKS.D.3.125 Nanotec ST5718L2804-KFMS with 30 day warranty
FMS BKS.D.3.125 Nanotec ST5718L2804-KFMS with 30 day warranty
950.00  14d 17h
 
Vat Valve, 02112-ba24-apn1(cu)
Vat Valve, 02112-ba24-apn1(cu)
5,760.00  16d 2h
 
Inductive Componets 1C-10115-1 Servo Motor MTR0059 BM15086  Working
Inductive Componets 1C-10115-1 Servo Motor MTR0059 BM15086  Working
305.15  14h 25m
 
4426  Applied Materials 0100-00015 Position Encoder Buffer
4426 Applied Materials 0100-00015 Position Encoder Buffer
250.00  12d 18h
 
Yaskawa CIMR-F7U22P2 Varispeed F7 Variable Frequency Drive, 423750
Yaskawa CIMR-F7U22P2 Varispeed F7 Variable Frequency Drive, 423750
750.00  2d 17h
 
AMAT 0200-00043 Quartz, Cover, 6", Poly .40, 417427
AMAT 0200-00043 Quartz, Cover, 6", Poly .40, 417427
1,100.00  1d 17h
 
KOGRANEI 0-1MPa Pressure Gauge,
KOGRANEI 0-1MPa Pressure Gauge,
30.00  8d 1h
 
Fujikura RA-NR-KYNN-4-1-KA SUPPLY,  
Fujikura RA-NR-KYNN-4-1-KA SUPPLY,
60.00  8d 1h
 
Millipore FC-2979MEP5 Mass Flow Controller O2 1SLPM MFC Tylan 2979M 424348
Millipore FC-2979MEP5 Mass Flow Controller O2 1SLPM MFC Tylan 2979M 424348
950.00  20d 18h
 
Robot Effector Robot ARM
Robot Effector Robot ARM
2,700.00  17d 10h
 
2665  AVAL DATA VME520 (MCF16) Control Board
2665 AVAL DATA VME520 (MCF16) Control Board
150.00  18d 12h
 
Amat 0190-23897 Specification Exmp Syncnet Stand Alon ,
Amat 0190-23897 Specification Exmp Syncnet Stand Alon ,
3,900.00  18d 21h
 
Dynax Processor CPU Board PCB Assembly F104-IO/1 DNX5171 DNX5191
Dynax Processor CPU Board PCB Assembly F104-IO/1 DNX5171 DNX5191
250.00  11d 5h
 
ALIS 15000002203 illuminator board
ALIS 15000002203 illuminator board
199.00  1d 2h
 
AMAT 0540-00054 Spare Vacuum Tube Assy, AMPL, Power Triode, 424282
AMAT 0540-00054 Spare Vacuum Tube Assy, AMPL, Power Triode, 424282
1,250.00  1d 14h
 
Varian assy L9539-301 L9536-301 L9524-301 R0395-301 L9542301 A60702 L9255-301
Varian assy L9539-301 L9536-301 L9524-301 R0395-301 L9542301 A60702 L9255-301
649.00  17d 0h
 
PN 7100-4066-01 AG Associates Heatpulse PCB,Same with 7100-5209-01 7100-5209-03
PN 7100-4066-01 AG Associates Heatpulse PCB,Same with 7100-5209-01 7100-5209-03
3,500.00  29d 10h
 
PN 7100-5168-08 AG Associates Heatpulse PCB
PN 7100-5168-08 AG Associates Heatpulse PCB
3,500.00  29d 20h
 
PN 7100-5209-01 AG Associates Heatpulse PCB
PN 7100-5209-01 AG Associates Heatpulse PCB
3,500.00  1d 20h
 
PN 7100-5221-01 AG Associates Heatpulse PCB
PN 7100-5221-01 AG Associates Heatpulse PCB
3,500.00  1d 20h
 
Edwards U20000347 im Interface Module  Working
Edwards U20000347 im Interface Module  Working
360.12  13d 14h
 
AMAT 0020-24100 8" Insulator With Anteane PC2 TI, 423997
AMAT 0020-24100 8" Insulator With Anteane PC2 TI, 423997
1,400.00  2d 19h
 
150-0701// Amat Applied 0150-38585 Cable Assy, Fiber Obtic, 25", Hi-temp, I
150-0701// Amat Applied 0150-38585 Cable Assy, Fiber Obtic, 25", Hi-temp, I
1,500.00  1d 20h
 
TELOS SS Gas Fixture, 2 APTech Gas Valves, Clean Room Ready & Sealed,
TELOS SS Gas Fixture, 2 APTech Gas Valves, Clean Room Ready & Sealed,
37.50  7d 15h
 
14018095 / Pad-heater 14 X 18 W/tc / Axcelis Technologies
14018095 / Pad-heater 14 X 18 W/tc / Axcelis Technologies
218.40  18d 13h
 
Computer Dynamics HPIO Board REV B Made in USA
Computer Dynamics HPIO Board REV B Made in USA
159.75  15d 15h
 
AMAT Applied Materials 50312440000 UI Switch Board PCB 50312441000  Working
AMAT Applied Materials 50312440000 UI Switch Board PCB 50312441000  Working
408.17  14h 57m
 
Muto Technology MR-23325 AL Cryo Shield 4179913-0001 MRC D121506
Muto Technology MR-23325 AL Cryo Shield 4179913-0001 MRC D121506
506.18  2d 19h
 
Sumilink Ffiz-mm-20 Cable Photo Fiber 402783
Sumilink Ffiz-mm-20 Cable Photo Fiber 402783
450.00  15d 16h
 
Square D 15A Circuit Breaker FAL220151127 Lot of 7  Working
Square D 15A Circuit Breaker FAL220151127 Lot of 7  Working
408.12  14d 13h
 
M.E.C. Tech MEC30008-1047 Spin Chuck Assembly 200mm Rev. A  Working
M.E.C. Tech MEC30008-1047 Spin Chuck Assembly 200mm Rev. A  Working
405.15  9d 14h
 
Metron D127542-062S Dark Space Shield SPA 12  Surplus
Metron D127542-062S Dark Space Shield SPA 12  Surplus
506.18  22d 16h
 
Horiba H334535B Interface Board PCB PRT-02 PD-201A  Working
Horiba H334535B Interface Board PCB PRT-02 PD-201A  Working
262.18  15d 14h
 
Tokyo Electron Tel 3208-000141-12 3281-000141-12 Pcb Indexer Base 97 Board Card
Tokyo Electron Tel 3208-000141-12 3281-000141-12 Pcb Indexer Base 97 Board Card
399.00  29d 9h
 
Asm 04-144192-01 Kit-sys Wiring-tm-dll-ph2
Asm 04-144192-01 Kit-sys Wiring-tm-dll-ph2
507.18  2d 18h
 
Novellus 17-112183-00 Sprayed Clampless Deposition Shield Assembly Refurbished
Novellus 17-112183-00 Sprayed Clampless Deposition Shield Assembly Refurbished
501.12  6d 10h
 
Asm 02-333959-01 Video Port Exp/pwr Sup-smif
Asm 02-333959-01 Video Port Exp/pwr Sup-smif
607.18  2d 19h
 
AMAT 0140-21235, H/A, AC Power CH, F, HTR, Cryo Control, Cable, Assembly, 413801
AMAT 0140-21235, H/A, AC Power CH, F, HTR, Cryo Control, Cable, Assembly, 413801
400.00  7d 18h
 
Millipore FC-2979MEP5 Mass Flow Controller O2 1SLPM MFC Tylan 2979M 424349
Millipore FC-2979MEP5 Mass Flow Controller O2 1SLPM MFC Tylan 2979M 424349
950.00  20d 18h
 
Sumilink Ffiz-mm-20 Cable Photo Fiber 402784
Sumilink Ffiz-mm-20 Cable Photo Fiber 402784
450.00  15d 16h
 
S&a 0000416-03
S&a 0000416-03
249.00  21h 9m
 
Fastcom 8 / 8 Port Rs-232 Adapter / Commtech / Fastcom
Fastcom 8 / 8 Port Rs-232 Adapter / Commtech / Fastcom
300.00  29d 22h
 
Amat 0200-10285 Guide Pin Lift Dxz,
Amat 0200-10285 Guide Pin Lift Dxz,
200.00  9d 16h
 
Lam Research 713-069935-003 Gasket, Guard, Outer Elctd,
Lam Research 713-069935-003 Gasket, Guard, Outer Elctd,
150.00  22d 21h
 
Amat 0240-71381 Kit, Basic Ce Marked Dc Power Supply,
Amat 0240-71381 Kit, Basic Ce Marked Dc Power Supply,
250.00  16d 6h
 
APPLIED MATERIALS 3870-01606 AMAT , Valve Water Control TMS
APPLIED MATERIALS 3870-01606 AMAT , Valve Water Control TMS
500.00 261.25  22d 16h
 
Applied Materials 2040-62909 Amat Plate
Applied Materials 2040-62909 Amat Plate
400.00 209.00  22d 16h
 
Applied Materials 0021-70168 Amat Obs-bracket Pneu Manifold Ce
Applied Materials 0021-70168 Amat Obs-bracket Pneu Manifold Ce
429.99 224.67  22d 16h
 
Applied Materials 0050-36884 Amat Line High Pressure Dist.
Applied Materials 0050-36884 Amat Line High Pressure Dist.
500.00 261.25  22d 16h
 
Applied Materials 0240-29449 Amat Kit Bottom Exhaust Enclosure Flow Switch
Applied Materials 0240-29449 Amat Kit Bottom Exhaust Enclosure Flow Switch
500.00 261.25  22d 16h
 
136-0601// Amat Applied 0010-00744 (#3) 20sccm 122aa-00010-b-s
136-0601// Amat Applied 0010-00744 (#3) 20sccm 122aa-00010-b-s
2,500.00  28d 23h
 
351-0501// Amat Applied 0010-22162 (#1) Assy, Water Box, Besc
351-0501// Amat Applied 0010-22162 (#1) Assy, Water Box, Besc
2,000.00  9d 4h
 
Nikon 4S013-358 RLIOP-I/F Interface Board PCB Rev. A NSR-S306C  Working
Nikon 4S013-358 RLIOP-I/F Interface Board PCB Rev. A NSR-S306C  Working
908.12  20d 11h
 
PANASONIC Panadac 610-AC100-B input output unit
PANASONIC Panadac 610-AC100-B input output unit
288.00  18d 9h
 
Novellus A95-107-01 Rear Panel Display, Assembly, Loadlock, 422417
Novellus A95-107-01 Rear Panel Display, Assembly, Loadlock, 422417
1,100.00  18d 18h
 
Oriental Motor  5-phase Motor Driver Csd5828n-t Vexta
Oriental Motor 5-phase Motor Driver Csd5828n-t Vexta
225.00  20d 9h
 
Tokyo Flow Meter EM-0100 Multi-Digitalmeter Lot of 10  Working
Tokyo Flow Meter EM-0100 Multi-Digitalmeter Lot of 10  Working
504.19  4d 11h
 
Festo CPA-SC-FB-VI Manifold Assembly
Festo CPA-SC-FB-VI Manifold Assembly
499.49  7d 21h
 
LAM Research: Shield, Horn O2 Introduction PN 716-072016-001
LAM Research: Shield, Horn O2 Introduction PN 716-072016-001
225.00  10h 36m
 
TEL, Tokyo Electron, SG04188 Guide Upper Unload 200mm, 420209
TEL, Tokyo Electron, SG04188 Guide Upper Unload 200mm, 420209
295.00  8d 15h
 
Semprex 17-2358.00 Motor Controller, 423534
Semprex 17-2358.00 Motor Controller, 423534
690.00  14d 13h
 
AMAT 0140-02983 Harness Assemblly 6 Driver DNET Distribution, 415239
AMAT 0140-02983 Harness Assemblly 6 Driver DNET Distribution, 415239
350.00  3d 13h
 
Asm 02-352076d01 Assy-qtz Tc Rear Thk Wall
Asm 02-352076d01 Assy-qtz Tc Rear Thk Wall
607.18  2d 19h
 
SCRANTON PRODUCTS 758 Tank Staging
SCRANTON PRODUCTS 758 Tank Staging
607.18  2d 20h
 
AMAT 0300-00047 System Software, 8300, REV R.0.2, 417869
AMAT 0300-00047 System Software, 8300, REV R.0.2, 417869
1,200.00  12d 18h
 
Asml 4022.435.60122 Spindle *un, Sealed*
Asml 4022.435.60122 Spindle *un, Sealed*
999.00  2d 5h
 
Swagelok, SS-8-VCR-2-GR-5M
Swagelok, SS-8-VCR-2-GR-5M
180.00  26d 15h
 
Ultrapointe 000134 Page Scanner Control PCB Rev. A KLA-Tencor CRS-1010
Ultrapointe 000134 Page Scanner Control PCB Rev. A KLA-Tencor CRS-1010
504.09  5d 17h
 
Hitachi HT98822 Interface Connector Board PCB BD14 Ver. A1  Working
Hitachi HT98822 Interface Connector Board PCB BD14 Ver. A1  Working
211.18  25d 10h
 
KEYENCE  SJ-B120, SJ-B101 Sensor
KEYENCE  SJ-B120, SJ-B101 Sensor
199.90  3h 18m
 
S&C Electric DA810001DG01 IGBT Gate Resistor Board PCB DA810006DG01  Working
S&C Electric DA810001DG01 IGBT Gate Resistor Board PCB DA810006DG01  Working
710.17  24d 15h
 
AMAT Applied Materials 0140-17345 Harness Assembly Water Flow INTC G
AMAT Applied Materials 0140-17345 Harness Assembly Water Flow INTC G
298.00  7d 4h
 
7100-5633-02 AG Associates Heatpulse PCB Zero Crossing Board 3-PH 60Hz AG RTP
7100-5633-02 AG Associates Heatpulse PCB Zero Crossing Board 3-PH 60Hz AG RTP
3,500.00  2d 16h
 
Amat 0140-03193 Harness, Etch 300mm Pump, Turbo, Leak Dp.410916
Amat 0140-03193 Harness, Etch 300mm Pump, Turbo, Leak Dp.410916
300.00  21d 15h
 
PN 7100-1258-09 AG Associates Heatpulse Susceptor?
PN 7100-1258-09 AG Associates Heatpulse Susceptor?
6,500.00  9d 2h
 
PN 7100-1258-07 AG Associates Heatpulse Susceptor?
PN 7100-1258-07 AG Associates Heatpulse Susceptor?
6,000.00  9d 2h
 
PN 7100-5447-02 AG Associates Heatpulse Susceptor?
PN 7100-5447-02 AG Associates Heatpulse Susceptor?
7,000.00  9d 2h
 
147-0101// Amat Applied 0150-09264 Cable Coaxial
147-0101// Amat Applied 0150-09264 Cable Coaxial
600.00  10d 2h
 
AMAT 0150-20640 Cable Assembly 2-Phase, 451568
AMAT 0150-20640 Cable Assembly 2-Phase, 451568
150.00  20d 16h
 
Microbar Systems 11-0123-00 Power Conversion Board PCB  Working
Microbar Systems 11-0123-00 Power Conversion Board PCB  Working
202.19  27d 12h
 
HP Binary Interface PCB 10746-60001
HP Binary Interface PCB 10746-60001
849.99  18d 15h
 
BALDOR W129/1418 D121 124 02 Brushless AC Servo Motor  Working
BALDOR W129/1418 D121 124 02 Brushless AC Servo Motor  Working
506.18  2d 21h
 
TEL Tokyo Electron 3D81-000060-V1 PATLITE SIGNAL TOWER
TEL Tokyo Electron 3D81-000060-V1 PATLITE SIGNAL TOWER
140.00  16d 23h
 
ASM Advanced Semiconductor Materials 1076-994-01 Moving Plate B
ASM Advanced Semiconductor Materials 1076-994-01 Moving Plate B
508.18  8d 21h
 
TEL 3281-000174-13 PCB IN/OUT Interface
TEL 3281-000174-13 PCB IN/OUT Interface
239.00  26d 0h
 
AMAT 0020-99382 Rev.A, Thomas Hatchard, Shaft, Drive 25-30, Durometer. 419310
AMAT 0020-99382 Rev.A, Thomas Hatchard, Shaft, Drive 25-30, Durometer. 419310
650.00  10d 15h
 
7500-0155-06 Tray Alignment ,8 Inch
7500-0155-06 Tray Alignment ,8 Inch
1,000.00  10d 13h
 
Fuji Electric EFL-4.0E11-2 3 Phase RFI Filter Reseller Lot of 2  Working
Fuji Electric EFL-4.0E11-2 3 Phase RFI Filter Reseller Lot of 2  Working
351.17  17d 10h
 
Varian 3180 O-Ring  8.25 ID X 0.210WCRS P/N 3180042),8Pieces In A Package
Varian 3180 O-Ring 8.25 ID X 0.210WCRS P/N 3180042),8Pieces In A Package
160.00  23d 12h
 
Allen-Bradley 800T-FXJ604 PUSH BUTTON,
Allen-Bradley 800T-FXJ604 PUSH BUTTON,
150.00  22d 19h
 
Amat 3700-03718 Oring Id 9.737 Csd .103 Viton 75 Duro Bl,
Amat 3700-03718 Oring Id 9.737 Csd .103 Viton 75 Duro Bl,
200.00  20d 9h
 
Amat 3700-04633 Oring,
Amat 3700-04633 Oring,
150.00  20d 9h
 
FOAMTEC HT5790S-5 Wide Seal Edges Lot of 5,
FOAMTEC HT5790S-5 Wide Seal Edges Lot of 5,
200.00  16d 19h
 
APTech AP3650SM VALVE,
APTech AP3650SM VALVE,
200.00  22d 2h
 
Amat 3700-03075 Oring Id .165 Csd .075 Chemraz Sc513 80d,
Amat 3700-03075 Oring Id .165 Csd .075 Chemraz Sc513 80d,
200.00  20d 9h
 
Amat 3700-03271 Oring Id 1.484 Csd .139 Epdm 70 Duro Blk,
Amat 3700-03271 Oring Id 1.484 Csd .139 Epdm 70 Duro Blk,
200.00  20d 9h
 
Amat 3700-03384 Oring Id 3.489 Csd .070 Epdm 80duro Blk,
Amat 3700-03384 Oring Id 3.489 Csd .070 Epdm 80duro Blk,
200.00  20d 9h
 
VALQUA AS568-373 ORING High performance elastomer parts.
VALQUA AS568-373 ORING High performance elastomer parts.
200.00  14d 5h
 
FOAMTEC HT1790-5 7", Dual Tip: Angle & Lollipop Lot of 5,
FOAMTEC HT1790-5 7", Dual Tip: Angle & Lollipop Lot of 5,
150.00  16d 19h
 
177-0404// Amat Applied 0050-20198 Kit Loadlock Chambr 2 Stage Vent, [2nd ]
177-0404// Amat Applied 0050-20198 Kit Loadlock Chambr 2 Stage Vent, [2nd ]
300.00  25d 1h
 
177-0404// Amat Applied 0050-75192 Weldment, Chc, He Delivery-initial [2nd ]
177-0404// Amat Applied 0050-75192 Weldment, Chc, He Delivery-initial [2nd ]
240.00  25d 2h
 
177-0404// Amat Applied 0040-00552 He Vent Manifold [2nd ]
177-0404// Amat Applied 0040-00552 He Vent Manifold [2nd ]
220.00  25d 1h
 
177-0404// Amat Applied 0050-76271 Gasline, Dual Stage Vent ,lla [2nd ]
177-0404// Amat Applied 0050-76271 Gasline, Dual Stage Vent ,lla [2nd ]
250.00  25d 2h
 
342-0401// Amat Applied 0200-00057 Window, Small Std Window [2nd ]
342-0401// Amat Applied 0200-00057 Window, Small Std Window [2nd ]
300.00  7d 2h
 
342-0401// Amat Applied 0200-09024 Window, Small [2nd ]
342-0401// Amat Applied 0200-09024 Window, Small [2nd ]
300.00  7d 2h
 
348-0303// Amat Applied 0020-22910 Applied Matrials Components [2nd Source]
348-0303// Amat Applied 0020-22910 Applied Matrials Components [2nd Source]
500.00  4d 0h
 
9050 Jck11v14 / Electrical Timing Relay / Square D
9050 Jck11v14 / Electrical Timing Relay / Square D
211.75  5d 15h
 
320-0403// Amat Applied 0100-01133 Magnet Current Sense Card []
320-0403// Amat Applied 0100-01133 Magnet Current Sense Card []
130.00  3d 2h
 
Muto Technology MR-22406 SS Dark Space Ring 4182275-0001 MRC D119223
Muto Technology MR-22406 SS Dark Space Ring 4182275-0001 MRC D119223
506.18  2d 19h
 
Intralux 5000 / Light Source / Volpi Mfg
Intralux 5000 / Light Source / Volpi Mfg
189.00  9d 21h
 
002-9922-01 /    Traverser Connect Board / Brooks Automation Inc
002-9922-01 / Traverser Connect Board / Brooks Automation Inc
250.82  27d 16h
 
80680-001-l42 / Memory Module Unpopulated / Varian
80680-001-l42 / Memory Module Unpopulated / Varian
210.82  4d 12h
 
E15004780  /    Position Equals Card  /  Varian
E15004780 / Position Equals Card / Varian
250.82  13d 11h
 
3100342 / Flexline 3/4" St F 90 F 40ft 8043081g480 / Axcelis Technologies
3100342 / Flexline 3/4" St F 90 F 40ft 8043081g480 / Axcelis Technologies
250.26  13d 17h
 
320017  /  Beam Faraday Motion Pcb  /  Genus
320017 / Beam Faraday Motion Pcb / Genus
176.66  26d 12h
 
294174-400b / Pcb Assy (b/n294174-200b) / Fsi
294174-400b / Pcb Assy (b/n294174-200b) / Fsi
200.00  14d 16h
 
Bm23100l02 /servo Microprocessor Board / Pri
Bm23100l02 /servo Microprocessor Board / Pri
250.00  18d 16h
 
0100-00008 / Tc Gauge Pwb Assy / Amat
0100-00008 / Tc Gauge Pwb Assy / Amat
250.98  16d 12h
 
A4921-9215ke / Stepping Motor Upk566 Vexta .72step Dc12v .08a / Vexta
A4921-9215ke / Stepping Motor Upk566 Vexta .72step Dc12v .08a / Vexta
225.98  7d 12h
 
M35aa-12d / Relay / Transducer / Magnecraft
M35aa-12d / Relay / Transducer / Magnecraft
183.50  8d 11h
 
74007960000 A / Spacer For Outer Frame Xp Only / Kla Tencor
74007960000 A / Spacer For Outer Frame Xp Only / Kla Tencor
200.00  27d 17h
 
800-494 / Servo Drive, Amat Semvision Cx     / Copley Controls Corp
800-494 / Servo Drive, Amat Semvision Cx / Copley Controls Corp
238.65  18d 12h
 
3161932 / Analog I/o Microprocessor (vme Bus Pcb) / Btu Engineering
3161932 / Analog I/o Microprocessor (vme Bus Pcb) / Btu Engineering
208.50  25d 11h
 
M16-02-f00 / Caolrescing Filter / Wilkerson
M16-02-f00 / Caolrescing Filter / Wilkerson
257.99  25d 11h
 
Fcp-102k(lot Of 3) / Tdk Power Supply 15v / Kepco
Fcp-102k(lot Of 3) / Tdk Power Supply 15v / Kepco
200.99  25d 14h
 
0270-20044 / Tool Calibration Orienter 8" / Applied Materials Amat
0270-20044 / Tool Calibration Orienter 8" / Applied Materials Amat
243.60  15d 12h
 
859-8027-004 / Pcb Delim Lower Board / Svg
859-8027-004 / Pcb Delim Lower Board / Svg
197.55  18d 16h
 
285621 / Gage Photohelic Switch / Axcelis Technologies
285621 / Gage Photohelic Switch / Axcelis Technologies
247.38  20d 14h
 
A3000-00-tamp / Photohelic Press.switch/gage, 0-.25 / Dwyer Instruments, Inc
A3000-00-tamp / Photohelic Press.switch/gage, 0-.25 / Dwyer Instruments, Inc
210.55  26d 14h
 
17250340 / Aperture Selectable Vg / Axcelis Technologies
17250340 / Aperture Selectable Vg / Axcelis Technologies
213.00  6d 15h
 
3100277 / Absorber 8080275k001 / Axcelis
3100277 / Absorber 8080275k001 / Axcelis
261.60  8d 14h
 
559202 / Npl 20x/0.40 Stain Free Reflected / Leitz Wetzlar
559202 / Npl 20x/0.40 Stain Free Reflected / Leitz Wetzlar
196.99  25d 12h
 
0050-36199 / Line, Exh. Cham. B, Valve/bellow / Applied Materials Amat
0050-36199 / Line, Exh. Cham. B, Valve/bellow / Applied Materials Amat
236.75  28d 13h
 
631110071.00 / 23.11.1007/1 Power Supply Board / Asm America Inc
631110071.00 / 23.11.1007/1 Power Supply Board / Asm America Inc
175.99  24d 15h
 
1990318-101 Accelnet Dhl Or Ems #p2697 Yl Copely Controls 800-1656b Delta
1990318-101 Accelnet Dhl Or Ems #p2697 Yl Copely Controls 800-1656b Delta
220.68  26d 19h
 
Tht-b111 / Humidity & Temperature Transmitter / Shinyei
Tht-b111 / Humidity & Temperature Transmitter / Shinyei
250.00  10d 18h
 
AMAT 0140-21235, H/A, AC Power CH, F, HTR, Cryo, Control, Cable Assembly, 413802
AMAT 0140-21235, H/A, AC Power CH, F, HTR, Cryo, Control, Cable Assembly, 413802
400.00  7d 18h
 
Hitachi HT98822 Interface Connector Board PCB BD14 Ver. A  Working
Hitachi HT98822 Interface Connector Board PCB BD14 Ver. A  Working
208.18  11d 13h
 
Amat 0140-03193 Harness, Etch 300mm Pump, Turbo, Leak Dp.410919
Amat 0140-03193 Harness, Etch 300mm Pump, Turbo, Leak Dp.410919
300.00  21d 15h
 
17122870 / Ina 13924 Upd To None (aperture Beam Defining) / Axcelis Technologies
17122870 / Ina 13924 Upd To None (aperture Beam Defining) / Axcelis Technologies
224.40  12d 18h
 
1009007 / Flow Switch #910113 Proteus / Lam Research Corporation
1009007 / Flow Switch #910113 Proteus / Lam Research Corporation
219.45  12d 13h
 
0190-13124 / Hose Assy Facty Suply/heater/lamp In Ch / Applied Materials Amat
0190-13124 / Hose Assy Facty Suply/heater/lamp In Ch / Applied Materials Amat
215.82  12d 14h
 
Sc11b / Temperature Switch Control System / Asco
Sc11b / Temperature Switch Control System / Asco
199.99  17d 9h
 
40435-00 /  A9 Card  /  Genus
40435-00 / A9 Card / Genus
245.82  29d 11h
 
99-80207-01 / Pcb Assy Spin Sta Expansion / Svg
99-80207-01 / Pcb Assy Spin Sta Expansion / Svg
265.00  21d 14h
 
E11100720 Rev B / Prong Connector To Ethernet Con / Vetra
E11100720 Rev B / Prong Connector To Ethernet Con / Vetra
200.00  24d 14h
 
15-157960-01 / Arm,end Eff Mtg,r L/lk,vctr / Novellus Systems Inc
15-157960-01 / Arm,end Eff Mtg,r L/lk,vctr / Novellus Systems Inc
250.00  27d 13h
 
Mr-j3-10b-pe053 / Mr-j3-10b-pe053 / Mitsubishi
Mr-j3-10b-pe053 / Mr-j3-10b-pe053 / Mitsubishi
175.98  23d 13h
 
Q19sn6d / Photo Sensor Switch Bm32888 / Banner
Q19sn6d / Photo Sensor Switch Bm32888 / Banner
183.00  12d 13h
 
Ldi0210 / Shibaura B0061300 Pcb / Shibatec
Ldi0210 / Shibaura B0061300 Pcb / Shibatec
250.99  22d 18h
 
F3sn-a0442p25-l / Saftety Light Curtain Type 4 / Omron
F3sn-a0442p25-l / Saftety Light Curtain Type 4 / Omron
201.03  4d 13h
 
0100-09058 / Pcb Assy Operator Control Panel / Applied Materials Amat
0100-09058 / Pcb Assy Operator Control Panel / Applied Materials Amat
179.90  9d 10h
 
3870-01839 / Valve Wide Body Inj Teb 208v 120c / Amat
3870-01839 / Valve Wide Body Inj Teb 208v 120c / Amat
277.00  9d 14h
 
17338580 / Plate Inner Striker Liner / Axcelis Technologies
17338580 / Plate Inner Striker Liner / Axcelis Technologies
178.80  16d 16h
 
1024460 / Cg Pcb Board From Uninterruptible Power Supply / Amat
1024460 / Cg Pcb Board From Uninterruptible Power Supply / Amat
275.66  24d 10h
 
2616351-21 / Processor Pcb Card (asm2616351-01 Rev A) / Asm America Inc
2616351-21 / Processor Pcb Card (asm2616351-01 Rev A) / Asm America Inc
230.99  3d 14h
 
3020-01052 / Actuator / Applied Materials Amat
3020-01052 / Actuator / Applied Materials Amat
225.67  17d 14h
 
Crbu2w30-270s / Actuator Rotating Cylinder Max Press. 1.0mpa / Smc
Crbu2w30-270s / Actuator Rotating Cylinder Max Press. 1.0mpa / Smc
175.68  4d 11h
 
St5918l3008-a / Stepper Motor, 3.0v, 3.0a / Nanotec International Gmbh
St5918l3008-a / Stepper Motor, 3.0v, 3.0a / Nanotec International Gmbh
175.99  10d 11h
 
0150-00406 / Cable Assemble, Emo Interconnect, 75 Ft / Applied Materials Amat
0150-00406 / Cable Assemble, Emo Interconnect, 75 Ft / Applied Materials Amat
195.40  1d 10h
 
1140-01047 / Pwr Supply Dc+5v +/-12v, Power-one / Applied Matrials Amat
1140-01047 / Pwr Supply Dc+5v +/-12v, Power-one / Applied Matrials Amat
213.86  4d 12h
 
3rk15rgk-a2 / Reversible Motor, 15w, 100v, 50-60hz, 0.5a, 4p / Oriental Motor
3rk15rgk-a2 / Reversible Motor, 15w, 100v, 50-60hz, 0.5a, 4p / Oriental Motor
185.99  21d 13h
 
Am3-a3a3a16-a / Heinemann Am3-z419-2, Circuit Breaker / Eaton
Am3-a3a3a16-a / Heinemann Am3-z419-2, Circuit Breaker / Eaton
215.99  10d 11h
 
204-19 / Fast Action Plug Valve 1/4 Inch / Fluoroware
204-19 / Fast Action Plug Valve 1/4 Inch / Fluoroware
200.99  12d 11h
 
105508001 / Bias Plate 2 / Varian
105508001 / Bias Plate 2 / Varian
219.99  15d 11h
 
0020-96262 / Plate Shield Mounting / Applied Materials Amat
0020-96262 / Plate Shield Mounting / Applied Materials Amat
250.99  16h 56m
 
775-007784-001 / Filter,waferguard,tfe,mpore,.003um / Lam Research Corporation
775-007784-001 / Filter,waferguard,tfe,mpore,.003um / Lam Research Corporation
273.03  5d 18h
 
Wallac DIA 1055 2965E Board
Wallac DIA 1055 2965E Board
159.75  15d 14h
 
Komatsu 3001216A0 KE-2007 Interface Board PCB  Working
Komatsu 3001216A0 KE-2007 Interface Board PCB  Working
210.17  16d 16h
 
Lam Research DC PROVE Lam P/N 853-002499-009
Lam Research DC PROVE Lam P/N 853-002499-009
163.90  12d 21h
 
Tohoku Ricoh Main PCB 7D000340  Working
Tohoku Ricoh Main PCB 7D000340  Working
607.12  6d 16h
 
TEL Tokyo Electron U1650B10 Backplane Interface Board PCB  Working
TEL Tokyo Electron U1650B10 Backplane Interface Board PCB  Working
258.17  3d 14h
 
Novellus Systems 90-2735 Interlock BD A2000 PCB Rev A & Rev B Lot of 4 Working
Novellus Systems 90-2735 Interlock BD A2000 PCB Rev A & Rev B Lot of 4 Working
251.15  1d 16h
 
Applied Materals AMAT P1241 Gate Drive Assy 36-0574 Rev 1
Applied Materals AMAT P1241 Gate Drive Assy 36-0574 Rev 1
258.68  14d 9h
 
Aviza Technology PCB, CLPB, Motion CNTL-APL 168050-002
Top-Rated Plus Seller Aviza Technology PCB, CLPB, Motion CNTL-APL 168050-002
155.24  10d 10h
 
AMAT 0020-24719 Cover Ring 8" 101 AL Coverage, 422963
AMAT 0020-24719 Cover Ring 8" 101 AL Coverage, 422963
850.00  24d 17h
 
Tridak 450 Precision Fluid Dispensing Controller
Tridak 450 Precision Fluid Dispensing Controller
482.00  16d 9h
 
Hitachi ZVV021 Control Board PCB Card I-900 HK3 TRANDEF I-900SRT  Working
Hitachi ZVV021 Control Board PCB Card I-900 HK3 TRANDEF I-900SRT  Working
811.11  14d 9h
 
Saint-Gobain 4117450-0001 Disk Baffle Reseller Lot of 11
Saint-Gobain 4117450-0001 Disk Baffle Reseller Lot of 11
508.18  22d 12h
 
L & W Fluid 1129-530-01 Process Chamber Injector Tube ASM
L & W Fluid 1129-530-01 Process Chamber Injector Tube ASM
604.18  14d 15h
 
349-0401// Amat Applied 0010-01922 Assy, Biased Electrode Ac Box
349-0401// Amat Applied 0010-01922 Assy, Biased Electrode Ac Box
4,500.00  24d 1h
 
Amat 1310-01060, K304010036825 Tcprobe K - Type 5/16 - 18 Tef Insul Slvr. 417585
Amat 1310-01060, K304010036825 Tcprobe K - Type 5/16 - 18 Tef Insul Slvr. 417585
950.00  7d 16h
 
Applied Materials AMAT Gas Line 0227-29296, 1/4" SS Tube, Elbow, FVCR Fittings
Applied Materials AMAT Gas Line 0227-29296, 1/4" SS Tube, Elbow, FVCR Fittings
125.00  11d 15h
 
126-0402// Amat Applied 0680-01209 Cb Mag Therm 3p 240vac 15a Bolt-on Ty []
126-0402// Amat Applied 0680-01209 Cb Mag Therm 3p 240vac 15a Bolt-on Ty []
80.00  25d 1h
 
352-0103// Amat Applied 0015-00018 Pulley, Timing Belt Modified []
352-0103// Amat Applied 0015-00018 Pulley, Timing Belt Modified []
100.00  7d 20h
 
AP Tech AP1102SHM 2PW TW4 TW4 Diaphragm Regulator
AP Tech AP1102SHM 2PW TW4 TW4 Diaphragm Regulator
50.00  28d 18h
 
Muto Technology MR-19312 Modified Half Door Shield 4154478-0001
Muto Technology MR-19312 Modified Half Door Shield 4154478-0001
306.18  2d 19h
 
Applied Materials 0150-35887 Amat Cable Assy Ktc
Applied Materials 0150-35887 Amat Cable Assy Ktc
150.00 78.38  22d 16h
 
Varian Implanter Filament Clamp (Molybdenum) E17036930 (55071)
Varian Implanter Filament Clamp (Molybdenum) E17036930 (55071)
195.00  6d 4h
 
Amat 0020-23669 Clamp Ring 6 Smf R/e Sl/ti ,
Amat 0020-23669 Clamp Ring 6 Smf R/e Sl/ti ,
1,800.00  27d 20h
 
AMAT 0040-91370, Bellows Welded Assembly. 419302
AMAT 0040-91370, Bellows Welded Assembly. 419302
1,100.00  10d 14h
 
Nikon 4S018-919 Robot Interface PCB NSR-S306C  Working
Nikon 4S018-919 Robot Interface PCB NSR-S306C  Working
1,007.12  1d 12h
 
Hitachi BBPS-11 Connector Board PCB Lot of 2  Working
Hitachi BBPS-11 Connector Board PCB Lot of 2  Working
308.13  26d 11h
 
Cosel PAA50F-15-N Power Supply Reseller Lot of 17  Working
Cosel PAA50F-15-N Power Supply Reseller Lot of 17  Working
355.15  4d 12h
 
Esec 694.0054/01
Esec 694.0054/01
249.00  13d 1h
 
Material Support Resources Vertron DD803V T Shaped Cold Trap
Material Support Resources Vertron DD803V T Shaped Cold Trap
1,008.12  19d 11h
 
341-0403// Amat Applied 0090-09102 Applied Matrials Components
341-0403// Amat Applied 0090-09102 Applied Matrials Components
2,000.00  3d 19h
 
348-0301// Amat Applied 0040-02438 Applied Matrials Components
348-0301// Amat Applied 0040-02438 Applied Matrials Components
3,000.00  15d 20h
 
342-0402// Amat Applied 0040-32118 Cover, Intrlk Distrib Board
342-0402// Amat Applied 0040-32118 Cover, Intrlk Distrib Board
50.00  23d 2h
 
Esec 631.192/01
Esec 631.192/01
279.00  14d 3h
 
Balzers BG 290 561 U Transformer PCB Card BG 290 565 U  Working
Balzers BG 290 561 U Transformer PCB Card BG 290 565 U  Working
457.16  9d 16h
 
Balzers BG 290 561 U Transformer PCB Card BG 290 564 U  Working
Balzers BG 290 561 U Transformer PCB Card BG 290 564 U  Working
457.16  8d 17h
 
Horiba Stec 331074 Flow Sensor, 960525, 8905290306, 422772
Horiba Stec 331074 Flow Sensor, 960525, 8905290306, 422772
1,100.00  12d 15h
 
Festo Control Systems 0010-30955 Facility Interface, Ps, Working
Festo Control Systems 0010-30955 Facility Interface, Ps, Working
600.00  22d 20h
 
AMAT 0010-09733, Clear Cover Remote AC Box Assembly, 417241
AMAT 0010-09733, Clear Cover Remote AC Box Assembly, 417241
795.00  29d 18h
 
143-0303// Amat Applied 0150-55148 Applied Matrials Components
143-0303// Amat Applied 0150-55148 Applied Matrials Components
400.00  8d 2h
 
Horiba Stec 331074 Flow Sensor, 8517930105, 424491
Horiba Stec 331074 Flow Sensor, 8517930105, 424491
1,100.00  17d 12h
 
Dip-Proofing Technologies DPI52S25 208 Voltage Dip-Proofing Inverter DPI52S
Dip-Proofing Technologies DPI52S25 208 Voltage Dip-Proofing Inverter DPI52S
607.18  2d 17h
 
National Electronics 6BK4C/6EL4A Scan Amplified Tubes, 108086001, 451587
National Electronics 6BK4C/6EL4A Scan Amplified Tubes, 108086001, 451587
25.00  21d 15h
 
ASM 16-351198D01 Quartz Plate
ASM 16-351198D01 Quartz Plate
601.18  2d 18h
 
Aval Data AVME-115A PCB Board, 405831
Aval Data AVME-115A PCB Board, 405831
450.00  21d 15h
 
130-0501// Amat Applied 1290101 Applied Matrials Components
130-0501// Amat Applied 1290101 Applied Matrials Components
2,000.00  29d 21h
 
318-0201// Amat Applied 0200-09574 Hoop,rev 1 Ceramic,200mm
318-0201// Amat Applied 0200-09574 Hoop,rev 1 Ceramic,200mm
1,500.00  27d 1h
 
HP Hewlett-Packard 10740-60001 Backplane Coupler Board PCB ASML PAS  Working
HP Hewlett-Packard 10740-60001 Backplane Coupler Board PCB ASML PAS  Working
202.19  4d 16h
 
Chem-Tec PTFE Flow Switch B957-50 B-957 1/8 50 ML
Chem-Tec PTFE Flow Switch B957-50 B-957 1/8 50 ML
109.99  9h 58m
 
PN 7100-3639-01 AG Associates Heatpulse PCB
PN 7100-3639-01 AG Associates Heatpulse PCB
2,800.00  28d 18h
 
PN 7100-3639-02 AG Associates Heatpulse PCB
PN 7100-3639-02 AG Associates Heatpulse PCB
2,800.00  28d 19h
 
PN 7100-5146-02 AG Associates Heatpulse PCB
PN 7100-5146-02 AG Associates Heatpulse PCB
2,200.00  29d 19h
 
PN 7100-5160-03 AG Associates Heatpulse PCB
PN 7100-5160-03 AG Associates Heatpulse PCB
2,200.00  29d 19h
 
AG Associates 7100-5168-07 RMA Analog PCB Card 7500-5146-01
AG Associates 7100-5168-07 RMA Analog PCB Card 7500-5146-01
3,500.00  29d 19h
 
PN 7100-5177-04 AG Associates Heatpulse PCB
PN 7100-5177-04 AG Associates Heatpulse PCB
2,200.00  29d 20h
 
PN 7100-5177-08 AG Associates Heatpulse PCB
PN 7100-5177-08 AG Associates Heatpulse PCB
2,200.00  29d 20h
 
PN 7100-5188-19 AG Associates Heatpulse PCB
PN 7100-5188-19 AG Associates Heatpulse PCB
2,200.00  29d 21h
 
PN 7100-5189-01 AG Associates Heatpulse PCB
PN 7100-5189-01 AG Associates Heatpulse PCB
2,200.00  1d 14h
 
PN 7100-5193-02 AG Associates Heatpulse PCB
PN 7100-5193-02 AG Associates Heatpulse PCB
2,200.00  1d 19h
 
PN 7100-5193-01 AG Associates Heatpulse PCB
PN 7100-5193-01 AG Associates Heatpulse PCB
2,200.00  1d 20h
 
PN 7100-5633-02 AG Associates Heatpulse PCB
PN 7100-5633-02 AG Associates Heatpulse PCB
3,500.00  1d 21h
 
347-0201// Amat Applied 0020-25063 Receiver  Cass  Special
347-0201// Amat Applied 0020-25063 Receiver Cass Special
420.00  12d 4h
 
25308 Oem Pcb Assy, Uii 215, Uii 950-215-1000 Uii 950-374-1000
25308 Oem Pcb Assy, Uii 215, Uii 950-215-1000 Uii 950-374-1000
150.00  2d 22h
 
AMAT 0040-04687 , Adapter Coding Module, 200mm, 407144
AMAT 0040-04687 , Adapter Coding Module, 200mm, 407144
650.00  5d 18h
 
Lam 715-028306-001 Washer, 1/4 Turn
Lam 715-028306-001 Washer, 1/4 Turn
2.50  18d 0h
 
125-0301// Amat Applied 0020-10120 Plate Perf 100-150mm S/o
125-0301// Amat Applied 0020-10120 Plate Perf 100-150mm S/o
1,600.00  19d 1h
 
141-0202// Amat Applied 0150-55152 Applied Matrials Components
141-0202// Amat Applied 0150-55152 Applied Matrials Components
300.00  1d 2h
 
323-0201// Amat Applied 0030-09048 Seal Lg Face Chemraz 513 150mm
323-0201// Amat Applied 0030-09048 Seal Lg Face Chemraz 513 150mm
250.00  19d 1h
 
323-0201// Amat Applied 3700-01669 Oring Id 4.110 Csd .210 Chemra
323-0201// Amat Applied 3700-01669 Oring Id 4.110 Csd .210 Chemra
230.00  19d 2h
 
323-0201// Amat Applied 3700-02064 Oring Id 8.734 Csd .139 Chemra
323-0201// Amat Applied 3700-02064 Oring Id 8.734 Csd .139 Chemra
330.00  22d 20h
 
AMAT 0040-04687 , Adapter Coding Module, 200mm, 407145
AMAT 0040-04687 , Adapter Coding Module, 200mm, 407145
650.00  5d 18h
 
177-0401// Amat Applied 0050-33658 Wldmnt, Purge Ln #2, Pos B []
177-0401// Amat Applied 0050-33658 Wldmnt, Purge Ln #2, Pos B []
220.00  25d 0h
 
177-0401// Amat Applied 0050-36678 Line He Supply 2 Of 3 []
177-0401// Amat Applied 0050-36678 Line He Supply 2 Of 3 []
260.00  25d 0h
 
115-0401// Amat Applied 0050-38104 Applied Matrials Components []
115-0401// Amat Applied 0050-38104 Applied Matrials Components []
400.00  20h 23m
 
115-0401// Amat Applied 0050-75482 Applied Matrials Components []
115-0401// Amat Applied 0050-75482 Applied Matrials Components []
400.00  20h 50m
 
115-0401// Amat Applied 0050-75483 Applied Matrials Components []
115-0401// Amat Applied 0050-75483 Applied Matrials Components []
400.00  20h 52m
 
177-0302// Amat Applied 3870-02798 Valve Mnl Diaph 1/4vcr-m/f 1/4short Hdl []
177-0302// Amat Applied 3870-02798 Valve Mnl Diaph 1/4vcr-m/f 1/4short Hdl []
300.00  21d 23h
 
318-0303// Amat Applied 0240-03931 Kit, Water Resistivity Meter 2
318-0303// Amat Applied 0240-03931 Kit, Water Resistivity Meter 2
500.00  13d 1h
 
116-0303// Amat Applied 0200-09027 Adapter, Pumping Plate #6
116-0303// Amat Applied 0200-09027 Adapter, Pumping Plate #6
220.00  5d 19h
 
409-0401// Eurotherm En60947-4-3 Controller [/fast]
409-0401// Eurotherm En60947-4-3 Controller [/fast]
300.00  27d 0h
 
323-0402// Amat Applied 0010-20472 Assy Wafer Sensor Monolith [2nd Source ]
323-0402// Amat Applied 0010-20472 Assy Wafer Sensor Monolith [2nd Source ]
250.00  17d 21h
 
340-0303// Amat Applied 1350-00149 Snsr Press 0-145psi 1/4mvcr 1-5vdc []
340-0303// Amat Applied 1350-00149 Snsr Press 0-145psi 1/4mvcr 1-5vdc []
310.00  21d 3h
 
112-0201// Amat Applied 0240-23257 0140-20741 Retrofit Kit Harness Pvd []
112-0201// Amat Applied 0240-23257 0140-20741 Retrofit Kit Harness Pvd []
270.00  10d 23h
 
351-0202// Amat Applied 0020-38085 Screw, Rod Stoppergeco []
351-0202// Amat Applied 0020-38085 Screw, Rod Stoppergeco []
330.00  11d 2h
 
351-0202// Amat Applied 0050-37815 Tubing, Coolant Line []
351-0202// Amat Applied 0050-37815 Tubing, Coolant Line []
230.00  17d 2h
 
123-0402// Brooks 002-2692-01 Facet Node Breakout []
123-0402// Brooks 002-2692-01 Facet Node Breakout []
600.00  22d 22h
 
322-0103// Amat Applied 0010-00071 2.25" Stepping Mtr Assy,atmosphere []
322-0103// Amat Applied 0010-00071 2.25" Stepping Mtr Assy,atmosphere []
400.00  23d 20h
 
176-0404// Amat Applied 0050-21943 Applied Matrials Components []
176-0404// Amat Applied 0050-21943 Applied Matrials Components []
220.00  24d 23h
 
176-0404// Amat Applied 0050-30919 Gas Line, Position B-c, R2, 5000 []
176-0404// Amat Applied 0050-30919 Gas Line, Position B-c, R2, 5000 []
300.00  24d 23h
 
176-0404// Amat Applied 0050-33657 Applied Matrials Components []
176-0404// Amat Applied 0050-33657 Applied Matrials Components []
220.00  24d 23h
 
137-0401// Amat Applied 0050-25241 Applied Matrials Components []
137-0401// Amat Applied 0050-25241 Applied Matrials Components []
570.00  1d 23h
 
176-0103// Veriflo 945y2nc/ncfsffm4902 Valve []
176-0103// Veriflo 945y2nc/ncfsffm4902 Valve []
500.00  19d 20h
 
CKD AGD01V-X0001 Valve, Type N.C., 451633
CKD AGD01V-X0001 Valve, Type N.C., 451633
110.00  24d 14h
 
Setra 225 Pressure Transducer, 2251050PGC42C06, 452161
Setra 225 Pressure Transducer, 2251050PGC42C06, 452161
150.00  22d 11h
 
Setra 225 Pressure Transducer, 2251050PGC42C06, 452162
Setra 225 Pressure Transducer, 2251050PGC42C06, 452162
150.00  22d 11h
 
Varian Implanter Source Body Water Manifold E17065400 55092 REV B
Varian Implanter Source Body Water Manifold E17065400 55092 REV B
180.00  6d 5h
 
Esec 694.0910/01 & 895.0922/1
Esec 694.0910/01 & 895.0922/1
279.00  14d 2h
 
Esec 895.0906/1 & 769.0906/04
Esec 895.0906/1 & 769.0906/04
279.00  16d 3h
 
HVW24010G Power Supply 4-2A/ 21.6-28VDC
HVW24010G Power Supply 4-2A/ 21.6-28VDC
148.18  28d 3h
 
127-0201// Vat 07512-ua24-aad3 (#2) Valve Asis
127-0201// Vat 07512-ua24-aad3 (#2) Valve Asis
550.00  29d 3h
 
345-0501// Aries Mss-cr-dt9 Asis
345-0501// Aries Mss-cr-dt9 Asis
300.00  29d 3h
 
167-0301// Vcr Dhc-06 Heating Jacket System [asis]
167-0301// Vcr Dhc-06 Heating Jacket System [asis]
500.00  25d 22h
 
127-0301// Tera Tgva-kf50 Valve [asis]
127-0301// Tera Tgva-kf50 Valve [asis]
200.00  56m 33s
 
325-0302// UNIT UFC-1660 (#7) Ar 1SLM MASS FLOW CONTROLLER [ASIS]
325-0302// UNIT UFC-1660 (#7) Ar 1SLM MASS FLOW CONTROLLER [ASIS]
300.00  3d 1h
 
325-0401// Tylan Fc-760a Hcl 2slm [asis]
325-0401// Tylan Fc-760a Hcl 2slm [asis]
300.00  4d 1h
 
403-0101// Metronix Apm-hc05hc(a4)h-motor-1
403-0101// Metronix Apm-hc05hc(a4)h-motor-1
299.00  8d 23h
 
403-0302// Ls Apm-hc05hc(c7)h Motor-1
403-0302// Ls Apm-hc05hc(c7)h Motor-1
299.00  8d 23h
 
325-0501// Mfc 0227-06161 2900mepl 100 Sccm Ar, Surge Protecti [asis]
325-0501// Mfc 0227-06161 2900mepl 100 Sccm Ar, Surge Protecti [asis]
300.00  15d 3h
 
325-0501// Mfc 0227-06162 2900mepl 100 Sccm Cf4, Surge Protect [asis]
325-0501// Mfc 0227-06162 2900mepl 100 Sccm Cf4, Surge Protect [asis]
300.00  15d 3h
 
325-0501// Mfc 0227-06163 2900mepl 200 Sccm Sf6, Surg [asis]
325-0501// Mfc 0227-06163 2900mepl 200 Sccm Sf6, Surg [asis]
300.00  15d 3h
 
325-0501// Mfc 0227-06164 2900mepl 300 Sccm Nf3, Surge Protect [asis]
325-0501// Mfc 0227-06164 2900mepl 300 Sccm Nf3, Surge Protect [asis]
300.00  15d 3h
 
325-0501// Mfc 0227-06165 2900mepl 50 Sccm Nf3, Surge Protect [asis]
325-0501// Mfc 0227-06165 2900mepl 50 Sccm Nf3, Surge Protect [asis]
300.00  15d 3h
 
176-0201// Aptech Ap1510sx 4pw Mv4 Mv4 Regulator []
176-0201// Aptech Ap1510sx 4pw Mv4 Mv4 Regulator []
200.00  16d 2h
 
127-0301// Vat 02010-ba24-aey1 Gate Valve Asis
127-0301// Vat 02010-ba24-aey1 Gate Valve Asis
500.00  19d 20h
 
116-0102// Amat Applied 0200-10196 Shield, Tapered, 125mm Asis
116-0102// Amat Applied 0200-10196 Shield, Tapered, 125mm Asis
280.00  7d 2h
 
147-0601// Amat Applied 0150-20009 Cable Assy, Convectron Interconnect Ii 2 Asis
147-0601// Amat Applied 0150-20009 Cable Assy, Convectron Interconnect Ii 2 Asis
360.00  22d 2h
 
302-0201// Idis Xdrpro1600 Compact Disc Recordable Asis
302-0201// Idis Xdrpro1600 Compact Disc Recordable Asis
200.00  2d 3h
 
332-0301// Amat Applied 0030-09029 Crt Bezel
332-0301// Amat Applied 0030-09029 Crt Bezel
200.00  12d 1h
 
332-0301// Amat Applied 0030-76014 Obs: Bezel, Monitor 15", Ttw
332-0301// Amat Applied 0030-76014 Obs: Bezel, Monitor 15", Ttw
200.00  12d 1h
 
171-0701// Sansha Ktd-15 0.3/3at Controler
171-0701// Sansha Ktd-15 0.3/3at Controler
300.00  29d 1h
 
103-0401// Eci Tqsf2100 Quali Surf
103-0401// Eci Tqsf2100 Quali Surf
300.00  29d 3h
 
419-0202// Parker Cp*71-006880-01 (cut Cable) Motor [/fast]
419-0202// Parker Cp*71-006880-01 (cut Cable) Motor [/fast]
300.00  29d 1h
 
421-0201// Sanyo Denki 103-8572-6044 Stepping Motor [/fast]
421-0201// Sanyo Denki 103-8572-6044 Stepping Motor [/fast]
300.00  29d 19h
 
421-0301// Sanyo Denki Pbm565dxc20 Stepping Motor [/fast]
421-0301// Sanyo Denki Pbm565dxc20 Stepping Motor [/fast]
200.00  29d 22h
 
429-0302// Mcg 3486-me4527 Cym3289-a Motor [/fast]
429-0302// Mcg 3486-me4527 Cym3289-a Motor [/fast]
500.00  3d 21h
 
443-0101// Oriental Motor Bhi82st-g Bh8g-50 (without Connector) Induction []
443-0101// Oriental Motor Bhi82st-g Bh8g-50 (without Connector) Induction []
200.00  6d 21h
 
445-0103// Oriental Motor Pk296-03a (unclean) Stepping Motor []
445-0103// Oriental Motor Pk296-03a (unclean) Stepping Motor []
200.00  7d 3h
 
430-0501// Berger 5913/50 Eexd-p Motor []
430-0501// Berger 5913/50 Eexd-p Motor []
500.00  12d 1h
 
430-0402// Patent Product Cm-340h1 (without Connector) Portable []
430-0402// Patent Product Cm-340h1 (without Connector) Portable []
200.00  12d 1h
 
434-0101// Panasonic Amkc060b10lfg Bb87-000111 Ac Servo Motor []
434-0101// Panasonic Amkc060b10lfg Bb87-000111 Ac Servo Motor []
300.00  12d 3h
 
434-0101// Panasonic Amkc060b10lfg Bb87-000111 (without Connector) []
434-0101// Panasonic Amkc060b10lfg Bb87-000111 (without Connector) []
300.00  12d 3h
 
434-0101// Panasonic Amkc060b10lfg Bb87-000110 Ac Servo Motor []
434-0101// Panasonic Amkc060b10lfg Bb87-000110 Ac Servo Motor []
300.00  12d 3h
 
440-0401// Oriental Motor Mbm206-412 2gn50k Ac Magnetic Brake Motor []
440-0401// Oriental Motor Mbm206-412 2gn50k Ac Magnetic Brake Motor []
200.00  14d 2h
 
419-0301// Mclean 1rb80 Fan []
419-0301// Mclean 1rb80 Fan []
200.00  18d 1h
 
405-0501// Fine Suntronix Esf600-24 Power Supply []
405-0501// Fine Suntronix Esf600-24 Power Supply []
200.00  19d 21h
 
115-0201// Amat Applied 0190-70066 Fantray 300cfm19"x1.75" X8"115vac []
115-0201// Amat Applied 0190-70066 Fantray 300cfm19"x1.75" X8"115vac []
560.00  20d 1h
 
176-0201// Tescom 64-2662krm12 Regulator []
176-0201// Tescom 64-2662krm12 Regulator []
200.00  16d 2h
 
176-0201// Veriflo Sq90-1003pesf1f-4159 Regulator []
176-0201// Veriflo Sq90-1003pesf1f-4159 Regulator []
200.00  16d 2h
 
180-0401// Xcm 800-0399-003 Control Module []
180-0401// Xcm 800-0399-003 Control Module []
500.00  9d 3h
 
Amat 0020-78188 Rev C,
Amat 0020-78188 Rev C,
200.00  21h 38m
 
Amat 1010-00092 Lamp Ballast 120/208v 50/60zh 58w .98pf,
Amat 1010-00092 Lamp Ballast 120/208v 50/60zh 58w .98pf,
150.00  14d 1h
 
Amat 0020-83936 Rev 001,
Amat 0020-83936 Rev 001,
200.00  21h 33m
 
AMAT 3020-00069 SMC NCDQ2B63-45D-XB 145 PSI Air Cylinder,
AMAT 3020-00069 SMC NCDQ2B63-45D-XB 145 PSI Air Cylinder,
180.00  14d 1h
 
Amat 0022-77136 Middle Cover,
Amat 0022-77136 Middle Cover,
150.00  23d 5h
 
Amat 0041-11006 Clear Panel,
Amat 0041-11006 Clear Panel,
200.00  23d 5h
 
Amat 0040-38981 Heater Dummy,
Amat 0040-38981 Heater Dummy,
200.00  9d 18h
 
123-0103// Smc Cq2z50-vlq03-25 (#1) Cyliinder []
123-0103// Smc Cq2z50-vlq03-25 (#1) Cyliinder []
60.00  7d 20h
 
ASM Advanced Semiconductor Materials 73008-01098 ASSY/50A/RC to FP/VAC
ASM Advanced Semiconductor Materials 73008-01098 ASSY/50A/RC to FP/VAC
604.18  22d 15h
 
Panasonic PRMAEE board
Panasonic PRMAEE board
649.00  8d 19h
 
PN CC1106-01101 Chamber Assembly Tegal 901e SN 11606
PN CC1106-01101 Chamber Assembly Tegal 901e SN 11606
7,500.00  12d 19h
 
AMAT Applied Materials 0240-48741 300mm Legacy PMR Target Locking Kit
AMAT Applied Materials 0240-48741 300mm Legacy PMR Target Locking Kit
1,506.12  14h 1m
 
Optimised Control Adept KCC9824 Input Output Board Circuit Board #14Z23
Optimised Control Adept KCC9824 Input Output Board Circuit Board #14Z23
40.00  22d 8h
 
ASYST  9701-1059-02A Load Port PCB
ASYST 9701-1059-02A Load Port PCB
1,199.00  17d 3h
 
OnTrak Systems 22-8875-003 COMM Board PCB  Working
OnTrak Systems 22-8875-003 COMM Board PCB  Working
262.16  1d 15h
 
FSI 290121-400 System/Logic Chemfill Interface PCB 290121-200 Edwards Vacuum
FSI 290121-400 System/Logic Chemfill Interface PCB 290121-200 Edwards Vacuum
1,203.19  9d 13h
 
FSI 290122-400 System/Logic Chemfill Interface PCB 290122-200 Edwards Vacuum
FSI 290122-400 System/Logic Chemfill Interface PCB 290122-200 Edwards Vacuum
1,203.19  9d 12h
 
Amat 0150-35209, Harness Assy Pneumatics Umbilical
Amat 0150-35209, Harness Assy Pneumatics Umbilical
3,000.00  29d 0h
 
Edwards U20000346 im Interface Module TIM NOVELLUS LL  Working
Edwards U20000346 im Interface Module TIM NOVELLUS LL  Working
360.12  13d 14h
 
Metron Technology 882-70-000 Analog Input PCB Board  Surplus
Metron Technology 882-70-000 Analog Input PCB Board  Surplus
779.09  27d 12h
 
Amat 0200-35702 Ring, Edge, Dxz+ ,
Amat 0200-35702 Ring, Edge, Dxz+ ,
1,900.00  3d 19h
 
Inertial Dynamics Brake, 1705-0011, 48 VDC, 3/8" HD,
Inertial Dynamics Brake, 1705-0011, 48 VDC, 3/8" HD,
35.00  11d 12h
 
Lam Research 2300632 Has Line For Drytek 384 ID-AWS-024
Lam Research 2300632 Has Line For Drytek 384 ID-AWS-024
125.00  12d 17h
 
Yamato Post Release Bake 410i
Yamato Post Release Bake 410i
12,000.00  7d 18h
 
AMAT 0150-97313, Cable Assembly 3X8E.P4,7,9/3X12H.P1,HA.P4. 418538
AMAT 0150-97313, Cable Assembly 3X8E.P4,7,9/3X12H.P1,HA.P4. 418538
1,450.00  27d 15h
 
MSR Material Support Resources DD803V Vertron Assembly Kit
MSR Material Support Resources DD803V Vertron Assembly Kit
1,008.12  18d 13h
 
Philips 4022 192 9052 FSDM board
Philips 4022 192 9052 FSDM board
700.00  5d 14h
 
Amat 0150-22610 Cable Assembly, I/o Block Dio Wl Ecp. 407319
Amat 0150-22610 Cable Assembly, I/o Block Dio Wl Ecp. 407319
400.00  11d 18h
 
123-0301// Amat Applied 0010-70089 Modified Slit Valve Assy [asis]
123-0301// Amat Applied 0010-70089 Modified Slit Valve Assy [asis]
500.00  24d 2h
 
123-0301// Amat Applied 0010-70162 (#1) Assy, Actuator Slit Valve [asis]
123-0301// Amat Applied 0010-70162 (#1) Assy, Actuator Slit Valve [asis]
500.00  24d 2h
 
AMAT Applied Materials 0150-21030 Mainframe Cable CH 4 Heater
AMAT Applied Materials 0150-21030 Mainframe Cable CH 4 Heater
508.18  18d 18h
 
CKD AGD01V-X0001 Valve, Type N.C., 451637
CKD AGD01V-X0001 Valve, Type N.C., 451637
110.00  24d 14h
 
325-0302// UNIT UFC-1660 (#8) BCl3 100SCCM MASS FLOW CONTROLLER [ASIS]
325-0302// UNIT UFC-1660 (#8) BCl3 100SCCM MASS FLOW CONTROLLER [ASIS]
300.00  3d 1h
 
Kondoh Kohsya SP3-PCB(KG) NAND Gate Interface Board PCB  Working
Kondoh Kohsya SP3-PCB(KG) NAND Gate Interface Board PCB  Working
203.18  27d 15h
 
 Tokyo Electron 1d10-401722-11 Bel. Cover Coverbel (drm)
 Tokyo Electron 1d10-401722-11 Bel. Cover Coverbel (drm)
89.99  5d 17h
 
AMAT 0020-32131 Insert Inner N15, 200mm ESC, 417966
AMAT 0020-32131 Insert Inner N15, 200mm ESC, 417966
395.00  14d 12h
 
Applied Materials  0040-86009ITL Retaining Ring Composite 8" Titian Head
Applied Materials 0040-86009ITL Retaining Ring Composite 8" Titian Head
299.00  9d 10h
 
15-00992-00 Washer Thrust, 452538
15-00992-00 Washer Thrust, 452538
50.00  26d 12h
 
Kondoh Kohsya PU3-PCB(KG) Power Supply Board PCB Cosel ZUW62412  Working
Kondoh Kohsya PU3-PCB(KG) Power Supply Board PCB Cosel ZUW62412  Working
203.18  26d 16h
 
AMAT 0040-03349 Cooling Water Box, 200mm, TXZ, 424017
AMAT 0040-03349 Cooling Water Box, 200mm, TXZ, 424017
1,250.00  10d 18h
 
Alphasem AG AS267-1-01 Power Interface Board PCB AS267-1  Working
Alphasem AG AS267-1-01 Power Interface Board PCB AS267-1  Working
254.17  25d 16h
 
FLOWLINK Vacuum Pressure Gauge, 992246
FLOWLINK Vacuum Pressure Gauge, 992246
375.00  13d 12h
 
Alcatel 967-1609-008 Capacity Key AQ Receiver Card UD-36AQ  Working
Alcatel 967-1609-008 Capacity Key AQ Receiver Card UD-36AQ  Working
362.13  14d 17h
 
Lam Research AMC Pressure Sensor Cable 1002685-0931 1002685-0934 1002685
Lam Research AMC Pressure Sensor Cable 1002685-0931 1002685-0934 1002685
109.99  5d 14h
 
Schlumberger Technologies 799000302 PCB Card STDCITF2 Rev. 3  499000302 Working
Schlumberger Technologies 799000302 PCB Card STDCITF2 Rev. 3 499000302 Working
611.16  16h 36m
 
KLA-Tencor 070-032761-00 Power Supply KLA SL 300 URSA  Working
KLA-Tencor 070-032761-00 Power Supply KLA SL 300 URSA  Working
654.16  2d 16h
 
Novellus 15-120150-03 Spacer, LAM, 451576
Novellus 15-120150-03 Spacer, LAM, 451576
30.00  21d 13h
 
Varian E17168280 Nut Insulator, Outer Phase, 451597
Varian E17168280 Nut Insulator, Outer Phase, 451597
30.00  21d 18h
 
SMC SYJ3140 Solenoid Valve, 2200-770241, 451602
SMC SYJ3140 Solenoid Valve, 2200-770241, 451602
45.00  26d 12h
 
Varian 0372002871 Relay for EMO, 451746
Varian 0372002871 Relay for EMO, 451746
75.00  3d 13h
 
Novellus 15-120150-03 Spacer, LAM, 451578
Novellus 15-120150-03 Spacer, LAM, 451578
30.00  21d 13h
 
Parker UHP 2-242-SHG Viton O-Ring, Compound V0747, Brooks 880-5122-42, 451669
Parker UHP 2-242-SHG Viton O-Ring, Compound V0747, Brooks 880-5122-42, 451669
40.00  27d 17h
 
127-0201// Vat 07512-ua24-aad3 (#1) Valve Asis
127-0201// Vat 07512-ua24-aad3 (#1) Valve Asis
600.00  29d 3h
 
175-0301// Amat Applied 0010-09265 125mm Cassette Handler Head []
175-0301// Amat Applied 0010-09265 125mm Cassette Handler Head []
1,200.00  7d 2h
 
112-0601// Amat Applied 0040-70150 Wldmnt Arm Source Wide B
112-0601// Amat Applied 0040-70150 Wldmnt Arm Source Wide B
950.00  19d 20h
 
127-0401// Vat 02112-ba24-bcc1 Gate Valve Asis
127-0401// Vat 02112-ba24-bcc1 Gate Valve Asis
800.00  19d 21h
 
129-0701// Amat Applied 0010-76097 Monitor Base Assy
129-0701// Amat Applied 0010-76097 Monitor Base Assy
700.00  2d 21h
 
134-0301// Vat 20044-pa44-1008 Valve
134-0301// Vat 20044-pa44-1008 Valve
900.00  1h 9m
 
174-0401// Hamamatsu L8488-01 (#1) Lc5 Lightningcure [asis]
174-0401// Hamamatsu L8488-01 (#1) Lc5 Lightningcure [asis]
800.00  15d 2h
 
174-0401// Hamamatsu L8488-01 (#2) Lc5 Lightningcure [asis]
174-0401// Hamamatsu L8488-01 (#2) Lc5 Lightningcure [asis]
700.00  15d 2h
 
174-0401// Hamamatsu L8488-248 Lc5 Lightningcure [asis]
174-0401// Hamamatsu L8488-248 Lc5 Lightningcure [asis]
800.00  15d 2h
 
112-0201// Amat Applied 0240-33914 3310-01056 0690-01585 Kit []
112-0201// Amat Applied 0240-33914 3310-01056 0690-01585 Kit []
700.00  10d 23h
 
114-0301// Amat Applied 1200-0056 Occb/a2 Applied Matrials Components []
114-0301// Amat Applied 1200-0056 Occb/a2 Applied Matrials Components []
1,000.00  10d 23h
 
136-0201// Amat Applied 0040-63760 End Cover Ind, Divert Producer Se, Pmd []
136-0201// Amat Applied 0040-63760 End Cover Ind, Divert Producer Se, Pmd []
760.00  19d 2h
 
ASM Aft Door Cable 02-147099-01 02-147099-02 STI MA-20 Lot of 9  Surplus
ASM Aft Door Cable 02-147099-01 02-147099-02 STI MA-20 Lot of 9  Surplus
403.19  24d 19h
 
100316113 / Bellows Iso-kf Thick Wall / Mks
100316113 / Bellows Iso-kf Thick Wall / Mks
234.50  11d 17h
 
Applied Materials 670774 Prom Board, 7802-D-5942, REV D
Applied Materials 670774 Prom Board, 7802-D-5942, REV D
36.99  3d 12h
 
Amat, Magnet;0040-53249,magnet Housing
Amat, Magnet;0040-53249,magnet Housing
2,160.00  13d 18h
 
Robot Arm Robot Effector with adaptor Robot Accessories ITEM 2
Robot Arm Robot Effector with adaptor Robot Accessories ITEM 2
2,700.00  17d 21h
 
Asm 16-190353d01 Susceptor 200mm
Asm 16-190353d01 Susceptor 200mm
607.18  2d 19h
 
125-0303// Amat Applied 0020-30073 Clamping Cylinder, 200 Mm, Oxi
125-0303// Amat Applied 0020-30073 Clamping Cylinder, 200 Mm, Oxi
1,900.00  19d 2h
 
ASM Advanced Semiconductor Materials 16-188094-01 Support Susceptor H2 300mm
ASM Advanced Semiconductor Materials 16-188094-01 Support Susceptor H2 300mm
357.18  12d 12h
 
342-0202// Amat Applied 0050-10163 Tube Weldment, Turbo Purge Vcr []
342-0202// Amat Applied 0050-10163 Tube Weldment, Turbo Purge Vcr []
200.00  12d 4h
 
AMAT 0040-99867, Bellows, LFT PIN, AXIOM
AMAT 0040-99867, Bellows, LFT PIN, AXIOM
1,440.00  2d 2h
 
Wafer Holdings 1080663.1000000001 Tank Sc1 Tank Only - Without Transducer
Wafer Holdings 1080663.1000000001 Tank Sc1 Tank Only - Without Transducer
1,007.18  2d 20h
 
BOC Edwards D15405262 Amplifier Module, PCB, 450520
BOC Edwards D15405262 Amplifier Module, PCB, 450520
400.00  18d 14h
 
Amat 0040-79172 Certifica Te Of Compliance,
Amat 0040-79172 Certifica Te Of Compliance,
1,500.00  24d 0h
 
SVG Silicon Valley Group 99-80333-01 End Station CPU PCB Rev. 2 90S Working
SVG Silicon Valley Group 99-80333-01 End Station CPU PCB Rev. 2 90S Working
810.11  10d 11h
 
SPAN LR-050 Digital Indicator,
SPAN LR-050 Digital Indicator,
89.00  10d 15h
 
177-0103// Fujikin D73700 051732 Valve [asis]
177-0103// Fujikin D73700 051732 Valve [asis]
40.00  1h 19m
 
ESI CKA 113452 Series Regulator Card , PCB 113456 ESI Palomar System 5410
ESI CKA 113452 Series Regulator Card , PCB 113456 ESI Palomar System 5410
388.00  6d 7h
 
Shaffner FN 356-100-34 Line Filter, 480/240
Shaffner FN 356-100-34 Line Filter, 480/240
200.00  9d 12h
 
 MKS HPS 100006128 Internal Assembly Bellows Kit with Seal Set FREE SHIPPING
 MKS HPS 100006128 Internal Assembly Bellows Kit with Seal Set FREE SHIPPING
288.99  17d 14h
 
Alphasem AG AS264-2-01 Relay Board PCB AS264-2  Working
Alphasem AG AS264-2-01 Relay Board PCB AS264-2  Working
254.17  29d 9h
 
AMAT 0240-03510 KIT, 2 LEDs FOR SMIF FABS, 410890
AMAT 0240-03510 KIT, 2 LEDs FOR SMIF FABS, 410890
325.00  17d 18h
 
AMAT 0190-10238 LDI 6-Slot Backplane 414036
AMAT 0190-10238 LDI 6-Slot Backplane 414036
350.00  10d 17h
 
0641-0796-02 &7310-4730-01 A , 0710-0425-03 For AG Associates Heatpulse
0641-0796-02 &7310-4730-01 A , 0710-0425-03 For AG Associates Heatpulse
3,500.00  16d 15h
 
Schlumberger Technologies 96151217 APG_ST PCB Card 7151217 97151217 Rev. 1 Spare
Schlumberger Technologies 96151217 APG_ST PCB Card 7151217 97151217 Rev. 1 Spare
611.16  5d 11h
 
Danfoss 131B3600 HVAC Drive with 30 day warranty
Danfoss 131B3600 HVAC Drive with 30 day warranty
1,900.00  21d 17h
 
323-0402// Amat Applied 0040-00457 Cable Fibre Optic 6 Foot
323-0402// Amat Applied 0040-00457 Cable Fibre Optic 6 Foot
300.00  24d 0h
 
TEL Tokyo Electron MD-9253092 UTILITY COVER
TEL Tokyo Electron MD-9253092 UTILITY COVER
407.18  2d 16h
 
ASM 16-402360-01 COVER-LOADLOCK-LEFT  Surplus
ASM 16-402360-01 COVER-LOADLOCK-LEFT  Surplus
707.18  2d 21h
 
Amat 0040-85379 Rf Conductor Offset ,
Amat 0040-85379 Rf Conductor Offset ,
1,500.00  24d 1h
 
Schlumberger 96151215 ADM_ST PCB Card STADM 27151215 799000110 Rev. 3 Working
Schlumberger 96151215 ADM_ST PCB Card STADM 27151215 799000110 Rev. 3 Working
611.16  3d 11h
 
Schlumberger Technologies 799000320 PCB Card STPWMHC Rev. O5 499000320 Working
Schlumberger Technologies 799000320 PCB Card STPWMHC Rev. O5 499000320 Working
611.16  5d 10h
 
AMAT 0140-77433 CBL, RMT Star/stop cont
AMAT 0140-77433 CBL, RMT Star/stop cont
295.00  1d 18h
 
VAT 0751-UA24-0002 Atmospheric Door A-779402 L-VAT
VAT 0751-UA24-0002 Atmospheric Door A-779402 L-VAT
1,011.10  4d 13h
 
Nikon 4S065-417 Power Supply Assembly with 4S065-418 Interface  Working
Nikon 4S065-417 Power Supply Assembly with 4S065-418 Interface  Working
829.47  26d 8h
 
Mycom PG-104L-05 Process Control PCB Card PG-104 MY5211-214 Working Surplus
Mycom PG-104L-05 Process Control PCB Card PG-104 MY5211-214 Working Surplus
1,007.13  11d 13h
 
AMAT Applied Materials 0021-09179 Rev. P1 Inner Can Assembly
AMAT Applied Materials 0021-09179 Rev. P1 Inner Can Assembly
851.12  9d 10h
 
Wrst-026x58 / Waveguide Assy For Tel Tokyo Electron / Nihon Koshuha
Wrst-026x58 / Waveguide Assy For Tel Tokyo Electron / Nihon Koshuha
195.03  13d 15h
 
ESI DIO - MMB INT - FACE Board CKA 59654
ESI DIO - MMB INT - FACE Board CKA 59654
333.00  10d 8h
 
AMAT 0140-10284 H/A, Gas Dist to Lockout BD/W Interface, 410541
AMAT 0140-10284 H/A, Gas Dist to Lockout BD/W Interface, 410541
350.00  3d 13h
 
116-0601// Amat Applied 0200-09022 Shield, 125mm
116-0601// Amat Applied 0200-09022 Shield, 125mm
450.00  10d 2h
 
325-0103// Amat Applied 3030-01514 Mfc Stec 4400 Mc 20sccm N2 (zde) [asis]
325-0103// Amat Applied 3030-01514 Mfc Stec 4400 Mc 20sccm N2 (zde) [asis]
300.00  2d 0h
 
322-0102// Amat Applied 0190-00530 Water Flow Switch W/ Meter,1.5-1-8 Lpm []
322-0102// Amat Applied 0190-00530 Water Flow Switch W/ Meter,1.5-1-8 Lpm []
240.00  9d 19h
 
322-0201// Amat Applied 0190-35083 Water Flow Switch .50 Gpm
322-0201// Amat Applied 0190-35083 Water Flow Switch .50 Gpm
250.00  11d 2h
 
340-0202// Amat Applied 0020-19003 Insert, Slit Valve Sho
340-0202// Amat Applied 0020-19003 Insert, Slit Valve Sho
280.00  4d 20h
 
322-0201// Amat Applied 0190-35168 Water Flow Switch,.8gpm Trip []
322-0201// Amat Applied 0190-35168 Water Flow Switch,.8gpm Trip []
250.00  12d 3h
 
435-0303// Panasonic Msma082a1e Ac Servo Motor [/fast]
435-0303// Panasonic Msma082a1e Ac Servo Motor [/fast]
500.00  5d 1h
 
350-0402// Amat Applied 0040-18156 Cover, Side P/s Gen Rack, Hdp-cvd,ultima
350-0402// Amat Applied 0040-18156 Cover, Side P/s Gen Rack, Hdp-cvd,ultima
230.00  21d 2h
 
Lot Of 2 Amat 0020-88984-a Shielding, Front 155-a 406275
Lot Of 2 Amat 0020-88984-a Shielding, Front 155-a 406275
400.00  5d 13h
 
SPAN THRUTUBE TRANSDUCER, Model NiT-00204,
SPAN THRUTUBE TRANSDUCER, Model NiT-00204,
89.00  10d 15h
 
AMAT 0100-00046 Rev.D, Rev.PB, BAC-F, 2888, PWB AC Current Sense. 415241
AMAT 0100-00046 Rev.D, Rev.PB, BAC-F, 2888, PWB AC Current Sense. 415241
375.00  3d 11h
 
Spectrum Control Board 002-03710 Dsp Link 3 Module 002-03710
Spectrum Control Board 002-03710 Dsp Link 3 Module 002-03710
526.90  1d 5h
 
AMAT 0140-00592 G661862, Harness Assembly, Chamber CAB Serial Comm Cable, 413662
AMAT 0140-00592 G661862, Harness Assembly, Chamber CAB Serial Comm Cable, 413662
295.00  4d 17h
 
ON SEMI  MC14007UBDR2 Qty of 75 per Lot semiconductor
Top-Rated Plus Seller ON SEMI MC14007UBDR2 Qty of 75 per Lot semiconductor
65.00  9d 15h
 
TEL D123466 Shield, Ring, Spacer, SS
TEL D123466 Shield, Ring, Spacer, SS
350.00  12d 14h
 
Disco EAVA-002100 Board, D1, OPER. I/F, PCB, 407024
Disco EAVA-002100 Board, D1, OPER. I/F, PCB, 407024
550.00  2d 17h
 
125-0102// Amat Applied 0020-31122 Plate, Prsp3
125-0102// Amat Applied 0020-31122 Plate, Prsp3
200.00  9d 23h
 
APTech AP1810SM 2PW FV8 FV8 Pressure Regulator, 451862
APTech AP1810SM 2PW FV8 FV8 Pressure Regulator, 451862
150.00  11d 15h
 
SCN-4 Power Cable Spin Unit, 450281
SCN-4 Power Cable Spin Unit, 450281
195.00  21d 10h
 
Schlumberger Technologies 799000320 PCB Card STPWMHC Rev. 4 499000320 Working
Schlumberger Technologies 799000320 PCB Card STPWMHC Rev. 4 499000320 Working
611.16  4d 11h
 
17-129396-00 / Reflector, Upper 300 Pvd Module / Novellus
17-129396-00 / Reflector, Upper 300 Pvd Module / Novellus
357.77  22d 13h
 
Mxq12-20 / 5 Port Solenoid Valve / Smc
Mxq12-20 / 5 Port Solenoid Valve / Smc
338.57  22d 16h
 
NZM2 B2 200A (EATON) MOELLER Series Circuit Breaker 160-200 A  In Box
NZM2 B2 200A (EATON) MOELLER Series Circuit Breaker 160-200 A  In Box
550.00  22d 12h
 
Amat 0020-90879 Plate, Adaptor. 411255
Amat 0020-90879 Plate, Adaptor. 411255
350.00  24d 19h
 
Horner Electronic HE610THM200F Input Module PCB  Working
Horner Electronic HE610THM200F Input Module PCB  Working
308.12  12d 17h
 
7310-1843-01 B + 7310-1844-01 +7310-1845-01 Robot Effector 7100-2906-01
7310-1843-01 B + 7310-1844-01 +7310-1845-01 Robot Effector 7100-2906-01
2,700.00  17d 10h
 
123-0301// Amat Applied 0010-70162 (#2) Assy, Actuator Slit Valve [asis]
123-0301// Amat Applied 0010-70162 (#2) Assy, Actuator Slit Valve [asis]
500.00  24d 2h
 
Amat 0040-51159 Plug, Anodized Sgd, 300mm Emax ,
Amat 0040-51159 Plug, Anodized Sgd, 300mm Emax ,
800.00  24d 1h
 
AMAT 0200-09911 Quartz Cover, 411329
AMAT 0200-09911 Quartz Cover, 411329
350.00  28d 14h
 
262445  /  Gate Spare Iso 160  /  Vat
262445 / Gate Spare Iso 160 / Vat
960.00  17d 15h
 
NPS4200AL, Display, Tem Tech Lab
NPS4200AL, Display, Tem Tech Lab
300.00  16d 23h
 
AMAT Applied Materials 0041-24879 ALD TAN Lid Cap 300mm
AMAT Applied Materials 0041-24879 ALD TAN Lid Cap 300mm
808.12  19d 14h
 
RKC TRY-10 Transmitter TRY-10PD-16-DC
RKC TRY-10 Transmitter TRY-10PD-16-DC
181.50  23d 22h
 
Koganei MRSP20X500 500mm Linear Actuator
Koganei MRSP20X500 500mm Linear Actuator
1,012.11  27d 16h
 
AET TECHNOLOGIES 970189 Hydrox Furnace 500 Watts
AET TECHNOLOGIES 970189 Hydrox Furnace 500 Watts
607.18  2d 21h
 
G167893 M&W Systems RPHE32W-GMD Flowrite Heatexchanger System
G167893 M&W Systems RPHE32W-GMD Flowrite Heatexchanger System
500.00  10h 48m
 
AMAT 0140-40393 Harness, Ext Endpoint, A/B Cont, 2nd, 417511
AMAT 0140-40393 Harness, Ext Endpoint, A/B Cont, 2nd, 417511
315.00  6d 14h
 
AMAT 0050-76094 Rev.A, 021, 1028, 17393201, Equalizaton Line. 418662
AMAT 0050-76094 Rev.A, 021, 1028, 17393201, Equalizaton Line. 418662
650.00  28d 18h
 
ASM 1017-841-01 Shim Conductance Susc AL 016 296
ASM 1017-841-01 Shim Conductance Susc AL 016 296
308.18  2d 12h
 
AMAT 0020-23277 Sheild Lower, SST, 8", 411388
AMAT 0020-23277 Sheild Lower, SST, 8", 411388
475.00  29d 15h
 
Berkeley Process Controls MWTX-8-MNET, Machiorks Controller, 960537C, 422277
Berkeley Process Controls MWTX-8-MNET, Machiorks Controller, 960537C, 422277
850.00  26d 12h
 
146-0401// Amat Applied 0150-76461 (broken) Cable, 55 Ft Rf Coaxial 13.56 Asis
146-0401// Amat Applied 0150-76461 (broken) Cable, 55 Ft Rf Coaxial 13.56 Asis
150.00  27d 0h
 
Hitachi High Technologies 1-829191-01 Inner Chamber Cover UHF
Hitachi High Technologies 1-829191-01 Inner Chamber Cover UHF
1,011.08  15d 12h
 
Amat 0200-01173 Insulator Quartz 6'' Smf Pik
Amat 0200-01173 Insulator Quartz 6'' Smf Pik
1,300.00  1d 17h
 
Daifuku PS2681-02 Power Distribution Connector Board 2681P1A PCB  Working
Daifuku PS2681-02 Power Distribution Connector Board 2681P1A PCB  Working
202.19  26d 16h
 
APPLIED MATERIALS, 0090-03913, UNIT,assy, Overtemp SW w/filt, HARN ASSY
APPLIED MATERIALS, 0090-03913, UNIT,assy, Overtemp SW w/filt, HARN ASSY
500.00  19d 0h
 
AMAT 0140-01443 Harness Assembly, Dnet VME/Remote Distr 413879
AMAT 0140-01443 Harness Assembly, Dnet VME/Remote Distr 413879
295.00  8d 17h
 
143-0503// Amat Applied 0226-97318 Applied Matrials Components
143-0503// Amat Applied 0226-97318 Applied Matrials Components
60.00  18d 20h
 
347-0201// Amat Applied 0020-23176 Support, Right
347-0201// Amat Applied 0020-23176 Support, Right
60.00  6d 20h
 
ASML 851-8518-005 Rev C A/D COV.-POS.REG. PCB  Working
ASML 851-8518-005 Rev C A/D COV.-POS.REG. PCB  Working
938.09  10d 11h
 
113110001 / 113032001 Motor Mount Assy, Motor Drive Assy / Varian
113110001 / 113032001 Motor Mount Assy, Motor Drive Assy / Varian
70,000.82  28d 12h
 
Amat 0620-00806, Dry Nova Jbox Cables Assy 6.5m. 411543
Amat 0620-00806, Dry Nova Jbox Cables Assy 6.5m. 411543
850.00  5d 13h
 
KLA-Tencor 781-23234-002 Aperture Heated Rod  Working
KLA-Tencor 781-23234-002 Aperture Heated Rod  Working
1,103.09  21d 13h
 
Amat 0020-91578 Deposition Ring,8" Snnf, Esc, 9mm, Al W/,
Amat 0020-91578 Deposition Ring,8" Snnf, Esc, 9mm, Al W/,
1,800.00  20d 23h
 
TLA Technology 519-000 PCB  Working
TLA Technology 519-000 PCB  Working
604.10  14d 15h
 
316-0403// Amat Applied 0010-75571 Assy, Left Hand Pivot And Bearing, Ext R
316-0403// Amat Applied 0010-75571 Assy, Left Hand Pivot And Bearing, Ext R
1,500.00  4d 23h
 
AMAT Applied Materials 46-406121-01 Backplane AMP Board PCB 13-406263-01 Working
AMAT Applied Materials 46-406121-01 Backplane AMP Board PCB 13-406263-01 Working
251.18  22d 14h
 
PRI BM29066 Auto Motor I/O control, V2 PRI0305,
PRI BM29066 Auto Motor I/O control, V2 PRI0305,
395.00  5d 14h
 
Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. F Copper Exposed No Motors
Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. F Copper Exposed No Motors
1,503.14  8d 11h
 
Tegal Chuck 37-139-001. (39-139-001?)
Tegal Chuck 37-139-001. (39-139-001?)
2,500.00  11d 14h
 
7310-5874-01 A (7100-5156-02 + 7100-5155-01 )AG Associates Heatpulse RTP
7310-5874-01 A (7100-5156-02 + 7100-5155-01 )AG Associates Heatpulse RTP
6,500.00  17d 12h
 
BOC Edwards 652 Power Supply, W56996120, 409159
BOC Edwards 652 Power Supply, W56996120, 409159
350.00  21d 12h
 
323-0302// Amat Applied 1120-01035 Fltr Glass 1.25 Dia 2.5mm Thk Infrared
323-0302// Amat Applied 1120-01035 Fltr Glass 1.25 Dia 2.5mm Thk Infrared
200.00  6d 3h
 
Novellus Systems FLVG CYL Replace Upgrade Kit 093033-797-21  Working
Novellus Systems FLVG CYL Replace Upgrade Kit 093033-797-21  Working
1,508.12  13d 13h
 
Axcelis 17224320(s) Aperture Faraday Resolver, Graphite
Axcelis 17224320(s) Aperture Faraday Resolver, Graphite
168.00  14d 23h
 
Esec 945.185/06 & 945.184/05
Esec 945.185/06 & 945.184/05
239.00  26d 1h
 
ASML 4022.472.2229 Interface Module 4022.471.8019  Working
ASML 4022.472.2229 Interface Module 4022.471.8019  Working
259.17  11d 16h
 
AMAT 0100-00048 wPWB I/O Status Monitor, PCB, 417866
AMAT 0100-00048 wPWB I/O Status Monitor, PCB, 417866
395.00  12d 17h
 
Varian  1094341 +opto Insolator Assy ID-AWS-011
Varian 1094341 +opto Insolator Assy ID-AWS-011
150.00  11d 14h
 
GP-ISRY Ulvac Japan ID-AWM-D-2-3
GP-ISRY Ulvac Japan ID-AWM-D-2-3
150.00  15d 15h
 
j50-24 V.ADJ  ID-AWM-D-2-3
j50-24 V.ADJ ID-AWM-D-2-3
150.00  15d 15h
 
Power General Unitrode Model 244CM AWM-F-2-4-003
Power General Unitrode Model 244CM AWM-F-2-4-003
125.00  19d 14h
 
Robot Belt 155T80 AWR-BB-6-012
Robot Belt 155T80 AWR-BB-6-012
125.00  28d 21h
 
Veriflo F10SZ0010 Valve, 452069
Veriflo F10SZ0010 Valve, 452069
95.00  14d 12h
 
APTech AP3550SM 2PW FV4 FV4 Diaphragm Valve, 452070
APTech AP3550SM 2PW FV4 FV4 Diaphragm Valve, 452070
75.00  14d 12h
 
320-0201// Copyright Radisys 61-0595-40 Pfs-025-ss-64 Board []
320-0201// Copyright Radisys 61-0595-40 Pfs-025-ss-64 Board []
4,500.00  15d 21h
 
AMAT 0040-44407 Clamp, Bottom, NI Producer, Quartz, Blade, 420356
AMAT 0040-44407 Clamp, Bottom, NI Producer, Quartz, Blade, 420356
650.00  10d 13h
 
Quad systems RLC 10-12644 & 10-10361 & 10-10386 & 10-10361
Quad systems RLC 10-12644 & 10-10361 & 10-10386 & 10-10361
729.00  8d 23h
 
2-816006-a / Heater For M-308ate / Hitachi
2-816006-a / Heater For M-308ate / Hitachi
530.00  14d 15h
 
Hps-4315-0022 / Heater-elbow Mxp / Mks
Hps-4315-0022 / Heater-elbow Mxp / Mks
600.60  14d 14h
 
Hitachi High Technologies 2-829526-03 Electrode Head Cover
Hitachi High Technologies 2-829526-03 Electrode Head Cover
811.08  15d 12h
 
Oxford 51-KT-01DRT Controller Modules 1128-419 1128-371 1420-069 with warranty
Oxford 51-KT-01DRT Controller Modules 1128-419 1128-371 1420-069 with warranty
2,900.00  29d 19h
 
PN 7100-5112 AG Associates Heatpulse PCB
PN 7100-5112 AG Associates Heatpulse PCB
2,200.00  29d 10h
 
AMAT APPLIED MATERIALS 0246-02066 Kit Wafer Adjustable Pin
AMAT APPLIED MATERIALS 0246-02066 Kit Wafer Adjustable Pin
2,000.00  9d 9h
 
SUNX Sensors SFI-AC Safety Sensor Box  Working
SUNX Sensors SFI-AC Safety Sensor Box  Working
505.12  3d 15h
 
Orbotech Optrotech NGSPT 022954 Controller Board
Orbotech Optrotech NGSPT 022954 Controller Board
333.00  11d 3h
 
Siemens 505-6204 4-Channel Analog Output  Working
Siemens 505-6204 4-Channel Analog Output  Working
707.12  1d 16h
 
Amat 3060-01271 Brg Linear 110mm W/ Stopper
Amat 3060-01271 Brg Linear 110mm W/ Stopper
375.00  16d 12h
 
Dynax DNX5171 Processor Board PCB F104-CPU Working Spare
Dynax DNX5171 Processor Board PCB F104-CPU Working Spare
212.19  15d 15h
 
AMAT 0200-00933, Metron 782254, Ceramic, Screw Cover. 413094
AMAT 0200-00933, Metron 782254, Ceramic, Screw Cover. 413094
450.00  18d 18h
 
066321 / Eaton Valve Manifold / Eaton
066321 / Eaton Valve Manifold / Eaton
275.82  18h 26m
 
5tbc012444 / Water Flow Meter / Tokyo Keisco Co Ltd
5tbc012444 / Water Flow Meter / Tokyo Keisco Co Ltd
194.55  18d 12h
 
Pfj-n161u / Idec 16 Dc Input Unit Source Expansion / Idec Izumi Corpor
Pfj-n161u / Idec 16 Dc Input Unit Source Expansion / Idec Izumi Corpor
184.99  26d 10h
 
Sgmas-02ca4c / Ac Servo Motor, 200w, 200v, 1.9a / Yaskawa Electric
Sgmas-02ca4c / Ac Servo Motor, 200w, 200v, 1.9a / Yaskawa Electric
250.99  7d 11h
 
593-14518 / Mongoose 2p Straight Interconnect Techwing / Micron
593-14518 / Mongoose 2p Straight Interconnect Techwing / Micron
200.68  26d 19h
 
45300927 / Valve 955aoplpnosfsmm 2.30 / Parker
45300927 / Valve 955aoplpnosfsmm 2.30 / Parker
212.62  12d 15h
 
Wplv202si / Waferpure Megaline Gas Purification System / Millipore
Wplv202si / Waferpure Megaline Gas Purification System / Millipore
225.99  19d 12h
 
Mqmlb20h-30d / Mqm-mqp-mqq Low Friction Cylinder. / Smc
Mqmlb20h-30d / Mqm-mqp-mqq Low Friction Cylinder. / Smc
277.34  23d 13h
 
4ik25a-sh-230 / 25w Induction Motor / Oriental Motor
4ik25a-sh-230 / 25w Induction Motor / Oriental Motor
225.00  21d 15h
 
122-5011-1 / Pcb-board Comm, For1b0011 / Fortrend
122-5011-1 / Pcb-board Comm, For1b0011 / Fortrend
207.90  22d 14h
 
34-130130-00 / Tc,spring-loaded / Novellus Systems Inc
34-130130-00 / Tc,spring-loaded / Novellus Systems Inc
229.80  22d 16h
 
4gb119-a2nh / Solenoid Valve, 0.2~0.7mpa, 2x19g / Cdk
4gb119-a2nh / Solenoid Valve, 0.2~0.7mpa, 2x19g / Cdk
235.68  23d 19h
 
1730-2115 / 1720-2116 Pcb Board / Jcs
1730-2115 / 1720-2116 Pcb Board / Jcs
230.99  5d 17h
 
6lvv-dpc111p-c / Valve Diaphragm / Swagelok
6lvv-dpc111p-c / Valve Diaphragm / Swagelok
271.06  9d 10h
 
306271-001 / Filter-in-line Ss / Fsi
306271-001 / Filter-in-line Ss / Fsi
240.45  11d 13h
 
Cv500-ii201 / Plc I/o Control Unit Omron / Smc
Cv500-ii201 / Plc I/o Control Unit Omron / Smc
232.48  11d 18h
 
235617 / Aikon Obsolete-ring, Retainer One-piece 200mm / Aikon
235617 / Aikon Obsolete-ring, Retainer One-piece 200mm / Aikon
250.68  19d 13h
 
Flexilux 90 HLU 2987  ID-AWM-D-2-6-002
Flexilux 90 HLU 2987 ID-AWM-D-2-6-002
75.00  15d 16h
 
Simpson Temperature Meter Controller ID-AWM-F-1-011
Simpson Temperature Meter Controller ID-AWM-F-1-011
75.00  15d 19h
 
WIKA 316 SS Tube And Connection-150 Psi ID-AWM-D-5-005
WIKA 316 SS Tube And Connection-150 Psi ID-AWM-D-5-005
75.00  16d 19h
 
RECIF Technologies PWRAH0117A Power Interface Board PCB PCB0117A  Working
RECIF Technologies PWRAH0117A Power Interface Board PCB PCB0117A  Working
255.17  23d 9h
 
LAM 518-093762-001 Hydrometer, 451601
LAM 518-093762-001 Hydrometer, 451601
150.00  24d 17h
 
TECHNICAL & TRY MX 7500 Controller, MX-7512-030-G-X-S137
TECHNICAL & TRY MX 7500 Controller, MX-7512-030-G-X-S137
99.99  8d 14h
 
TEL Tokyo Electron TPC-T0064A-11 Interface Board PCB TOB1064  Working
TEL Tokyo Electron TPC-T0064A-11 Interface Board PCB TOB1064  Working
202.19  13d 11h
 
Pacific Scientific PM-250 Vacuum Particle Controller Working Spare
Pacific Scientific PM-250 Vacuum Particle Controller Working Spare
404.18  14d 16h
 
AMAT 0021-06581 Rev.P2, Shaft, Guide, Lift, Rot Head. 419379
AMAT 0021-06581 Rev.P2, Shaft, Guide, Lift, Rot Head. 419379
300.00  11d 14h
 
ASM 16-179291D01 Ring Support 300mm DTS
ASM 16-179291D01 Ring Support 300mm DTS
607.18  2d 18h
 
177-0104// Parker Sq140e502pfsffpm Valve [/fast]
177-0104// Parker Sq140e502pfsffpm Valve [/fast]
130.00  21d 3h
 
438-0402// Oriental Motor Mf930-dc Motor []
438-0402// Oriental Motor Mf930-dc Motor []
100.00  14d 0h
 
320-0402// Amat Applied 0190-11817 Applied Matrials Components
320-0402// Amat Applied 0190-11817 Applied Matrials Components
1,500.00  23d 23h
 
Amb2p000-053580 / Pneumatic Valve O.p. 0.34-0.49mpa Type Nc 053580 / Fujikin
Amb2p000-053580 / Pneumatic Valve O.p. 0.34-0.49mpa Type Nc 053580 / Fujikin
175.03  13d 11h
 
125-0501// Amat Applied 718-092326-082-2 Applied Matrials Components Asis
125-0501// Amat Applied 718-092326-082-2 Applied Matrials Components Asis
3,000.00  25d 20h
 
Daifuku LED-3695A LED Display and Connector Board PCB  Working
Daifuku LED-3695A LED Display and Connector Board PCB  Working
202.19  26d 13h
 
Amat 0021-77092 Mount-drive Motor,
Amat 0021-77092 Mount-drive Motor,
1,660.00  17d 3h
 
124-0103// Amat Applied 0021-20399 Clamp Ring,8"hot Snnf,al 2nd Source
124-0103// Amat Applied 0021-20399 Clamp Ring,8"hot Snnf,al 2nd Source
3,200.00  18d 2h
 
Parker Veriflo  959100w2pfsmm Ressure Regulator 1/4 Vcr Male X Female
Parker Veriflo 959100w2pfsmm Ressure Regulator 1/4 Vcr Male X Female
125.00  16d 13h
 
APTech AP3550SM 2PW FV4 FV4 Diaphragm Valve, 452071
APTech AP3550SM 2PW FV4 FV4 Diaphragm Valve, 452071
75.00  14d 12h
 
AMAT 0040-44407 Clamp, Bottom, NI Producer, Quartz, Blade, 420357
AMAT 0040-44407 Clamp, Bottom, NI Producer, Quartz, Blade, 420357
650.00  10d 13h
 
AMAT 0140-02380 Harness Assembly, Cell B Pneumatic 413814
AMAT 0140-02380 Harness Assembly, Cell B Pneumatic 413814
650.00  7d 19h
 
National Instruments 181555-01 Bus Expander Isolator Lot of 12  Working
National Instruments 181555-01 Bus Expander Isolator Lot of 12  Working
1,007.12  5d 16h
 
Amat 1400-00010 Sensor, Light Curtain Emitter, 28",
Amat 1400-00010 Sensor, Light Curtain Emitter, 28",
2,200.00  28d 21h
 
Prodrive PADC 130V-24A IL , 6001-0608-1702  , ASML 4022.634.02252
Prodrive PADC 130V-24A IL , 6001-0608-1702 , ASML 4022.634.02252
888.00  15d 21h
 
Nikon 4S019-061 AVDRVX4VE   PCB Board
Nikon 4S019-061 AVDRVX4VE PCB Board
200.00  4d 9h
 
Applied Materials 0195-14444 Dz Ped Bstr, S2 Intfc, W/o Xfmr, Prod Precision
Applied Materials 0195-14444 Dz Ped Bstr, S2 Intfc, W/o Xfmr, Prod Precision
949.00  15d 10h
 
Air Products DD 1552 Non-Incendive Interface Board PCB  Working
Air Products DD 1552 Non-Incendive Interface Board PCB  Working
254.17  17d 16h
 
AMAT 0140-04192 Harness Assembly, SCR Ch. D Power Pre - Clean 413810
AMAT 0140-04192 Harness Assembly, SCR Ch. D Power Pre - Clean 413810
450.00  8d 12h
 
replacement filters HCA-6487-18 Lot of 4
replacement filters HCA-6487-18 Lot of 4
95.20  14d 7h
 
Fujikin 316L-P Diaphragm Valve, AQ8MB000, 429504, 1/4" VCR, 452082
Fujikin 316L-P Diaphragm Valve, AQ8MB000, 429504, 1/4" VCR, 452082
195.00  14d 17h
 
Fujikin 316L Diaphragm Valve AQ8MB000 429504 1/4" VCR, KR5HY000 100499, 452083
Fujikin 316L Diaphragm Valve AQ8MB000 429504 1/4" VCR, KR5HY000 100499, 452083
195.00  14d 17h
 
Fujikin 316L Diaphragm Valve AT0UM000 429504, 1/4" VCR, KU6PK000 100499, 452085
Fujikin 316L Diaphragm Valve AT0UM000 429504, 1/4" VCR, KU6PK000 100499, 452085
195.00  14d 17h
 
Nagano Keiki ZT17-1G6 Valve, Isolation, 452143
Nagano Keiki ZT17-1G6 Valve, Isolation, 452143
250.00  20d 12h
 
Nikon 2S003-056 MCR DRV PCB Board OPTISTATION  Working
Nikon 2S003-056 MCR DRV PCB Board OPTISTATION  Working
878.09  10d 15h
 
AMAT Applied Materials 0020-31147 Base Insert 150/200mm Flat HLZ-530-024
AMAT Applied Materials 0020-31147 Base Insert 150/200mm Flat HLZ-530-024
1,512.11  21d 18h
 
KLA-Tencor 0106238-000 PCB ASSY , INTF-DIAG , ES32
KLA-Tencor 0106238-000 PCB ASSY , INTF-DIAG , ES32
1,118.00  21d 2h
 
AMAT 0040-80712 Shield, Upper Radiation, EBK, 418430
AMAT 0040-80712 Shield, Upper Radiation, EBK, 418430
350.00  26d 13h
 
Nikon 4S013-417 RBTLNK2 Link Board PCB NSR-S306C  Working
Nikon 4S013-417 RBTLNK2 Link Board PCB NSR-S306C  Working
707.12  16h 47m
 
AMAT Applied Materials 0140-16348 Cable ASSY
AMAT Applied Materials 0140-16348 Cable ASSY
298.00  7d 4h
 
AMAT Applied Materials 0140-16351 Cable ASSY
AMAT Applied Materials 0140-16351 Cable ASSY
298.00  7d 4h
 
Amat 0040-64496 Carrier, 200mm 5 Zone Profiler ,
Amat 0040-64496 Carrier, 200mm 5 Zone Profiler ,
1,800.00  12d 21h
 
Nikon   4S018-144   C30-I/F   Power Supply Board
Nikon 4S018-144 C30-I/F Power Supply Board
475.00  28d 15h
 
SVG Silicon Valley Group 99-80267-01 Rev B Shuttle Interface Board 90S
SVG Silicon Valley Group 99-80267-01 Rev B Shuttle Interface Board 90S
811.11  19d 12h
 
Hitachi High Technologies 2-829605-02 Lower Sleeve UHF
Hitachi High Technologies 2-829605-02 Lower Sleeve UHF
1,510.08  5d 17h
 
ESI CKA 69016 Three Phase Commutator board
ESI CKA 69016 Three Phase Commutator board
333.00  6d 7h
 
NOW Technologies Nowpack Level Monitor Control Box Lot of 3  Working
NOW Technologies Nowpack Level Monitor Control Box Lot of 3  Working
455.12  5d 16h
 
Yaskawa Clsr-cc-1cn2by1, Clsr-cb-1cn2ay1
Yaskawa Clsr-cc-1cn2by1, Clsr-cb-1cn2ay1
980.00  28d 23h
 
AMAT 0020-00242 Deposition Ring With Anti-Rotation CU BESC, 424060
AMAT 0020-00242 Deposition Ring With Anti-Rotation CU BESC, 424060
595.00  23d 12h
 
138-0401// Amat Applied 0190-76263 Ios Configured
138-0401// Amat Applied 0190-76263 Ios Configured
1,000.00  10d 20h
 
Yamada Ad-50st Pulsation Damper 100psi Max
Yamada Ad-50st Pulsation Damper 100psi Max
499.95  11d 22h
 
Amat 0041-04514 Zone 1clamp, 300mm Titan Calypso,
Amat 0041-04514 Zone 1clamp, 300mm Titan Calypso,
1,500.00  24d 0h
 
Schlumberger Control Board 97924121 Rev. D  Working
Schlumberger Control Board 97924121 Rev. D  Working
1,507.12  12d 17h
 
AMAT 0020-79811 Ring, Clamp, 418151
AMAT 0020-79811 Ring, Clamp, 418151
315.00  19d 15h
 
Schlumberger Technologies 97151214 SCM_ST Rev. 5 PCB Card 40151214  Working
Schlumberger Technologies 97151214 SCM_ST Rev. 5 PCB Card 40151214  Working
611.16  29d 17h
 
AMAT 0020-20193 Cover PS, RMT Controller. 407105
AMAT 0020-20193 Cover PS, RMT Controller. 407105
550.00  5d 18h
 
TEL Tokyo Electron 3D10-150035-V1 Cooling Plate AHV50 Copper  Working
TEL Tokyo Electron 3D10-150035-V1 Cooling Plate AHV50 Copper  Working
1,506.11  20d 11h
 
AMAT 0150-03907 Harness Assy., 300mm Endpoint Adaptor, 417457
AMAT 0150-03907 Harness Assy., 300mm Endpoint Adaptor, 417457
495.00  4d 15h
 
Amat 0040-95742 Wafer Lift Assy B, Cooldown, Acp ,
Amat 0040-95742 Wafer Lift Assy B, Cooldown, Acp ,
2,700.00  3d 21h
 
AMAT Applied Materials 0140-16346  HARNESS ASSY, MOTOR POWER CHMBR 300MM
AMAT Applied Materials 0140-16346 HARNESS ASSY, MOTOR POWER CHMBR 300MM
255.00  5d 7h
 
AMAT Applied Materials 0100-71141 TC Input K-Type Thermocouple Board PCB
AMAT Applied Materials 0100-71141 TC Input K-Type Thermocouple Board PCB
262.18  15d 17h
 
7100-1213-04 DCP Pyrometer 7100-1134-059 Pyrometer AG Associates Heatpulse
7100-1213-04 DCP Pyrometer 7100-1134-059 Pyrometer AG Associates Heatpulse
5,500.00  17d 13h
 
Varian Semiconductor VSEA E17293680 Charge Exchange Shield  Surplus
Varian Semiconductor VSEA E17293680 Charge Exchange Shield  Surplus
449.09  12d 15h
 
Amat 0150-35205, Harness Assy Mainframe Umbilical Cmj1
Amat 0150-35205, Harness Assy Mainframe Umbilical Cmj1
2,000.00  13d 18h
 
Smc Pap3313-p13  Process Pump
Smc Pap3313-p13 Process Pump
999.00  21d 3h
 
Disco Eaua-002302 Pcb D2 Pmc (a) Updi Pmcb At-001 Ua-002302 01860 00fp48 405827
Disco Eaua-002302 Pcb D2 Pmc (a) Updi Pmcb At-001 Ua-002302 01860 00fp48 405827
450.00  21d 17h
 
AMAT 0021-11628 Cover, EMO Panel 300mm SM4, 419938
AMAT 0021-11628 Cover, EMO Panel 300mm SM4, 419938
495.00  24d 18h
 
144-0501// Amat Applied 0040-13579 Hose, Supply Right,chilled Fac.
144-0501// Amat Applied 0040-13579 Hose, Supply Right,chilled Fac.
400.00  19d 0h
 
Nikon S2015-064-4 MSTBOARD Board PCB OPTISTATION 3  Working
Nikon S2015-064-4 MSTBOARD Board PCB OPTISTATION 3  Working
807.45  10d 12h
 
AMAT 0150-02403, Cable, Assembly, Main AC Interlinks system AC 3. 413847
AMAT 0150-02403, Cable, Assembly, Main AC Interlinks system AC 3. 413847
400.00  8d 14h
 
LAM Research 716-011963-003   OEM
LAM Research 716-011963-003  OEM
550.00  8d 13h
 
Metron 215-17799-00 REAC HD Assembly  Working
Metron 215-17799-00 REAC HD Assembly  Working
1,510.10  2d 15h
 
Abbott Transistor V05ds-43.3a
Abbott Transistor V05ds-43.3a
299.99  10d 19h
 
320-0302// Amat Applied 0100-01735 Pcb Assy Gap Servo
320-0302// Amat Applied 0100-01735 Pcb Assy Gap Servo
2,000.00  1d 4h
 
HOSE KIT,192in (1 EA)
HOSE KIT,192in (1 EA)
401.65  12d 1h
 
RECIF Technologies INTAH0281A Interface Board PCB PCB0281A Nikon NSR System
RECIF Technologies INTAH0281A Interface Board PCB PCB0281A Nikon NSR System
208.18  17d 9h
 
TEL Tokyo Electron 3D10-101277-V2 Depo Shutter Assembly
TEL Tokyo Electron 3D10-101277-V2 Depo Shutter Assembly
906.11  20d 16h
 
Hitachi High Technologies 2-832793-01 ER Cover UHF
Hitachi High Technologies 2-832793-01 ER Cover UHF
1,400.08  15d 11h
 
13191 Recif Pcb, Cpu Processor Board W/ Cpuah0480a Mobmh0131f
13191 Recif Pcb, Cpu Processor Board W/ Cpuah0480a Mobmh0131f
576.05  20d 5h
 
8134 Tokyo Electron Pcb Mfc Communication Bd Mc-31044a (11810002531b) Mc31044a
8134 Tokyo Electron Pcb Mfc Communication Bd Mc-31044a (11810002531b) Mc31044a
611.05  22d 21h
 
13221 Agilent Pcb E3122-66601
13221 Agilent Pcb E3122-66601
633.66  2d 1h
 
Rear Upper Cross Piece 7580, Lot of 4
Rear Upper Cross Piece 7580, Lot of 4
300.00  12d 15h
 
Magnetic Blower Model JB1R084N ID-AWS-024
Magnetic Blower Model JB1R084N ID-AWS-024
150.00  12d 17h
 
STEC SEC-4400MC MFC, Mass Flow Controller, AR, 500 SCCM, Calibrated, 423671
STEC SEC-4400MC MFC, Mass Flow Controller, AR, 500 SCCM, Calibrated, 423671
750.00  18d 18h
 
Aera FC-PA7800C-BA MFC, Mass Flow Controller, CH4, 0.2 SLM, 3030-16232, 423735
Aera FC-PA7800C-BA MFC, Mass Flow Controller, CH4, 0.2 SLM, 3030-16232, 423735
450.00  2d 12h
 
AMAT 0150-00193 Cable Assembly, AC Interconnect, 409166
AMAT 0150-00193 Cable Assembly, AC Interconnect, 409166
750.00  21d 12h
 
14259 Daifuku Pcb,supply Board Pwb-3787a
14259 Daifuku Pcb,supply Board Pwb-3787a
253.46  4d 0h
 
ASAHI ENGINEERING D4290 U1630B10,  Working
ASAHI ENGINEERING D4290 U1630B10,  Working
400.00  18d 2h
 
Fanuc A20B-2001-0902/02B AC Servo Interface Board PCB ME-1  Working
Fanuc A20B-2001-0902/02B AC Servo Interface Board PCB ME-1  Working
404.18  1d 17h
 
1944  Applied Materials 8100Q (P/N: 0010-01060) Pneumatic Hoist
1944 Applied Materials 8100Q (P/N: 0010-01060) Pneumatic Hoist
900.00  18d 9h
 
Esec 632.180/3
Esec 632.180/3
249.00  21d 1h
 
Esec 940.180/9
Esec 940.180/9
229.00  25d 0h
 
Amat 0200-00340 Bushing, Upr Shield Ins, S-imp Rev 1.3,,
Amat 0200-00340 Bushing, Upr Shield Ins, S-imp Rev 1.3,,
230.00  15d 20h
 
Amat 0100-90243, Pwba Rs232 I'face Unconf Can. 418575
Amat 0100-90243, Pwba Rs232 I'face Unconf Can. 418575
495.00  27d 19h
 
AMAT 0150-04214 Cable, X-Axis Flex, 411013
AMAT 0150-04214 Cable, X-Axis Flex, 411013
450.00  19d 20h
 
Amat 0140-16382 Harness Assy 30 Ft With Fpd Power Interc,
Amat 0140-16382 Harness Assy 30 Ft With Fpd Power Interc,
700.00  23d 0h
 
Oerlikon 102161354 Etch Shield
Oerlikon 102161354 Etch Shield
967.09  12d 13h
 
147-0301// Amat Applied 0242-00881 Kit, Cyro Exhaust Hose 3300-03452 []
147-0301// Amat Applied 0242-00881 Kit, Cyro Exhaust Hose 3300-03452 []
100.00  14d 3h
 
147-0301// Amat Applied 3860-01028 Tbg Plstc 3/8 Od .062 Wall Polyethylen []
147-0301// Amat Applied 3860-01028 Tbg Plstc 3/8 Od .062 Wall Polyethylen []
100.00  14d 3h
 
402-0202// Red Lion Cub4v Controller []
402-0202// Red Lion Cub4v Controller []
120.00  14d 3h
 
Edwards 15-117029-00 (TiN) Dark Space Ring Shield Refurbished
Edwards 15-117029-00 (TiN) Dark Space Ring Shield Refurbished
1,012.11  19d 11h
 
3 Amat 0150-09276 Cable, Over Pressure He C 407331
3 Amat 0150-09276 Cable, Over Pressure He C 407331
750.00  11d 12h
 
National Instruments NPB-536C PCB Board Reseller Lot of 2  Working
National Instruments NPB-536C PCB Board Reseller Lot of 2  Working
208.15  28d 8h
 
Smc Precision Regulator Ir1010-n01
Smc Precision Regulator Ir1010-n01
65.00  23d 17h
 
Ushio UVFLS-172-VP-QC
Ushio UVFLS-172-VP-QC
39,500.00  4d 16h
 
APPLIED MATERIALS 0140-09742 UHP Safety Valve Harness Assembly
APPLIED MATERIALS 0140-09742 UHP Safety Valve Harness Assembly
494.99  16d 17h
 
Tel Tokyo Electron 56003aa-159v1, Electrode
Tel Tokyo Electron 56003aa-159v1, Electrode
7,800.00  21d 21h
 
BTU Engineering 3162024 7900 Backplane Board PCB 3162020  Working
BTU Engineering 3162024 7900 Backplane Board PCB 3162020  Working
212.18  18d 10h
 
Amat 0020-30903 Base, Low Temperature Cathode. 410929
Amat 0020-30903 Base, Low Temperature Cathode. 410929
2,700.00  21d 17h
 
CFM Technologies C22111-01 32x32 Interlock Board PCB  Working
CFM Technologies C22111-01 32x32 Interlock Board PCB  Working
1,008.12  13d 12h
 
AMAT 0200-00042 Rev.A, West Coast Quartz, Cover, Quartz, Poly, 100mm. 417422
AMAT 0200-00042 Rev.A, West Coast Quartz, Cover, Quartz, Poly, 100mm. 417422
495.00  1d 17h
 
APPLIED MATERIALS 0200-10158 SIN DXZGE OBS Junction Sleeve
APPLIED MATERIALS 0200-10158 SIN DXZGE OBS Junction Sleeve
399.99  16d 17h
 
AMAT 0140-03216, Cable Assembly, Filter Box Connector HP DP, 413688
AMAT 0140-03216, Cable Assembly, Filter Box Connector HP DP, 413688
550.00  7d 13h
 
APPLIED MATERIALS 0040-18087 Top Coil Assembly
APPLIED MATERIALS 0040-18087 Top Coil Assembly
599.99  3d 17h
 
145-0301// Amat Applied 3240-01001 (13ft) Cnd Flex Moist/proof 1/2" Liq-tite
145-0301// Amat Applied 3240-01001 (13ft) Cnd Flex Moist/proof 1/2" Liq-tite
200.00  10d 1h
 
AMAT 0020-92638 Issue.D, Electrode E6 (STD). 417936
AMAT 0020-92638 Issue.D, Electrode E6 (STD). 417936
450.00  13d 13h
 
TEL DS1181-000295-11 Board, Circuit, CP-8390, 1181-000295-11, Farmon ID 412180
TEL DS1181-000295-11 Board, Circuit, CP-8390, 1181-000295-11, Farmon ID 412180
295.00  19d 18h
 
TEL Tokyo Electron 7100-0577-07 Photoelectric Sensor Assembly 7200-0310-01A
TEL Tokyo Electron 7100-0577-07 Photoelectric Sensor Assembly 7200-0310-01A
1,010.07  12d 15h
 
Amat 0190-17687 Sw Press Spdt 1a Res 28vdc Fixed 600torr ,
Amat 0190-17687 Sw Press Spdt 1a Res 28vdc Fixed 600torr ,
1,500.00  23d 21h
 
AMAT 0021-11628 Cover, EMO Panel 300mm SM4, 419936
AMAT 0021-11628 Cover, EMO Panel 300mm SM4, 419936
495.00  24d 18h
 
STEC SEC-4400MC MFC, Mass Flow Controller, AR, 500 SCCM, Calibrated, 423675
STEC SEC-4400MC MFC, Mass Flow Controller, AR, 500 SCCM, Calibrated, 423675
750.00  18d 18h
 
Aera FC-PA7800C-BA MFC, Mass Flow Controller, 0.5%PH3/H2, 0.2 SLM, 423737
Aera FC-PA7800C-BA MFC, Mass Flow Controller, 0.5%PH3/H2, 0.2 SLM, 423737
450.00  2d 12h
 
Amat 0040-95743 Wafer Lift Assy A, Cooldown, Acp  ,
Amat 0040-95743 Wafer Lift Assy A, Cooldown, Acp ,
2,700.00  5d 1h
 
STEC SEC-4400M Mass Flow Controller, MFC, N2, 200 SCCM, SEC-4400, 329982
STEC SEC-4400M Mass Flow Controller, MFC, N2, 200 SCCM, SEC-4400, 329982
750.00  20d 14h
 
STEC SEC-4400M MFC, Mass Flow Controller, O2, 500 SCCM, SEC-4400, 423774
STEC SEC-4400M MFC, Mass Flow Controller, O2, 500 SCCM, SEC-4400, 423774
750.00  7d 12h
 
Varian E11045400 Rev.1, Bracket Assembly ADJ. 419556
Varian E11045400 Rev.1, Bracket Assembly ADJ. 419556
295.00  13d 20h
 
HP Agilent E5515- 60215-4007-01-200023-21554 Made in USA
HP Agilent E5515- 60215-4007-01-200023-21554 Made in USA
159.75  15d 15h
 
Amat 0020-52691 Ring, Bottom Edge Sst 300mm Txz ,
Amat 0020-52691 Ring, Bottom Edge Sst 300mm Txz ,
3,400.00  3d 22h
 
AMAT 0200-09313, Ring Inner, 6", 140mm, 1 FLAT, Shadow Ring, BWCVD. 417377
AMAT 0200-09313, Ring Inner, 6", 140mm, 1 FLAT, Shadow Ring, BWCVD. 417377
450.00  12h 18m
 
Esec 947.050/7
Esec 947.050/7
199.00  26d 3h
 
AMAT 0020-75402 Rev.A, KLC 1702, Reducer, 2" FNPT x 1 1/4" MNPT FTG. 418307
AMAT 0020-75402 Rev.A, KLC 1702, Reducer, 2" FNPT x 1 1/4" MNPT FTG. 418307
675.00  21d 16h
 
AMAT 0190-10238 LDI 6-Slot Backplane 414155
AMAT 0190-10238 LDI 6-Slot Backplane 414155
350.00  12d 14h
 
2 MKS HPS 100312701 Centering Ring Seal NW16 ISO-KF Vacuum Flange w/Viton O-Ring
2 MKS HPS 100312701 Centering Ring Seal NW16 ISO-KF Vacuum Flange w/Viton O-Ring
12.99  23d 8h
 
323-0302// Amat Applied 0010-30065 Assembly, Hot Filter, 356nm
323-0302// Amat Applied 0010-30065 Assembly, Hot Filter, 356nm
1,500.00  6d 2h
 
Applied Materials 0240-98302 Amat Kit Mounting Agc
Applied Materials 0240-98302 Amat Kit Mounting Agc
300.00 156.75  22d 16h
 
TEL Tokyo Electron 3281-000129-11 PCB PST OPT Card 3208-000095-11  Working
TEL Tokyo Electron 3281-000129-11 PCB PST OPT Card 3208-000095-11  Working
805.12  4d 9h
 
AMAT 0140-20518 Harness Assy BUF Extension, Cable, 414759
AMAT 0140-20518 Harness Assy BUF Extension, Cable, 414759
395.00  6d 12h
 
Amat 3860-01460 Tube Polyurethane Red 1-4in,
Amat 3860-01460 Tube Polyurethane Red 1-4in,
150.00  3d 2h
 
Tescom 74-24P2KR920-067 Shut-off Valve, Regulator, 452219
Tescom 74-24P2KR920-067 Shut-off Valve, Regulator, 452219
195.00  26d 16h
 
Amat 0020-20073 Lock, Lid Interlock, Hdpcvd 300mm Ultima,
Amat 0020-20073 Lock, Lid Interlock, Hdpcvd 300mm Ultima,
840.00  3d 21h
 
SPAN Pressure Tranducer Model SPT-204,  old Stock
SPAN Pressure Tranducer Model SPT-204,  old Stock
59.77  25d 16h
 
Asm 1004-845-01 Sup By-1023-752-01-monitor-touchscreen
Asm 1004-845-01 Sup By-1023-752-01-monitor-touchscreen
707.18  2d 21h
 
RECIF Technologies DISDH0132A Interface Board PCB PCB0132A  Working
RECIF Technologies DISDH0132A Interface Board PCB PCB0132A  Working
255.17  23d 11h
 
AMAT 0020-34427 Plug, Heater, 240873
AMAT 0020-34427 Plug, Heater, 240873
300.00  1d 16h
 
Amat 0150-35210, Harness Assy Chambr A-b- C-d
Amat 0150-35210, Harness Assy Chambr A-b- C-d
1,800.00  13d 21h
 
4 AMAT 0020-40137 Lock Shipping Door, LLC 424232
4 AMAT 0020-40137 Lock Shipping Door, LLC 424232
380.00  28d 13h
 
AMAT 0150-22632 Cable Assy, Robot Controller Intcon 2 WL ECP, 417797
AMAT 0150-22632 Cable Assy, Robot Controller Intcon 2 WL ECP, 417797
300.00  10d 14h
 
150-0301// Amat Applied 0150-12001 Cable Assy Power Cbu2 To Cleaner Reflexi
150-0301// Amat Applied 0150-12001 Cable Assy Power Cbu2 To Cleaner Reflexi
960.00  21h 27m
 
Millipore 300894-001 / 1ZR9745J Spare Parts
Millipore 300894-001 / 1ZR9745J Spare Parts
45.00  10d 18h
 
Loctite Electronics Division 1600-0005-01 For AG Associates Heatpulse ID-AWS-016
Loctite Electronics Division 1600-0005-01 For AG Associates Heatpulse ID-AWS-016
95.00  11d 16h
 
0641-0254-01 With 7310-1059-01 For AG Associates Heatpulse ID-AWS-016
0641-0254-01 With 7310-1059-01 For AG Associates Heatpulse ID-AWS-016
95.00  11d 16h
 
7310-1059-01 For AG Associates Heatpulse ID-AWS-016
7310-1059-01 For AG Associates Heatpulse ID-AWS-016
95.00  11d 16h
 
0641-0253-01 With 7310-1058-01 For AG Associates Heatpulse ID-AWS-016
0641-0253-01 With 7310-1058-01 For AG Associates Heatpulse ID-AWS-016
95.00  11d 16h
 
7310-1058-01 For AG Associates Heatpulse ID-AWS-016
7310-1058-01 For AG Associates Heatpulse ID-AWS-016
95.00  11d 16h
 
Stainless SST 304L DN40 CF,half  Nipple ID-AWS-026
Stainless SST 304L DN40 CF,half Nipple ID-AWS-026
69.00  12d 18h
 
Autonics AC  Meter M4W-A ID-AWM-A-3–4-018
Autonics AC  Meter M4W-A ID-AWM-A-3–4-018
75.00  18d 17h
 
Electro Powerpacs Model 1113  Vickers Instruments AWM-F-2-1-003
Electro Powerpacs Model 1113 Vickers Instruments AWM-F-2-1-003
50.00  19d 12h
 
670-097431-070 KT Protector ID-AWM-F-5-1-001
670-097431-070 KT Protector ID-AWM-F-5-1-001
35.00  19d 14h
 
0508524, 23F4049 Switch Micro DT-2RV3-A7 AWM-F-5-4-002
0508524, 23F4049 Switch Micro DT-2RV3-A7 AWM-F-5-4-002
75.00  19d 17h
 
148-0701// Amat Applied 0226-09002 Applied Matrials Components
148-0701// Amat Applied 0226-09002 Applied Matrials Components
200.00  27d 2h
 
Nikon AF-PSDX22-SUB PCB Card 4S007-994 4S007-994-1 Lot of 18  Working
Nikon AF-PSDX22-SUB PCB Card 4S007-994 4S007-994-1 Lot of 18  Working
883.37  27d 9h
 
AMAT 0240-99280 Kit, MRS Shields, Standoff Fix, 0240-99280ITL, 415188
AMAT 0240-99280 Kit, MRS Shields, Standoff Fix, 0240-99280ITL, 415188
295.00  3d 18h
 
ESI CKA 64390 Theta Correction board
ESI CKA 64390 Theta Correction board
333.00  6d 7h
 
AMAT 0020-28908 Top Cover Cable Harness, Front, 409341
AMAT 0020-28908 Top Cover Cable Harness, Front, 409341
750.00  22d 13h
 
Hitachi Zosen HIMV-924A2 VME Board,pcb lbl HIMV-923A/924A MLP/MP,,Jap6888
Hitachi Zosen HIMV-924A2 VME Board,pcb lbl HIMV-923A/924A MLP/MP,,Jap6888
79.90  12d 21h
 
AMAT Applied Materials 0100-00523 Controller Distribution PCB 0100-76290
AMAT Applied Materials 0100-00523 Controller Distribution PCB 0100-76290
807.10  19d 15h
 
Novellus 10-053328-00, TUBE ASSY,TM TURBO EXHAUST
Novellus 10-053328-00, TUBE ASSY,TM TURBO EXHAUST
950.00  9d 21h
 
Koganei Air Cylinder -- SDA 25X25-180 --
Koganei Air Cylinder -- SDA 25X25-180 --
30.00  18d 1h
 
M.E.C. Tech MEC30512-1001R Process Ring
M.E.C. Tech MEC30512-1001R Process Ring
1,007.11  15h 23m
 
CORECO IMAGING OC-VIP0-Q0SV3 VIPERQUAD Board
CORECO IMAGING OC-VIP0-Q0SV3 VIPERQUAD Board
250.00  29d 9h
 
AMAT 0150-76327 Cable Assy, RF Power I 48" Long 418109
AMAT 0150-76327 Cable Assy, RF Power I 48" Long 418109
395.00  16d 11h
 
Amat 0100-90243, Pwba Rs232 I'face Unconf Can I/o. 418560
Amat 0100-90243, Pwba Rs232 I'face Unconf Can I/o. 418560
495.00  27d 13h
 
STEC SEC-4400M Mass Flow Controller, MFC, N2, 200 SCCM, SEC-4400, 325164
STEC SEC-4400M Mass Flow Controller, MFC, N2, 200 SCCM, SEC-4400, 325164
750.00  20d 14h
 
Lambda LFS-50-5 Regulated Power Supply  Working
Lambda LFS-50-5 Regulated Power Supply  Working
407.12  12d 11h
 
FESTO D6P-40-810-PPVA-B LINEAR DRIVE
FESTO D6P-40-810-PPVA-B LINEAR DRIVE
507.18  2d 16h
 
Ct5015-000012-11/sensor,pressure 225a-24596/mks Tokyo Electron Tel
Ct5015-000012-11/sensor,pressure 225a-24596/mks Tokyo Electron Tel
450.66  27d 7h
 
0995-10719 / Membrane, Display, Paneltec Axcelis / Matrix
0995-10719 / Membrane, Display, Paneltec Axcelis / Matrix
360.62  3d 17h
 
Es071-001250-1 / Sheet Conductive / Tokyo Electron Tel
Es071-001250-1 / Sheet Conductive / Tokyo Electron Tel
450.82  13d 9h
 
9611-1110  / Mks Heater Jacket 100v 17w 0.17a 50/60hz  /  Mks
9611-1110 / Mks Heater Jacket 100v 17w 0.17a 50/60hz / Mks
400.99  22h 15m
 
61-337124-00 / Manf,3,vms Dosing,pillar / Lam
61-337124-00 / Manf,3,vms Dosing,pillar / Lam
415.98  13d 15h
 
04-714049-02 /shield,dark Space,04-714049-02,3290/ Novellus
04-714049-02 /shield,dark Space,04-714049-02,3290/ Novellus
720.98  14d 12h
 
771-026552-001/ Xdcr,press,psi/kpa,int Red Led,igs / Lam
771-026552-001/ Xdcr,press,psi/kpa,int Red Led,igs / Lam
430.98  14d 13h
 
716-011969-330 / Ring,qtz,coupling,300mm / Lam
716-011969-330 / Ring,qtz,coupling,300mm / Lam
325.98  14d 14h
 
716-027963-001 / Ring,wap,generic,12.5 Id,200mm,2300 / Lam
716-027963-001 / Ring,wap,generic,12.5 Id,200mm,2300 / Lam
300.98  14d 14h
 
810-034806-007 / Assy,pcb,rf Intfc Sgl Ae / Lam
810-034806-007 / Assy,pcb,rf Intfc Sgl Ae / Lam
480.98  18d 13h
 
Msma022a1f/ Ac Servo Motor Input 30ac 92v 1.6a / Panasonic
Msma022a1f/ Ac Servo Motor Input 30ac 92v 1.6a / Panasonic
750.00  6d 13h
 
0040-03733 / Cover, Orienter Degas / Applied Materials
0040-03733 / Cover, Orienter Degas / Applied Materials
635.00  13d 13h
 
715-052395-002  / Elctd,lower,bevel / Lam
715-052395-002 / Elctd,lower,bevel / Lam
575.98  13d 13h
 
Ds1110-205736-22 Heat Insulator
Ds1110-205736-22 Heat Insulator
560.98  13d 14h
 
796-094747-001 / Vlv,2 Stg,l Pf,nw 25 / Lam
796-094747-001 / Vlv,2 Stg,l Pf,nw 25 / Lam
500.98  13d 14h
 
Fc-2936mcep-nt / Mfc, 3slpm, N2/ Millipore
Fc-2936mcep-nt / Mfc, 3slpm, N2/ Millipore
515.98  13d 15h
 
28-0075-013 / Pc Assy,intrf.#1,intel / Lam
28-0075-013 / Pc Assy,intrf.#1,intel / Lam
350.98  14d 14h
 
715-073145-001  / Baseplt,blank Chk,cooling Stat / Lam
715-073145-001 / Baseplt,blank Chk,cooling Stat / Lam
385.98  14d 14h
 
540241005  / Regulator 1/2" Uhp Pvdf/ptfe V82 W/spg End /  Frank  ()
540241005 / Regulator 1/2" Uhp Pvdf/ptfe V82 W/spg End / Frank ()
360.00  18d 19h
 
540241020 / Regulator 2" Uhp Pvdf V82 W/spg End / Frank  ()
540241020 / Regulator 2" Uhp Pvdf V82 W/spg End / Frank ()
360.00  18d 20h
 
D5cp06328 / Adiabatic Sic Cvd Coated Plate (gp82029) / Asahi America
D5cp06328 / Adiabatic Sic Cvd Coated Plate (gp82029) / Asahi America
360.00  1d 19h
 
PN 7100-5191-01 Rev A AG Associates Heatpulse PCB ZiaTech ZT 8850 REV A.5
PN 7100-5191-01 Rev A AG Associates Heatpulse PCB ZiaTech ZT 8850 REV A.5
2,800.00  1d 14h
 
Quantum Clean 1385-391308-14 Shutter Assembly Refurbished
Quantum Clean 1385-391308-14 Shutter Assembly Refurbished
603.19  4d 15h
 
347-0202// Amat Applied 0020-25062 Receiver Left Cass Special
347-0202// Amat Applied 0020-25062 Receiver Left Cass Special
420.00  6d 21h
 
14084 Tokyo Electron Pcb, Touch Sensor Ii, 1208-001167-11 1281-001167-1
14084 Tokyo Electron Pcb, Touch Sensor Ii, 1208-001167-11 1281-001167-1
460.84  4d 0h
 
13223 Tokyo Electron Pcb, System Operation Control Bd, 281-600680-9 208-600680-3
13223 Tokyo Electron Pcb, System Operation Control Bd, 281-600680-9 208-600680-3
316.83  2d 1h
 
223 Applied Materials Ceiling Wet Robot Tunnel 31 0020-10482
223 Applied Materials Ceiling Wet Robot Tunnel 31 0020-10482
287.93  25d 2h
 
124-0202// Amat Applied 0020-27309 Cover Ring 8" Ti 101%
124-0202// Amat Applied 0020-27309 Cover Ring 8" Ti 101%
2,600.00  18d 21h
 
Thermco Systems Gas Option 2 Pcb Assy 600702-17 Rev. Xi
Thermco Systems Gas Option 2 Pcb Assy 600702-17 Rev. Xi
129.99  26d 20h
 
MDIF-01A PCB Board, 406093
MDIF-01A PCB Board, 406093
175.00  28d 12h
 
151-0401// Amat Applied 0020-70053 Secondary Operation Window Extrusion []
151-0401// Amat Applied 0020-70053 Secondary Operation Window Extrusion []
200.00  15d 2h
 
151-0601// Amat Applied 0021-38020 Secondary Operation Window Extrusion []
151-0601// Amat Applied 0021-38020 Secondary Operation Window Extrusion []
200.00  15d 2h
 
117-0501// Amat Applied 0020-09633 Right Panel I/o Compartm []
117-0501// Amat Applied 0020-09633 Right Panel I/o Compartm []
150.00  15d 2h
 
Berkeley Process Controls 966434-B Multiaxis AC Servo Motor Cable, 423229
Berkeley Process Controls 966434-B Multiaxis AC Servo Motor Cable, 423229
295.00  3d 11h
 
Axcelis Post Accel Aperture 17122980 REV A (99473)
Axcelis Post Accel Aperture 17122980 REV A (99473)
185.00  15d 3h
 
Applied Materials 0200-09787k Modified Roughen Focus Ring Amat
Applied Materials 0200-09787k Modified Roughen Focus Ring Amat
699.00  9d 9h
 
APPLIED MATERIALS 0021-53456 Al, FLUX OPTIMIZER MONOLITHIC, BB AMAT *CLEANED*
APPLIED MATERIALS 0021-53456 Al, FLUX OPTIMIZER MONOLITHIC, BB AMAT *CLEANED*
749.00  6d 6h
 
Drt2-md32sl / I/o Block Devicenet Slave / Omron
Drt2-md32sl / I/o Block Devicenet Slave / Omron
195.00  17d 17h
 
17099631 / Inner Beam Dump Vgi Graphite / Axcelis Technologies
17099631 / Inner Beam Dump Vgi Graphite / Axcelis Technologies
254.00  4d 14h
 
Wbl-ps5v150a / Power Supply 5v / Advantest
Wbl-ps5v150a / Power Supply 5v / Advantest
275.00  5d 16h
 
17338640 / Liner / Axcelis Technologies
17338640 / Liner / Axcelis Technologies
221.50  16d 16h
 
Fds11+11 / Power Supply 11v-34a / Advantest
Fds11+11 / Power Supply 11v-34a / Advantest
275.00  5d 16h
 
AMAT 0020-28908 Top Cover Cable Harness, Front, 409340
AMAT 0020-28908 Top Cover Cable Harness, Front, 409340
750.00  22d 13h
 
AERA FC-PA7800C-BA MFC, Mass Flow Controller, SiH4, 0.5 SLM, 423689
AERA FC-PA7800C-BA MFC, Mass Flow Controller, SiH4, 0.5 SLM, 423689
450.00  24d 14h
 
ESI CKA 73330 PULSE DETECTOR Board
ESI CKA 73330 PULSE DETECTOR Board
333.00  10d 8h
 
Amat 0020-51391 Plate Gas Distribution, 52  ,
Amat 0020-51391 Plate Gas Distribution, 52 ,
2,200.00  4d 23h
 
342-0301// Amat Applied 3850-00007 Applied Matrials Components
342-0301// Amat Applied 3850-00007 Applied Matrials Components
220.00  25d 22h
 
Metron Tech 885-24-000 TAZ Eclipse Digital Interface PCB Board  Working
Metron Tech 885-24-000 TAZ Eclipse Digital Interface PCB Board  Working
609.09  27d 14h
 
VAT Angle Isolation Valve 62034-KA18-1005 26334-KA11-1001 Lot of 4  Working
VAT Angle Isolation Valve 62034-KA18-1005 26334-KA11-1001 Lot of 4  Working
806.12  4d 15h
 
347-0201// Amat Applied 0020-23175 Support, Left
347-0201// Amat Applied 0020-23175 Support, Left
60.00  6d 20h
 
0010-77180; Amat, Manifold Assembly
0010-77180; Amat, Manifold Assembly
750.00  3d 17h
 
Amat 0020-31082 Producer Ch Shipping Clamp,
Amat 0020-31082 Producer Ch Shipping Clamp,
130.00  14d 5h
 
45400361 / 944gsfsfmlk Valve / Veriflo Valves Parker
45400361 / 944gsfsfmlk Valve / Veriflo Valves Parker
140.45  5d 12h
 
Socapel 024.7002.A Servo Drive Control PCB  Working
Socapel 024.7002.A Servo Drive Control PCB  Working
557.12  2d 16h
 
Amat 0090-77096 Assy, Rtd Electronic,
Amat 0090-77096 Assy, Rtd Electronic,
5,300.00  18d 20h
 
DIP Proofing Tech 0K523A6-I Voltage Dip Proofing Inverter DPI Lot of 2
DIP Proofing Tech 0K523A6-I Voltage Dip Proofing Inverter DPI Lot of 2
309.12  18d 12h
 
Amat 0050-90916 Hose Htx Return
Amat 0050-90916 Hose Htx Return
389.00  15d 20h
 
Applied Materials 670774 Prom Board, 7802-D-5942
Applied Materials 670774 Prom Board, 7802-D-5942
38.99  6d 13h
 
AMAT 0020-80073, Issue.B, Electrode Suppression (GP). 416709
AMAT 0020-80073, Issue.B, Electrode Suppression (GP). 416709
350.00  15d 11h
 
39-562-001 Tegal Spare Part
39-562-001 Tegal Spare Part
175.00  10d 17h
 
VAT Manual Vacuum Valve NW63 Connector F-60078-24 ID -AWM-B-4-1-001
VAT Manual Vacuum Valve NW63 Connector F-60078-24 ID -AWM-B-4-1-001
199.00  16d 16h
 
Omron 3G2A3–A221 Programmable Controller ID-AWM-A-3–4-006
Omron 3G2A3–A221 Programmable Controller ID-AWM-A-3–4-006
175.00  18d 16h
 
Omron 3G2A3-0C221  Programmable Controller ID-AWM-A-3–4-008
Omron 3G2A3-0C221 Programmable Controller ID-AWM-A-3–4-008
175.00  18d 16h
 
Omeon E5AX Temperature Controller ID-AWM-A-3–4-012
Omeon E5AX Temperature Controller ID-AWM-A-3–4-012
175.00  18d 16h
 
MO-015-114 , Air Shuttle Valve 102-0321 AWM-F-5-5-003
MO-015-114 , Air Shuttle Valve 102-0321 AWM-F-5-5-003
250.00  19d 18h
 
MO-015-020, FSI 300555-001 ,cylinder, Air , AWM-F-5-5-009
MO-015-020, FSI 300555-001 ,cylinder, Air , AWM-F-5-5-009
250.00  19d 18h
 
MO-015-092, FSI 290025-400,P.C. HTR , Control Assy , AWM-F-5-5-010
MO-015-092, FSI 290025-400,P.C. HTR , Control Assy , AWM-F-5-5-010
250.00  19d 18h
 
Mo-015-013,fsi 230240-001 Awm-f-5-5-011
Mo-015-013,fsi 230240-001 Awm-f-5-5-011
250.00  19d 18h
 
MO-015-029, FSI 300565-001 Cylinder Air AWM-F-5-5-012
MO-015-029, FSI 300565-001 Cylinder Air AWM-F-5-5-012
250.00  19d 18h
 
Tokyo Electron 3895-120318-11 Formula Ttlm12-11 Bmif Pcb ,
Tokyo Electron 3895-120318-11 Formula Ttlm12-11 Bmif Pcb ,
1,500.00  19d 9h
 
347-0402// Amat Applied 0021-00543 Feedthru Inner, 200mm Dual
347-0402// Amat Applied 0021-00543 Feedthru Inner, 200mm Dual
800.00  22d 7h
 
Esec 630.181/3
Esec 630.181/3
329.00  1d 20h
 
176-0103// Amat Applied 0050-38454 Applied Matrials Components [asis]
176-0103// Amat Applied 0050-38454 Applied Matrials Components [asis]
200.00  19d 19h
 
322-0202// Amat Applied 0150-09648 Cable Assy, Flow Switch [asis]
322-0202// Amat Applied 0150-09648 Cable Assy, Flow Switch [asis]
200.00  13d 0h
 
176-0104// Amat Applied 0050-04790 Applied Matrials Components [asis]
176-0104// Amat Applied 0050-04790 Applied Matrials Components [asis]
250.00  19d 20h
 
E12002170 / Scanact-pulley Driven /  Varian
E12002170 / Scanact-pulley Driven / Varian
135.26  11d 22h
 
9635-1407 / Mks Heater Jacket 100v 189w 1.89a 50/60 Hz / Mks
9635-1407 / Mks Heater Jacket 100v 189w 1.89a 50/60 Hz / Mks
150.00  15d 10h
 
Cdq2d63-100dcm / Smc Pneumatics Compact Cylinder Rod End Male Threaded / Smc
Cdq2d63-100dcm / Smc Pneumatics Compact Cylinder Rod End Male Threaded / Smc
160.00  1d 15h
 
E17112662 / Varian Aperture , Tungsten / Varian
E17112662 / Varian Aperture , Tungsten / Varian
125.82  8d 13h
 
6739321t / Fibz, Board / Eaton
6739321t / Fibz, Board / Eaton
150.68  5d 15h
 
0150-12168 / Cable Assy P/c  / Applied Materials Amat
0150-12168 / Cable Assy P/c / Applied Materials Amat
155.82  6d 18h
 
Blb-020972 / Plb-420972aa1, Pcb, Iot Board X29 / Advantest
Blb-020972 / Plb-420972aa1, Pcb, Iot Board X29 / Advantest
160.68  1d 20h
 
Lwlc7-b / Guide Linear Bearing / Iko International, Inc
Lwlc7-b / Guide Linear Bearing / Iko International, Inc
150.99  11d 13h
 
Ush-205s / High Pressure Mercury Lamp / Ushio
Ush-205s / High Pressure Mercury Lamp / Ushio
150.99  21d 12h
 
965194 / 965195, Output Board / Teltec
965194 / 965195, Output Board / Teltec
133.99  6d 12h
 
S3-2 / 105794001, Friction Free Slides & Stages / Deltron
S3-2 / 105794001, Friction Free Slides & Stages / Deltron
150.99  10d 13h
 
0020-92643 / Insert E10 / Applied Materials Amat
0020-92643 / Insert E10 / Applied Materials Amat
158.42  15d 14h
 
2251012 / Assy Uv Lamp, Maken Inst/opt Eng Uv-22 / Gsi Group
2251012 / Assy Uv Lamp, Maken Inst/opt Eng Uv-22 / Gsi Group
139.62  12d 17h
 
C8741-9212km / Omc Vexta Step Motor 2-phase / Oriental Motor
C8741-9212km / Omc Vexta Step Motor 2-phase / Oriental Motor
150.00  12d 16h
 
109-642 / High Ace Item-11 Fan / Sanyo Denki
109-642 / High Ace Item-11 Fan / Sanyo Denki
131.25  4d 10h
 
5.00 22.02006 / Power Supply 50/60hz 22.5va / Zmisc
5.00 22.02006 / Power Supply 50/60hz 22.5va / Zmisc
125.62  4d 9h
 
E17093790 / Varian 8" Housingbeam Shield / Varian
E17093790 / Varian 8" Housingbeam Shield / Varian
150.68  29d 11h
 
Eb3-mcom-motion-cont2 / Pcb / Eli
Eb3-mcom-motion-cont2 / Pcb / Eli
150.66  18h 51m
 
E17058160 / Feedthrough Pfg / Applied Materials Amat
E17058160 / Feedthrough Pfg / Applied Materials Amat
130.99  12d 12h
 
Pk244-03ba / Oriental Motor 2-phase 1.8 Dgree Step Servo Motor / Vexta
Pk244-03ba / Oriental Motor 2-phase 1.8 Dgree Step Servo Motor / Vexta
150.82  14d 18h
 
800-245-6903 / Sensor: Model: 4001 / Parker
800-245-6903 / Sensor: Model: 4001 / Parker
125.82  18d 14h
 
Tsb2740 Rs232c / Tel Pcb Switcher Board / Tel Tsb2740 Tokyo Electron Tsb2740
Tsb2740 Rs232c / Tel Pcb Switcher Board / Tel Tsb2740 Tokyo Electron Tsb2740
129.66  10d 13h
 
Vlv2b0062 / Valve-pfa Diaph. Pnea. Opr 2-way / Sms
Vlv2b0062 / Valve-pfa Diaph. Pnea. Opr 2-way / Sms
173.25  22d 12h
 
381-500066-3 / Bulk Head Mother Bd, Pcb / Tokyo Electron Tel
381-500066-3 / Bulk Head Mother Bd, Pcb / Tokyo Electron Tel
125.99  6d 12h
 
105507001 / Bias Plate 3 / Varian
105507001 / Bias Plate 3 / Varian
145.99  15d 11h
 
Dsh-16-t / Pcb Assy With Ethernet And 20-pin Connector Model 3000 / Screen
Dsh-16-t / Pcb Assy With Ethernet And 20-pin Connector Model 3000 / Screen
144.99  7d 9h
 
AMAT 0140-02419 Rev.001, Harness Assembly, Pressure XDUCER, CVD, 300mm. 417691
AMAT 0140-02419 Rev.001, Harness Assembly, Pressure XDUCER, CVD, 300mm. 417691
650.00  8d 17h
 
TEL Tokyo Electron 3D81-000099-V1 PCB TYB622-1/GAS2 Board  Working
TEL Tokyo Electron 3D81-000099-V1 PCB TYB622-1/GAS2 Board  Working
1,007.12  14h 58m
 
Nikon J2744BB Lens Assembly  Working
Nikon J2744BB Lens Assembly  Working
355.12  11d 9h
 
KLA-Tencor 781-23234-001 Aperture Heated Rod  Working
KLA-Tencor 781-23234-001 Aperture Heated Rod  Working
1,103.09  21d 14h
 
AMAT 0140-03010 Harn Assy, Anneal Chamber, ECP Harness Assembly. 407158
AMAT 0140-03010 Harn Assy, Anneal Chamber, ECP Harness Assembly. 407158
365.00  6d 15h
 
99h0428 / Adapt, Htr2.0, Vent / Mks
99h0428 / Adapt, Htr2.0, Vent / Mks
75.00  15d 13h
 
ESI Palomar System Series Regulator board PN14159201
ESI Palomar System Series Regulator board PN14159201
333.00  10d 8h
 
Watlow Winona SYST-5070-0000 Temperature Controller, 420886
Watlow Winona SYST-5070-0000 Temperature Controller, 420886
350.00  2d 13h
 
AMAT 0140-78313 Cable Head Sweep Drivers 3 & 4, 418020
AMAT 0140-78313 Cable Head Sweep Drivers 3 & 4, 418020
350.00  14d 15h
 
341-0203// Amat Applied 0040-03641 Blade, Right 300mm Fi Ecp
341-0203// Amat Applied 0040-03641 Blade, Right 300mm Fi Ecp
1,500.00  29d 19h
 
10 AEP 2010-7511-000 RF Connectors, 450405
10 AEP 2010-7511-000 RF Connectors, 450405
25.00  24d 10h
 
Applied Materals AMAT P1241 Power Assy 36-0573 Rev 1 Power Supply
Applied Materals AMAT P1241 Power Assy 36-0573 Rev 1 Power Supply
278.68  5d 14h
 
ASM COE 139 Curing System
ASM COE 139 Curing System
12,795.00  3d 17h
 
SVG Silicon Valley Group 99-80293-01 I/O Expansion/LCD Interface PCB Rev M Spare
SVG Silicon Valley Group 99-80293-01 I/O Expansion/LCD Interface PCB Rev M Spare
311.09  12d 13h
 
353-0201// Amat Applied 0010-05965 0040-00876 0040-05592  Asis
353-0201// Amat Applied 0010-05965 0040-00876 0040-05592 Asis
3,500.00  28d 0h
 
353-0201// Amat Applied 0010-07949 Applied Matrials Components Asis
353-0201// Amat Applied 0010-07949 Applied Matrials Components Asis
3,500.00  28d 0h
 
AMAT Applied Materials  0010-10033 CERAMIC WAFER LIFT
AMAT Applied Materials 0010-10033 CERAMIC WAFER LIFT
1,118.00  18d 7h
 
AMAT 0200-18081 Ring, 200mm, SNNF, SMLFLT, ULTIM, 233-0670-36, 424269
AMAT 0200-18081 Ring, 200mm, SNNF, SMLFLT, ULTIM, 233-0670-36, 424269
575.00  28d 18h
 
Lot of 1500 OSRAM LS3336-T Hyperbright LED
Lot of 1500 OSRAM LS3336-T Hyperbright LED
525.00  17d 16h
 
Millipore Filter -- C5DM9056, WG2F32PR1 --
Millipore Filter -- C5DM9056, WG2F32PR1 --
85.00  21d 15h
 
PN 7100-5191-01 ? AG Associates Heatpulse PCB ZiaTech ZT 8850 REV A.2
PN 7100-5191-01 ? AG Associates Heatpulse PCB ZiaTech ZT 8850 REV A.2
2,800.00  1d 14h
 
Hitachi Interface Board BBDS-11 HITACHI M-712XT
Hitachi Interface Board BBDS-11 HITACHI M-712XT
2,690.00  18d 23h
 
Hitachi Interface Board BBPS-11 HITACHI M-712XT
Hitachi Interface Board BBPS-11 HITACHI M-712XT
2,690.00  19d 0h
 
Hitachi Interface Board BBET-11 HITACHI M-712XT
Hitachi Interface Board BBET-11 HITACHI M-712XT
2,690.00  19d 0h
 
AMAT Applied Materials 0150-04722 C/A Mainframe Interlock Main Cable
AMAT Applied Materials 0150-04722 C/A Mainframe Interlock Main Cable
408.10  14d 10h
 
AMAT 0150-39235, Cable Assy, Front End Interlock Adapter 415235
AMAT 0150-39235, Cable Assy, Front End Interlock Adapter 415235
295.00  3d 13h
 
345-0101// Amat Applied 1390-01137 Wire Strd 20awg Brown 600v Pvc
345-0101// Amat Applied 1390-01137 Wire Strd 20awg Brown 600v Pvc
20.00  11d 1h
 
345-0101// Amat Applied 1390-01427 Wirestrd 24awg Vio/orn 150v 80
345-0101// Amat Applied 1390-01427 Wirestrd 24awg Vio/orn 150v 80
20.00  11d 1h
 
141-0502// Amat Applied 0140-09392 Harness #1, Temp. Control
141-0502// Amat Applied 0140-09392 Harness #1, Temp. Control
50.00  7d 20h
 
352-0402// Amat Applied 0020-76006 Delrin Button Wfr Cassette Hndlr
352-0402// Amat Applied 0020-76006 Delrin Button Wfr Cassette Hndlr
10.00  3d 0h
 
111-0701// Amat Applied 0020-22296 Cover Orientation Pcb []
111-0701// Amat Applied 0020-22296 Cover Orientation Pcb []
50.00  21h 44m
 
Daifuku AGH8AA10 Hand Held Controller RM/TFE/COV. MAINT. UNIT  Working
Daifuku AGH8AA10 Hand Held Controller RM/TFE/COV. MAINT. UNIT  Working
362.11  26d 13h
 
AMAT 0010-30366 Assy Small Tower, 424079
AMAT 0010-30366 Assy Small Tower, 424079
650.00  17d 14h
 
Smart Spooler 08-0020-00 Crescent Systems
Smart Spooler 08-0020-00 Crescent Systems
800.00  12d 17h
 
Novellus Systems INC. ELEVATOR POSITION, SIERRA 90-2663
Novellus Systems INC. ELEVATOR POSITION, SIERRA 90-2663
211.20  1d 5h
 
Disco EAUA-340700, Board, PCB Sensor, 402559
Disco EAUA-340700, Board, PCB Sensor, 402559
450.00  12d 13h
 
UNIT UFC-1660 Mass Flow Controller, MFC, C2F6, 100 SCCM, 1660, 424302
UNIT UFC-1660 Mass Flow Controller, MFC, C2F6, 100 SCCM, 1660, 424302
750.00  8d 18h
 
AMAT Applied Materials 8047-10 2-Way Split Fiber Optic Cable  Working
AMAT Applied Materials 8047-10 2-Way Split Fiber Optic Cable  Working
503.14  2d 14h
 
ASM 1028-330-01 Rev. C Board to Gas Distribution BHD Cable Lot of 3  Surplus
ASM 1028-330-01 Rev. C Board to Gas Distribution BHD Cable Lot of 3  Surplus
303.19  11d 11h
 
Amat 0010-28958 Assembly, Duv Mirror Housing W/vented Cl ,
Amat 0010-28958 Assembly, Duv Mirror Housing W/vented Cl ,
3,900.00  3d 21h
 
Sunx 2S259-012 Amplifier Unit Nikon  Surplus
Sunx 2S259-012 Amplifier Unit Nikon  Surplus
319.09  11d 12h
 
AMAT 0020-28908 Top Cover Cable Harness, Front, 409342
AMAT 0020-28908 Top Cover Cable Harness, Front, 409342
750.00  22d 14h
 
Aera FC-PA7800C-BA MFC, Mass Flow Controller, CO2, 1 SLM, 3030-16229, 423740
Aera FC-PA7800C-BA MFC, Mass Flow Controller, CO2, 1 SLM, 3030-16229, 423740
450.00  2d 12h
 
Stainless Steel Swagelok 6LV-DFBW8-WH Nupro Valve ID-AWS-026
Stainless Steel Swagelok 6LV-DFBW8-WH Nupro Valve ID-AWS-026
150.00  12d 18h
 
LAM Research: Shield, Horn O2 Introduction, 9900  PN 716-072016-003
LAM Research: Shield, Horn O2 Introduction, 9900 PN 716-072016-003
225.00  14h 18m
 
Pentagon Tech Shield Assembly API-1123
Pentagon Tech Shield Assembly API-1123
607.12  25d 11h
 
AG Associates (Metron Technology) 7100-6360-01A Tray, Ceramic Shield, 4 inch
AG Associates (Metron Technology) 7100-6360-01A Tray, Ceramic Shield, 4 inch
3,200.00  21d 11h
 
Contec Digital Input Card PI-64 Lot of 2  Working
Contec Digital Input Card PI-64 Lot of 2  Working
405.12  15d 9h
 
Power Supply 215KD048K, 450469
Power Supply 215KD048K, 450469
150.00  6d 12h
 
Novellus 03-028637-00 Cable Assembly, 410114
Novellus 03-028637-00 Cable Assembly, 410114
495.00  14d 17h
 
Novellus 03-028636-01 Cable Assembly, 410115
Novellus 03-028636-01 Cable Assembly, 410115
450.00  14d 18h
 
Lam Research 12-9985-014 Dc Mtr Dist Pnl, Working
Lam Research 12-9985-014 Dc Mtr Dist Pnl, Working
1,500.00  15d 19h
 
AMAT 0021-09914, Plate, Blocker, DXZ SACVD, Centura, 423938
AMAT 0021-09914, Plate, Blocker, DXZ SACVD, Centura, 423938
750.00  22d 18h
 
Asm 16-192746-01 Reflector Upper Heater Outer Sst
Asm 16-192746-01 Reflector Upper Heater Outer Sst
306.18  2d 18h
 
428-0402// Koganei Rans50-90 Reducer []
428-0402// Koganei Rans50-90 Reducer []
100.00  10d 23h
 
Varian 0068432000, Shield. 419788
Varian 0068432000, Shield. 419788
295.00  19d 17h
 
HP Hewlett-Packard 59307A VHF Switch HP-1B Module working
HP Hewlett-Packard 59307A VHF Switch HP-1B Module working
412.11  29d 13h
 
AMAT Applied Materials 0200-09092 Graphite Ring 125mm Rev. B  Surplus
AMAT Applied Materials 0200-09092 Graphite Ring 125mm Rev. B  Surplus
612.11  14d 12h
 
7  Banner Q20PDL Sensor
7  Banner Q20PDL Sensor
450.00  11d 16h
 
Siemens 505-4908 Relay Output PCB Lot of 2  Working
Siemens 505-4908 Relay Output PCB Lot of 2  Working
507.12  1d 16h
 
ESI CKA 107033  Card , PCB 106045 ESI Palomar System 5410
ESI CKA 107033 Card , PCB 106045 ESI Palomar System 5410
388.00  6d 7h
 
Amat 0050-a0131 Heated Pipeline,gis Mnpl,
Amat 0050-a0131 Heated Pipeline,gis Mnpl,
6,500.00  27d 1h
 
APPLIED MATERIALS 0030-35067 DPS Lift Dome Enclosure
APPLIED MATERIALS 0030-35067 DPS Lift Dome Enclosure
319.99  2d 17h
 
ASM Advanced Semiconductor Materials 3753492-01 SHIELD-PCE RIGHT SIDE-300MM
ASM Advanced Semiconductor Materials 3753492-01 SHIELD-PCE RIGHT SIDE-300MM
603.18  11d 14h
 
JFW Industries 50P-1206 Programmable Attenuator 3022340025
JFW Industries 50P-1206 Programmable Attenuator 3022340025
44.99  14d 13h
 
AMAT Applied Materials 0040-84056 300mm Grooved Retaining Ring
AMAT Applied Materials 0040-84056 300mm Grooved Retaining Ring
312.08  9d 10h
 
TEL Tokyo Electron ME3-109690-B Drive Element Thermal Insulator 1130772565
TEL Tokyo Electron ME3-109690-B Drive Element Thermal Insulator 1130772565
405.18  22d 16h
 
Amat 0021-15670 Deposition Ring, 8 Jmf, Esc, High Ar, L,
Amat 0021-15670 Deposition Ring, 8 Jmf, Esc, High Ar, L,
900.00  17d 1h
 
Esec 769.0906/3
Esec 769.0906/3
249.00  19d 21h
 
Esec 730.192/08
Esec 730.192/08
229.00  25d 0h
 
AMAT 0140-02238 Rev.P1, DCA 0050,  Cable System Interlock System. 417958
AMAT 0140-02238 Rev.P1, DCA 0050,  Cable System Interlock System. 417958
475.00  14d 12h
 
104-0401// Amat Applied 0650-01036 Cmptr Country Kit Kybd+mouse+p
104-0401// Amat Applied 0650-01036 Cmptr Country Kit Kybd+mouse+p
270.00  19d 20h
 
125-0501// Amat Applied 0200-09572 Collar, Si, Ox/mlr/nit, 200mm,
125-0501// Amat Applied 0200-09572 Collar, Si, Ox/mlr/nit, 200mm,
540.00  13d 20h
 
352-0402// Amat Applied 0020-10157 Manifold Water
352-0402// Amat Applied 0020-10157 Manifold Water
130.00  2d 2h
 
132-0301// Amat Applied 0200-28924 Applied Matrials Components []
132-0301// Amat Applied 0200-28924 Applied Matrials Components []
300.00  18d 23h
 
325-0102// Amat Applied 3030-05391 Mfc1660 200sccm N2 1/4vcr M/k-f Nc [asis]
325-0102// Amat Applied 3030-05391 Mfc1660 200sccm N2 1/4vcr M/k-f Nc [asis]
300.00  2d 0h
 
325-0103// Amat Applied 3030-07380 Mfc D980 1slm N2s 1/4vcr Mtl N/c [asis]
325-0103// Amat Applied 3030-07380 Mfc D980 1slm N2s 1/4vcr Mtl N/c [asis]
300.00  2d 0h
 
325-0201// AMAT APPLIED 0225-33917 Wunit MFC1160A SF6 100SCCM [ASIS]
325-0201// AMAT APPLIED 0225-33917 Wunit MFC1160A SF6 100SCCM [ASIS]
300.00  2d 1h
 
325-0203// AMAT APPLIED 3030-01064 wMFC 1100 N2 500SCCM 1/4VCR-M N/C [ASIS]
325-0203// AMAT APPLIED 3030-01064 wMFC 1100 N2 500SCCM 1/4VCR-M N/C [ASIS]
300.00  2d 3h
 
403-0201// Metronix Apm-hc05hc(a7)h (unclean) Motor-4-01
403-0201// Metronix Apm-hc05hc(a7)h (unclean) Motor-4-01
199.00  8d 23h
 
403-0301// Mecapion Apm-hc05hc(c7)h (broken) Motor-4
403-0301// Mecapion Apm-hc05hc(c7)h (broken) Motor-4
200.00  8d 23h
 
111-0502// Amat Applied 0020-20292 Block Gas Spring Fixture []
111-0502// Amat Applied 0020-20292 Block Gas Spring Fixture []
220.00  23d 0h
 
180-0401// Comtrol 3000178 Rocketport Rm32-rj45 Port []
180-0401// Comtrol 3000178 Rocketport Rm32-rj45 Port []
200.00  9d 3h
 
341-0402// Amat Applied 0020-21685 Plate Blankoff Degas/ Orienter 2nd Source
341-0402// Amat Applied 0020-21685 Plate Blankoff Degas/ Orienter 2nd Source
300.00  10d 20h
 
318-0302// Amat Applied 0010-75124 Assy,per Chbr 120vac Power Distribution
318-0302// Amat Applied 0010-75124 Assy,per Chbr 120vac Power Distribution
210.00  13d 0h
 
422-0101// Atlas Ahd70e4-44s Gpl065-1/3.5:1 Ac Servo Motor [/fast]
422-0101// Atlas Ahd70e4-44s Gpl065-1/3.5:1 Ac Servo Motor [/fast]
400.00  3h 7m
 
422-0302// Parkem Phr70e4-44s Motor [/fast]
422-0302// Parkem Phr70e4-44s Motor [/fast]
300.00  19h 44m
 
422-0302// Parkem Phr70e4-44s1 (unclean) Motor [/fast]
422-0302// Parkem Phr70e4-44s1 (unclean) Motor [/fast]
200.00  19h 44m
 
439-0503// Oriental Motor Kxpm5120gd-abm (unclean) Ac Servo Motor []
439-0503// Oriental Motor Kxpm5120gd-abm (unclean) Ac Servo Motor []
350.00  5d 19h
 
439-0502// Oriental Motor Kxpm460gd-ab 4gd15k (short Cable) Ac Motor []
439-0502// Oriental Motor Kxpm460gd-ab 4gd15k (short Cable) Ac Motor []
200.00  5d 19h
 
441-0301// Oriental Motor 5tk20cgn-a 5gn6k (cut Cable) Torque Motor []
441-0301// Oriental Motor 5tk20cgn-a 5gn6k (cut Cable) Torque Motor []
200.00  6d 1h
 
428-0102// Electroid Bfsb-15-4-24v Power Off Brake []
428-0102// Electroid Bfsb-15-4-24v Power Off Brake []
200.00  8d 1h
 
434-0102// Panasonic Asm500b10k102 Motor []
434-0102// Panasonic Asm500b10k102 Motor []
500.00  12d 3h
 
434-0301// Panasonic M9mc90gb4y M9gc75b (cut Cable) Motor []
434-0301// Panasonic M9mc90gb4y M9gc75b (cut Cable) Motor []
300.00  12d 20h
 
440-0401// Oriental Motor Mbm315-412 3gn30k Motor []
440-0401// Oriental Motor Mbm315-412 3gn30k Motor []
200.00  14d 2h
 
440-0401// Oriental Motor Mbm315-412 3gn5k Control Motor []
440-0401// Oriental Motor Mbm315-412 3gn5k Control Motor []
200.00  14d 2h
 
442-0402// Oriental Motor Asm69mc (cut Cable) Motor []
442-0402// Oriental Motor Asm69mc (cut Cable) Motor []
200.00  14d 21h
 
421-0401// Metronix Apd-vs05n Ac Servo Drive []
421-0401// Metronix Apd-vs05n Ac Servo Drive []
250.00  19d 0h
 
2105491-A Case, Enclosure, 450531
2105491-A Case, Enclosure, 450531
75.00  21d 17h
 
National Instruments 181555-01 Bus Expander Isolator Rev. B4 A50732  Working
National Instruments 181555-01 Bus Expander Isolator Rev. B4 A50732  Working
306.12  4d 11h
 
Tokyo Electron 3884-200164-12 Formula 3881-020010-12 3808-020005-11,
Tokyo Electron 3884-200164-12 Formula 3881-020010-12 3808-020005-11,
1,000.00  24d 1h
 
Unit Instruments UFC-1200A MFC, Mass Flow Controller, HCL, 50 SCCM, 405469
Unit Instruments UFC-1200A MFC, Mass Flow Controller, HCL, 50 SCCM, 405469
650.00  7d 14h
 
SECU-105, AP-447E, W92304602, Circuit Board. 411790
SECU-105, AP-447E, W92304602, Circuit Board. 411790
450.00  12d 17h
 
Tel 3281-000193-13 Pcb
Tel 3281-000193-13 Pcb
249.00  26d 0h
 
Nil Stat 5024(e) Static Control System Controller With 5284 FlowBar
Nil Stat 5024(e) Static Control System Controller With 5284 FlowBar
302.14  1d 13h
 
[7UNITS] PISCO Stainless steel SUS 316 fitting Union elbow SSV6
[7UNITS] PISCO Stainless steel SUS 316 fitting Union elbow SSV6
99.90  29d 1h
 
Varian 0006100363 D.C. Cable for TEMP/HUMI, 420249
Varian 0006100363 D.C. Cable for TEMP/HUMI, 420249
350.00  8d 18h
 
Kikusui PAS60-18 Regulated DC Power Supply, 0-60V, 18A, 423631
Kikusui PAS60-18 Regulated DC Power Supply, 0-60V, 18A, 423631
1,500.00  28d 15h
 
National Instruments NI-488.2 CD 500739D-00
National Instruments NI-488.2 CD 500739D-00
450.00  14d 9h
 
CKD N3S010 Solenoid Valve Manifold N4S0-T50 0.2-0.7MPa 12VDC Lot of 18
CKD N3S010 Solenoid Valve Manifold N4S0-T50 0.2-0.7MPa 12VDC Lot of 18
805.12  7d 11h
 
Philips FEI Board 4022 192 70971
Philips FEI Board 4022 192 70971
284.99  9d 12h
 
Rkc Instrument 3d80-001138-14 Heater Controller Box Rcb-43-1c
Rkc Instrument 3d80-001138-14 Heater Controller Box Rcb-43-1c
799.00  1d 6h
 
AMAT 0150-91780, CFA, Flats at Zero Sensor. 419384
AMAT 0150-91780, CFA, Flats at Zero Sensor. 419384
450.00  11d 15h
 
25326 Charder Pcb Assy, 101015 Ch-0811
25326 Charder Pcb Assy, 101015 Ch-0811
80.00  2d 22h
 
25338 Oem Pcb Assy, 143132031, 12 12600 079 000-75004910-00
25338 Oem Pcb Assy, 143132031, 12 12600 079 000-75004910-00
80.00  3d 0h
 
25330 Oem Pcb Assy Ptc-21
25330 Oem Pcb Assy Ptc-21
60.00  2d 22h
 
APPLIED MATERIALS 0140-09045 Interlock Cable Assembly
APPLIED MATERIALS 0140-09045 Interlock Cable Assembly
299.99  15d 17h
 
AMAT 0020-09029 Covering Pipe, 419900
AMAT 0020-09029 Covering Pipe, 419900
550.00  24d 15h
 
Tdk Zrct5080-mf Emc Filter,
Tdk Zrct5080-mf Emc Filter,
1,500.00  18d 0h
 
Amat 0190-15988 Specification Assy Hub 8 Channel Pyro/em ,
Amat 0190-15988 Specification Assy Hub 8 Channel Pyro/em ,
2,100.00  19d 23h
 
123-0301// Amat Applied 0010-09022 Asy, Slit Valve [asis]
123-0301// Amat Applied 0010-09022 Asy, Slit Valve [asis]
500.00  24d 1h
 
Vickers Instruments Quaestor Q7 Linear Arm PCB Y7602000 working
Vickers Instruments Quaestor Q7 Linear Arm PCB Y7602000 working
409.12  8d 11h
 
3D Instruments, Test Gauge, P/N 25544-21B11
3D Instruments, Test Gauge, P/N 25544-21B11
75.00  15d 14h
 
Swagelock, SS-6NKRVCR8,
Swagelock, SS-6NKRVCR8,
30.00  24d 16h
 
Swagelock, SS-8C-VCR-10
Swagelock, SS-8C-VCR-10
30.00  24d 17h
 
STEC SEC-4400M Mass Flow Controller MFC WF6, 100 SCCM, 424299
STEC SEC-4400M Mass Flow Controller MFC WF6, 100 SCCM, 424299
450.00  8d 18h
 
AMAT 0020-05053 Perf Plate Performance+, 100-150mm, 417882
AMAT 0020-05053 Perf Plate Performance+, 100-150mm, 417882
495.00  12d 20h
 
ASM Advanced Semiconductor Materials 1045-387-01 V-PAN VESSEL LEVELING
ASM Advanced Semiconductor Materials 1045-387-01 V-PAN VESSEL LEVELING
307.18  2d 18h
 
MRC Materials Research D124569-A Dark Space Shield 300mm TEL
MRC Materials Research D124569-A Dark Space Shield 300mm TEL
406.15  13d 16h
 
Edwards Interface Module NGE587000  Working
Edwards Interface Module NGE587000  Working
502.12  21d 12h
 
Tokyo Electron 2507261-0001 Stop Plate Hdx-5 Pj-max,
Tokyo Electron 2507261-0001 Stop Plate Hdx-5 Pj-max,
100.00  20d 9h
 
Tokyo Electron 017-004829-1 Tel Part,
Tokyo Electron 017-004829-1 Tel Part,
100.00  11d 0h
 
Amat 0150-00321 Cable Assy, Stepper Mtr Power, 300mm End,  
Amat 0150-00321 Cable Assy, Stepper Mtr Power, 300mm End,
100.00  25d 1h
 
FOAMTEC HT4790-5 Wiper Lot of 5,
FOAMTEC HT4790-5 Wiper Lot of 5,
100.00  16d 19h
 
Arrow Hart 7486n Midget Flanged Inlet,
Arrow Hart 7486n Midget Flanged Inlet,
100.00  4d 23h
 
Varian E17049210 Vaporizing Blankoff,
Varian E17049210 Vaporizing Blankoff,
100.00  4d 1h
 
Tokyo Electron 070-004223-1 Screwdriver..rntd500 Cn*500cn*n,
Tokyo Electron 070-004223-1 Screwdriver..rntd500 Cn*500cn*n,
100.00  21d 19h
 
Sieger Limited 05700-A-0652 Gas Detection Module RAD-10 working
Sieger Limited 05700-A-0652 Gas Detection Module RAD-10 working
658.12  14d 9h
 
AMAT 0100-00046 w PWB AC Current Sense 417456
AMAT 0100-00046 w PWB AC Current Sense 417456
375.00  4d 13h
 
99-195-003  Tegal 1513e PCB, Switch Assembly Spare Part
99-195-003 Tegal 1513e PCB, Switch Assembly Spare Part
175.00  10d 17h
 
AERA FC-PA7800C-BA MFC, Mass Flow Controller, CH4, 0.2 SLM, 423691
AERA FC-PA7800C-BA MFC, Mass Flow Controller, CH4, 0.2 SLM, 423691
450.00  24d 15h
 
434-0301// Panasonic M9mc90gb4y (cut Cable) Motor []
434-0301// Panasonic M9mc90gb4y (cut Cable) Motor []
250.00  12d 20h
 
SST Station Cassette Station? ID-AWS-010
SST Station Cassette Station? ID-AWS-010
200.00  12d 16h
 
ITT McDonnel & Miller Series FS1 Flower Switch ID-AWS-L-1-2-002
ITT McDonnel & Miller Series FS1 Flower Switch ID-AWS-L-1-2-002
200.00  13d 15h
 
Omron E2k-X4MF1 Capacitive Proximity Switch ID-AWM-A-3–4-004
Omron E2k-X4MF1 Capacitive Proximity Switch ID-AWM-A-3–4-004
175.00  18d 16h
 
Amat 0200-04118 Isolator, Ceramic, Blok-cust Specified ,
Amat 0200-04118 Isolator, Ceramic, Blok-cust Specified ,
2,200.00  23d 19h
 
Machine Technology 2217605501 Motor Control III PCB  Working
Machine Technology 2217605501 Motor Control III PCB  Working
904.10  14d 12h
 
Hitachi AI0-02N Analog Input Board M-712E  Working
Hitachi AI0-02N Analog Input Board M-712E  Working
307.12  8d 9h
 
Cosel DC Power Supply P50E-15 Lot of 6  Working
Cosel DC Power Supply P50E-15 Lot of 6  Working
308.12  29d 14h
 
TEL, Tokyo Electron, 1208-001151-11, Indexer PCB. 419964
TEL, Tokyo Electron, 1208-001151-11, Indexer PCB. 419964
495.00  24d 19h
 
Glentek SMA8315-144-013A-1A-1-03 Motion Controller, 406250
Glentek SMA8315-144-013A-1A-1-03 Motion Controller, 406250
750.00  4d 13h
 
AMAT 0140-04457, Harness Assembly, Pressure XDRC Control, 300mm R. 417574
AMAT 0140-04457, Harness Assembly, Pressure XDRC Control, 300mm R. 417574
675.00  7d 13h
 
EDC TT130R2-2 Hand Held Teach Pendant, Robot, Controller, 423539
EDC TT130R2-2 Hand Held Teach Pendant, Robot, Controller, 423539
350.00  14d 13h
 
Amat 0150-77078 Cbl Assy,20ft Cntrl Bk Pl,
Amat 0150-77078 Cbl Assy,20ft Cntrl Bk Pl,
3,200.00  22d 23h
 
ESI Palomar Systems Limiter board PN14152001
ESI Palomar Systems Limiter board PN14152001
333.00  10d 8h
 
Branson 12954-04 IPC PWA Interface Board PCB  Working
Branson 12954-04 IPC PWA Interface Board PCB  Working
510.11  9d 11h
 
0020-33810 / Cover, Gas Feed Assy, Dsp A3 / Applied Materials Amat
0020-33810 / Cover, Gas Feed Assy, Dsp A3 / Applied Materials Amat
991.30  13d 18h
 
C&H Enterprises 15-289073-00 Blankoff Top Plate WCVD Copper
C&H Enterprises 15-289073-00 Blankoff Top Plate WCVD Copper
607.15  16d 16h
 
341-0402// Amat Applied 0040-02408 Shaft, Cooling Tube, Alum, Xzz []
341-0402// Amat Applied 0040-02408 Shaft, Cooling Tube, Alum, Xzz []
500.00  13d 0h
 
Eurotherm Controls AS-170A240V14-20MA AmpStack Controller
Eurotherm Controls AS-170A240V14-20MA AmpStack Controller
301.12  9d 17h
 
SVG Silicon Valley Group 80166F1-01 Station CPU Board  Working
SVG Silicon Valley Group 80166F1-01 Station CPU Board  Working
404.10  14d 14h
 
VARIAN 04185001, TC Control PCB ASSY, 325745073034 Rev G,
VARIAN 04185001, TC Control PCB ASSY, 325745073034 Rev G,
200.00  3d 14h
 
Nagano Keiki Digital Indicator GC84-ID9 Lot of 14  Working
Nagano Keiki Digital Indicator GC84-ID9 Lot of 14  Working
308.12  26d 9h
 
Semitest 220-0208-01 LEI 300126
Semitest 220-0208-01 LEI 300126
329.00  19d 3h
 
APPLIED MATERIALS,  AMAT, 3030-14249,Celerity,DSVBD1XM, MFC, 5SLM, N2
APPLIED MATERIALS, AMAT, 3030-14249,Celerity,DSVBD1XM, MFC, 5SLM, N2
1,093.00  14d 3h
 
AMAT 0040-61761 Chamber Part
AMAT 0040-61761 Chamber Part
450.00  9d 13h
 
Aera FC-PA7810C-BA MFC, Mass Flow Controller, Si2H6, 2 SLM, 3030-16242, 423739
Aera FC-PA7810C-BA MFC, Mass Flow Controller, Si2H6, 2 SLM, 3030-16242, 423739
550.00  2d 12h
 
Novellus Systems 17-112194-00 Stage Shield Assembly Refurbished
Novellus Systems 17-112194-00 Stage Shield Assembly Refurbished
501.12  6d 10h
 
Parker, Veriflo 95930W2PFSMM Pressure Regulator 407400
Parker, Veriflo 95930W2PFSMM Pressure Regulator 407400
350.00  12d 16h
 
Nikon 4S018-725 Robot-I/F PCB NSR-S306C
Nikon 4S018-725 Robot-I/F PCB NSR-S306C
630.00  26d 5h
 
AMAT 0140-01457, 1-11938000-394, Cable, Harness Assembly, Pneumatic. 414052
AMAT 0140-01457, 1-11938000-394, Cable, Harness Assembly, Pneumatic. 414052
395.00  11d 12h
 
Novellus Systems 04-722657-02 Clampless Bottom Shield Assembly Refurbished
Novellus Systems 04-722657-02 Clampless Bottom Shield Assembly Refurbished
401.12  5d 18h
 
Micro Instrument Co. 510-204740-002 PCB Board, LAM, 423725
Micro Instrument Co. 510-204740-002 PCB Board, LAM, 423725
750.00  1d 12h
 
Schumacher Reservoir Controller 1730-3002
Schumacher Reservoir Controller 1730-3002
215.60  1d 4h
 
AMAT Ring, Lift 6" (P/N: 0200-09999)
AMAT Ring, Lift 6" (P/N: 0200-09999)
139.99  15d 18h
 
Takamisawa Cybernetics 300h Ups
Takamisawa Cybernetics 300h Ups
999.99  22d 18h
 
AMAT 0140-00644 Rev.001, Harness Assembly, D Cell A Digital Pneumatic. 417644
AMAT 0140-00644 Rev.001, Harness Assembly, D Cell A Digital Pneumatic. 417644
695.00  8d 15h
 
Asm 16-192747-01 Reflector-upper Heater Inner Sst
Asm 16-192747-01 Reflector-upper Heater Inner Sst
306.18  2d 18h
 
Hitachi Etch Power Meter M-308ATE Lot of 4  Working
Hitachi Etch Power Meter M-308ATE Lot of 4  Working
507.12  11h 18m
 
AMAT 3800-01210, Regulator Unit. 417578
AMAT 3800-01210, Regulator Unit. 417578
450.00  7d 14h
 
Murr Elektronik Relay 853733 GLS 1-24/12
Murr Elektronik Relay 853733 GLS 1-24/12
30.80  13d 23h
 
SIEMENS 500-5019 Word and Strobe w Top Connector
SIEMENS 500-5019 Word and Strobe w Top Connector
507.18  2d 20h
 
Amat Gas Filter 4020-00267, 5slm, Nasclean, 1-1/8c-seal
Amat Gas Filter 4020-00267, 5slm, Nasclean, 1-1/8c-seal
440.00  13d 23h
 
Mks Series 902 902-0313-0007 Piezo Transducer
Mks Series 902 902-0313-0007 Piezo Transducer
199.00  7d 5h
 
351-0501// Amat Applied 0010-22162 (#2) Assy, Water Box, Besc
351-0501// Amat Applied 0010-22162 (#2) Assy, Water Box, Besc
1,800.00  9d 4h
 
BTU Engineering 3161524 Video Interface Board PCB Card EPROM V2.1  Working
BTU Engineering 3161524 Video Interface Board PCB Card EPROM V2.1  Working
410.11  10d 16h
 
MIS PIO-A PCB Board, 9000001, 329019
MIS PIO-A PCB Board, 9000001, 329019
225.00  15d 18h
 
Amat 0010-53528 301599-3413,
Amat 0010-53528 301599-3413,
2,000.00  29d 20h
 
Varian Overpressure Assembly E11323770
Varian Overpressure Assembly E11323770
45.00  21d 17h
 
99-80392-01 /     Pcb Svg Agu Interface Board / Svg
99-80392-01 / Pcb Svg Agu Interface Board / Svg
97.99  21d 15h
 
410286002 / Cable 200 Ft / Varian
410286002 / Cable 200 Ft / Varian
100.00  21d 12h
 
41300957 / Regulator / Veriflo Valves Parker
41300957 / Regulator / Veriflo Valves Parker
95.00  21d 12h
 
407-1436 / Knurled Plug / Applied Materials Amat
407-1436 / Knurled Plug / Applied Materials Amat
96.00  7d 13h
 
E108998 / 50 Ft 200 Degrees C 22 Awg    / Varian
E108998 / 50 Ft 200 Degrees C 22 Awg / Varian
110.00  7d 10h
 
Pk564am-lf145s / Motor / Oriental Motor
Pk564am-lf145s / Motor / Oriental Motor
105.99  1d 17h
 
Cm100 / Cm100 Control Module / Nt International
Cm100 / Cm100 Control Module / Nt International
65.99  8d 15h
 
9515-0548 / Heater Jacket 1.5, Str3.25, Spec, 1bp3 / Mks
9515-0548 / Heater Jacket 1.5, Str3.25, Spec, 1bp3 / Mks
35.82  12d 18h
 
4535-0020 / Heater Jacket3.5 Str125 Sin Teos / Mks
4535-0020 / Heater Jacket3.5 Str125 Sin Teos / Mks
35.82  12d 10h
 
6003zze / Motor Bearings / Nachi-fujikoshi Corp
6003zze / Motor Bearings / Nachi-fujikoshi Corp
20.00  18d 12h
 
Pfj-eb1u / Idec Expansion Base Unit For Fa-1j / Idec Izumi Corpor
Pfj-eb1u / Idec Expansion Base Unit For Fa-1j / Idec Izumi Corpor
90.99  26d 10h
 
Sse-4-z / Thermostatic Expansion Valve 4x7 Odf 5', 40d F 5 D C, / Sporlan
Sse-4-z / Thermostatic Expansion Valve 4x7 Odf 5', 40d F 5 D C, / Sporlan
110.68  24d 23h
 
Rea32-z2727-365 / Sine Rodless Cylinder / Smc
Rea32-z2727-365 / Sine Rodless Cylinder / Smc
100.99  29d 11h
 
9035-18 / 9035-21 Pressure Gauge 0-1000psi 8043176 G002 / Ashcroft
9035-18 / 9035-21 Pressure Gauge 0-1000psi 8043176 G002 / Ashcroft
30.00  18d 15h
 
He15-9-a / Linear Power Supply / Power One
He15-9-a / Linear Power Supply / Power One
110.98  10d 10h
 
Q61p / Power Supply Module Input Power Supply 100-240v Output 6a / Mitsubishi
Q61p / Power Supply Module Input Power Supply 100-240v Output 6a / Mitsubishi
95.98  16d 13h
 
0040-54479 / Bracket, Pneumatic Manifold Cover, High / Amat
0040-54479 / Bracket, Pneumatic Manifold Cover, High / Amat
112.63  16d 12h
 
A131553 / Assy Adapter Pwr Star / Metron
A131553 / Assy Adapter Pwr Star / Metron
100.00  16d 16h
 
0660-01508 / Card, Apc Analog Output 1 Channel / Amat
0660-01508 / Card, Apc Analog Output 1 Channel / Amat
92.21  19h 23m
 
9599-0225 / Heater Jacket 3.75, Str 4.44 Nit / Mks
9599-0225 / Heater Jacket 3.75, Str 4.44 Nit / Mks
69.62  9d 11h
 
0021-90311 / Filament Insulator / Amat
0021-90311 / Filament Insulator / Amat
75.84  19d 11h
 
99b1489 / Adapt, Htr, 2x7.94"l     / Mks
99b1489 / Adapt, Htr, 2x7.94"l / Mks
28.82  20d 13h
 
99-089-001 / Pcb E6s-1 Sensor Blank / Tegal
99-089-001 / Pcb E6s-1 Sensor Blank / Tegal
75.82  2d 17h
 
Flu3-50-5 / Power General Flu3-50-4-5 Power Supply / Power General
Flu3-50-5 / Power General Flu3-50-4-5 Power Supply / Power General
107.99  3d 10h
 
0020-62464 / Plastic Shield Wets / Amat 0020-62464 Applied Materials 0020-62464
0020-62464 / Plastic Shield Wets / Amat 0020-62464 Applied Materials 0020-62464
25.66  4d 18h
 
9510-0024 / Htr Jacket, 1.0, Ins, Vcr, 0dp0 / Hps 9510-0024 Heater Jacket
9510-0024 / Htr Jacket, 1.0, Ins, Vcr, 0dp0 / Hps 9510-0024 Heater Jacket
40.66  17d 9h
 
Faz-d5-2-na / 2 Pole Unit Circuit Breaker, 5a 480y-277v~  / Eaton
Faz-d5-2-na / 2 Pole Unit Circuit Breaker, 5a 480y-277v~ / Eaton
110.68  24d 19h
 
Hitachi BBS319-1 Interface Board PCB  Working
Hitachi BBS319-1 Interface Board PCB  Working
409.12  9d 14h
 
54741001 / Really Slim Bearing / Kaydon
54741001 / Really Slim Bearing / Kaydon
250.82  13d 11h
 
AMAT Applied Materials 0021-76671 Feed Thru Tube Precursor
AMAT Applied Materials 0021-76671 Feed Thru Tube Precursor
508.12  22d 10h
 
Amat 0020-87982 Outer Rolltig Seal Clamp 300mm,
Amat 0020-87982 Outer Rolltig Seal Clamp 300mm,
1,500.00  24d 0h
 
ESI CKA 67737 Servo Controller board
ESI CKA 67737 Servo Controller board
488.00  6d 8h
 
Amat 0041-04653 Support Plate 300mm Titann Zone,
Amat 0041-04653 Support Plate 300mm Titann Zone,
1,500.00  24d 0h
 
Edwards A52844413 im Pump Interface Flash Module  Working
Edwards A52844413 im Pump Interface Flash Module  Working
604.12  8d 9h
 
Variant, ConnvecTorr, P-Type Vacuum Gauge, L9090306
Variant, ConnvecTorr, P-Type Vacuum Gauge, L9090306
199.50  17d 11h
 
144-0501// Cti 8043074g120 Hose Hp Flexline
144-0501// Cti 8043074g120 Hose Hp Flexline
300.00  19d 0h
 
APPLIED MATERIALS 0190-09745 DPA Cathode Assembly
APPLIED MATERIALS 0190-09745 DPA Cathode Assembly
399.99  1d 17h
 
Disco AK-BX501L Pack Driver, Stepping Motor Driver, 411798
Disco AK-BX501L Pack Driver, Stepping Motor Driver, 411798
575.00  12d 16h
 
430-0403// Nec 9e01-l23-2t Motor []
430-0403// Nec 9e01-l23-2t Motor []
500.00  12d 1h
 
Amat 0200-05711 Cover Ring, Mc High Profile Locking Ult,
Amat 0200-05711 Cover Ring, Mc High Profile Locking Ult,
1,500.00  27d 1h
 
Asm 1060-225-01 Electronics Process Module Side Panel
Asm 1060-225-01 Electronics Process Module Side Panel
307.18  2d 19h
 
Cq2a40-125
Cq2a40-125
45.00  19d 18h
 
AMAT 0190-10967 ADO-RE Operator Access Top Cover, 418008
AMAT 0190-10967 ADO-RE Operator Access Top Cover, 418008
395.00  14d 14h
 

 

2020 版权所有 厦门纪扬科技有限公司所有
首页 | 产品中心 | 服务中心 | 关于我们 | 新闻中心 | 联系我们
-->