OMRON S8VM-15024C POWER SUPPLY
OMRON S8VM-15024CD POWER SUPPLY
OMRON S8VM-30024C POWER SUPPLY
OMRON S8VS-01505 POWER SUPPLY
OMRON S8VS-01524 POWER SUPPLY
OMRON S8VS-03024 POWER SUPPLY
OMRON S8VS-06024 POWER SUPPLY
OMRON S8VS-06024B POWER SUPPLY
OMRON S8VS-09024 POWER SUPPLY
OMRON S8VS-12024 POWER SUPPLY
OMRON S8VS-12024A POWER SUPPLY
OMRON S8VS-18024 POWER SUPPLY
OMRON S8VS-24024 POWER SUPPLY
OMRON S8VS-24024A POWER SUPPLY
OMRON S8VS-48024A POWER SUPPLY
OMRON G3PA-260B-VD SOLID STATE RELAY
OMRON S82F-3024 Switching Power Supply
OMRON S82J-15024D1 Switching Power Supply
OMRON S82J-6524 Switching Power Supply
OMRON S82R-6528 Switching Power Supply
OMRON S82J-5024 Power Supply (24V)
OMRON S82J-5105 Power supply (5V)
OMRON R88S-S107 Power supply
OMRON S82H-10024 Power supply
OMRON S82H-3024 Power supply
OMRON S82J-10024D Power supply
OMRON S82J-10024D Power supply
OMRON S82J-15024D Power supply
OMRON S82J-15024DD Power supply
OMRON S82J-2224 Power supply
OMRON S82J-2505 Power supply
OMRON S82J-30024 Power supply
OMRON S82J-5024 Power supply
OMRON S82J-6024 Power supply
OMRON S82K-00712 Power supply
OMRON S82K-01505 Power supply
OMRON S82K-01524 Power supply
OMRON S82K-01524 Power supply
OMRON S82K-05024 Power supply
OMRON S82K-06024 Power supply
OMRON S82K-10024 Power supply
OMRON S82K-5024 Power supply
OMRON S8PS-10024CD Power supply
OMRON S8T-DCBU-02 Power supply
OMRON S8TS-06024 Power supply
OMRON S8VM Power supply
OMRON S8VS-01524 Power supply
OMRON G3PX-260DC Three-phase power regulator
OMRON S82K-01524 DC stabilized power supply
OMRON S82J-01005D Power supply
OMRON S8E1-025024D Power supply
OMRON S8VS-06024A Power supply
OMRON S8VS-12024A Power supply
OMRON S8VS-24024A Power supply
OMRON S8VS-48024A Power supply
OMRON XS2F-D42-G80-R Power cable
ORIENTAL ED UDX5107N DC POWER SUPPLY
ORIENTAL MOTOR ASD300-A DC POWER SUPPLY
OSAKA VACUUM TC550 Power Suply
OSAKA VACUUM TC1810 POWER SUPPLY
OSAKA VACUUM TD2001-C POWER SUPPLY
OSAKA VACUUM TD2200 POWER SUPPLY
PENSEI JWS300-24 Power supply
PHOENIX CONTACT QUINT-DC-UPS/24DC/10 POWER SUPPLY
POWER SOURCE ERE24SA-P POWER SUPPLY
POWERSOURCE ERE24SB DC POWER SUPPLY
POWERTEC 9R5-600-381-EF-3 Power Supply
RIKO VSCP-15-N DC POWER SUPPLY
SANKEN SSF244R5 DC power supply
SANKEN S-120S/P Power supply filter
Sanyo PMM-BA-5601 DC POWER SUPPLY
SHARP JW-232S DC output unit
SHARP JW-1PU POWER SUPPLY MODULE
SHARP JW-21PU POWER SUPPLY MODULE
SHARP JW-31PU POWER SUPPLY MODULE
SHIMADEN PAC30Z504515-N000 Power Regulator unit
SHIMADEN PAC30Z504515-N000 Pendant
SHIMADEN PAC26P415-15102P1109 Power supply
SHINDENGEN FYM180/51GT DC POWER SUPPLY
SHINDENGEN SY05005 DC POWER SUPPLY
SHINDENGEN SY122R5 DC POWER SUPPLY
SHINDENGEN SY241R3 DC POWER SUPPLY
XD-S20MB-JM-Ⅱ DC power supply
SHINDENGEN XD-S20SB DC power supply
SHINDENGEN - POWER SUPPLY
SHINDENGEN FYM180/51GT POWER SUPPLY
SHINDENGEN EY244R5U Power supply
SOSHIN NF3060A-VZ Noise filtering
SUNX ER-VAPS1 AC adapter
SVEN AVR-1000 AUTOMATIC VOLTAGE REGULATOR
TAKASAGO KX-100L_01 Power supply
TAKASAGO 35V10A Stabilized power supply GP035-10
TDK LAMBDA HK150A-24/A DC POWER SUPPLY
TDK-LAMBDA HWS100-24/A POWER SUPPLY
TDK-LAMBDA HWS100-5/A POWER SUPPLY
TDK-LAMBDA HWS150-24/A POWER SUPPLY
TDK-LAMBDA HWS30-24/A POWER SUPPLY
TDK-LAMBDA HWS50-12/A POWER SUPPLY
TDK-LAMBDA HWS50-24/A POWER SUPPLY
TDK-LAMBDA HWS50-5/A POWER SUPPLY
TDK-LAMBDA HWS600-24 POWER SUPPLY
TDK-LAMBDA JWS150-24 POWER SUPPLY
TDK-LAMBDA JWS300-24 POWER SUPPLY
TDK-LAMBDA ZWQ80-5224 POWER SUPPLY
TDK-LAMBDA ZWS50-24/JA POWER SUPPLY
TDK EAK05-6R0G DC POWER SUPPLY
TDK EAK12-2R5G DC POWER SUPPLY
TDK EAK24-0R7G DC POWER SUPPLY
TDK EAK24-2R1G DC POWER SUPPLY
TDK EAK24-4R2G DC POWER SUPPLY
TDK EAK24-6R0G DC POWER SUPPLY
TDK EAK24-OR7G DC POWER SUPPLY
TDK FAK05-5R0 DC POWER SUPPLY
TDK FAK05-5R1 DC POWER SUPPLY
TDK FAK24-1R1 DC POWER SUPPLY
TDK FAK24-2R1 DC POWER SUPPLY
TDK HK150A-24/A DC POWER SUPPLY
TDK RAX12-4R2 DC POWER SUPPLY
TDK RAX12-8R3 DC POWER SUPPLY
TDK RKW12-8R5C DC POWER SUPPLY
TDK 24-2R5GB Power Supply (TDK)
TDK 24-4R2H Power Supply
TDK EAK24-2R1G POWER SUPPLY
TDK ESR-24-6R0 POWER SUPPLY
TDK ESR24-6R0 POWER SUPPLY
TDK ESR24-6RO POWER SUPPLY
TDK FAK05-3R0 POWER SUPPLY
TDK FAK05-5R0 POWER SUPPLY
TDK FAK12-1R3 POWER SUPPLY
TDK FAK24-1R1 POWER SUPPLY
TDK HWS30-24/A POWER SUPPLY
TDK HWS600-24 POWER SUPPLY
TDK HWS80-24/A POWER SUPPLY
TDK RAW05-20R POWER SUPPLY
TDK RAW05-300 Power Supply
TDK RDM05-6R0 POWER SUPPLY
TDK RDM12-2R5 POWER SUPPLY
TDK RH24-02S POWER SUPPLY
TDK RM05-15RGB POWER SUPPLY
TDK FAK05-3R0 Power supply
TDK FAK12-2R1 Power supply
TDK FAK15-1R0 Power supply
TDK FAK24-2R1 Power supply
TDK FAW24-4R2 Power supply
TDK HWS300-24/HD Power supply
TDK RTW12-4R3C Power supply
TDK RTW15-3R5C Power supply
TDK RTW15-6R7C Power supply
TDK RTW24-2R2C Power supply
TDK RTW24-4R2C Power supply
TDK SWRG RGW65-23R Power supply
TDK FAK05-5RO Power supply
TDK RAX05-60R Power supply
TFDENKI L..POW-2 Power BOX
TOPWARD 3303D DC power supply
TOPWARD 3306D Stabilized power supply
Toshiba Lighting Tech KSG-5003HFW4 HIGH VOLTAGE POWER SUPPLY
TOSHIBA IR-AM500 AC ADAPTER
TOYOZUMI MODEL KN-6 Power transformer
TREK 605A POWER SUPPLY
ULVAC TECNO JWS50-24A 24V power supply
ULVAC MDL-15 DC POWER SUPPLY
ULVAC MDL1501A DC POWER SUPPLY
ULVAC A2KH-PHSM INETRFACE UNIT
ULVAC RFS-1330A RF GENERATOR
ULVAC PSH-0913MT Heater power
ULVAC A2KH-25 Abnormal discharge arrestor
ULVAC MEX2C-100100U Power BOX
YASKAWA PS-01 Power-supply module
YOKOGAWA FX2V-MA-15*B POWER SUPPLY
YOKOGAWA F3PU06-0N Power-supply module
Eta Denki VTD24SA POWER SUPPLY
Eta Denki VTD24SC24 POWER SUPPLY
Eta Denki VTE24SA POWER SUPPLY
Eta Denki VTE24SZ POWER SUPPLY
Onanba 20-7/0.32 Power cable
Onanba M-ONAMBA0.75-4-50 Power cable
Onanba M-ONAMBA1.25-4-50 Power cable
Kuramo electronic KVC-36SB Power cable
Cosell AD240-24 DC POWER SUPPLY
Cosell P150E-24-N DC POWER SUPPLY
Cosell P15E-5 DC POWER SUPPLY
Cosell P30 E-5-N DC POWER SUPPLY
Cosell P300E-24 DC POWER SUPPLY
Cosell P30E-12-N DC POWER SUPPLY
Cosell P30E-5 DC POWER SUPPLY
Cosell P30E-5-N DC POWER SUPPLY
Cosell P50E-24 DC POWER SUPPLY
Cosell PAA100F-5-N DC POWER SUPPLY
Cosell PAA150F-24 DC POWER SUPPLY
Cosell PAA150F-3-24-N DC POWER SUPPLY
Cosell R50A-24 DC POWER SUPPLY
Cosell R15-A Power supply
Collaboration Japan 000-0001 Power transformer
Makuserekku AMS-6KPNX/TWV DC high-voltage power supply
Magnum MPS5009 Power supply
Matsubo - Power supply
Misumi VCT222-1.25-10-20 Power cable
Takasago Machinery Works EX-1500H2 Power supply
Takasago Machinery Works EX-375H2 Power supply
Takasago Machinery Works EX-375U2 Power supply
Takasago Machinery Works EX-750H2 Power supply
Takasago Machinery Works EX-750U2 Power supply
Takasago Machinery Works TC-911B Power supply
Takasago Machinery Works EX-750U2 Stabilized power supply
Yamahishi Denki YCC-18K COIL power
Yamahishi Denki YCC-18K-X COIL power
Yamahishi Denki YCC-2100 DC power supply unit
Shibaura ELETECH 36F8333 Power on-off board
MatsuJo Precision 20V20A Stabilized power supply PQ20-20
Seiwa Optical Works FA-626 DC stabilized power supply
Seiwa Optical Works FA-626R DC stabilized power supply
Seiwa Optical Works SLE-1220 DC stabilized power supply
Tokyo Science and Technology Complex YSA-10-240SP DC POWER SUPPLY
Japan stabilizer industry SD-12 POWER SUPPLY
Japan stabilizer industry 60V1A Stabilized power supply SIC-1J6
ADVANTEST T 3325 Tester
ADVANTEST T 3347B Tester
APIC YAMADA G-Line Molding Machine
BRUKER Dimension Edge AFM
BRUKER D 8 DISCOVER X-Ray Diffractometer
CANON Machinery CAP-300 Die Sorter
CANON Machinery CAP-550 Package picker
DEK GALAXY API Ball Mounter
EFD Ultra 1400 Series Dispenser
JCM RC 100 Ring frame Washing machine
KEYENCE MD-V 9900 W?N Laser Marker
Kyowa Riken Micro Prober
Lintec RAD-2500 M 8 Semi-auto Wafer mounter
MUSASHI ENGINEERING TCU-02 Temperature unit
NITTO M 265 Tape Mounter
Panasonic Aiplasma ANUCL 13 S Plasma Cleaning Unit
PLASMA ETCH PE 200 Plasma Cleaner
SAMCO PXA 100 KML Plasma Cleaner
Shibuya Kogyo SBM-361 Ball Mount
SHIBUYA KOGYO FDB 350 Flip Chip Bonder
SHIBUYA KOGYO SBM 280 Solder Ball Mounting Machine
SHIBUYA KOGYO SBM 361 Solder Ball Mounting Machine
SHIBUYA KOGYO SBP 661 SBM 361 Wafer Banp Line System
SHIMADZU SMX-160 ET MICRO FOCUS X-RAY INSPECTION SYSTEM
SHIMADZU SMX-160 GT MICRO FOCUS X-RAY INSPECTION SYSTEM
SHINKAWA UTC-1000 Wire Bonder
SHINKAWA UTC-1000 S Wire Bonder
SHINKAWA UTC-2000 Wire Bonder
TAKATORI ATM-9500 Packaging System
Takatori ATM-9500 Full Auto Package Mounter
Takatori SAM-9 Semi-Auto Wafer Mounter
TERADYNE IP 750 EX Tester
Tokyo Seimitsu UF 60 Prober
TOWA LCM 1010-4 Molding Machine
Ultrasonic Engineering REVO-7 Wire Bonder
ULTRONICS UH 104-12 UV Curing Machine
YAMAHA I CUBE II Bonder (Hybrid Placer)
Yamato DF-411 Oven
YOKOGAWA HS 2000 A IC Handler
AKT 0150-66544 PUMP CABINET LEFT EXHAUST SW
AKT 0150-66545 PUMP CABINET RIGHT EXHAUST SW
AKT Ch-A (sysB1) Vacuum Pump
AKT Ch-A(sysB2) Vacuum Pump
AKT Ch-B(sysB1) Vacuum Pump
AKT Ch-B(sysB2) Vacuum Pump
AKT Ch-C(sysB1) Vacuum Pump
AKT Ch-C(sysB2) Vacuum Pump
AKT Ch-D(sysB1) Vacuum Pump
AKT Ch-D(sysB2) Vacuum Pump
AKT L/L(sysB1) Vacuum Pump
AKT L/L(sysB2) Vacuum Pump
AKT Xfer Vacuum Pump
ALCATEL RSV-601B Mechanical Booster Pump
ALCATEL 2063 SD PUMP
ALCATEL 2010C Rotary pump
ALCATEL 2012A Rotary pump
ALCATEL 2015 Rotary pump
ALCATEL 2033 Rotary pump
ALCATEL 2033 Rotary Pump
ALCATEL 2063 Rotary pump
ALCATEL 2063 Rotary Pump
ALCATEL PASCAL 2005C1 Rotary pump
ALCATEL T-2033A Rotary Pump
ALCATEL CFF 450 TURBO Turbo pump controller
ALCATEL 5402CP Turbo Pump
ALCATEL C290234 Turbo Pump
ALCATEL CFF 450 TURBO Turbo pump controller
ALCATEL - Pump filter
ALCATEL RSV-601B Mechanical booster pump
ALCATEL 2063 Rotary
ALCATEL T-2033A Rotary
ALCATEL A-2033A Rotary
ALCATEL T-2033A Rotary
ALMATEC A25EEE Pump
Anelva CAP-121R Cryo Pump
Anelva CAP-160 Cryo Pump
Anelva P-101CL Cryo Pump
Anelva P-226C Cryo Pump
Anelva P-250VH Dry Pump
Anelva V090S Dry Pump
Anelva V090S DRY Pump
Anelva V090S-N Dry Pump
Anelva VO30HB DRY Pump
Anelva B1201 Mechanical Booster Pump
Anelva B1201T-3 Mechanical Booster Pump
Anelva 2063 SD PUMP
Anelva V090S SCREW DRY PUMP
Anelva CMP-200 Turbo Pump
Anelva DA240S Vacuum Pump
Anelva P-875A Cryopump COMP
ANLET FT4-50 Vacuum Pump
ASAHI SUNAC E-720010-001 Pump housing
ASAHI SUNAC E-720011-001 Pump housing
ASAHI MDXⅢ TMP Controller
BOC EDWARDS if1800 SYSTEM Dry Pump
BOC EDWARDS if1800 SYSTEM DRY Pump
BOC EDWARDS IK500 System Dry Pump
BOC EDWARDS A24801404 Pump mounting mount
DAIKIN U102EWA Cryo Pump Compressor
DAIKIN U110EWA Cryo Pump Compressor
DAIKIN CRYOTEC-12 Cryo Pump
DAIKIN V204SC506JN Cryo Pump
DAIKIN V208D622JN Cryo Pump
Dainippon (DNS) SEPC-0065 Temperature control pump
EBARA 40x20 ERD5M DRY Pump
EBARA 40x20ERD5M DRY Pump
EBARA 50x20 UERR6M DRY Pump
EBARA 50X20UERR6M Dry Pump
EBARA 50X20UERR6M DRY Pump
EBARA 80-6M DRY Pump
EBARA A 10 S DRY Pump
EBARA A 07 V DRY Pump
EBARA A 10 S Dry Pump
EBARA A 10 S DRY Pump
EBARA A 30 W DRY Pump
EBARA A 70 W DRY Pump
EBARA AA 200 W Dry Pump
EBARA AA 200 W DRY Pump
EBARA AA 20 NV1-H Dry Pump
EBARA AA 30 DRY Pump
EBARA ESA 300 W DRY Pump
EBARA MD-30RM-200EN Magnet Pump
EBARA 25SQGD 6.4 Pump
EBARA 32EVML1252.2 Pump
EBARA 32EVML2254.0 Pump
EBARA 32P121 6.75 Pump
EBARA 32VDP-815A15 Pump
EBARA 40MDPA365.5 Pump
EBARA 50*40FSGDN53.7A Pump
EBARA 50FSGDN15-2.2 Pump
EBARA 50FSGDN16-5.5 Pump
EBARA 50X40FSGDN53.7A Pump
EBARA 50X40FSHDN675 PUMP
EBARA 65FSHDN16-7.5 Pump
EBARA 65X50FSHDN67.5A Pump
EBARA 80X65PSS2F63.7 Pump
EBARA LPS1232 40LSPS Chiraponpu
EBARA VRRT23-7LX Dry pump control panel
EDWARDS 503 CONTROLLER
EDWARDS CDP80 DRY Pump
EDWARDS DP-40 Dry Pump
EDWARDS DP-40 DRY Pump
EDWARDS DP-80 Dry Pump
EDWARDS DP-80 DRY Pump
EDWARDS DP80 DRY Pump
EDWARDS IH1000 DRY Pump
EDWARDS IH1800HTX IPS AL DRY Pump
EDWARDS IH1800HTX NRV HTX DRY Pump
EDWARDS QDP80 DRY Pump
EDWARDS EH500 MBP
EDWARDS EH500A MBP
EDWARDS EH500PPPE MBP
EDWARDS PHMB3000 + PRV MBP
EDWARDS PHMB3000 +PRV MBP
EDWARDS PHMB3000 LV MBP
EDWARDS PHMB3000 LV P MBP
EDWARDS PHMB3000 LV PRV MBP
EDWARDS PHMB3000 LVP MBP
EDWARDS QMB250 MBP
EDWARDS EH500 Mechanical Booster Pump
EDWARDS EH500 PPPE Mechanical Booster Pump
EDWARDS EH500A Mechanical Booster Pump
EDWARDS QMB1200F Mechanical Booster Pump
EDWARDS QMB250 Mechanical Booster Pump
EDWARDS RV8 Pump
EDWARDS E1M275 Rotary Pump
EDWARDS E2M18 Rotary pump
EDWARDS E2M18 Rotary Pump
EDWARDS RV12 Rotary pump
EDWARDS RV12 Rotary Pump
EDWARDS RV8 Rotary pump
EDWARDS RV8 Rotary Pump
EDWARDS STP-A3503WAV2 TMP
EDWARDS STP-300H Turbo Pump
EDWARDS STP-A3503WAV2 Turbo Pump
EDWARDS STP-H2000K Turbo Pump
EDWARDS RV3 Vacuum Pump
EDWARDS Dry pump CONTROLLER
EDWARDS DP80+EH500 Dry pump + MBP
EDWARDS DP80+EH500A Dry pump + MBP
EDWARDS QMB1200F Mechanical booster
EDWARDS
FER CEX-0004 CEX 71 1250A 2.1 TS
ROTARY ACTUATOR S-100-U-S080
B-059-U-S080-JSO
DSP-42SG-1S
VLG9/2+4PS/5-3
VLG9/2+4PS/5-1
NTE-212-CoNS-0000
"Manufacturer: Knorr-Bremse
Condition: genuine new
Part number: 0481039268"
Air caster B30NC001
XVM-403-TONS-0000
EP202-P00-ENR0
STI-24IO
XTMDS-015
XUFTS-015
MITSUBISHI NT-3020-BYH-R20 ROUTER BIT
MITSUBISHI NT-3020-BYH-R16 ROUTER BIT
MITSUBISHI NT-3020-BYH-R12 ROUTER BIT
成型机上的外链板,2EF1243-112和2EF1243-120
品牌:ABB 型号:560PSU01 R0002 电源
CI854AK01
"GE谢线机水箱
pWL 5000 wT"
压力传感器 A-10 0-40MPa 4-20mA 30VDC 两线制 威卡 个 1
压力传感器 A-10 0-1MPa 4-20mA 30VDC 两线制 威卡 个 1
振动探头 330103-00-12-10-02-00 6根
振动探头 330103-00-06-10-02-00 6个
PCE835-001-T 3AC 380/400/480V
Prosoft MVI56E-SIE 1块
504C5NRSS4 CAL#666
XVM-8022-TONS-0000
HovaCAL 211MF
6AV2123-2DB03-0AX0
6AV2123-2GB03-0AX0
6AV2123-2JB03-0AX0
6AV2124-2DC01-0AX0
6AV2124-0GC01-0AX0
6AV2124-0JC01-0AX0
6AV2124-0MC01-0AX0
MTS TEMPOSONIC "MTS MTS ER- M-0375M- D
34-1- A01"
"MTS MTS ER- M-0600M- D
34-1- A11"
MTS MTS Type 254 210
MTS MTS 403 508
HIRAI DENKEIKI Co.,Ltd ECT-40S-201X1-2000/5A MCT-40R-W15/1A 40VA
35030479 13C 0015 U S PAT 3225159
SAAB Tank Control 9150072-501
PCE835-001-T 3AC 380/400/480V
rexroth R902155995
HovaCAL便携式校准气体发生器 (HovaCAL 211MF)
VAT 12040-FE44-1007
H+L 250 00292710 SVEZ05SSK090 333U40
震动器,GOLDEN TURBINE GT10,数量20台
本特利瓦振传感器 9200-06-05-10-00
胀差传感嚣 330851-02-000-030-10-00-05
胀差传感嚣延长线 330854-080-24-00
位移传感嚣 330703-00-070-10-02-00
轴向位移延长线 330730-040-00-00
轴振延长线 330130-080-00-00
轴振前置变送器 330180-90-00
气动比例调节阀总成8043-GS3 定位器型号8049IPC 品牌:SCHUBERT&SALEZR,含定位器和执行器,DC24V,4-20mA,配304不锈钢法
DIENES 245AD07B01001
ECT-40S-201X1
MCT-40R-W
气动比例调节阀总成8043-GS3 定位器型号8049IPC 品牌:SCHUBERT&SALEZR,含定位器和执行器,DC24V,4-20mA,配304不锈钢法
“AEG” RELAY 26-40 AMP. BW55K 139642
"
Emerson" FM-3DN
GGK1-125/70-460×1360/阿托斯
HSGK01-160/90E-2501-250×783/阿托斯
G125/70-200/阿托斯
HSGK01-150/85E-3111-1010×450/阿托斯
HSGL01-40/20E-1101-80×305/阿托斯
HSGL01-80/40E-2511-160×507/阿托斯
HSGL01-63/32E-2501-100×395/阿托斯
HSGK01-90/50E-1411-150×462/阿托斯
DCMOTORFGVH112LL-4-R-F-B-C
HIWIN S10C475-1 120
接近开关 JLXW6-22B 只 4
接近开关 JLXW6-22A 只 2
BEHA BELT RS02 use for nylon mandrel welding size:6.05 to 25.4mm
EMERSON EZ1082-08-00-005 反向安装 6个
EMERSON EZ 1900-055 4个
EMERSON EZ 1900-095 4个
EMERSON EZ 1000-FCAL 6个
EMERSON A6500-UM 3个
EMERSON A6500-CC 1个
EMERSON A6500-FR 1个
FAN MOTOR N 25-40/729 240V 60HZ 75W 0.47A 1300RPM
TEIJIN SEIKi驱动器VIGOSERVO ARS30-10 ARS60-15 ARS15 15B140-bA0电机
M220-23-S-42-06
E4SM-3201-34-XDBS9-D024
115U3D300CACAA
SR18-CG-RGX-R02-K
maxon motor gmbh 310007 + 358385
309-314 AM
"VERSA Valve, 3-Way, SST, 24VDC
MODEL: E4SM-3201-34-XDBS9-D024"
AB S - M G 1 S 1 5 / 3 0 - G 1 - E 1 Q1 Q1 P G G
MG 9 7 S 2 / 6 5 - G 6 0 Q1 Q1 P G G
50044027 Ex-Flanged Heater DHF22B03GG-9-T6 M.E.S.C.No. 6795530423
50044028 Ex-Flanged Heater DHF22B03GG-2,4-T6 M.E.S.C.No. 6795530403
GE Panametrics M2LW-00-000-0
PARKER DRM-1030B-115 00052600279
MELEGARI L FIGLI M0710429 11062589
变频电机风扇电机 风扇型号:G-315A,功率:0.55KW,电压:380V,电流:1.5A,转速:1400r/min 品牌:Ventilators
DANAHER MOTION 53025-05A
高压保险(18KV保险 PH2-20 25CLPT-5 美国伊顿-卡特拉.汉莫
必克 压力表 订货号:SPX J04010078 配PRESSURE INDICATION APV-1000;斯必克均质机APV-1000原装压力表)
HEATTERM SR25
#J04010078 PRESSURE GAUGE
Parker / Compumotor DM-1030B-115 Dynaserv Direct-Drive Servo
V18KV PH2-20 25CLPT-5
"
PARKER
706000
727847-01
REPAIR KIT"
GENERAL ELECTRIC " CIRCUIT BREAKER M.C.C.B 100A. DH160 3P-3D. 713232
速度传感器|锤式破碎机PCM1000型 部件号:TCR12531220|德国福伊特公司速度传感器\TCR.12531220\福伊特
Heatpac CBM Heater/ PN: 176456082
NOV M364001129
NOV 86812-2
NOV 86812-1
nov 86811-2
nov 86811-1
CANRIG R01-3016-010
CANRIG R01-3006-010
CANRIG R01-3002-010
NOV NOV P/N:93545-25N;BALL VALVE, MANIFOLD MOUNT
NOV M364000821-01
NOV 156657
NOV 零件号156656,适用于149487液缸280/220
53500-225
NOV 10676666-01
NOV P854000099-HXXEN
NOV/PEPPERL+FUCHS SENSOR,PROXIMITY;NOV P/N:87961;P+F P/N:106693,type:NJ40-U4-N
捷杰西 备件号:110056
捷杰西 备件号:110061-326
ACS550-01-03A3-4
ACS355-03E-07A3-4
CIMR-HB4A0060,30KW,60A
ACS-880-3-25A==ACS880-01-025A-3
ATV610D15N4
速度传感器\TCR.12531220\福伊特
负压传感器\GPD10
压力传感器\GPD10G\珂尔玛\国产
"Air caster B30NC001, with a working pressure of 1
bar the optimal capacity is 500 kg with an air
consumption of ca. 200400 Nl/min.Nr.
2004015,16/ 2009199204"
Honeywell SYS57 KEY KIT CNTRL CD EXTRACT / ENG 05701-A-0550
NDC测厚仪扫描头电机 105/14527-01SA
lamps GF203-0.7-160-60
BARTEC VPR047 VA300+M 100V line Amplifier
VPR066 VA300+M Amplifier (70v Line) Gen II
"RRV,MAJOR REPAIR KIT,3""-8K Major Repair Kit for
3"" Reset Relief
Valve (8,000 psi)
Schedule B : 8481400000"
NTE-212-CoNS-0000
GE Panametrics MISP2-2W-T10-1000
GE Panametrics MISP2-2W-T00-0000
GE Panametrics M2LR-00-010-0
GE Panametrics M2LW-00-010-0
GED 9T58K0085 CORE AND COIL SM PWR
Klockner Moeller NZM6-63 3-Pole 600V 63A Circuit Breaker
motor 190U3D400JBFCB215320SREL with an FC absolute
美国 simpson 数显透气性仪 0042105-M-ASM 1个
砂强度测试仪 0042104-M-ASM 1个
砂制样锤 0042100-M 1个
制样管填充附件 0042100A-M 1个
锤座 0042100C 1个
铸型强度计 592-801-102 1个
编码器,,EATON,38150-1000 数量3
CMF310A2Y0Y0B2C1A5Y1A0Y ABB FIELD MOUNT PROCESS CONTROLLER
Autosigma 3000
"Pepperl
MNI20N-0H02K241N-00500"
40FP32AF
JD63F400
3UB81234JW2
M11091 Super Silent 20-A 110V/60Hz
ELECTRO CRAFT E240 0240-06-018
simpson 砂制样锤 0042100-M 23公斤
0042100A-M 1.2公斤
0042100C 51公斤
0042104-M-ASM 30公斤
0042105-M-ASM 22公斤
铸型强度计 592-801-102 0.2公斤
1SCA135540R1001 1SCA135540R1001 ABB ENCLOSED SWITCH DISCONNECTOR, 20A, 380-415V, RED/YELLOW, PLASTIC, 2XM20, IP67
1SCA135540R1001GE
System 57 Calibration Plug p/n 05701-0550 05701-A-0550
VOLEO 402 743 24V 504
heiden ac 电源 型号:he-ac-s1000
控制系统配件MTL浪涌保护器 电源模块8914-PS-AC 数量1个
控制系统配件MTL浪涌保护器 电源模块8507-BI-DP 数量1个
AIR COMPRESSOR TMC 26-8 EANA F015921
SET SCREW NT2305
FAN NT5297
SYS TRONICS DDC-201R
SYS TRONICS DDC-201D
SYS TRONICS SS-3303
GE General Eastern MMR31-B-2-A-1-G
A06B-0077-B003
A06B-0085-B103
A06B-6130-H002
A06B-6130-H003
M11091 - Super Silent 20-A 110V/60Hz White
GE General Eastern MMR31-R-3-A-2-G
MLN 16SB9BB4A14SSM2L SB9 SWITCH 2
AMAT SYM3 LLB LCF SENSOR DAMAGE NO:0090-07638
BTL5-S173B-M0250-H-SA69K-KA05
Eaton MEDC XB13230GNNR
SM87HXBSB220GN2T2BYTR
SM87HXBSB220YN2T2BYTR.
SM87HXBSB220BN2T2BYTR
SM87HXBSB220RN2T2BYTR
M11091 - Super Silent 20-A 110V/60Hz White
IC655CPU50OJ IC655MEM503B
40086233 T260775
40063567 T260601
40079208 P165-5421
40087573 P165-5577
40079154 P604-(10225-1) P604-9631
"TM710E探头 马达传动组 件
图号:105/15537-02SA 品牌: NDC"
R301017-27 Vetco
R301017-24 Vetco
R301017-29 Vetco
R301017-19 Vetco
R301017-28 Vetco
R301017-12 Vetco
R301017-14 Vetco
H132990 Vetco
200008-101 Vetco
195000-214 Vetco
04584801 Vetco
04585302 Vetco
02206201 Vetco
724373 Vetco
H176822-1 Vetco
H139278-1 Vetco
02206101 Vetco
2206203 Vetco
2206204 Vetco
Siemens/Unify HDCF S30810-K2319-X300/X330
115UDB300BACAA
RADOX TENUIS "Cable: RADOX TENUIS- TW 600V MM
Item No: 12 568 049"
12536692
12568099
12568049
EGTL-A03 PM1 2L87-100966-12 FIBER VER 4Z-HT CENT PM
"
AKRON STANDARD
541-4998-02
REMOTE FUNCTION PROCESSOR (RFP) VER 3
通讯处理板 Remote Function processor board 541-4998-X3(RFP)"
CMDS-100
CFCS-100
美国AT C7-3000 配备OSHA/NFPA
chemiquip 1/4'' NPT 25B
9T58K0085
PCIe x1 Gen2 Host OSS-PCIe-HIB25-X1
TEL NV3 ES2L87-100966-11 ==ES2L87-100966-12
98 86 029减速机 59 01 007 减速机 57 04 005减速机 XB1-100-200-1-6/6 谐波减速机
INDU EDH 76-6-90000-05-D-S/W25MM/HG5/IP00/8MM 1812/204542681 INDUCODER - 76-6-90000-05-D-S/we25MM/HG5/IP00/8m S/N 1812/204542681
HR Textron 27B50F-5E02-995
CR420JFA0229
Fairford DFE-30 (75kW-132kW; 400V-50Hz)
PCH1216
R4257047 R4233232
TS2113N21E10NLA
GE 16SB9BB4A14SSM2L
Heidenhain ECN 113 2048
TRENNVERSTÄRKER TV-PT1000.2xOUT
TRENNVERSTÄRKER TV-U2 .. . ..
SIMPLE EMV 250R/NW
ZM92.01
SM91.10
ZL93.04
SA94.04
AI92.05
SW1 DRIVE SETTINGS J4 CONTROL PACIFIC SCIENTIFIC 04G-020
SPIDER 376 0071-801 250W VER4.3.1
M11091 Super Silent 20-A 110V/60Hz White white Serial #: 1134696
Invicta BL 60-105/6 BL60-105/6/01/50
DELTA 备件反光镜R110 HTA 5个
GE 1 HC43VL460 SAME AS 5KCP39JGF737BS
PCIe-HIB25-x4-H One Stop Systems PCIe x4 Gen 2 host cable adapter
PCIe-HIB25-x1-T One Stop Systems PCIe x1 Gen 2 target cable adapter
PCIe-HIB25-x1-H One Stop Systems PCIe x1 Gen 2 host cable adapter
PM10816-PL7310
3170G040 UNI-TRIBALL S70-G F05-07/D14 DN40-FB / AISI316 / BSP THREAD
23DA004F05D14 ACTUATOR WM4DA ISO F05/D14 ART.NO: WMR004422
ADI 分析仪抽气泵M161-FT-AB2 3台
41709 C0 42714098-V16-1 EMERSON 401282217591里面的41709 C0 42714098-V16-1
27B50F-5E02-995
功率单元 Mega Vert-FM150AN Megavert-F2000-10
分析仪抽气泵M161-FT-AB2
压力传感器DGZ-11/K0-500bar
保险 FTB-HO 250V,5A
力士乐电机MSK050C-0300-NN-M1-UG0-NNNN
VB12-14270-03 Assembly, Standard Cord, VB-12C VibroBlock
SLG090-050-KMGS-RA2-168
GE GT10000 GT10A23/1810A009
"德国
Dr-Brandt
压力传
感器
DGZ-11/K 500bar
Serno:55573"
INGERSOLL RAND IY90L-6
OILTECH ISSLG 132M-6B
AMO GMBH A-4963 8604381 2048 5V DC mounting hole center spacing 61.5mm
853-001983-005,85*66*3 853-001983-005
WITTENSTEIN 500S-MA3-220-0K1-2S
3051SFADG200ZSHPS2T100071AA1B2RLG6Q4M5E1F2 (0-1.11kPa)阿牛巴流量计ROSEMOUNT
HFX236 E1003
"wika
型号: IS-3-0-2211-1ZZ-BZZ-GTFDZZZ-UAX 0~3000BAR"
5D3A1121PO1O ARND-3119A
GEMS SENSORS CONTROLS GH3115-MM-VAC
M000-09402000DU0100AB100
M000-09402000DU0100AN100
M700-07400770A10101AB100
型号82400000016000
型号82400000017800
型号82400000016500
NXD63B120
NXD63B800H
功率单元_MegaVert-FM150AN MegaVert-F2000-10
51ML72 1720
压力变送器 IDP10-T22C21F-L1 红外测温传感器 Raytek Model:RAYTXCLTSF Serial:486340
CLECO 直轴拧紧轴 4BTS-4B660A-4K3B-4ZA
拧紧轴主电缆 961109-110
拧紧轴跳线电缆 961104-020
"
GEMS SENSORS & CONTROLS
GH3115-MM-VAC" GH3131-01MM-V1-203
GE261A1812P6,火焰扫描仪ITS 967X7179M379
"VP-VISION
奥地利Grabner微量蒸气压测试仪
MINIVAP VP VISION"
IME D8AW2 POWER ACCESSORY
51ML72 MICRO
"Honeywell 51ML72
Mfr. Part #: 51ML72
Allied Stock #: 70120312 "
GED CR306D002LTH MEBANE RENEWAL
GED CR306C002ACDT SIZE 1 STARTER
GED CR305E002AYH Z 3 FVNR LESS
转速探头A5S05T90-5M,数量6件,品牌:布朗
"DF-310E痕量氧仪专用电解液 100ml
Delta F"
PUMP OIL\O0075\C'TREAT PUMP OIL\厂家配件号:O0075\技术参数:450℉\制造厂或品牌:C'TREAT\设备名称:FRESHWATER MAKER PACKAGE
COUPLING GREASE\160C\CALTEX COUPLING GREASE\规格:40×14OZ\制造厂或品牌:CALTEX\厂家配件号:160C
parker 驱动器 TBF60/5R 10台
E2M18 Oil-sealed rotary pump
ELEPON SL-20 Pump
ELEPON SL-20N Pump
ELEPON SL-35F Pump
ELEPON SL-7SN Sealless pump
EMEASON P48CRB0122 Vacuum pump
FEBACS 7-T4-22649 Pump fittings
GAST RAA-V211-EB Vacuum Pump
GRUNDFOS CHI2-10 A-W-G-BUBV Pump
GRUNDFOS CHI2-15 A-W-G-BUBV Pump
GRUNDFOS CHI2-20 A-W-G-BUBV Pump
GRUNDFOS CHI2-30 A-W-G-BUBE Pump
GRUNDFOS CHI4-30 A-W-G-BUBE Pump
GRUNDFOS CHI4-40 A-W-G-BUBE Pump
GRUNDFOS CHI8-20 A-W-G-BUBE PUMP
GRUNDFOS CRN1-25 A-J-G-V-HUUV Pump
GRUNDFOS CRN3-15 A-FGJ-G-E-HUUE Pump
Grundfos CRN4-80 Pump
GRUNDFOS CRN5-13 A-FGJ-G-V-H00V Pump
GRUNDFOS MOT MG 100LA2-28FT130-D PUMP
GRUNDFOSX CRN3-12 A-J-G-V-HQQV Centrifugal pump
GRUNDFOSX CRN3-8 A-J-G-E-EQQE Centrifugal pump
GRUNDFOS CNR2-180 A-P-G-BBQE Centrifugal pumps
GRUNDFOS CHI2-40 A-V-BQQV(200V) Horizontal multistage centrifugal pump stainless
GRUNDFOS CRN5-10 A-P-G-V-HQQV Vertical multistage centrifugal pumps
GRUNDFOS CRN4-160/14 AJG-BBQE Vertical multistage centrifugal pumps
GRUNDFOS CHI2-30 A-W-G-BQQV Vertical multi-stage centrifugal pump
GRUNDFOS CHI2-30 A-W-G-BUBV Vertical multi-stage centrifugal pump
HAYASHI KAKOHKI HD-40SFW Chemical Pump
HAYASHI KAKOHKI HDG-25TFR Leakless Pump
HAYASHI HDG-25TF LEAKLESS PUMP
HAYASHI HDG-25TFR LEAKLESS PUMP
HELIX CT-8 Cryo Pump
HITACHI C-P60J HANDY PUMP
HITACHI 32P2-60.25 Motor Pump
HITACHI 160-VP Rotary pump
HITACHI VR16-K Rotary pump
HITACHI VR16LP Rotary Pump
HITACHI VR16LP ROTARY VACUUM PUMP
HITACHI VR16W ROTARY VACUUM PUMP
IWAKI Pump APN215MV-1 Air Pump
IWAKI Pump FA-2E-1 Bellows Pump
IWAKI Pump FS30HT2 Chemical Pump
IWAKI Pump FS30HT2-04 Chemical Pump
IWAKI Pump MDG-M2S Magnet Gear Pump
IWAKI Pump MD-100FY Magnet pump
IWAKI Pump MD-30RM-200EN Magnet Pump
IWAKI Pump MD-70RZM Magnet pump
IWAKI Pump MDH-401RE6-E Magnet Pump
IWAKI Pump MDH-423SE6-D Magnet Pump
IWAKI Pump EH-B20VC-200R1 Metering Pump
IWAKI Pump MDM25-1502EKKF037J-B2_01 Motor Pump
IWAKI Pump MDM40-1401EKKF055J-B2 Motor Pump
IWAKI Pump MDM50-1501EKKF055J-B2 Motor Pump
IWAKI Pump MX-402HRV-6-2 Motor Pump
IWAKI Pump DPN-04SASA-06-15C-6 Pump
IWAKI Pump MD-70RM Pump
IWAKI Pump PDA-10H1 Pump
IWAKI AC-1 AIR DRIVEN PUMP CONTROLLER
IWAKI APN-110KVX-1 Air Pump
IWAKI FZ-20T BELLOWS PUMP
IWAKI V-15SES-2 Hicera Pump
IWAKI MDG-M15S3A100 MAGNET GEAR PUMP
IWAKI MDG-M2W2S100N MAGNET GEAR PUMP
IWAKI 400RV6-E Magnet pump
IWAKI 7-39-64816 Magnet pump
IWAKI C412717 Magnet pump
IWAKI EH-C30FC-200R6 MAGNET PUMP
IWAKI MD-100FX Magnet pump
IWAKI MD-100FY Magnet pump
IWAKI MD-100FY MAGNET PUMP
IWAKI MD-100FZ Magnet pump
IWAKI MD-100R MAGNET PUMP
IWAKI MD-100RM Magnet pump
IWAKI MD-100RM MAGNET PUMP
IWAKI MD-15FX-N Magnet pump
IWAKI MD-20RXM-N Magnet pump
IWAKI MD-30FX-200N MAGNET PUMP
IWAKI MD-30FX-N Magnet pump
IWAKI MD-30FX特型 Magnet pump
IWAKI MD-30FZ-N Magnet pump
IWAKI MD-30RM-200EN Magnet pump
IWAKI MD-30RM-200EN MAGNET PUMP
IWAKI MD-30RM-200EN200V Magnet pump
IWAKI MD-30RM-200EN用本体??? Magnet pump
IWAKI MD-30RM-N Magnet pump
IWAKI MD-30RXM-N Magnet pump
IWAKI MD-55FX Magnet pump
IWAKI MD-55FY Magnet pump
IWAKI MD-55FZ(200V) Magnet pump
IWAKI MD-55RM Magnet pump
IWAKI MD-70RZM Magnet pump
IWAKI MD100FY Magnet pump
IWAKI MDF-M2502PKKW-2 Magnet pump
IWAKI MDG-H15TA200 MAGNET PUMP
IWAKI MDG-M15S3A100 Magnet pump
IWAKI MDG-M15S3A200 Magnet pump
IWAKI MDG-M15S3A203 Magnet pump
IWAKI MDG-M2T100N Magnet pump
IWAKI MDG-M4T6A100H Magnet pump
IWAKI MDH-400FE6 MAGNET PUMP
IWAKI MDH-400HRE6-ES Magnet pump
IWAKI MDH-400HRE6-ES MAGNET PUMP
IWAKI MDH-400RV6 MAGNET PUMP
IWAKI MDH-400RV6-E Magnet pump
IWAKI MDH-401RE6-E Magnet pump
IWAKI MDH-401RE6-ES2 Magnet pump
IWAKI MDH-401RV6 Magnet pump
IWAKI MDH-401RV6-E Magnet pump
IWAKI MDH-401SE6-D MAGNET PUMP
IWAKI MDH-422RE5-E Magnet pump
IWAKI MDH-423-FE6-D Magnet pump
IWAKI MDH-423RV6-E MAGNET PUMP
IWAKI MDH-F401-CFVX-C-D Magnet pump
IWAKI MDH-F401AAVY-E Magnet pump
IWAKI MDH-F401KKVX-E Magnet pump
IWAKI MDK-32S6TP15B Magnet pump
IWAKI MDM25-1101EKKF022J-E2 Magnet pump
IWAKI MDM25-1401EKKF015J-E2 MAGNET PUMP
IWAKI MDM25-1601PKKF022J-D2 Magnet pump
IWAKI MDM25-1602PKKF037J-E2 Magnet pump
IWAKI MDM25-1651PKKF015J-E2 MAGNET PUMP
IWAKI MDM40-1301EKKF055J-E2 Magnet pump
IWAKI MDM40-1902EKKF055J-E2 MAGNET PUMP
IWAKI MDM65-1201EKKF075J-E2 MAGNET PUMP
IWAKI MX-100EM-32 MAGNET PUMP
IWAKI MX-403HRV6C-2S Magnet pump
IWAKI MXM545-1504EFFEJ MAGNET PUMP
IWAKI EH-C35VC Metering Pump
IWAKI EH-E55VC-100E11 Metering Pump
IWAKI EHN-C30PH4R METERING PUMP
IWAKI EHN-C36PH4R METERING PUMP
IWAKI MC-70W PUMP CONTROLLER
IWAKI BA-110SN Pump
IWAKI EH-C35SH-200R9 Pump
IWAKI MD-100FX Pump
IWAKI MD-100R Pump
IWAKI MD-100R-5 Pump
IWAKI MD-100RM Pump
IWAKI MD-15FX-N Pump
IWAKI MDG-R15C200 Pump
IWAKI MDH-400CV5-D Pump
IWAKI MDH-401RV5-E Pump
IWAKI MDH-423FE5-D Pump
IWAKI MDH-425RV5C-E Pump
IWAKI MDH-425RV6C-E PUMP
IWAKI MDM-25-1401EKKF015J-E2 Pump
IWAKI MDM25-1501EKKF022J-E2 Pump
IWAKI MDM25-1501PKKF022J-E2 Pump
IWAKI MDM25-1602EKKF055J-E2 Pump
IWAKI MDM40-1501PKKF037JB2 Pump
IWAKI MX-250CE5-2 Pump
IWAKI MX-250CV5-2 Pump
IWAKI MX-251RV5-2 Pump
IWAKI MX-400RE5-2 Pump
IWAKI MX-400RV5-2 Pump
IWAKI MX-402HRE6-2S PUMP
IWAKI MX-402HRV5-2 Pump
IWAKI MX-403HRE6C-2S PUMP
IWAKI MX-F100RVMY-32 Pump
IWAKI MX-F250CFVV-2 Pump
IWAKI MX-F400RFVV-2 Pump
IWAKI MX-F400RFVW-2 Pump
IWAKI MX-F401RFVV-2 Pump
IWAKI MX-F403CFVTC-2 Pump
IWAKI MX-F403HRE6C-2 Pump
IWAKI MX-F403RFVWC-2 Pump
IWAKI SMD-423CE5C-D Pump
IWAKI YD-101TTDE Pump
IWAKI YD-151ST PUMP
IWAKI MDH-401RE6-ES2 Iwaki MAPHET PUMP
IWAKI YD-151ST Diaphragm pump
IWAKI AC-1-5353 Pump controller
IWAKI MDH-F401AVX-E Pump component
IWAKI EH-C30SH-20JY9 Metering pump
IWAKI EH-C20FC-100PR2 Metering pump
IWAKI SB-5TH-ML-N-A5 Resist pump
IWAKI MDU-32 S4L07C Washing machine pump
IWAKI MDH-401RV6-E Feed pump
IWAKI EHD-B20VC-20J1 Metering pump
IWAKI EX-C60VH-100S Metering pump
IWAKI EH-B20VH-100PR1 Electromagnetic metering pump
IWAKI EH-C35FC-100PR6 Electromagnetic metering pump
IWAKI EHN-B21SH9R Electromagnetic metering pump
IWAKI EHN-C21SH9R Electromagnetic metering pump
IWAKI EHN-C36SH9R Electromagnetic metering pump
IWAKI MDH-30Y Peeling circulation pump
IWAYA 252STX604 Pump
KASHIYAMA HC30A Dry Pump
KASHIYAMA HC450U-13 Dry Pump
KASHIYAMA HC60B Dry Pump
KASHIYAMA HC60BU-003 DRY Pump
KASHIYAMA RD-90 Dry Pump
KASHIYAMA RD90 DRY Pump
KASHIYAMA RD90-1 DRY Pump
S090VⅢ DRY Pump
SD 90VⅢ DRY Pump
KASHIYAMA SD40V DRY Pump
KASHIYAMA SD40V1U-016-50 Dry Pump
KASHIYAMA SD60V DRY Pump
KASHIYAMA SD60V2 DRY Pump
SD60VⅡ DRY Pump
SD90VⅢ DRY Pump
KASHIYAMA SDE2003B-058A Dry Pump
KASHIYAMA SDE2003B-058A DRY Pump
KASHIYAMA SDE300 DRY Pump
KASHIYAMA SDE603A-037 DRY Pump
KASHIYAMA SDE603DL-006 DRY Pump
KASHIYAMA SDE90 DRY Pump
KASHIYAMA SDE90U-027 Dry Pump
KASHIYAMA SDE90U-038 DRY Pump
KASHIYAMA SDL30K-JM DRY Pump
SD60VⅡ DRY VACUUM PUMP
KASHIYAMA KMB1201PT MBP
KASHIYAMA KMB1201PT-23 MBP
KASHIYAMA KMB1201PT-30 MBP
KASHIYAMA KMB1201T MBP
KASHIYAMA KMB1203-1 MBP
KASHIYAMA KMB1203-7 MBP
KASHIYAMA KMB601 MBP
KASHIYAMA KMB601P MBP
KASHIYAMA KMB601T MBP
KASHIYAMA KMB603-1 MBP
KASHIYAMA KWB601T MBP
KASHIYAMA KMB1201PT Mechanical Booster Pump
KASHIYAMA KMB1201T Mechanical Booster Pump
KASHIYAMA KMB1201T-22 Mechanical Booster Pump
KASHIYAMA KMB301 Mechanical Booster Pump
KASHIYAMA KMB601 Mechanical Booster Pump
KASHIYAMA KMB601P Mechanical Booster Pump
KASHIYAMA KMB601PT Mechanical Booster Pump
KASHIYAMA KMB601T Mechanical Booster Pump
KASHIYAMA KMB601T-10 Mechanical Booster Pump
KASHIYAMA MU300 PUMP
KASHIYAMA SD220L-1 PUMP
KASHIYAMA KMB601T Roots Vacuum Pump
KASHIYAMA KRS-1301 Rotary pump
KASHIYAMA KRS-1301 Rotary Pump
SD60VⅡ SCREW DRY PUMP
KASHIYAMA SD60VⅡU-091-60 SCREW DRY PUMP
SD90VⅢ SCREW DRY PUMP
KASHIYAMA SDE90 Dry vacuum pump
KASHIYAMA KMB601 Mechanical booster
KASHIYAMA KMB1201T Mechanical booster pump
KASHIYAMA KMB601 Mechanical booster pump
KASHIYAMA KMB601T Mechanical booster pump
KASHIYAMA KMB601PT Sporophyll
KNF PJ23562-286.13 Pump
KYORITSU KIKO MGH-20N-2-F METERING PUMP
LEYBOLD SV630(F) Dry Pump
LEYBOLD VC300 Dry Pump
LEYBOLD WSU 501 11733 MBP
LEYBOLD WSU 2001 11753 Mechanical Booster Pump
LEYBOLD SV300B Pump
LEYBOLD WAU501 Pump
LEYBOLD VC300 Rotary pump
LEYBOLD NT 340 M Turbo pump controller
LEYBOLD MAG1600 Turbo Pump
LEYBOLD MAGW1600CT Turbo Pump
LEYBOLD TPH1600 Turbo Pump
LEYBOLD TPH520 Turbo Pump
LEYBOLD NT 340 M Turbo pump controller
MATSUMURA V-325 O-ring for internal turbo pump
MEDO INDUSTRIES VP0660×2 Vacuum Pump
MITSUBISHI FT-1200W TMP POWER SUPPLY
MITSUBISHI FT1200W-T7 TMP POWER SUPPLY
MITSUBISHI FT-1200W Turbo Pump Controller
MITSUBISHI FT1200W-T7 Turbo Pump Controller
MITSUBISHI FT-1200W-W1E1 Turbo Pump
MYOTOKU VLT10(01) Vacuum Pump
NATIONAL VP-5512A Oscilloscope
NEC laser automation DA-60S Diaphragm type dry vacuum pump
NIHON FEEDER INDUSTRIAL CSD-13 Diaphragm pump
NIKUNI 15KBD02S Pump
NIKUNI 15NPD02A Pump
NIKUNI 20NPD04A Pump
NIKUNI 25NPD07A Pump
NIKUNI 25NPD07A PUMP
NIKUNI 25NWD37Z Pump
NIKUNI 25ULD04A Pump
NIKUNI 32CED6-37ZE Pump
NIKUNI 32KHD22A Pump
NIKUNI 40CLE Pump
NIKUNI K499240CLE Pump
NIKUNI 32PFD15A-S Wet pump
NIKUNI 32UCP15Z Wet pump
NIKUNI 25ULD04-60HZ Cascade - Doponpu
NIKUNI 013-000208-1 Circulating pump
NIPPON KEIKI NS03D Pump controller
NIPPON PILLAR PACKING PPLG0133A Bellows pump
NISSAN EDWARDS S/N 22-957 L / L pump controller
NISSAN EDWARDS S/N 22-958 L / L pump controller
NISSAN EDWARDS S/N 22-959 L / L pump controller
NITTO KOHKI VP0625-V1014-A1-0001 MEDO VACUUM PUMP
NITTO KOHKI LV-660 Vacuum Pump
NITTO KOHKI LV-660-V1004-A2-0001 Vacuum Pump
NITTO KOHKI LV660-V1004-A1-0001 Vacuum Pump
OERLIKON LEYBOLD VACUUM M15 ECO DRY M
OERLIKON LEYBOLD VACUUM M15 ECO DRY
OERLIKON LEYBOLD VACUUM SV300B ROTARY VACUUM PUMP
ORION MACHINERY KD401 Dry Pump Head
ORION MACHINERY KD-401-301 DRY Pump
ORION MACHINERY KD401-101 Dry Pump
ORION MACHINERY KD401-101-GI Dry Pump
ORION MACHINERY KD401-101-GI DRY Pump
ORION MACHINERY KD401-301 Dry Pump
ORION MACHINERY KD401-301 DRY Pump
ORION MACHINERY KD751-301 Dry Pump
ORION MACHINERY KRF15-V-01 DRY Pump
ORION MACHINERY KRF15-V-02 Dry Pump
ORION MACHINERY KRF25-V-01 Dry Pump
ORION MACHINERY KRX1 Dry Pump
ORION MACHINERY KRX1 DRY Pump
ORION MACHINERY KRX3-SS-4001-G1 Dry Pump
ORION MACHINERY KRX3-SS-4001-G1 DRY Pump
ORION MACHINERY KRX3-SS-4002-G1 Dry Pump
ORION MACHINERY KRX3-SS-4002-G1 DRY Pump
ORION MACHINERY KRX6 Dry Pump
ORION MACHINERY KD-401-301 Vacuum Pump
ORION MACHINERY KRX-3-SS-4001-G1 Vacuum Pump
ORION MACHINERY VC-63+SF-JRV Vacuum Pump
ORION KRF15-V-01 DRY Pump
ORION KRF15A-V-01 DRY Pump
ORION KRF25-V-01 DRY Pump
ORION KRF40-V-01 DRY Pump
ORION KRX5-SS-7580-G1 DRY Pump
OSAKA VACUUM TS440VW HELICAL GROOVED PUMP
OSAKA VACUUM TG550CA PUMP
OSAKA VACUUM TC-1810 TMP CONT(B)
OSAKA VACUUM TC-1810 TMP CONT(C)
OSAKA VACUUM TC-1810 TMP CONT(D)
OSAKA VACUUM TC-1810 TMP CONT(E)
OSAKA VACUUM TC-1810 TMP CONT(F)
OSAKA VACUUM TC-1810 TMP CONT(G)
OSAKA VACUUM TC-1810 TMP CONT(H)
OSAKA VACUUM TD711/1111 TMP CONTROL CABLE
OSAKA VACUUM TD711/1111 TMP POWER SUPPLY
OSAKA VACUUM TG-1815 TMP(A)
OSAKA VACUUM TG-1815 TMP(B)
OSAKA VACUUM TG-1815 TMP(C)
OSAKA VACUUM TG-1815 TMP(D)
OSAKA VACUUM TG-1815 TMP(E)
OSAKA VACUUM TG-1815 TMP(F)
OSAKA VACUUM TG-1815 TMP(G)
OSAKA VACUUM TG-1815 TMP(H)
OSAKA VACUUM TD711/1111 TMP
OSAKA VACUUM TG1100FVAB TMP
Osaka Vacuum TG1400FCAB TMP
Osaka Vacuum TG1400FVAB-01 TMP
Osaka Vacuum TG1400FVAB-02 TMP
OSAKA VACUUM TG3410 TMP
Osaka Vacuum TC1103 TMP controller
OSAKA VACUUM TC1104 TMP controller
OSAKA VACUUM TC1104 TMP controller
OSAKA VACUUM TC3200 TMP controller
Osaka Vacuum TC1103 TMP power
Osaka Vacuum TC-1810 Turbo Pump Controller
OSAKA VACUUM TC-440 Turbo Pump Controller
Osaka Vacuum TC010MT Turbo Pump Controller
Osaka Vacuum TC1103 Turbo Pump Controller
Osaka Vacuum TC1104 Turbo Pump Controller
OSAKA VACUUM TC1140 Turbo Pump Controller
Osaka Vacuum TC350 Turbo Pump Controller
OSAKA VACUUM TC500 Turbo Pump Controller
Osaka Vacuum TC520 Turbo Pump Controller
Osaka Vacuum TD2001 Turbo Pump Controller
Osaka Vacuum TD2200 Turbo Pump Controller
Osaka Vacuum TD711/1111 Turbo Pump
Osaka Vacuum TG1100FVAB Turbo Pump
Osaka Vacuum TG1113EM Turbo Pump
OSAKA VACUUM TG1113EM Turbo Pump
Osaka Vacuum TG1300MIVWB-81 Turbo Pump
OSAKA VACUUM TG1300MIVWB-81 Turbo Pump
Osaka Vacuum TG1400FVWB Turbo Pump
Osaka Vacuum TG1815 Turbo Pump
OSAKA VACUUM TG1815 Turbo Pump
Osaka Vacuum TG2200M Turbo Pump
Osaka Vacuum TG2200MV Turbo Pump
OSAKA VACUUM TG2200MV Turbo Pump
Osaka Vacuum TG2400MV Turbo Pump
OSAKA VACUUM TG550 Turbo Pump
Osaka Vacuum TG550CA Turbo Pump
OSAKA VACUUM TG550CA Turbo Pump
Osaka Vacuum TG800FCAB Turbo Pump
OSAKA VACUUM TG800FCAB Turbo Pump
Osaka Vacuum TH350 Turbo Pump
Osaka Vacuum TH520 Turbo Pump
Osaka Vacuum TS440VW Turbo Pump
OSAKA VACUUM TS440VW Turbo Pump
OSAKA VACUUM TC010MT Turbo controller
Osaka Vacuum TG203CW(TC200) Turbo pump (with controller)
Osaka Vacuum TC1103 Turbo pump controller
OSAKA VACUUM TD701/1101 Turbo pump controller
OSAKA VACUUM TC550 Turbo pump power
OSAKA VACUUM TD2200 Turbo pump power
OSAKA VACUUM TG800FCAB Turbo-molecular pump
Pfeiffer TCP 380 TMP POWER SUPPLY
Pfeiffer TCP380 Turbo Pump Controller
Pfeiffer TCP5000 Turbo Pump Controller
Pfeiffer TPS600 PM041 821 AT Turbo Pump Controller
Pfeiffer TPH180H KGT Turbo Pump
Pfeiffer TPH2201SP DN250 ISO-K、3P Turbo Pump
Pfeiffer TPH260 DN100 ISO-K、2P Turbo Pump
Pfeiffer TCP5000 Turbo pump power
Pfeiffer TPH2102UP Turbo-molecular pump
PILLAR PE-20MA Bellows pump
PILLAR PE-20MA-P19 Bellows pump
PILLAR PE-40MA-S006 Bellows pump
PILLAR PE-5MA Bellows pump
PILLAR PB-3 Pump controller
Ransburg Industrial Finishing K.K. RIF-0.5-02A Gear Pump
RION KK-04 PUMP UNIT
SANWA HYDROTECH MP220 Magnet pump
SANWA HYDROTECH MP222 Magnet pump
SANWA HYDROTECH MP421 Pump
SANWA HYDROTECH MSW251-RA Pump
SANWA HYDROTECH MM-254 Cascade pump
SANWA MMP10 Pump
SANWA MP220 Pump
SATO VAC OFD-150S Dry Pump
SCHMID CE-25-00-02-02-9 Pump
SCHMID PUDO-020600-03311 Pump
SCHMID PUTA002300-02522 Pump
SEIKO SEIKI SCU-300H TMP CONTROL UNIT
SEIKO SEIKI SCU-STC-01 TMP TERMO CONTROLLER
SEIKO SEIKI STP-300H TMP
SEIKO SEIKI STP-H1000CV TMP controller
SEIKO SEIKI STP-200 Turbo Pump
SEIKO SEIKI STP-300H Turbo Pump
SHIMADZU IHCR-1400(1) INTERNAL HEATING CONTROLLER
SHIMADZU TMP-3031-403 SUITABLE PUMP
SHIMADZU E1-203 TMP Controller
SHIMADZU EI-1001 TMP CONTROLLER
SHIMADZU EI-D3203M TMP CONTROLLER
SHIMADZU EI-551 TMP POWER SOURCE
SHIMADZU TMP-1003LM TMP
SHIMADZU TMP-1003LM(LF) TMP
SHIMADZU TMP-1003LMC TMP
SHIMADZU TMP-2003LMEC TMP
SHIMADZU TMP-2003M TMP
SHIMADZU TMP-203LMC TMP
SHIMADZU TMP-3001LM TMP
SHIMADZU TMP-3003LM TMP
SHIMADZU TMP1000-L-F TMP
SHIMADZU TMP550-L TMP
SHIMADZU EI-1001 TMP controller
SHIMADZU EI-1501 TMP controller
SHIMADZU IHCR-1400(1) TMP heater power
SHIMADZU TPB-60 TMP heater power
SHIMADZU EI-1303M TMP power
SHIMADZU EI-2003M TMP power
SHIMADZU EI-2003M1 TMP power
SHIMADZU EI-3001M TMP power
SHIMADZU EI-3003MB1 TMP power
SHIMADZU EI-D1003M TMP power
SHIMADZU EI-D3203M TMP power
SHIMADZU TMP-803LMC TPM
SHIMADZU EI-1003 Turbo Pump Controller
SHIMADZU EI-1003M Turbo Pump Controller
SHIMADZU EI-1303ME Turbo Pump Controller
SHIMADZU EI-2003 Turbo Pump Controller
SHIMADZU EI-2003M Turbo Pump Controller
SHIMADZU EI-3001M Turbo Pump Controller
SHIMADZU EI-3003M Turbo Pump Controller
SHIMADZU EI-3003MB1 Turbo Pump Controller
SHIMADZU EI-D2203M Turbo Pump Controller
SHIMADZU EI-D2203MT Turbo Pump Controller
SHIMADZU 3003LM Turbo Pump
SHIMADZU TMP-1003LM Turbo Pump
SHIMADZU TMP-2003LMEC Turbo Pump
SHIMADZU TMP-2203LMCT(T3) Turbo Pump
SHIMADZU TMP-3001LM Turbo Pump
SHIMADZU TMP-303LM Turbo Pump
SHIMADZU TMP-3203LM Turbo Pump
SHIMADZU TMP-3304 Turbo Pump
SHIMADZU TMP550-L Turbo Pump
SHIMADZU TPM-2203LM Turbo Pump
SHIMADZU EI-D2203MT Turbo controller
SHIMADZU 262-76409-20V2 Turbo pump cable
SHIMADZU 262-78187-20V1 Turbo pump cable
SHIMADZU EI-D2203MT Turbo pump controller
SHINKO SEIKI SGD-400 Rotary Pump
SKYTORR TVP-60GT DRY Pump
SKYTORR TVP-60NA-G DRY Pump
STUBBE PUTA02155-000700 Circulation Pump
SUMITOMO MARATHON CP8 Cryo Pump
SUMITOMO HC-10L Cryo-compressor
SUZUKI SHOKAN CCT-C1000G Cryo Pump Compressor
SUZUKI SHOKAN SA-18TJ-01S Cryo Pump
TACMINA JS-20L-S Bellos Pump
TACMINA PX-12-VEC-HW Metering Pulsation Pump
TACMINA PX-52-VEC-HW Metering Pulsation Pump
TACMINA PZD-12-VEC-HWJ Pump
TACMINA PZD-52-VEC-HWJ Solenoid quantitatively driven pump
TAITEC CH-800B-1 COOLING PUMP
Tel SB-5TH-ML-N-A5 Bellows pump
TERADA S-250 Submersible pump
- TMP removable parts
TOKUDA DRP-1400 Rotary pump
TOKUDA DRP-1400 Rotary Pump
TOKYO SEIKI RP-1000 Pump
TOK - Sampling pump other accessories
TOYOTA EC100L DRY Pump
TRACO WORLD MFV-PPV-28/350-480/3-132-11 VERTICAL SEALLESS PUMP
TRUSCO - TMP exchange trolley
ULVAC CRYOGENICS C30PMVRT Cryo-compressor
ULVAC KIKO DA-241S Vacuum Pump
ULVAC C30 ADSORBER
ULVAC CRYO-U12HSP CP
ULVAC CRYO-U16P CP
ULVAC Cryo Pump
ULVAC C30 Cryo Pump Compressor
ULVAC C30MT Cryo Pump Compressor
ULVAC C30PV Cryo Pump Compressor
ULVAC C31MT Cryo Pump Compressor
ULVAC U108CWA Cryo Pump Compressor
ULVAC CRYO-T20 Cryo Pump
ULVAC CRYO-U 8H-U Cryo Pump
ULVAC CRYO-U 8H-U3 Cryo Pump
ULVAC CRYO-U 8HL Cryo Pump
ULVAC CRYO-U 8HSP Cryo Pump
ULVAC CRYO-U 8HSPL Cryo Pump
ULVAC CRYO-U10PU Cryo Pump
ULVAC CRYO-U12HSP Cryo Pump
ULVAC CRYO-U8H-U Cryo Pump
ULVAC CRYO-U8H-U3 Cryo Pump
ULVAC CRYO-U8HL Cryo Pump
ULVAC CRYO-U8HSP Cryo Pump
ULVAC CRYO-U8HSPL Cryo Pump
ULVAC CRYO-USHSP Cryo Pump
ULVAC R10 Cryo Pump
ULVAC U-12HL Cryo Pump
ULVAC U-8H Cryo Pump
ULVAC U12HSP Cryo Pump
ULVAC U8HL Cryo Pump
ULVAC U8HSP Cryo Pump
ULVAC DA-60S DIAPHRAGM VACUUM PUMP
ULVAC DAH-60 DIAPHRAGM VACUUM PUMP
ULVAC DA-121D DRY Pump
ULVAC DA-240S Dry Pump
ULVAC DA-40S DRY Pump
ULVAC DA-60S Dry Pump
ULVAC DAH-60 Dry Pump
ULVAC DAL-361S DRY Pump
ULVAC DAT-100S Dry Pump
ULVAC DAT-100S DRY Pump
ULVAC DOP-40D Dry Pump
ULVAC Drymac 090 DRY Pump
ULVAC KL-1000 DRY Pump
ULVAC KU-7214 DRY Pump
ULVAC KU7220 DRY Pump
ULVAC LR-600 DRY Pump
ULVAC LR1800 DRY Pump
ULVAC LR3600 DRY Pump
ULVAC LR60 DRY Pump
ULVAC LR600 DRY Pump
ULVAC PDR-060CHN DRY Pump
ULVAC PDR-060CLN DRY Pump
ULVAC PDR-090B Dry Pump
ULVAC PDR-090B DRY Pump
ULVAC PDR-090CHN Dry Pump
ULVAC PDR-090CL Dry Pump
ULVAC PDR-090CU Dry Pump
ULVAC PDR-090CU DRY Pump
ULVAC PDR-090CUN Dry Pump
ULVAC PDR-090CUN DRY Pump
ULVAC PDR-180C DRY Pump
ULVAC PDR-180CN DRY Pump
ULVAC PK241 Dry Pump
ULVAC UR1800 DRY Pump
ULVAC LR1800 DRYPUMP
ULVAC LR60 DRYPUMP
ULVAC LR600 DRYPUMP
ULVAC PMB003CM MBP
ULVAC PMB006CM MBP
ULVAC PMB012CM MBP
ULVAC PRC-012AS MBP
ULVAC PRV-006AS MBP
ULVAC PMB-003CM Mechanical Booster Pump
ULVAC PMB-006CM Mechanical Booster Pump
ULVAC PMB-012CM Mechanical Booster Pump
ULVAC PRC-012AS MECHANICAL BOOSTER PUMP
ULVAC VS2401 OIL ROTARY VACUUM PUMP
ULVAC DA-241S Pump
ULVAC DA-30S Pump
ULVAC DA-40S Pump
ULVAC DA120S Pump
ULVAC DSB-601 Pump
ULVAC GHD-030 Pump
ULVAC INR-244-633 Pump
ULVAC LR1800 PUMP
ULVAC LR600 PUMP
ULVAC D-240DK Rotary pump
ULVAC D-330DK Rotary pump
ULVAC D-650D Rotary pump
ULVAC D-650DK Rotary Pump
ULVAC EC-803 Rotary Pump
ULVAC EC403 Rotary pump
ULVAC EC803 Rotary pump
ULVAC G-100S Rotary Pump
ULVAC GLD-135 Rotary pump
ULVAC GVD100 Rotary Pump
ULVAC VS1501 Rotary pump
ULVAC VS2401 Rotary pump
ULVAC VS2401 Rotary Pump
ULVAC EC603 RP
ULVAC EC803 RP
ULVAC UTM-350FH/D1C TMP
ULVAC UTM-350FH/D3C TMP
ULVAC UTM-6300FH/D3A TMP
ULVAC UTM-800FW/D3A TMP
ULVAC UTM1400FW/D1K TMP
ULVAC UTM350FW/D1A TMP
ULVAC FTI-480W-D3-RBQ TMP POWER SUPPLY
ULVAC FTI-480W-D3-RCW TMP POWER SUPPLY
ULVAC FTI-480W-D3-RCZ TMP POWER SUPPLY
ULVAC FTI-6300W-D1-V TMP POWER SUPPLY
ULVAC FTI-6300W-D3-RCY TMP POWER SUPPLY
ULVAC FTI-6300W-D3-RCZ TMP POWER SUPPLY
ULVAC FTI-800W-D3-RCW TMP POWER SUPPLY
ULVAC FTI-800W-D3-RCZ TMP POWER SUPPLY
ULVAC 300FW Turbo Pump ENCODER, 2048, HAZ AREA
编码器,2048,危险区域 N10843
SOLENOID 螺线管 H10151
AIR CONDITIONER 空调
208/230-1PH-60HZ 36000BTU
220-1PH-50HZ-29880BTU
FRIEDRICH 弗里德里希 E30-1006-030
INPUT ANALOG 4 CH, 4-20mA, POINT I/O
输入模拟 4 通道,4-20mA,点 I/O N10923
FIBER OPTIC, NEMA 4X, WALL MOUNT, W/DUPLEX
光纤,NEMA 4X,壁挂式,W/DUPLEX E15759
OUTPUT, DIGITAL, 4 RELAY
输出,数字,4 继电器 N10641
INPUT, ANALOG 8 CH CURRENT POINT I/O
输入,模拟 8 通道电流点 I/O N10613
COMPACTLOGIX PROGRAMMABLE CONTROLLER COMPACTLOGIX 可编程控制器 N10942
INPUT, DIGITAL, 24VDC, 8CH , POINT I/O
输入, 数字, 24VDC, 8CH , POINT I/O N10612
VFD, DRIVE, 690 VAC, 15 HP, 20 AMP
变频器驱动690 VAC,15 HP,20 AMP E12885
VLV, HYD, DIR, 2 POS, 4 WAY, D03 H03-1024-010
VLV, HYD, DIR, 3 POS, 4 WAY, 24V, D03 H10059
VLV, HYD, DIR, 2 POS, 4 WAY, 24V, D03 H10142
VLV, HYD, DIR, 3 POS, 4 WAY, 24V, D03 H10053
TRANSMITTER, PRESS, 4-20mA, 3000PSI
变送器,压力机,4-20mA,3000PSI N10350
CABLE, 4 C #16 AWG, EXANE, 600V
电缆,4 C #16 AWG,EXANE,600V E03-1023-010
CIRCUIT BKR, 100A, 3P, 25 KIC, UV
电路 BKR,100A,3P,25 KIC,UV E10551
CIRCUIT BREAKER, 70A, H-FRAME
断路器,70A,H 型 E14238
INVERTER MODULE逆变器模块
Model: MVD300-4602, 型号:MVD300-4602,
Continuous AC Current: 300/400A,
连续交流电流:300/400A,
3 PH AC Voltage: 0-690V, Frequency: 0-200Hz (Output)
三相交流电压:0-690V,频率:0-200Hz(输出)
DC Current: 346/461A (approx.)
直流电流:346/461A(大约)
DC withstand Voltage: 1200V 直流耐压:1200V E15210
RTD,100 OHM, PLATINUM, 3WIRE, 2 ½ in
RTD,100 欧姆,铂金,3 线,2 ½ 英寸 E11088
Flex Analog Input Cat. No. 1794-IE8
Flex 模拟输入目录 编号 1794-IE8 N21-3002-010
OUTPUT, DIGITAL, 24V, 8 CH, POINT I/O
输出,数字,24V,8 通道,点 I/O N10647
OUTPUT, DIGITAL, 8PT, 24VDC, FLEX I/O
输出,数字,8PT,24VDC,FLEX I/O N21-3001-010
INPUT, DIGITAL, 16PT, 24VDC, FLEX I/O
输入,数字,16PT,24VDC,FLEX I/O N21-3000-010
ETAP,DLR, COPPER PORT, 2 FIBER PORTS
ETAP、DLR、铜端口、2 个光纤端口 N10880
ETAP, DLR, 2 COPPER PORTS, 1 FIBER PORT
ETAP、DLR、2 个铜端口、1 个光纤端口 N10879
PRESSURE SWITCH 压力开关 N10161
FUSE, DC BUS, SEMICONDUCT, 1300V/630A
保险丝,直流母线,半导体,1300V/630A E15676
FUSE, AC BUS, SEMICONDUCT, 650V/1600A
保险丝,交流总线,半导体,650V/1600A E15677
HPU TRANSMITTER/ELEMENT, TEMPERATURE
HPU 变送器/元件,温度 E11416
ASSY, CABLE, FIBER OPTIC, 6 CH RECEPTACLE
组件,电缆,光纤,6 通道插座 AY19271-3
ASSY,CABLE,FIBER OPTIC,6 CH RECEPTACLE
组件,电缆,光纤,6 通道插座 AY19271-30
ASSY,CABLE,FIBER OPTIC,6 CH,PLUGGED
组件,电缆,光纤,6 通道插头 AY19270-180
ASSY, CABLE, FIBER OPTIC, 6 CH PLUGGED
组件,电缆,光纤,6 通道插头
AY19270-230
ASSY, CABLE, FIBER OPTIC, 6 CH PLUGGED
组件,电缆,光纤,6 通道插头
AY19270-80
ASSY, CABLE, FIBER OPTIC, 6 CH PLUGGED
组件,电缆,光纤,6 通道插头
AY19270-110
CABLE, 4 C #8 AWG, PEND,POLYRAD XT,600V
电缆 E10196
CABLE, 10 C #12 AWG, PERFECT-A-FLEX,600V
电缆,10 C #12 AWG,PERFECT-A-FLEX,600V E11302
CABLE, 4 C #8 AWG, 600V, GENERIC
电缆,4 C #8 AWG,600V,通用 E03-1019-010
CABLE, 10 C #12 AWG, 600V, GENERIC
电缆,10 C #12 AWG,600V,通用 E11302
CABLE, 10 C #16 AWG, 600V, GENERIC
电缆,10 C #16 AWG,600V,通用 E03-1034-010
CABLE, 4 TP 20 AWG, SHLD
电缆,4 TP 20 AWG,SHLD E14168
CABLE, 7 C #10 AWG, 600V, TYFL
电缆,7 C #10 AWG,600V,TYFL E11059
ASSY, CABLE, FIBER OPTIC, 6 CH PLUG/RECPT
组件、电缆、光纤、6 通道插头/接收器 AY19773-30
ENCODER OPTICAL ISOLATOR MODULE, 5V OUT编码器光隔离器模块,5V 输出 N10922
RTD, DYNAMIC BRAKE RESISTOR
RTD,动态制动电阻器 E13224
DYNAMIC BRAKE, 800AMP, 575VAC/940VDC
动态制动,800AMP,575VAC/940VDC E15682
MICROSWITCH, INDICATOR, INVERTER, 630A
微动开关,指示灯,逆变器,630A E15299
MICROSWITCH, RECTIFIER FUSE
微动开关,整流器保险丝 E15301
CANBUS, BUS COUPLER,24 VDC,2 x 5 POS.
CANBUS,总线耦合器,24 VDC,2 x 5 POS。 E15173
INLINE ANALOG OUTPUT TERMINAL BLOCK
在线模拟输出端子块 E15709
RELAY, SAFETY, 2A/2B, CONTACTS,24VDC
继电器,安全,2A/2B,触点,24VDC E15688
FUSE,600V,10AMP,CL CC,TDEL,REJ,MIDGET
HE 02 N Handeinstellung 0-10V (Schalttafeleinbau 48 x 48 mm) 1x 24V AC/DC 1x 10V / 20mA DC
HSE 02/N Handbedienebene 0-10V (Schalttafeleinbau 48 x 48 mm) 1x 10V / 20mA DC 1x 10V / 20mA DC Hand/Auto
KB-MVC-4_2 Funktionsbaustein für Steueraufgaben (programmierbar) 4x ... 1x Schließer 10V 20mA EVG
LC-MV-U2.10V Messverstärker, Signalaufsplittung 0-10V, 2x Ausgang 2x 10V DC 2x 10V DC
LC-TV-1I.1I Trennverstärker, 1I.1I, 1 Kanal 1x 20mA DC 1x 20mA DC
LC-TV-1U.1I Trennverstärker, 1U.1I, 1 Kanal 1x 10V DC 1x 20mA DC
LC-TV-2I.2I Trennverstärker, 2I.2I, 2 Kanäle 2x 20mA DC 2x 20mA DC
LC-TV-2U.2I Trennverstärker, 2U.2I, 2 Kanäle 2x 10V DC 2x 20mA DC
LC-TV-4I.4I Trennverstärker, 4I.4I, 4 Kanäle 4x 20mA DC 4x 20mA DC
LC-TV-4U.4I Trennverstärker, 4U.4I, 4 Kanäle 4x 10V DC 4x 20mA DC
MO-MV-THERMO ... Messverstärker, Thermoelement (Typ E,J,K,T,R,S) Modulgehäuse 1x Thermoelement 1x 4 - 20mA DC
MU-2P.UI /24V Umsetzung von 2Punkt (2 Stufen) nach Spannung/Strom, 24V Versorgung 2x 24/230V (2 Stufen) 1x 10V 20mA DC
MU-2xU.DIV Analog-Dividierer, C=A/B, einstellbar 2x 10V DC 1x 10V + 20mA DC
MU-3P.UI /230V Umsetzung von 3Punkt Auf Zu nach stetig/analog für z.B. Ventilantriebe mit stetigem Analogeingang, 230V Versorgung 2x 24/230V 1x 10V 20mA DC
MU-3P.UI /230V /HE Umsetzung von 3Punkt Auf Zu nach stetig/analog für z.B. Ventilantriebe mit stetigem Analogeingang, 230V Versorgung mit Handebene 2x 24/230V 1x 10V 20mA DC
MU-3P.UI /24V Umsetzung von 3Punkt Auf Zu nach stetig/analog für z.B. Ventilantriebe mit stetigem Analogeingang, 24V Versorgung 2x 24/230V 1x 10V 20mA DC
MU-3P.UI /24V /HE Umsetzung von 3Punkt Auf Zu nach stetig/analog für z.B. Ventilantriebe mit stetigem Analogeingang, 24V Versorgung mit Handebene 2x 24/230V 1x 10V 20mA DC
MU-3P.UI /24V /S4 Umsetzung von 3Punkt Auf Zu nach stetig/analog für z.B. Ventilantriebe mit stetigem Analogeingang, 24V Versorgung (mit Speicherfunktion) 2x 24/230V 1x 10V 20mA DC
MU-F ... Messumsetzer, Frequenz - Spannung/Strom 1x ... Hz 1x 10V + 20mA DC
MU-U.TAB Kennlinie nach EXCEL Tabelle für beliebige auch nichtlineare Verläufe (logarithmisch, stufig, ...) 1x ... V DC 1x 10V + 20mA DC
MU-VZ-U.U Messwertverzögerung, Integrator, Rampenfunktion 1x 10V DC 1x 10V + 20mA DC
MV-12xU_MAX Maximalwertausgabe, 12x Spannungseingang 12x 10V DC 1x 10V
MV-8xIN Minimalwert-, Maximalwert-, Mittelwert-, Summierer- Auswahlbaustein mit Wahlschalter, 8 Eingänge 8x Signal 1x 10V + 20mA DC
MV-AD592 Messverstärker, AD592 1x AD592 Sensor 1x 10V + 20mA DC
MV-BALCO500 ... Messverstärker, BALCO500 1x BALCO 500 1x 10V + 20mA DC
MV-BGZ-I Begrenzung des Stromes, maximaler Schleifenstrom 1x 0-20mA 1x 3,5-30mA
MV-HE-I20mA Handeinstellung Analog, 0-20mA (Aufschnappgehäuse) 1x 24V AC/DC 1x 20mA DC Hand
MV-HSE-I20mA Handbedienebene Analog, 0-20mA (Aufschnappgehäuse) 1x 20mA DC 1x 20mA DC Hand/Auto
MV-HSE-U10V Handbedienebene Analog, 0-10V (Aufschnappgehäuse) 1x 10V DC 1x 10V DC Hand/Auto
MV-I ... Messverstärker, Buffer 1x ... mA DC 1x 10V + 20mA DC
MV-I2-I1 Differenzverstärker, subtrahiert I1 von I2 2x 20mA DC 1x 10V + 20mA DC
MV-I-INV ... Messverstärker, Eingang-Ausgang invertiert 1x ... mA DC 1x 10V + 20mA DC
MV-I-MAX Maximalwertausgabe, 2x Stromeingang 2x 20mA DC 1x 10V + 20mA DC
MV-I-MIN Minimalwertausgabe, 2x Stromeingang 2x 20mA DC 1x 10V + 20mA DC
MV-KP10 ... Messverstärker, KP10 1x KP10 1x 10V + 20mA DC
MV-KP250 ... Messverstärker, KP250 1x KP250 1x 10V + 20mA DC
MV-KTY ... Messverstärker, KTY ... 1x KTY... 1x 10V + 20mA DC
MV-LU ... Messverstärker, mV Eingang, (Strom-Shunt-Verst.) 1x ... mV DC 1x 10V + 20mA DC
MV-LUX ... Messverstärker, Lichtsensor LF 1 (Helligkeitsmessung) 1x LF1 LUX-Sensor 1x 10V + 20mA DC
MV-MI ... Mittelwertbildung, 3 (4) Eingänge 3x (4x) ...V/mA DC 1x 10V + 20mA DC
MV-MIN-MAX.10V Minimal- und Maximalwertausgabe, 2 Eingänge 2x 10V DC 2x 10V DC
MV-NI1000 ... Messverstärker, NI1000 1x NI1000, NI200 1x 10V + 20mA DC
MV-NTC ... Messverstärker, NTC Sensor 1x NTC Sensor n.A. 1x 10V + 20mA DC
MV-PT100 ... Messverstärker, PT100 1x PT100 Dreileiter 1x 10V + 20mA DC
MV-PT1000 ... Messverstärker, PT1000 1x PT1000 1x 10V + 20mA DC
MV-PT100x2OUT … Messverstärker, PT100 1x PT100 Dreileiter 2x 10V + 20mA DC
MV-PTC ... Messverstärker, PTC Sensor 1x PTC Sensor n.A. 1x 10V + 20mA DC
MV-R ... Messverstärker, Widerstandseingang, Poti 1x ... Ohm 1x 10V + 20mA DC
MV-R-3L ... Messverstärker, Poti-Dreileitereingang 1x Poti ... Ohm 1x 10V + 20mA DC
MV-SU ... Analog-Summierer, 3 (4) Eingänge 3x (4x) ...V/mA DC 1x 10V + 20mA DC
MV-SU8 ... Analog-Summierer, 8 Eingänge (Stufenschaltg.-Analogausgang) 8x ...V/mA DC 1x 10V + 20mA DC
MV-T1 Messverstärker, T1 Sensor 1x T1 Sensor 1x 10V + 20mA DC
MV-THERMO ... Messverstärker, Thermoelement (Typ E,J,K,T,R,S) 1x Thermoelement 1x 10V + 20mA DC
MV-U ... Messerstärker, Buffer 1x ... V DC 1x 10V + 20mA DC
MV-U2 ... Messerstärker, mit zwei Ausgängen 1x ... V DC 2x 10V + 20mA DC
MV-U2-U1 Differenzverstärker, subtrahiert U1 von U2 2x 10V DC 1x 10V + 20mA DC
MV-U-BR ... Messverstärker, Eingang Meßbrücke 1x ... mV Meßbrücke 1x 10V + 20mA DC
MV-U-INV ... Messverstärker, Eingang-Ausgang invertiert 1x ... V DC 1x 10V + 20mA DC
MV-U-MAX Maximalwertausgabe aus 2 Spannungseingängen 2x 10V DC 1x 10V + 20mA DC
MV-U-MIN Minimalwertausgabe aus 2 Spannungseingängen 2x 10V DC 1x 10V + 20mA DC
NP-..xTV-U/I.4-20mA Trennverstärker, Strom od. Spannungseingang ohne Hilfsspannung (Stromschleifenspeisung) 1x 10V 20mA DC 1x 4-20mA DC
NP-MV-XxNTC Messverstärker für NTC-Temperatursensoren (Stromschleifenspeisung) 1x Sensor 1x 4-20mA DC
NP-MV-XxPT.4-20mA Messverstärker für PT100 PT1000 (Stromschleifenspeisung) 1x Sensor 1x 4-20mA DC
NP-MV-XxR.4-20mA Messverstärker für Potentiometer (Stromschleifenspeisung) 1x Sensor 1x 4-20mA DC
NP-MV-XxSENSOR Messverstärker für Temperatursensoren ohne Hilfsspannung (Stromschleifenspeisung) 1x Sensor 1x 4-20mA DC
NP-TV-LI… Trennverstärker ohne Hilfsenergie für kleine Ströme uA/mA 1x 4-20mA DC 1x 4-20mA DC
SMV-2xNI1000-LS.UI Messverstärker Sensoreingang Bereichsumschaltung 2x Ni1000 2x 10V + 20mA DC
TV-1xUI Universal Trennverstärker mit Bereichsumschaltung (1 Kanal) 1x 10V 20mA 1x 10V 20mA
TV-2xUI Universal Trennverstärker mit Bereichsumschaltung (2 Kanal) 2x 10V 20mA 2x 10V 20mA
TV-4xUI Universal Trennverstärker mit Bereichsumschaltung (4 Kanal) 4x 10V 20mA 4x 10V 20mA
TV-H-LU Trennverstärker, mV Eingang, hohe Isolationsspannung 1x 0-60 mV DC 1x 10V + 20mA DC
TV-H-U ... Trennverstärker, hohe Isolationsspannung 1x bis 1000V DC 1x 10V + 20mA DC
TV-I ... Trennverstärker, Stromeingang 1x ... mA DC 1x 10V + 20mA DC
TV-I2 ... Trennverstärker mit zwei Ausgängen 1x ... mA DC 2x 10V + 20mA DC
TV-I-AC ... Trennverstärker, Wechselstromeingang (Stromwandler) 1x ... A AC 1x 10V + 20mA DC
TV-I-AC10A.10V Trennverstärker, Wechselstromeingang (Stromwandler) 1x 10A AC (20A) 1x 10V + 20mA DC
TV-I-DC ... Trennverstärker, Gleichstromeingang 1x ... A DC 1x 10V + 20mA DC
TV-I-DC10A.10V Trennverstärker, Gleichstromeingang 1x 10A DC (20A) 1x 10V + 20mA DC
TV-I-INV ... Trennverstärker, Eingang-Ausgang invertiert 1x ... mA DC 1x 10V + 20mA DC
TV-KP10 Trennverstärker, KTY ... 1x KTY... 1x 10V + 20mA DC
TV-LI ... Trennverstärker, µA Eingang 1x ... µA DC 1x 10V + 20mA DC
TV-LU ... Trennverstärker, mV Eingang, (Strom-Shunt-Verst.) 1x ... mV DC 1x 10V + 20mA DC
TV-LU-AC... Trennverstärker, Wechselspannungseingang 0-...mV 1x ... mV AC 1x 10V + 20mA DC
TV-NI1000 2xOUT Trennverstärker, NI1000 1x NI1000 2x 10V + 20mA DC
TV-NI1000... Trennverstärker, NI1000 1x NI1000 1x 10V + 20mA DC
TV-NTC Trennverstärker, NTC 1x NTC 1x 10V + 20mA DC
TV-PT100 ... Trennverstärker, PT100 1x PT100 Dreileiter 1x 10V + 20mA DC
TV-PT1000 ... Trennverstärker, PT1000 1x PT1000 1x 10V + 20mA DC
TV-PT1000.2xOUT Trennverstärker, PT1000 1x PT1000 2x 10V + 20mA DC
TV-PT100x2OUT ... Trennverstärker, PT100 1x PT100 Dreileiter 2x 10V + 20mA DC
TV-R ... Trennverstärker, Widerstandseingang, Poti 1x ... Ohm 1x 10V + 20mA DC
TV-R.UI.K1 Überwachung Rohrleitung Fernheizrohre 1x Widerstand 1x 10V 20mA + Kontakt
TV-R-3L … Trennverstärker, Poti-Dreileitereingang 1x Poti ... Ohm 1x 10V + 20mA DC
TV-THERMO Trennverstärker, Thermoelement (Typ E,J,K,T,R,S) 1x Thermoelement 1x 10V + 20mA DC
TV-U ... Trennverstärker 1x ... V DC 1x 10V + 20mA DC
CT-001 Steuergerät I, U, R 4x Kontakt
CT-20 /N Temperaturregler Differenzregler Eingang (PT1000, Spannung, Strom) Ausgang (3Punkt 0-10V) optional RS485 MODBUS 10V, 20mA, PT1000 Kontakt BUS
CU-2xXXX.K4 Regler / Grenzwertschalter mit Anzeige 10V 20mA Sensor 4x Kontakt 1x 10V BUS
CU-3xXXX.K4/3Z Temperaturregler 3 Kanal 10V 20mA PT1000 4x Kontakt 1x 10V BUS
CU-DIFF-2xPT100.K4/P/3Z Differenzregler 2x PT100 4x Kontakt 1x 10V BUS
CU-DIFF-2xPT1000.K4/P/3Z Grenzwertschalter Anzeige Maximalwert 2x PT1000 4x Kontakt 1x 10V BUS
CU-MAX-3xPT1000.K4/VZ Grenzwertschalter Anzeige Maximalwert 3x PT1000 4x Kontakt 1x 10V BUS
CU-T.K2 Grenzwertschalter Anzeige Zeitschalter (COUNT DOWN TIMER) - 2x Kontakt BUS
CU-XXX.K4/R Temperaturregler Anzeige 1x PT1000 / U / I 4x Kontakt 1x 10V BUS
GS-AL ... Alarmgeber-Auswertung ohne Meldelinienüberwachung 1x Alarm-Linie 1x Umschaltkontakt
GS-AL-LI ... Alarmgeber-Auswertung mit Meldelinienüberwachung 1x Alarm-Linie 1x Umschaltkontakt
GS-INI-K1 ... Grenzwertschalter, NAMUR 1x NAMUR 1x Umschaltkontakt
GS-NR31 Füllstand Überwachung Melder Stabsonden 3 + 1 Sensor 1x Kontakt
GS-R ... Grenzwertschalter, Widerstandseingang 1x ... Ohm 1x Umschaltkontakt
GS-R2 ... Grenzwertschalter, Widerstandseingang, 2x Ausgangsrelais 1x ... Ohm 2x Umschaltkontakt
GS-R-BALCO500 ... Grenzwertschalter, Eingang Sensor 1x Sensor BALCO500 1x Umschaltkontakt
GS-R-KP10 ... Grenzwertschalter, Eingang Sensor 1x Sensor KP10 1x Umschaltkontakt
GS-R-KP250 ... Grenzwertschalter, Eingang Sensor 1x Sensor KP250 1x Umschaltkontakt
GS-R-KTY ... Grenzwertschalter, Eingang Sensor 1x Sensor 1x Umschaltkontakt
GS-R-NI1000 ... Grenzwertschalter, Eingang Sensor 1x Sensor NI1000 1x Umschaltkontakt
GS-R-NTC ... Grenzwertschalter, Eingang Sensor 1x Sensor NTC 1x Umschaltkontakt
GS-R-PT100 ... Grenzwertschalter, Eingang Sensor 1x Sensor Pt100 1x Umschaltkontakt
GS-R-PT1000 ... Grenzwertschalter, Eingang Sensor 1x Sensor Pt1000 1x Umschaltkontakt
GS-R-PTC ... Grenzwertschalter, Eingang Sensor 1x Sensor PTC 1x Umschaltkontakt
GS-THERMO ... Grenzwertschalter, Eingang Thermoelement 1x Thermoelement 1x Umschaltkontakt
GS-U-BR ... Grenzwertschalter, Eingang Messbrücke 1x Messbrücke 1x Schliesserkontakt
HR 01 Handeinstellung 0-10kOhm (Schalttafeleinbau 28 x 28 mm) Handeinstellung 1x 0 - ... kOhm
HR 02/N Handeinstellung 0-10kOhm (Schalttafeleinbau 48 x 48 mm) Handeinstellung 1x 0 - ... kOhm
LC-MV-1xKP10 ... Messverstärker, KP10, 1 Kanal 1x KP10 / LM135Z 1x 10V DC
LC-MV-1xKP250 ... Messverstärker, KP250, 1 Kanäle 1x KP250 1x 10V DC
LC-MV-1xKTY ... Messverstärker, KTY, 1 Kanal 4x KPY ... 4x 10V DC
LC-MV-1xNI1000 ... Messverstärker, NI1000, 1 Kanal 1x NI1000,NI200 1x 10V DC
LC-MV-1xPT100 Messverstärker, PT100, 1 Kanal 1x PT100 Dreileiter 1x 10V DC
LC-MV-1xPT1000 ... Messverstärker, PT1000, 1 Kanal 1x PT1000 1x 10V DC
LC-MV-1xR Messverstärker, Widerstand / Poti, 1 Kanal 1x Widerstand, Poti 1x 10V DC
LC-MV-2xKP10 ... Messverstärker, KP10, 2 Kanäle 2x KP10 / LM135Z 2x 10V DC
LC-MV-2xKP250 ... Messverstärker, KP250, 2 Kanäle 2x KP250 2x 10V DC
LC-MV-2xKTY... Messverstärker, KTY, 2 Kanäle 2x KTY (10, 81, ...) 2x 10V DC
LC-MV-2xNI1000 ... Messverstärker, NI1000, 2 Kanäle 2x NI1000,NI200 2x 10V DC
LC-MV-2xPT100 ... Messverstärker, PT100, 2 Kanäle 2x PT100 Dreileiter 2x 10V DC
LC-MV-2xPT1000 ... Messverstärker, PT1000, 2 Kanäle 2x PT1000 2x 10V DC
LC-MV-2xR Messverstärker, Widerstand / Poti, 2 Kanäle 2x Widerstand, Poti 2x 10V DC
LC-MV-4xKP10 ... Messverstärker, KP10, 4 Kanäle 4x KP10 / LM135Z 4x 10V DC
LC-MV-4xKP250 ... Messverstärker, KP250, 4 Kanäle 4x KP250 4x 10V DC
LC-MV-4xKTY... Messverstärker, KTY, 4 Kanäle 4x KTY (10, 81, ...) 4x 10V DC
LC-MV-4xNI1000 ... Messverstärker, NI1000, 4 Kanäle 4x NI1000,NI200 4x 10V DC
LC-MV-4xPT100 ... Messverstärker, PT100, 4 Kanäle 4x PT100 Dreileiter 4x 10V DC
LC-MV-4xPT1000 ... Messverstärker, PT1000, 4 Kanäle 4x PT1000 4x 10V DC
LC-MV-4xR Messverstärker, Widerstand / Poti, 4 Kanäle 4x Widerstand, Poti 4x 10V DC
LCU-xxPA.S1 Luftdrucksensor erfasst/überwacht den Druck / Differenzdruck im Wohnbereich zwischen zwei Räumen (Schaltkontakt) 1x Sensor 1x Umschaltkontakt
LCU-xxxPa.K1/xxxPa.10V Luftstromüberwachung 1x Sensor 1x 10V + Umschaltkontakt
LF1 (LF8) Lichtsensor LF1/LF8 (Einbauversion) 1x 0-20.000 Lux 1x Meßverst. MV-LUX
MLS31A Flüssigkeitssensor Pegelsensor 1x Sensor 1x Öffnerkontakt
MO-MV-LUX ... Messverstärker, Lichtsensor LF 1 Modulgehäuse 1x LF1 LUX-Sensor 1x 10V DC
MO-MV-THERMO ... Messverstärker, Thermoelement (Typ E,J,K,T,R,S) Modulgehäuse 1x Thermoelement 1x 4 - 20mA DC
MUX-I 16 Analogmultiplexer 16 zu 1 (Strom nach Spannung) 16x 20mA DC 1x 10V DC
MUX-U 16 Analogmultiplexer 16 zu 1, Eingang 16x Spannung 16x 10V DC 1x 10V DC
MV-AD592 Messverstärker, AD592 1x AD592 Sensor 1x 10V + 20mA DC
MV-BALCO500 ... Messverstärker, BALCO500 1x BALCO 500 1x 10V + 20mA DC
MV-KP10 ... Messverstärker, KP10 1x KP10 1x 10V + 20mA DC
MV-KP250 ... Messverstärker, KP250 1x KP250 1x 10V + 20mA DC
MV-KP250.KP10 Messverstärker, KP250, Ausgang KP10 1x KP250 1x KP10
MV-KTY ... Messverstärker, KTY ... 1x KTY... 1x 10V + 20mA DC
MV-LUX ... Messverstärker, Lichtsensor LF 1 (Helligkeitsmessung) 1x LF1 LUX-Sensor 1x 10V + 20mA DC
MV-NI1000 ... Messverstärker, NI1000 1x NI1000, NI200 1x 10V + 20mA DC
MV-NTC ... Messverstärker, NTC Sensor 1x NTC Sensor n.A. 1x 10V + 20mA DC
MV-PT100 ... Messverstärker, PT100 1x PT100 Dreileiter 1x 10V + 20mA DC
MV-PT100.KP10 Messverstärker, PT100, Ausgang KP10 1x PT100 Dreileiter 1x KP10
MV-PT1000 ... Messverstärker, PT1000 1x PT1000 1x 10V + 20mA DC
MV-PT1000.KP10 Messverstärker, PT1000 1x PT1000 1x KP10
MV-PT100x2OUT … Messverstärker, PT100 1x PT100 Dreileiter 2x 10V + 20mA DC
MV-PTC ... Messverstärker, PTC Sensor 1x PTC Sensor n.A. 1x 10V + 20mA DC
MV-R ... Messverstärker, Widerstandseingang, Poti 1x ... Ohm 1x 10V + 20mA DC
MV-R-3L ... Messverstärker, Poti-Dreileitereingang 1x Poti ... Ohm 1x 10V + 20mA DC
MV-T1 Messverstärker, T1 Sensor 1x T1 Sensor 1x 10V + 20mA DC
MV-THERMO ... Messverstärker, Thermoelement (Typ E,J,K,T,R,S) 1x Thermoelement 1x 10V + 20mA DC
MV-U-BR / S51 Messverstärker, Eingang Meßbrücke 1x ... mV Meßbrücke 1x +-10V
MV-U-BR / S54 Messverstärker, Eingang Meßbrücke 4x ... mV Meßbrücke 4x +-10V
NP-MV-XxNTC Messverstärker für NTC-Temperatursensoren (Stromschleifenspeisung) 1x Sensor 1x 4-20mA DC
NP-MV-XxPT.4-20mA Messverstärker für PT100 PT1000 (Stromschleifenspeisung) 1x Sensor 1x 4-20mA DC
NP-MV-XxR.4-20mA Messverstärker für Potentiometer (Stromschleifenspeisung) 1x Sensor 1x 4-20mA DC
NP-MV-XxSENSOR Messverstärker für Temperatursensoren ohne Hilfsspannung (Stromschleifenspeisung) 1x Sensor 1x 4-20mA DC
PLU-A-16.16 Programmierbarer Analogbaustein 16x R, Sensor 16x 10V
PLU-X.X Programmierbarer Analogbaustein 4x 10V, 24V 4x Schliesser, 10V
SB-...12 Widerstandsblock, 12x Widerstand, Kaltleiter, Diode n. Angabe, 24x 2 Klemmen () 12x Widerstand 12x Widerstand
SB-MATRIX 8X4 A Schalt-/Abfrage Matrix 8x4, 32 Eingänge 23x Taste, Kontakt 8x4 Abfragematrix, digital
SB-MATRIX 8X4 B Schalt-/Abfrage Matrix 8x4, 32 Eingänge 23x Taste, Kontakt 8x4 Abfragematrix, digital
SMV-2xNI1000-LS.UI Messverstärker Sensoreingang Bereichsumschaltung 2x Ni1000 2x 10V + 20mA DC
SWM 2 Wassersensor, Linienverdrahtung mit Alarmselbsthaltung 1x Wasserkontakt 1x Meldelinie an GS-AL(-LI)
SWM 3 Wassersensor, Einzelgerät mit Alarmselbsthaltung 1x Wasserkontakt 1x Öffnerkontakt
SWM 3.2 Wassersensor, Einzelgerät ohne Selbsthaltung 1x Wasserkontakt 1x Öffnerkontakt
SWM 3.4 Wassersensor, Einzelgerät ohne Selbsthaltung, 2x Ausgang 1x Wasserkontakt 1x Schließer 1x Öffner
SWM 4 Elektrodenauswertung, Wassersensor, Aufschnappgeh. 3x Wasserstandselektrode 3x Öffner oder Schließer
SWM 5 Flüssigkeitssensor -melder LED Infrarot Reflex System 1x Öffner
SWM10 Flüssigkeitssensor (Elektrodenrelais) reagiert auf die Leitfähigkeit der Flüssigkeit 1x Sensor 1x Umschaltkontakt
TPM 01 Taupunktmelder (z.B. Taufeuchtigkeit an Rohren) 1x Betauung / Taupunkt 1x Umschaltkontakt
TPM 01 /230V Taupunktmelder (z.B. Taufeuchtigkeit an Rohren) 1x Betauung / Taupunkt 1x Umschaltkontakt
TPM 02 Taupunktmelder (z.B. Taufeuchtigkeit an Rohren) 1x Betauung / Taupunkt 1x 10V + Umschaltkontakt
TPM02/230V Taupunktsensor (z.B. Taufeuchtigkeit an Rohren) 1x Betauung / Taupunkt 1x 10V + Umschaltkontakt
TR-X/5V.3P Treiber 3Punkt, Differenzregler (Soll-/Istwert-Regler) 1x Soll/Istwert U,I,R 2x Schließer, 3Punkt
TR-X/X.3P Umsetzung von stetig/analog nach 3Punkt Auf Zu für z.B. Ventilantriebe mit Auf Zu Eingang, Treiber 3Punkt, Differenzregler (Soll-/Istwert- 1x Soll/Istwert U,I,R 2x Schließer, 3Punkt
TR-X/X.3P /HE Umsetzung von stetig/analog nach 3Punkt Auf Zu für z.B. Ventilantriebe mit Auf Zu Eingang, Treiber 3Punkt, Differenzregler (Soll-/Istwert-Regler) 1x Soll/Istwert U,I,R 2x Schließer, 3Punkt
TV-KP10 Trennverstärker, KTY ... 1x KTY... 1x 10V + 20mA DC
TV-NI1000 2xOUT Trennverstärker, NI1000 1x NI1000 2x 10V + 20mA DC
TV-NI1000... Trennverstärker, NI1000 1x NI1000 1x 10V + 20mA DC Rinck Electronic TV-PT1000.2xOUT
TV-NTC Trennverstärker, NTC 1x NTC 1x 10V + 20mA DC
TV-PT100 ... Trennverstärker, PT100 1x PT100 Dreileiter 1x 10V + 20mA DC
TV-PT1000 ... Trennverstärker, PT1000 1x PT1000 1x 10V + 20mA DC
TV-PT1000.2xOUT Trennverstärker, PT1000 1x PT1000 2x 10V + 20mA DC RINCK ELECTRONIC GMBH APU230V.24V-1,5A/1,3Ah 变送器
suco 0170-45703-1-003(705703) 开关
suco 0170-45803-1-042(705803) 开关
suco 0170-45803-1-042(705803) 开关
Dr. E. Horn GmbH Typ EG 60.1fl S33-1 (14/8) 转速表
hydac ETS326-3-100-000 温度控制器
hydac EDS3348-5-0010-000-F1 传感器
Lenord+Bauer GEL260C-000000B033 编码器
P+F RHI90N-OHAK1R61N-01024 编码器
B&K AS- 030 感应传感器探头
B&K VC- 920 电源模块
B&K AS- 030 感应传感器探头
B&K VC- 920 电源模块
Honsberg RRI-010PI/A7PSP.2E 传感器
Honsberg RRI-010PI/A7PSP.2E 计
Honsberg RRI-010PI/A7PSP.2E 计
Honsberg RRI-010PI/A7PSP.2E 计
Honsberg RRI-010PI/A7PSP.2E 计
Honsberg RRI-010PI/A7PSP.2E 计
Honsberg RRI-010PI/A7PSP.2E 计
Honsberg RRI-010PI/A7PSP.2E 计
Honsberg RRI-010PI/A7PSP.2E 计
Honsberg RRI-010PI/A7PSP.2E 计
Honsberg RRI-010PI/A7PSP.2E 计
Honsberg RRI-010PI/A7PSP.2E 计
Honsberg RRI-010PI/A7PSP.2E 计
Honsberg RRI-010PI/A7PSP.2E 计
Turck PT016R-13-LI3-H1131 Nr:6831503 传感器
Honsberg RRI-010PI/A7PSP.2E 计
Honsberg RRI-010PI/A7PSP.2E 计
AirCom PRA32-0600 减压阀
AirCom PRA32-0600 减压阀
AirCom PRA32-0600 减压阀
AirCom PRA32-0600 油压传动阀
AirCom PRA32-0600 调压阀
Vahle 236016 碳刷
Vahle 236015 碳刷
Vahle 234158 碳刷
Vahle 230201 碳刷
Vahle 234158 碳刷
Vahle 0255926/00 碳刷
Vahle 234585 碳刷
Vahle 236016 碳刷
Vahle 230201 碳刷
Vahle 230118 碳刷
Vahle 236015 碳刷
Mahle PI 4108 SMX 25 滤芯
Mahle PI 4108 SMX 25 滤芯
BTI AMX5 安全开关传感器
Elaflex ROTEX 40.16 补偿器
Elaflex ROTEX 65.16 补偿器
RINCK MV-U 10V .5V/S BGZ 放大器
RINCK APU 230V.24V-1,5A/1,3Ah 电源
RINCK HSE-U-72 可编程控制器
RINCK LC-TV-4I.4I 隔离放大器
RINCK LC-TV-2I.2I 隔离放大器
RINCK LC-TV-2I.2I 隔离放大器
RINCK MV-LUX 0-2000 LX 光照传感器
RINCK HSE-U-72 显示器
RINCK TV-PT100.4-20mA B302.2 隔离放大器
RINCK TV-THERMO-K Eingang Typ K 0-600°C Ausgang 2-10V 温控器
RINCK ELECTRONIC APU 230V.24V-1.5A/1.3Ah 电源
RINCK ELECTRONIC APU 230V.24V-1.5A/1.3Ah 电源
RINCK ELECTRONIC GMBH APU230V.24V-1,5A/1,3Ah 电源
RINCK ELECTRONIC GMBH APU230V.24V-1,5A/1,3Ah 电源
RINCK ELECTRONIC GMBH APU230V.24V-1,5A/1,3Ah 电源
RINCK ELECTRONIC GMBH APU230V.24V-1,5A/1,3Ah 电源
RINCK ELECTRONIC GMBH APU230V.24V-1,5A/1,3Ah 电源
RINCK ELECTRONIC GMBH APU230V.24V-1,5A/1,3Ah 电源
RINCK ELECTRONIC GMBH APU230V.24V-1,5A/1,3Ah 原装正品RINCK电源
RINCK ELECTRONIC GMBH APU230V.24V-1,5A/1,3Ah 原装正品RINCK电源
RINCK ELECTRONIC GMBH APU230V.24V-1,5A/1,3Ah 电源模块
RINCK ELECTRONIC GMBH APU230V.24V-1,5A/1,3Ah 变送器
RINCK ELECTRONIC GMBH LF8 光照传感器
RINCK ELECTRONIC GMBH LF8 光照传感器
RINCK ELECTRONIC GMBH MV-LUX 0 – 20000 Lux 0 - 10 V 光照传感器
RINCK ELECTRONIC GMBH LC-TV-1L.1I 计数器
RINCK ELECTRONIC GMBH MV-R-3L 0-1000R.0-10V 隔离放大器
RINCK ELECTRONIC GMBH MV-PT100 变送器
RINCK ELECTRONIC GMBH TV-LI 20uA 10V 变送器
RINCK ELECTRONIC GMBH LC-TV-1I.1I 20mA,24V,ser nr: RIN-06401481-P 隔离放大器
RINCK ELECTRONIC GMBH LC-TV-21.21 20mA.24V,ser nr: RIN-06402307-P 隔离放大器
RINCK ELECTRONIC GMBH MV-U 10V .5V/S BGZ 模块
Ansicht Typ Kurzbezeichnung Eingang Ausgang DE UK
.. /230V Optionale Versorgung 230V AC für Geräte im 24mm Gehäuse Netz 230V AC 1x 24V AC 0,16A
APU 230V.12V- 0,6A/0,3Ah USV-Stromversorgung 230V / 12V DC, 0,6A 0,3Ah Netz 85-264V AC 1x 12V DC 0,6A
APU 230V.12V- 3A/.. Ah USV-Stromversorgung Netz 230V AC 1x 12V DC 3A
APU 230V.12V- 3A-2,6Ah USV-Stromversorgung Akkusatz 2,6Ah Netz 85-264V AC 1x 12V DC 3A
APU 230V.12V- 6A/.. Ah USV-Stromversorgung Netz 230V AC 1x 12V DC 6A
APU 230V.12V-10A/..Ah USV-Stromversorgung Netz 230V AC 1x 12V DC 10A
APU 230V.24V- 0,3A/0,15Ah USV-Stromversorgung 230V/24V DC 0,3A (0,15Ah) Netz 85-264V AC 1x 24V DC 0,3A
APU 230V.24V- 1,5A/1,3Ah USV-Stromversorgung 230V/24V DC 1,5A (0,13Ah) Netz 85-264V AC 1x 24V DC 1,5A
APU 230V.24V- 2A/...Ah USV-Stromversorgung Netz 230V AC 1x 24V DC 2A
APU 230V.24V- 2A/2,2Ah/TS USV-Stromversorgung 230V/24V DC 2A (2,2Ah) Tragschiene Netz 230V AC 1x 24V DC 2A
APU 230V.24V- 3A/ ...Ah USV-Stromversorgung 230V/24V DC 3A (...Ah) Netz 230V AC 1x 24V DC 3A
APU 230V.24V- 3A/2,2Ah/TS USV-Stromversorgung 230V/24V DC 3A (2,2Ah) Tragschiene Netz 230V AC 1x 24V DC 3A
APU 230V.24V- 6A/ ... Ah USV-Stromversorgung 230V/24V DC 6A (...Ah) Netz 230V AC 1x 24V DC 6A
APU 230V.24V-10A/...Ah USV-Stromversorgung 230V/24V DC 10A (...Ah) Netz 230V AC 1x 24V DC 10A
APU 230V.24V-16A/...Ah USV-Stromversorgung 230V/24V DC 16A (...Ah) Netz 230V AC 1x 24V DC 16A
APU 230V.24V-32A/...Ah USV-Stromversorgung 230V/24V DC 32A (...Ah) Netz 230V AC 1x 24V DC 32A
APU 230V.48V-3A/... Ah USV-Stromversorgung 230V / 48V DC, 3A, Akku n. Angabe Netz 230V AC 1x 48V DC 3A
APU 230V.48V-6A/ ...Ah USV-Stromversorgung 230V / 48V DC, 6A, Akku n. Angabe Netz 230V AC 1x 48V DC 6A
APU 230V.60V-8A/ ...Ah USV-Stromversorgung 230V / 60V DC, 8A, Akku n. Angabe Netz 230V AC 1x 60V DC 8A
APU 24V.12V-2,4A/2,6Ah USV-Stromversorgung Akkusatz 2,6Ah Netz 24V AC 1x 12V DC 2,4A
APU 24V.12V-2x1A/1,3Ah USV-Stromversorgung Akkusatz 1,3Ah Netz 85-264V AC 1x 24V DC 1A + 12VDC 1A
APU 24V.24V- 1,2A/1,3Ah USV-Stromversorgung Akkusatz 1,3Ah Netz 24V AC/DC 1x 24V DC 1,2A
C4.700uF / 40V Kondensatorbatterie, Spannungsüberbrückung/Glättung 1x 40V DC 1x 40V DC
C40.000uF / 50V Kondensatorbatterie, Spannungsüberbrückung/Glättung 1x 50V DC 1x 50V DC
C44.000uF / 25V Kondensatorbatterie, Spannungsüberbrückung/Glättung 1x 25V DC 1x 25V DC
C60.000uF / 35V Kondensatorbatterie, Spannungsüberbrückung/Glättung 1x 35V DC 1x 35V DC
C88.000uF / 25V Kondensatorbatterie, Spannungsüberbrückung/Glättung 1x 25V DC 1x 25V DC
CT-001 Steuergerät I, U, R 4x Kontakt
CU-3xUI.K4 Grenzwertschalter Messverstärker Anzeige 3x max. 30V/20mA 4x Kontakt 1x 10V BUS
CU-3xXXX.K4/3Z Temperaturregler 3 Kanal 10V 20mA PT1000 4x Kontakt 1x 10V BUS
CU-DIFF-2xU.K4 3zeilig Differenzbildung 2x 10V 4x Kontakt 1x 10V BUS
CU-DIFF-2xU.K4/3Z Grenzwertschalter Anzeige Differenzbildung 2x 10V 4x Kontakt 1x 10V BUS
CU-DIFF-BAT.K4 Batterieüberwachungsmodul 2x 30V 4x Kontakt 1x 10V BUS
CU-MI-2xU.K4 Grenzwertschalter Anzeige Mitwert 2x 10V 4x Kontakt 1x 10V BUS
CU-U.K1/HE/EVG Handbedienebene mit Stromsenke für EVG Geräte 1x 10V DC (20mA) 1x Kontakt 1x 10V
CU-U_K4/HE Grenzwertschalter Handbedienebene Analog-Eingang/Ausgang 1x 10V DC (20mA) 4x Kontakt 1x 10V
DD3_100 Grossanzeige Display für Wand- Tisch- und Deckenmontage 1x 10V, 20 mA Anzeige
DD-X+X/100 Grossanzeige Display für Wand- Tisch- und Deckenmontage 2-Zeilen 1x 10V, 20 mA Anzeige
DR-120-12 Gleichstromversorgung (120W) Netz 230V AC 1x 12V DC 10A
DR-120-24 Gleichstromversorgung (120W) Netz 230V AC 1x 24V DC 5A
DR-120-48 Gleichstromversorgung (120W) Netz 230V AC 1x 48V DC 2,5A
DR-75-12 Stromversorgung 230V Netz 230V AC 1x 12V DC 6,3A
DR-75-24 Stromversorgung 230V Netz 230V AC 1x 24V DC 3,2A
DR-75-48 Stromversorgung 230V Netz 230V AC 1x 48V DC 1,6A
DRP-240-24 Gleichstromversorgung (240W) Netz 230V AC 1x 24V DC 10A
DRP-240-48 Gleichstromversorgung (240W) Netz 230V AC 1x 48V DC 5A
DRP-480S-24 Gleichstromversorgung (480W) Netz 230V AC 1x 24V DC 20A
DRP-480S-48 Gleichstromversorgung (480W) Netz 230V AC 1x 48V DC 10A
GS-IOP11 Grenzwertschalter, (ohne Potentialtrennung) 1x 10V DC 1x Umschaltkontakt
GS-IOP-HE Grenzwertschalter, Handebene, (ohne Potentialtrennung) 1x 10V DC 1x Umschaltkontakt
GS-LU Grenzwertschalter für Gleichstrom- Shuntmessung [mV] nach Angabe. 1x ... mV 1x Umschaltkontakt
GS-U Grenzwertschalter 1x 10V DC 1x Umschaltkontakt
GS-U2 Grenzwertschalter, 2x Ausgangsrelais 1x 10V DC 2x Umschaltkontakt
GS-U2-ST20V Grenzwertschalter, 20V DC Phasenanschnitt, 2x Ausg. 1x 20V == STAEFA 2x Umschaltkontakt
GS-U-AC ... Grenzwertschalter, Wechselspannung 1x ... V AC 1x Umschaltkontakt
GS-U-BAT 24V Grenzwertschalter, Batterie-Spannungsüberwachung 0-40V 1x 40V DC 1x Umschaltkontakt
GS-U-ST20V Grenzwertschalter, 20V DC Phasenanschnitt 1x 20V == STAEFA 1x Umschaltkontakt
HE 01 Handeinslung 0-10V (Schalttafeleinbau 28 x 28 mm) 1x 24V AC/DC 1x 10V DC
HE 02-6S Handeinslung 0-10V in 6 Stufen (Schalttafeleinbau 48 x 48 mm) 1x 24V AC/DC 1x 10V DC Hand
HE 43.1 Handbedientableau, Sollwert, Istwert, LED-Display (u.P.) 1x 0-10V DC 1x 10V DC EIN/AUS Kontakt mit Anzeige
HE 44 Handbedientableau, Sollwert, Istwert, Display (u.P.) Handeinslung 2x 10V DC EIN/AUS Kontakt
HE-U10V Handbedienebene 0-10V (Schalttafeleinbau 72 x 36mm) Frostschutzfunktion 1x 10V DC 1x 10V DC Hand/Auto
HSE 01 Handbedieneben 0-10V (Schalttafeleinbau 28 x 28 mm) 1x 10V DC 1x 10V DC Hand/Auto
HSE 02/N Handbedienebene 0-10V (Schalttafeleinbau 48 x 48 mm) 1x 10V / 20mA DC 1x 10V / 20mA DC Hand/Auto
HSE 03/N Handbedienebene 0-10V (Schalttafeleinbau 30 x 30 mm) 1x 10V DC 1x 10V DC Hand/Auto
HSE-TV-U 10V-PM Handbedienebene, Trennverstärkereingang (Schaltt. 72 x 72mm) 1x 10V DC 1x 10V DC Hand/Auto
HSE-U10V Handbedienebene 0-10V (Schalttafeleinbau 72 x 36mm) 1x 10V DC 1x 10V DC Hand/Auto
HSE-U10V-PM Handbedienebene 0-10V mit Panelmeter (Schaltt. 72 x 72mm) 1x 10V DC 1x 10V DC Hand/Auto
HSE-U-72 Handbedienebene, 0-10V mit LED Display (Schaltt. 72x72mm) Handeinslung 1x 10V DC Hand/Auto
KB-D22.COM Diodenmodul für Sammelmeldung oder Lampentest 22x Signal 1x
KB-GL24V Gleichrichtereinheit für einfache Anwendungen, DC Motore, Lampen, Ventile 1x 24V AC 1x
KB-MVC-4_2 Funktionsbaustein für Steueraufgaben (programmierbar) 4x ... 1x Schließer 10V 20mA EVG
KB-PH12-24.D05 Spannungswandler, Weitbereichseingang, stabilisiert und kurzschlussfest 24-60V AC/DC +/-5V DC 1,2A
KB-PH12-24.D12 Spannungswandler, Weitbereichseingang, stabilisiert und kurzschlussfest 24-60V AC/DC +/-12V DC 0,5A
KB-PH12-24.D15 Spannungswandler, Weitbereichseingang, stabilisiert und kurzschlussfest 24-60V AC/DC +/-15V DC 0,4A
KB-PH12-24.S05 Spannungswandler, Weitbereichseingang, stabilisiert und kurzschlussfest 24-60V AC/DC +5V DC 2,4A
KB-PH12-24.S12 Spannungswandler, Weitbereichseingang, stabilisiert und kurzschlussfest 24-60V AC/DC +12V DC 1A
KB-PH12-24.S15 Spannungswandler, Weitbereichseingang, stabilisiert und kurzschlussfest 24-60V AC/DC +15V DC 0,8A
KB-REL4 /S1 Relaisblock für 3-Stufen-Steuerung, Störmeldung 4x Relais, Sicherung 3x Schließer
KB-REL4-Typ1 Relaisblock mit 4x Lastrelais, Spulen- u. Kontaktausführung siehe Datenblatt 4x ... 2x Wechsler 2x Schließer
KB-REL4-Typ1_UC Relaisblock mit 4x Lastrelais, Ansteuerung mit sensitiven Relaisspulen und Brückengleichrichter. 4x ... 4x Kontakt
KB-REL4-Typ2 Relaisblock mit 4x Lastrelais, 3 Punkt, Spulen- u. Kontaktausführung siehe Datenblatt 4x ... 2x 3 Punkt, Auf, Zu
KB-REL4-Typ3.10V Relaisblock mit 4x Lastrelais, Ansteuerung 5V, 10V, TTL, Kontaktausführung siehe Datenblatt 4x 5V 10V TTL 2x Wechsler 2x Schließer
KB-REL4-Typ4 Relaisblock mit 4x Lastrelais, Spulen- u. Kontaktausführung siehe Datenblatt 4x ... 2x Wechsler 2x Schließer
KB-SMB Störmeldebaustein 2x 4x
KT2U / KT2I Klemmen-Adapter Spannung / Strom 1x 10V 1x 5V
LC-GS2-U/I Grenzwertschalter, Eingang wählbar,2x Relaisk. 1x 10V od. 20mA DC 2x Umschaltkontakt
LC-GS4-UI Grenzwertschalter, Eingang wählbar,4x Relaisk. 1x 10V od. 20mA DC 4x Umschaltkontakt
LC-MV-4U.4U Messverstärker, Treiber für 0-10V, 4 Kanal 4x 10V DC 4x 10V DC
LC-MV-8U.8U Messverstärker, Treiber für 0-10V, 8 Kanal 8x 10V DC 8x 10V DC
LC-MV-U2.10V Messverstärker, Signalaufsplittung 0-10V, 2x Ausgang 2x 10V DC 2x 10V DC
LC-TV-1U.1I Trennverstärker, 1U.1I, 1 Kanal 1x 10V DC 1x 20mA DC
LC-TV-1U.1U Trennverstärker, 1U.1U, 1 Kanal 1x +-10V DC 1x +-10V DC
LC-TV-2U.2I Trennverstärker, 2U.2I, 2 Kanäle 2x 10V DC 2x 20mA DC
LC-TV-2U.2U Trennverstärker, 2U.2U, 2 Kanäle 2x +-10V DC 2x +-10V DC
LC-TV-4U.4I Trennverstärker, 4U.4I, 4 Kanäle 4x 10V DC 4x 20mA DC
LC-TV-4U.4U Trennverstärker, 4U.4U, 4 Kanäle 4x +-10V DC 4x +-10V DC
LCU-3xIN.K3 Baustein zur Überwachung / Grenzwertschalter (z.B. Lüfterüberwachung) 1x 10V 2x Kontakt 3x Kontakt
LCU-3xU-I.K2 Baustein zur Überwachung (z.B. Lüfterüberwachung) 3x 230V AC 2x Kontakt
MO-MV-XxU Treibermodul 1-2 Kanäle MO-MV-XxU 2x 10V DC 2x 10V DC
MU-2P.UI /24V Umsetzung von 2Punkt (2 Stufen) nach Spannung/Strom, 24V Versorgung 2x 24/230V (2 Stufen) 1x 10V 20mA DC
MU-2xU.DIV Analog-Dividierer, C=A/B, einslbar 2x 10V DC 1x 10V + 20mA DC
MU-3P.UI /230V Umsetzung von 3Punkt Auf Zu nach stetig/analog für z.B. Ventilantriebe mit stetigem Analogeingang, 230V Versorgung 2x 24/230V 1x 10V 20mA DC
MU-3P.UI /230V /HE Umsetzung von 3Punkt Auf Zu nach stetig/analog für z.B. Ventilantriebe mit stetigem Analogeingang, 230V Versorgung mit Handebene 2x 24/230V 1x 10V 20mA DC
MU-3P.UI /24V Umsetzung von 3Punkt Auf Zu nach stetig/analog für z.B. Ventilantriebe mit stetigem Analogeingang, 24V Versorgung 2x 24/230V 1x 10V 20mA DC
MU-3P.UI /24V /HE Umsetzung von 3Punkt Auf Zu nach stetig/analog für z.B. Ventilantriebe mit stetigem Analogeingang, 24V Versorgung mit Handebene 2x 24/230V 1x 10V 20mA DC
MU-3P.UI /24V /S4 Umsetzung von 3Punkt Auf Zu nach stetig/analog für z.B. Ventilantriebe mit stetigem Analogeingang, 24V Versorgung (mit Speicherfunktion) 2x 24/230V 1x 10V 20mA DC
MU-DA6 ... Digital-Analog Umsetzer 6 Bit Digital 1x 10V DC Analog
MU-U.TAB Kennlinie nach EXCEL Tabelle für beliebige auch nichtlineare Verläufe (logarithmisch, stufig, ...) 1x ... V DC 1x 10V + 20mA DC
MU-U/I.F Messumsetzer, Spannung/Strom - Frequenz 1x ... V DC 1x Hz bis kHz
MU-U/I.F /IL Messumsetzer Taktgeber, Spannung/Strom nach Frequenz/Impulsfolge (für Dosierpumpen etc.) 1x ... V DC 1x 50Hz
MU-VZ-U.U Messwertverzögerung, Integrator, Rampenfunktion 1x 10V DC 1x 10V + 20mA DC
MUX-OUT 16 Analogausgangsmultiplexer, 16x Spannung 1x 10V DC 16x 10V DC
MUX-U 16 Analogmultiplexer 16 zu 1, Eingang 16x Spannung 16x 10V DC 1x 10V DC
MV-12xU_MAX Maximalwertausgabe, 12x Spannungseingang 12x 10V DC 1x 10V
MV-6xU.MAX Maximalwertausgabe, 6x Spannungseingang 6x ...V DC 1x 10V DC
MV-8xIN Minimalwert-, Maximalwert-, Mitwert-, Summierer- Auswahlbaustein mit Wahlschalter, 8 Eingänge 8x Signal 1x 10V + 20mA DC
MV-BGZ-U ... Spannungsbegrenzung 1x +-50V DC 1x 10V einslbar
MV-HE.K1 Handbedienebene Digital, Relaiskontakt (Wahlschalter) - 1x Umschaltkontakt
MV-HE-I20mA Handeinslung Analog, 0-20mA (Aufschnappgehäuse) 1x 24V AC/DC 1x 20mA DC Hand
MV-HE-U10V Handeinslung Analog, 0-10V (Aufschnappgehäuse) 1x 24V AC/DC 1x 10V DC Hand
MV-HRE24V Handbedienebene Digital, Relaiskontakt (Aufschnappgehäuse) 1x 24V AC/DC 1x Umschaltkontakt
MV-HSE-U10V Handbedienebene Analog, 0-10V (Aufschnappgehäuse) 1x 10V DC 1x 10V DC Hand/Auto
MV-LU ... Messverstärker, mV Eingang, (Strom-Shunt-Verst.) 1x ... mV DC 1x 10V + 20mA DC
MV-MI ... Mitwertbildung, 3 (4) Eingänge 3x (4x) ...V/mA DC 1x 10V + 20mA DC
MV-MIN-MAX.10V Minimal- und Maximalwertausgabe, 2 Eingänge 2x 10V DC 2x 10V DC
MV-REF10V Spannungsreferenz 1,5 - 16V 1x 24V AC/DC 1x 1,5 - 16V DC
MV-REF-PR10,00V Präzisions-Referenzspannung 10,00V 1x 24V AC/DC 1x 10,00V DC
MV-SU ... Analog-Summierer, 3 (4) Eingänge 3x (4x) ...V/mA DC 1x 10V + 20mA DC
MV-SU8 ... Analog-Summierer, 8 Eingänge (Stufenschaltg.-Analogausgang) 8x ...V/mA DC 1x 10V + 20mA DC
MV-U ... Messerstärker, Buffer 1x ... V DC 1x 10V + 20mA DC
MV-U2 ... Messerstärker, mit zwei Ausgängen 1x ... V DC 2x 10V + 20mA DC
MV-U2-U1 Differenzverstärker, subtrahiert U1 von U2 2x 10V DC 1x 10V + 20mA DC
MV-U-BR ... Messverstärker, Eingang Meßbrücke 1x ... mV Meßbrücke 1x 10V + 20mA DC
MV-U-INV ... Messverstärker, Eingang-Ausgang invertiert 1x ... V DC 1x 10V + 20mA DC
MV-U-MAX Maximalwertausgabe aus 2 Spannungseingängen 2x 10V DC 1x 10V + 20mA DC
MV-U-MIN Minimalwertausgabe aus 2 Spannungseingängen 2x 10V DC 1x 10V + 20mA DC
MV-VR10 Spannungsreferenz 1,5 - 16V (ohne Potentialtrennung) 1x 24V AC/DC 1x 1,5 - 16V DC
MV-VR10 /S Sollwertgeber / Spannungsreferenz, einslbar ohne Potentialtrennung 1x 10V DC 1x 10V DC
NP-..xTV-U/I.4-20mA Trennverstärker, Strom od. Spannungseingang ohne Hilfsspannung (Stromschleifenspeisung) 1x 10V 20mA DC 1x 4-20mA DC
NP-1xPWM24V.1x10V Messumformer, 24V PWM auf 0-10V ohne Hilfsspannung 1x 24V DC PWM 1x 10V DC
NP-2xPWM24V.2x10V Messumformer, 24V PWM auf 0-10V ohne Hilfsspannung 2x 24V DC PWM 2x 10V DC
NP-SPS.EVG_XXX Messumformer, Analog auf EVG ohne Hilfsspannung 1x 10V DC / 230V AC 1x 1-10V DC (EVG)
Nxt .. Wechselrichter Netz 12/24/48V DC 1x 230V AC
P 24V.24V /U Spannungsstabilisierung, Längsregler Netz 24V AC 24V DC (0,5A)
P24V. ... Spannungsstabilisierung, Längsregler Netz 24V AC/DC 1x 1,5 - 26V DC 1A
PH12-24. ... Spannungswandler 12W Netz 24-60V AC/DC 5 12 +-12 24 15 +-15 30 VDC
PH20-24. ... Spannungswandler 20W Netz 24-60V AC/DC 5 12 +-12 24 15 +-15 30 VDC
PH40-24. ... Spannungswandler 40W Netz 24-60V AC/DC 5 12 +-12 24 15 +-15 30 VDC
PH5-24. ... Spannungswandler 5W Netz 24-60V AC/DC 5 12 +-12 24 15 +-15 30 VDC
PI-AC 24V.24V-3,3A Wechselrichter 24V Netz 24V AC/DC 1x 24V AC 3,3A
PJ 230V.24V Vorsorgung/Auswertung Netz 230/24V AC/DC 1x Umschaltkontakt
PLM-305 Leistungsmessgerät 230/400V Drehstrom S0 MODBUS Ethernet RS485
PLU-D-16.16 Programmierbarer Logikbaustein 16x DC digital 16x digital / Schliesser
PLU-H-A-x.x Analoge Handbedienebene mit Leuchtdrucktastern, MODBUS und analogen Ein- und Ausgängen 8x 10V 8x 10V BUS
PLU-H-D-12.2-12 Anzeige-Meldemodul mit 12 Leuchtfeldern (Beschriftung über Einschubstreifen) + Tastfunktion 12x 12 - 24V AC/DC digital 1x Kontakt (Sammelmeldung, ext. Hupe)
PLU-H-D-24.2-12 Anzeige-Meldemodul mit 12 Leuchtfeldern (Beschriftung über Einschubstreifen) + Tastfunktion 24x 12 - 24V AC/DC digital 1x Kontakt (Sammelmeldung, ext. Hupe)
PLU-K-16.16 Programmierbarer Relaisbaustein 16x 24V DC digital 16x Schliesser
PLU-U-BR-4.0/… 4 Kanal Messverstärker für Messbückeneingang (Weatstonebrücke) MODBUS CANBUS 4x +-100mV (5V) BUS
PLU-X.X Programmierbarer Analogbaustein 4x 10V, 24V 4x Schliesser, 10V
PM 510/LED/F Bargrafanzeige Einbauversion 1x 10V 20mA 10x LED
PM 518 LCD Panelmeter, Eingang 0-10V, Anzeige alphanumerisch 8 slig 1x 10V 20mA DC 1x LCD-Anzeige 8 slig
PM 528 Anzeige 2x 8 Zeichen Textanzeige nach Kundenangabe individuell 1x 10V 20mA DC 1x Anzeige 2x8 Zeichen
PU 230V_60V.24V-2A Stromversorgung 230V/60V Netz 230V AC / 60V DC 1x 24V DC 2A
PU 230V_60V.24V-3A Stromversorgung 230V/60V Netz 230V AC / 60V DC 1x 24V DC 3A
PU 230V_60V.24V-6A Stromversorgung 230V/60V Netz 230V AC / 60V DC 1x 24V DC 6A
PU230V.10V Stromversorgung 230V Netz 85-250V AC 1x 10V DC 0,8A
PU230V.12V Stromversorgung 230V Netz 85-250V AC 1x 12V DC 0,8A
PU230V.15V Stromversorgung 230V Netz 85-250V AC 1x 15 V DC 0,8A
PU230V.15V Stromversorgung 230V Netz 85-250V AC 1x 15V DC 0,8A
PU230V.24V Stromversorgung 230V Netz 85-250V AC 1x 24V DC 0,8A
PU230V.5V Stromversorgung 230V Netz 85-250V AC 1x 5V DC 1A
PW230V.10V Stromversorgung 230V Netz 85-250V AC 1x 10V DC 2,5A
PW230V.12V Stromversorgung 230V Netz 85-250V AC 1x 12V DC 2,5A
PW230V.15V Stromversorgung 230V Netz 85-250V AC 1x 15V DC 2,5A
PW230V.24V Stromversorgung 230V Netz 85-250V AC 1x 24V DC 2A
PW230V.5V Stromversorgung 230V Netz 85-250V AC 1x 5V DC 4A
SB-2xWP Wendepolschaltung für 24V DC Antriebe, rechts-stop-links 2x 24V (3 Punkt) 2x Umpol-Kontakte
SB-6 OK Optokoppler Baustein 6x Optokoppler, 10-24V 6x Photo-MOS Kontakt
SB-6I.K4 Steuerbaustein mit 6x Digitaleingänge und 4x Ausgänge je 1x Schließerkontakt und 1x Umschaltkontakt, LED Anzeigen. 6x Signal 4x Kontakt
SB-8OK-T1 Optokoppler Baustein (bis 1000 Hz) 8x Optokoppler, 10-24V 8x Transistor
SB-8OK-T2 Optokoppler Baustein (bis 50 Hz) 8x Optokoppler, 10-24V 8x Transistor
SB-LED12 Anzeigeblock, 12x LED, 24V 12x LED, Meldung 12x LED, Leuchte
SB-REL10 Relaisblock 10x Schließerkontakt, LED 10x Relais 10x Schliesserkontakt
SB-REL6-3S 3-Stufen-Steuerung, 4 Kanal (baugleich LBI3808) 3x Spannung 4x 3 Stufen Kontakt
SB-REL8A Relaisblock 8x Umschaltkontakt 8x Koppelrelais 8x Umschaltkontakt
SB-REL8A/HE Relaismodul mit Handbedienebene 8x Umschaltkontakt 8x Koppelrelais 8x Umschaltkontakt
SB-REL8-B_HE Relaisblock 8x Umschaltkontakt, mit Handebene 8x Koppelrelais 8x Umschaltkontakt
SB-REL8-TYP2 2-Stufen-Steuerung, 4 Kanal, (z.B. Notbeleuchtung, Potenzialumschaltung) 8x Relais 8x Kontakt
SB-SV1 Signalverteiler 24x 2 Klemmen, 24 Signale 24x Signal 24x Signal
SSR3x480-xx Drehstrom-Halbleiterrelais zur Ansteuerung von Elektro-Heizregistern 1x 24V DC PWM 1x 480V AC, 90A
SSR480 Elektronisches Schütz, Solid-State-Relay 1x 24V DC PWM 1x 480V AC, 90A
ST3-230V.12V-8A Ventilator-Stufensteuerung, 3-Stufen, Aus-1-2-3 Netz 230V AC, 0-10V DC 0V, 6V, 9V, 12V AC
STEP-PS ..W Gleichstromversorgung (Weitbereichseingang) 12 - 100W Netz 230V AC/DC 1x ..V DC
TK 4 Spannungsüberwchungsrelais, 230V / 400V DC 3x 230/400V AC 2x Umschaltkontakt
TR01 TR02 Triac-Treiber, 230V AC 1x 24V AC/DC 1(2)x Triac 24-230V AC 5A
TRAFO 230V.24V-0,3A Stromversorgung 230V / 24V AC / 0,3A Netz 230V AC 1x 24V AC 0,3A
TRAFO 230V.24V-0,4A Stromversorgung 230V / 24V AC / 0,4A Netz 230V AC 1x 24V AC 0,4A
TRAFO 230V.24V-1,25A Stromversorgung 230V / 24V AC / 1,25A Netz 230V AC 1x 24V AC 1,25A
TRAFO 230V.24V-1,25A /V Stromversorgung 230V / 24V AC / 1,25A / Verteilereinbau Netz 230V AC 1x 24V AC 1,25A
TRAFO 230V.24V-2,5A Stromversorgung 230V / 24V AC, 2,5A Netz 230V AC 1x 24V AC 2,5A
TRAFO 230V.24V-4A Stromversorgung 230V / 24V AC / 4A Netz 230V AC 1x 24V AC 4A
TRAFO 230V.24V-6,5A Stromversorgung 230V / 24V AC, 6,5A Netz 230V AC 1x 24V AC 6,5A
TRAFO 30W /F Stromversorgung 230V / 24V AC, 1,25A, flache Bauform (Geräteeinbaumontage) Netz 230V AC 1x 24V AC 1,25A
TRAFO 30W U Stromversorgung 230V / 24V AC, 1,25A, (Unterflureinbau) Netz 230V AC 1x 24V AC 1,25A
TRL-DC Treiber Leistungssler, Ausgang 24V DC 1x 10V DC (20mA) 1x 24V ==, 30VA
TRL-K Treiber für kleine Leistungen, Ansteuerung von Ventilen, Heizungen o.ä mit Wechsel- oder Gleichspannung. 1x 10V DC 1x 24V AC/DC, max. 2A
TRL-TRIAC Treiber Leistungssler, Ausgang Triac 1x 10V DC 1x 230V AC (Triac)
TR-U_R_WP Treiber Ventile Wendepolung Rückführung 1x 10V DC 1x 24V DC
TRV20V.1,5A Treiber Ventilantrieb 20V, 30VA (magnetische Ventile 0-20V) 1x 10V DC (20mA) 1x 20V ==, 30VA PWM
TRV20V.2,5A Treiber Ventilantrieb 20V, 50VA (magnetische Ventile 0-20V) 1x 10V DC (20mA) 1x 20V ==, 50VA PWM
TRV20V.4A Treiber Ventilantrieb 20V, 80VA (magnetische Ventile 0-20V) 1x 10V DC 1x 20V ==, 80VA
TRV20V.6A Treiber Ventilantrieb 20V, 120VA (magnetische Ventile 0-20V) 1x 10V DC 1x 20V ==, 120VA
TRV-5V.PWM Umsetzer Analog auf PWM Pulsweitenmodulation, z.B. Solarpumpen, Hocheffizienz Umwälzpumpen, Heizung und Solaranlagen 1x 10V DC (20mA) 1x 5V ==, PWM
TRV-PWM.PWM Treiber für PWM Signale, Ventile, Lampen, Kleinheizungen, 2A 1x 24V PWM 1x 24V PWM
TR-X/5V.3P Treiber 3Punkt, Differenzregler (Soll-/Istwert-Regler) 1x Soll/Istwert U,I,R 2x Schließer, 3Punkt
TR-X/X.3P Umsetzung von stetig/analog nach 3Punkt Auf Zu für z.B. Ventilantriebe mit Auf Zu Eingang, Treiber 3Punkt, Differenzregler (Soll-/Istwert- 1x Soll/Istwert U,I,R 2x Schließer, 3Punkt
TR-X/X.3P /HE Umsetzung von stetig/analog nach 3Punkt Auf Zu für z.B. Ventilantriebe mit Auf Zu Eingang, Treiber 3Punkt, Differenzregler (Soll-/Istwert-Regler) 1x Soll/Istwert U,I,R 2x Schließer, 3Punkt
TV-1xUI Universal Trennverstärker mit Bereichsumschaltung (1 Kanal) 1x 10V 20mA 1x 10V 20mA
TV-2xUI Universal Trennverstärker mit Bereichsumschaltung (2 Kanal) 2x 10V 20mA 2x 10V 20mA
TV-4xUI Universal Trennverstärker mit Bereichsumschaltung (4 Kanal) 4x 10V 20mA 4x 10V 20mA
TV-H-LU Trennverstärker, mV Eingang, hohe Isolationsspannung 1x 0-60 mV DC 1x 10V + 20mA DC
TV-H-U ... Trennverstärker, hohe Isolationsspannung 1x bis 1000V DC 1x 10V + 20mA DC
TV-LU ... Trennverstärker, mV Eingang, (Strom-Shunt-Verst.) 1x ... mV DC 1x 10V + 20mA DC
TV-LU-AC... Trennverstärker, Wechselspannungseingang 0-...mV 1x ... mV AC 1x 10V + 20mA DC
TV-U ... Trennverstärker 1x ... V DC 1x 10V + 20mA DC
TV-U2 ... Trennverstärker mit zwei Ausgängen 1x ... V DC 2x 10V + 20mA DC
TV-U-AC ... Trennverstärker, Wechselspannungseingang 0-250 / 500V 1x ... V AC 1x 10V + 20mA DC
TV-U-DC ... Trennverstärker, Gleichspannungseingang 0-250V DC 1x ... V DC 1x 10V + 20mA DC
TV-U-INV ... Trennverstärker, Eingang-Ausgang invertiert 1x ... V DC 1x 10V + 20mA DC
TV-U-INV-ST20V.10V Trennverstärker, Eingang 20V, Ausgang invertiert 1x 20V == STAEFA 1x 10V + 20mA DC
TV-U-PWM24V.10V Trennverstärker, Eingang 24V, Pulsweitenmodulation PWM 1x 24V AC/DC PWM 1x 10V + 20mA DC
TV-U-ST20V.10V Trennverstärker, Eingang 20V Phasenanschnitt 1x 20V == STAEFA 1x 10V + 20mA DC
U230V. 5V-1A Stromversorgung 230V (10VA) Netz 230V AC 1x 5V DC 1A
U230V. 6V-1A Stromversorgung 230V (10VA) Netz 230V AC 1x 6V DC 1A
U230V. 8V-0,8A Stromversorgung 230V (10VA) Netz 230V AC 1x 8V DC 0,8A
U230V. 9V-0,8A Stromversorgung 230V (10VA) Netz 230V AC 1x 9V DC 0,8A
U230V.10V-0,6A Stromversorgung 230V (10VA) Netz 230V AC 1x 10V DC 0,6A
U230V.12V-0,6A Stromversorgung 230V (10VA) Netz 230V AC 1x 12V DC 0,6A
U230V.12V-3,3A Stromversorgung 230V (50VA) Netz 230V AC 1x 12V DC 3,3A
U230V.12V-4A Stromversorgung 230V (80VA) Netz 230V AC 1x 12V DC 4A
U230V.15V-0,5A Stromversorgung 230V (10VA) Netz 230V AC 1x 15V DC 0,5A
U230V.24V-0,3A Stromversorgung 230V (10VA) Netz 230V AC 1x 24V DC 0,3A
U230V.24V-1,6A Stromversorgung 230V (50VA) Netz 230V AC 1x 24V DC 1,6A
U230V.24V-2A/S1 Stromversorgung 230V (80VA) Netz 230V AC 1x 24V DC 1x 24V AC
U230V.24V-2A/S3 Stromversorgung 230V (80VA) Netz 230V AC 1x 24V DC 1x 24V AC
U230V.24V-2A/S4 Stromversorgung 230V (80VA) Netz 230V AC 1x 24V DC 1x 24V AC
U230V.24V-3A Stromversorgung 230V (80VA) Netz 230V AC 1x 24V DC 3A
U230V.48V-1,8A Stromversorgung 230V (80VA) Netz 230V AC 1x 48V DC 1,8A
U230V.48V-1A Stromversorgung 230V (50VA) Netz 230V AC 1x 48V DC 1,0A
U230V.60V-1,3A Stromversorgung 230V (80VA) Netz 230V AC 1x 60V DC 1,3A
U400V. 5V-1A Stromversorgung 400V (10VA) Netz 400V AC 1x 5V DC 1A
U400V. 6V-1A Stromversorgung 400V (10VA) Netz 400V AC 1x 6V DC 1A
U400V. 8V-0,8A Stromversorgung 400V (10VA) Netz 400V AC 1x 8V DC 0,8A
U400V. 9V-0,8A Stromversorgung 400V (10VA) Netz 400V AC 1x 9V DC 0,8A
U400V.10V-0,6A Stromversorgung 400V (10VA) Netz 400V AC 1x 10V DC 0,6A
U400V.12V-0,6A Stromversorgung 400V (10VA) Netz 400V AC 1x 12V DC 0,6A
U400V.12V-3,3A Stromversorgung 400V (50VA) Netz 400V AC 1x 12V DC 3,3A
U400V.12V-4A Stromversorgung 400V (80VA) Netz 400V AC 1x 12V DC 4A
U400V.15V-0,5A Stromversorgung 400V (10VA) Netz 400V AC 1x 15V DC 0,5A
U400V.24V-0,3A Stromversorgung 400V (10VA) Netz 400V AC 1x 24V DC 0,3A
U400V.24V-1,6A Stromversorgung 400V (50VA) Netz 400V AC 1x 24V DC 1,6A
U400V.24V-2A/S1 Stromversorgung 400V (80VA) Netz 400V AC 1x 24V DC 1x 24V AC
U400V.24V-2A/S3 Stromversorgung 400V (80VA) Netz 400V AC 1x 24V DC 1x 24V AC
U400V.24V-2A/S4 Stromversorgung 400V (80VA) Netz 400V AC 1x 24V DC 1x 24V AC
U400V.24V-3A Stromversorgung 400V (80VA) Netz 400V AC 1x 24V DC 3A
U400V.48V-1,8A Stromversorgung 400V (80VA) Netz 400V AC 1x 48V DC 1,8A
U400V.48V-1A Stromversorgung 400V (50VA) Netz 400V AC 1x 48V DC 1,0A
U400V.60V-1,3A Stromversorgung 400V (80VA) Netz 400V AC 1x 60V DC 1,3A
US 10 Steuermodul, 1x Analogeingang, 10x Schließerkontakt 230V 1x 10V od. 20mA DC 10x Schließer/Öffner 230V
US 10 /S1 Grenzwertschalter, Spannungseingang, 10x Schließerkontakt 1x 10V od. 20mA DC 10x Schließer/Öffner 230V
USA 10A-HE Ausgabeeinheit für TTL-Pegel (z.B. Andover DM20), 10x Schließerkontakt mit Handebene 10x 5V (TTL/Andover DM20) 10x Schließer 230V
Nr. DE UK Typ Kurzbezeichnung Eingang Ausgang
A031 C4.700uF / 40V Kondensatorbatterie, Spannungsüberbrückung/Glättung 1x 40V DC 1x 40V DC
A032 C44.000uF / 25V Kondensatorbatterie, Spannungsüberbrückung/Glättung 1x 25V DC 1x 25V DC
A033 C40.000uF / 50V Kondensatorbatterie, Spannungsüberbrückung/Glättung 1x 50V DC 1x 50V DC
A034 C60.000uF / 35V Kondensatorbatterie, Spannungsüberbrückung/Glättung 1x 35V DC 1x 35V DC
A035 C88.000uF / 25V Kondensatorbatterie, Spannungsüberbrückung/Glättung 1x 25V DC 1x 25V DC
A101 TRAFO 230V.24V-0,3A Stromversorgung 230V / 24V AC / 0,3A Netz 230V AC 1x 24V AC 0,3A
A101.2 TRAFO 230V.24V-0,4A Stromversorgung 230V / 24V AC / 0,4A Netz 230V AC 1x 24V AC 0,4A
A102 TRAFO 230V.24V-1,25A Stromversorgung 230V / 24V AC / 1,25A Netz 230V AC 1x 24V AC 1,25A
A102.1 TRAFO 230V.24V-1,25A /V Stromversorgung 230V / 24V AC / 1,25A / Verteilereinbau Netz 230V AC 1x 24V AC 1,25A
A102.2 TRAFO 30W U Stromversorgung 230V / 24V AC, 1,25A, (Unterflureinbau) Netz 230V AC 1x 24V AC 1,25A
A102.3 TRAFO 30W /F Stromversorgung 230V / 24V AC, 1,25A, flache Bauform (Geräteeinbaumontage) Netz 230V AC 1x 24V AC 1,25A
A103 TRAFO 230V.24V-2,5A Stromversorgung 230V / 24V AC, 2,5A Netz 230V AC 1x 24V AC 2,5A
A104 TRAFO 230V.24V-4A Stromversorgung 230V / 24V AC / 4A Netz 230V AC 1x 24V AC 4A
A105 TRAFO 230V.24V-6,5A Stromversorgung 230V / 24V AC, 6,5A Netz 230V AC 1x 24V AC 6,5A
A123 ST3-230V.12V-8A Ventilator-Stufensteuerung, 3-Stufen, Aus-1-2-3 Netz 230V AC, 0-10V DC 0V, 6V, 9V, 12V AC
A140 U230V. 5V-1A Stromversorgung 230V (10VA) Netz 230V AC 1x 5V DC 1A
A140 U230V. 6V-1A Stromversorgung 230V (10VA) Netz 230V AC 1x 6V DC 1A
A140 U230V. 8V-0,8A Stromversorgung 230V (10VA) Netz 230V AC 1x 8V DC 0,8A
A140 U230V. 9V-0,8A Stromversorgung 230V (10VA) Netz 230V AC 1x 9V DC 0,8A
A140 U230V.10V-0,6A Stromversorgung 230V (10VA) Netz 230V AC 1x 10V DC 0,6A
A140 U230V.12V-0,6A Stromversorgung 230V (10VA) Netz 230V AC 1x 12V DC 0,6A
A140 U230V.15V-0,5A Stromversorgung 230V (10VA) Netz 230V AC 1x 15V DC 0,5A
A140 U230V.24V-0,3A Stromversorgung 230V (10VA) Netz 230V AC 1x 24V DC 0,3A
A140 U400V. 5V-1A Stromversorgung 400V (10VA) Netz 400V AC 1x 5V DC 1A
A140 U400V. 6V-1A Stromversorgung 400V (10VA) Netz 400V AC 1x 6V DC 1A
A140 U400V. 8V-0,8A Stromversorgung 400V (10VA) Netz 400V AC 1x 8V DC 0,8A
A140 U400V. 9V-0,8A Stromversorgung 400V (10VA) Netz 400V AC 1x 9V DC 0,8A
A140 U400V.10V-0,6A Stromversorgung 400V (10VA) Netz 400V AC 1x 10V DC 0,6A
A140 U400V.12V-0,6A Stromversorgung 400V (10VA) Netz 400V AC 1x 12V DC 0,6A
A140 U400V.15V-0,5A Stromversorgung 400V (10VA) Netz 400V AC 1x 15V DC 0,5A
A140 U400V.24V-0,3A Stromversorgung 400V (10VA) Netz 400V AC 1x 24V DC 0,3A
A150 U230V.12V-3,3A Stromversorgung 230V (50VA) Netz 230V AC 1x 12V DC 3,3A
A150 U230V.24V-1,6A Stromversorgung 230V (50VA) Netz 230V AC 1x 24V DC 1,6A
A150 U230V.48V-1A Stromversorgung 230V (50VA) Netz 230V AC 1x 48V DC 1,0A
A150 U400V.12V-3,3A Stromversorgung 400V (50VA) Netz 400V AC 1x 12V DC 3,3A
A150 U400V.24V-1,6A Stromversorgung 400V (50VA) Netz 400V AC 1x 24V DC 1,6A
A150 U400V.48V-1A Stromversorgung 400V (50VA) Netz 400V AC 1x 48V DC 1,0A
A160 U230V.12V-4A Stromversorgung 230V (80VA) Netz 230V AC 1x 12V DC 4A
A160 U230V.24V-3A Stromversorgung 230V (80VA) Netz 230V AC 1x 24V DC 3A
A160 U230V.48V-1,8A Stromversorgung 230V (80VA) Netz 230V AC 1x 48V DC 1,8A
A160 U230V.60V-1,3A Stromversorgung 230V (80VA) Netz 230V AC 1x 60V DC 1,3A
A160 U400V.12V-4A Stromversorgung 400V (80VA) Netz 400V AC 1x 12V DC 4A
A160 U400V.24V-3A Stromversorgung 400V (80VA) Netz 400V AC 1x 24V DC 3A
A160 U400V.48V-1,8A Stromversorgung 400V (80VA) Netz 400V AC 1x 48V DC 1,8A
A160 U400V.60V-1,3A Stromversorgung 400V (80VA) Netz 400V AC 1x 60V DC 1,3A
A161 U230V.24V-2A/S1 Stromversorgung 230V (80VA) Netz 230V AC 1x 24V DC 1x 24V AC
A161 U400V.24V-2A/S1 Stromversorgung 400V (80VA) Netz 400V AC 1x 24V DC 1x 24V AC
A163 U230V.24V-2A/S3 Stromversorgung 230V (80VA) Netz 230V AC 1x 24V DC 1x 24V AC
A163 U400V.24V-2A/S3 Stromversorgung 400V (80VA) Netz 400V AC 1x 24V DC 1x 24V AC
A164 U230V.24V-2A/S4 Stromversorgung 230V (80VA) Netz 230V AC 1x 24V DC 1x 24V AC
A164 U400V.24V-2A/S4 Stromversorgung 400V (80VA) Netz 400V AC 1x 24V DC 1x 24V AC
A201 PU230V.10V Stromversorgung 230V Netz 85-250V AC 1x 10V DC 0,8A
A201 PU230V.12V Stromversorgung 230V Netz 85-250V AC 1x 12V DC 0,8A
A201 PU230V.15V Stromversorgung 230V Netz 85-250V AC 1x 15 V DC 0,8A
A201 PU230V.15V Stromversorgung 230V Netz 85-250V AC 1x 15V DC 0,8A
A201 PU230V.24V Stromversorgung 230V Netz 85-250V AC 1x 24V DC 0,8A
A201 PU230V.5V Stromversorgung 230V Netz 85-250V AC 1x 5V DC 1A
A202 PW230V.10V Stromversorgung 230V Netz 85-250V AC 1x 10V DC 2,5A
A202 PW230V.12V Stromversorgung 230V Netz 85-250V AC 1x 12V DC 2,5A
A202 PW230V.15V Stromversorgung 230V Netz 85-250V AC 1x 15V DC 2,5A
A202 PW230V.24V Stromversorgung 230V Netz 85-250V AC 1x 24V DC 2A
A202 PW230V.5V Stromversorgung 230V Netz 85-250V AC 1x 5V DC 4A
A210 STEP-PS ..W Gleichstromversorgung (Weitbereichseingang) 12 - 100W Netz 230V AC/DC 1x ..V DC
A250 DR-75-12 Stromversorgung 230V Netz 230V AC 1x 12V DC 6,3A
A250 DR-75-24 Stromversorgung 230V Netz 230V AC 1x 24V DC 3,2A
A250 DR-75-48 Stromversorgung 230V Netz 230V AC 1x 48V DC 1,6A
A251 DR-120-12 Gleichstromversorgung (120W) Netz 230V AC 1x 12V DC 10A
A251 DR-120-24 Gleichstromversorgung (120W) Netz 230V AC 1x 24V DC 5A
A251 DR-120-48 Gleichstromversorgung (120W) Netz 230V AC 1x 48V DC 2,5A
A253 DRP-240-24 Gleichstromversorgung (240W) Netz 230V AC 1x 24V DC 10A
A253 DRP-240-48 Gleichstromversorgung (240W) Netz 230V AC 1x 48V DC 5A
A254 DRP-480S-24 Gleichstromversorgung (480W) Netz 230V AC 1x 24V DC 20A
A254 DRP-480S-48 Gleichstromversorgung (480W) Netz 230V AC 1x 48V DC 10A
A301 P24V. ... Spannungsstabilisierung, Längsregler Netz 24V AC/DC 1x 1,5 - 26V DC 1A
A301.2 P 24V.24V /U Spannungsstabilisierung, Längsregler Netz 24V AC 24V DC (0,5A)
A305 PH5-24. ... Spannungswandler 5W Netz 24-60V AC/DC 5 12 +-12 24 15 +-15 30 VDC
A310 PH12-24. ... Spannungswandler 12W Netz 24-60V AC/DC 5 12 +-12 24 15 +-15 30 VDC
A315 PH20-24. ... Spannungswandler 20W Netz 24-60V AC/DC 5 12 +-12 24 15 +-15 30 VDC
A320 PH40-24. ... Spannungswandler 40W Netz 24-60V AC/DC 5 12 +-12 24 15 +-15 30 VDC
A340 PU 230V_60V.24V-2A Stromversorgung 230V/60V Netz 230V AC / 60V DC 1x 24V DC 2A
A341 PU 230V_60V.24V-3A Stromversorgung 230V/60V Netz 230V AC / 60V DC 1x 24V DC 3A
A342 PU 230V_60V.24V-6A Stromversorgung 230V/60V Netz 230V AC / 60V DC 1x 24V DC 6A
A345 PI-AC 24V.24V-3,3A Wechselrichter 24V Netz 24V AC/DC 1x 24V AC 3,3A
A352 PJ 230V.24V Vorsorgung/Auswertung Netz 230/24V AC/DC 1x Umschaltkontakt
A360 Nxt .. Wechselrichter Netz 12/24/48V DC 1x 230V AC
A399 APU 230V.12V- 0,6A/0,3Ah USV-Stromversorgung 230V / 12V DC, 0,6A 0,3Ah Netz 85-264V AC 1x 12V DC 0,6A
A400 APU 230V.12V- 3A-2,6Ah USV-Stromversorgung Akkusatz 2,6Ah Netz 85-264V AC 1x 12V DC 3A
A400.1 APU 24V.12V-2,4A/2,6Ah USV-Stromversorgung Akkusatz 2,6Ah Netz 24V AC 1x 12V DC 2,4A
A401 APU 230V.12V- 3A/.. Ah USV-Stromversorgung Netz 230V AC 1x 12V DC 3A
A403 APU 230V.12V- 6A/.. Ah USV-Stromversorgung Netz 230V AC 1x 12V DC 6A
A404 APU 230V.12V-10A/..Ah USV-Stromversorgung Netz 230V AC 1x 12V DC 10A
A409 APU 230V.24V- 0,3A/0,15Ah USV-Stromversorgung 230V/24V DC 0,3A (0,15Ah) Netz 85-264V AC 1x 24V DC 0,3A
A410 APU 230V.24V- 1,5A/1,3Ah USV-Stromversorgung 230V/24V DC 1,5A (0,13Ah) Netz 85-264V AC 1x 24V DC 1,5A
A410.1 APU 24V.24V- 1,2A/1,3Ah USV-Stromversorgung Akkusatz 1,3Ah Netz 24V AC/DC 1x 24V DC 1,2A
A410.2 APU 24V.12V-2x1A/1,3Ah USV-Stromversorgung Akkusatz 1,3Ah Netz 85-264V AC 1x 24V DC 1A + 12VDC 1A
A411 APU 230V.24V- 2A/...Ah USV-Stromversorgung Netz 230V AC 1x 24V DC 2A
A411.1 APU 230V.24V- 2A/2,2Ah/TS USV-Stromversorgung 230V/24V DC 2A (2,2Ah) Tragschiene Netz 230V AC 1x 24V DC 2A
A412 APU 230V.24V- 3A/ ...Ah USV-Stromversorgung 230V/24V DC 3A (...Ah) Netz 230V AC 1x 24V DC 3A
A412.1 APU 230V.24V- 3A/2,2Ah/TS USV-Stromversorgung 230V/24V DC 3A (2,2Ah) Tragschiene Netz 230V AC 1x 24V DC 3A
A413 APU 230V.24V- 6A/ ... Ah USV-Stromversorgung 230V/24V DC 6A (...Ah) Netz 230V AC 1x 24V DC 6A
A415 APU 230V.24V-10A/...Ah USV-Stromversorgung 230V/24V DC 10A (...Ah) Netz 230V AC 1x 24V DC 10A
A420 APU 230V.24V-16A/...Ah USV-Stromversorgung 230V/24V DC 16A (...Ah) Netz 230V AC 1x 24V DC 16A
A430 APU 230V.24V-32A/...Ah USV-Stromversorgung 230V/24V DC 32A (...Ah) Netz 230V AC 1x 24V DC 32A
A462 APU 230V.48V-3A/... Ah USV-Stromversorgung 230V / 48V DC, 3A, Akku n. Angabe Netz 230V AC 1x 48V DC 3A
A463 APU 230V.48V-6A/ ...Ah USV-Stromversorgung 230V / 48V DC, 6A, Akku n. Angabe Netz 230V AC 1x 48V DC 6A
A465 APU 230V.60V-8A/ ...Ah USV-Stromversorgung 230V / 60V DC, 8A, Akku n. Angabe Netz 230V AC 1x 60V DC 8A
B010 .. /230V Optionale Versorgung 230V AC für Geräte im 24mm Gehäuse Netz 230V AC 1x 24V AC 0,16A
B050 TV-1xUI Universal Trennverstärker mit Bereichsumschaltung (1 Kanal) 1x 10V 20mA 1x 10V 20mA
B050 TV-2xUI Universal Trennverstärker mit Bereichsumschaltung (2 Kanal) 2x 10V 20mA 2x 10V 20mA
B050 TV-4xUI Universal Trennverstärker mit Bereichsumschaltung (4 Kanal) 4x 10V 20mA 4x 10V 20mA
B101 MV-U ... Messerstärker, Buffer 1x ... V DC 1x 10V + 20mA DC
B101.1 MV-U2 ... Messerstärker, mit zwei Ausgängen 1x ... V DC 2x 10V + 20mA DC
B101.2 MV-LU ... Messverstärker, mV Eingang, (Strom-Shunt-Verst.) 1x ... mV DC 1x 10V + 20mA DC
B102 TV-U ... Trennverstärker 1x ... V DC 1x 10V + 20mA DC
B102.1 TV-U2 ... Trennverstärker mit zwei Ausgängen 1x ... V DC 2x 10V + 20mA DC
B102.4 TV-U-DC ... Trennverstärker, Gleichspannungseingang 0-250V DC 1x ... V DC 1x 10V + 20mA DC
B103 TV-LU ... Trennverstärker, mV Eingang, (Strom-Shunt-Verst.) 1x ... mV DC 1x 10V + 20mA DC
B104 MV-U-INV ... Messverstärker, Eingang-Ausgang invertiert 1x ... V DC 1x 10V + 20mA DC
B105 TV-U-INV ... Trennverstärker, Eingang-Ausgang invertiert 1x ... V DC 1x 10V + 20mA DC
B106 TV-U-AC ... Trennverstärker, Wechselspannungseingang 0-250 / 500V 1x ... V AC 1x 10V + 20mA DC
B106.2 TV-LU-AC... Trennverstärker, Wechselspannungseingang 0-...mV 1x ... mV AC 1x 10V + 20mA DC
B107 TV-U-ST20V.10V Trennverstärker, Eingang 20V Phasenanschnitt 1x 20V == STAEFA 1x 10V + 20mA DC
B107.2 TV-U-INV-ST20V.10V Trennverstärker, Eingang 20V, Ausgang invertiert 1x 20V == STAEFA 1x 10V + 20mA DC
B108 MV-U-BR ... Messverstärker, Eingang Meßbrücke 1x ... mV Meßbrücke 1x 10V + 20mA DC
B108S51 MV-U-BR / S51 Messverstärker, Eingang Meßbrücke 1x ... mV Meßbrücke 1x +-10V
B108S54 MV-U-BR / S54 Messverstärker, Eingang Meßbrücke 4x ... mV Meßbrücke 4x +-10V
B110 TV-U-PWM24V.10V Trennverstärker, Eingang 24V, Pulsweitenmodulation PWM 1x 24V AC/DC PWM 1x 10V + 20mA DC
B111 NP-1xPWM24V.1x10V Messumformer, 24V PWM auf 0-10V ohne Hilfsspannung 1x 24V DC PWM 1x 10V DC
B111 NP-2xPWM24V.2x10V Messumformer, 24V PWM auf 0-10V ohne Hilfsspannung 2x 24V DC PWM 2x 10V DC
B112 NP-SPS.EVG_XXX Messumformer, Analog auf EVG ohne Hilfsspannung 1x 10V DC / 230V AC 1x 1-10V DC (EVG)
B122 TV-H-U ... Trennverstärker, hohe Isolationsspannung 1x bis 1000V DC 1x 10V + 20mA DC
B123 TV-H-LU Trennverstärker, mV Eingang, hohe Isolationsspannung 1x 0-60 mV DC 1x 10V + 20mA DC
B126 NP-..xTV-U/I.4-20mA Trennverstärker, Strom od. Spannungseingang ohne Hilfsspannung (Stromschleifenspeisung) 1x 10V 20mA DC 1x 4-20mA DC
B127 NP-TV-LI… Trennverstärker ohne Hilfsenergie für kleine Ströme uA/mA 1x 4-20mA DC 1x 4-20mA DC
B131 MV-BGZ-U ... Spannungsbegrenzung 1x +-50V DC 1x 10V einslbar
B140 MO-MV-XxU Treibermodul 1-2 Kanäle MO-MV-XxU 2x 10V DC 2x 10V DC
B141 LC-MV-U2.10V Messverstärker, Signalaufsplittung 0-10V, 2x Ausgang 2x 10V DC 2x 10V DC
B144 LC-MV-4U.4U Messverstärker, Treiber für 0-10V, 4 Kanal 4x 10V DC 4x 10V DC
B148 LC-MV-8U.8U Messverstärker, Treiber für 0-10V, 8 Kanal 8x 10V DC 8x 10V DC
B150 LC-TV-1U.1U Trennverstärker, 1U.1U, 1 Kanal 1x +-10V DC 1x +-10V DC
B151 LC-TV-1U.1I Trennverstärker, 1U.1I, 1 Kanal 1x 10V DC 1x 20mA DC
B152 LC-TV-2U.2U Trennverstärker, 2U.2U, 2 Kanäle 2x +-10V DC 2x +-10V DC
B153 LC-TV-2U.2I Trennverstärker, 2U.2I, 2 Kanäle 2x 10V DC 2x 20mA DC
B154 LC-TV-4U.4U Trennverstärker, 4U.4U, 4 Kanäle 4x +-10V DC 4x +-10V DC
B155 LC-TV-4U.4I Trennverstärker, 4U.4I, 4 Kanäle 4x 10V DC 4x 20mA DC
B201 MV-I ... Messverstärker, Buffer 1x ... mA DC 1x 10V + 20mA DC
B202 TV-I ... Trennverstärker, Stromeingang 1x ... mA DC 1x 10V + 20mA DC
B202.1 TV-I2 ... Trennverstärker mit zwei Ausgängen 1x ... mA DC 2x 10V + 20mA DC
B203 TV-LI ... Trennverstärker, µA Eingang 1x ... µA DC 1x 10V + 20mA DC
B204 MV-I-INV ... Messverstärker, Eingang-Ausgang invertiert 1x ... mA DC 1x 10V + 20mA DC
B205 TV-I-INV ... Trennverstärker, Eingang-Ausgang invertiert 1x ... mA DC 1x 10V + 20mA DC
B206 TV-I-AC ... Trennverstärker, Wechselstromeingang (Stromwandler) 1x ... A AC 1x 10V + 20mA DC
B207 TV-I-AC10A.10V Trennverstärker, Wechselstromeingang (Stromwandler) 1x 10A AC (20A) 1x 10V + 20mA DC
B216 TV-I-DC ... Trennverstärker, Gleichstromeingang 1x ... A DC 1x 10V + 20mA DC
B217 TV-I-DC10A.10V Trennverstärker, Gleichstromeingang 1x 10A DC (20A) 1x 10V + 20mA DC
B231 MV-BGZ-I Begrenzung des Stromes, maximaler Schleifenstrom 1x 0-20mA 1x 3,5-30mA
B250 LC-TV-1I.1I Trennverstärker, 1I.1I, 1 Kanal 1x 20mA DC 1x 20mA DC
B251 LC-TV-1I.1U Trennverstärker, 1I.1U, 1 Kanal 1x 20mA DC 1x 10V DC
B252 LC-TV-2I.2I Trennverstärker, 2I.2I, 2 Kanäle 2x 20mA DC 2x 20mA DC
B253 LC-TV-2I.2U Trennverstärker, 2I.2U, 2 Kanäle 2x 20mA DC 2x 10V DC
B254 LC-TV-4I.4I Trennverstärker, 4I.4I, 4 Kanäle 4x 20mA DC 4x 20mA DC
B255 LC-TV-4I.4U Trennverstärker, 4I.4U, 4 Kanäle 4x 20mA DC 4x 10V DC
B266 LC-TV-1xI-AC Trennverstärker, 3x Wechselstromeingang, 3x Spannungsausgang 1x 1A AC (max 5A) 1x 10V DC
B267 LC-TV-3xI-AC Trennverstärker, 3x Wechselstromeingang, 3x Spannungsausgang 3x 1A AC (max. 5A) 3x 10V DC
B301 MV-R ... Messverstärker, Widerstandseingang, Poti 1x ... Ohm 1x 10V + 20mA DC
B301.1 TV-R ... Trennverstärker, Widerstandseingang, Poti 1x ... Ohm 1x 10V + 20mA DC
B301.2 MV-R-3L ... Messverstärker, Poti-Dreileitereingang 1x Poti ... Ohm 1x 10V + 20mA DC
B301.3 TV-R-3L … Trennverstärker, Poti-Dreileitereingang 1x Poti ... Ohm 1x 10V + 20mA DC
B302 MV-PT100 ... Messverstärker, PT100 1x PT100 Dreileiter 1x 10V + 20mA DC
B302.1 MV-PT100.KP10 Messverstärker, PT100, Ausgang KP10 1x PT100 Dreileiter 1x KP10
B302.2 TV-PT100 ... Trennverstärker, PT100 1x PT100 Dreileiter 1x 10V + 20mA DC
B302.4 MV-PT100x2OUT … Messverstärker, PT100 1x PT100 Dreileiter 2x 10V + 20mA DC
B302.5 TV-PT100x2OUT ... Trennverstärker, PT100 1x PT100 Dreileiter 2x 10V + 20mA DC
B303 MV-PT1000 ... Messverstärker, PT1000 1x PT1000 1x 10V + 20mA DC
B303.1 MV-PT1000.KP10 Messverstärker, PT1000 1x PT1000 1x KP10
B303.2 TV-PT1000 ... Trennverstärker, PT1000 1x PT1000 1x 10V + 20mA DC
B303.5 TV-PT1000.2xOUT Trennverstärker, PT1000 1x PT1000 2x 10V + 20mA DC
B304 MV-NI1000 ... Messverstärker, NI1000 1x NI1000, NI200 1x 10V + 20mA DC
B304.2 TV-NI1000... Trennverstärker, NI1000 1x NI1000 1x 10V + 20mA DC
B304.5 TV-NI1000 2xOUT Trennverstärker, NI1000 1x NI1000 2x 10V + 20mA DC
B305 MV-KP250 ... Messverstärker, KP250 1x KP250 1x 10V + 20mA DC
B305.3 MV-KP250.KP10 Messverstärker, KP250, Ausgang KP10 1x KP250 1x KP10
B306 MV-BALCO500 ... Messverstärker, BALCO500 1x BALCO 500 1x 10V + 20mA DC
B307 MV-PTC ... Messverstärker, PTC Sensor 1x PTC Sensor n.A. 1x 10V + 20mA DC
B308 MV-NTC ... Messverstärker, NTC Sensor 1x NTC Sensor n.A. 1x 10V + 20mA DC
B308.1 MV-T1 Messverstärker, T1 Sensor 1x T1 Sensor 1x 10V + 20mA DC
B308.2 TV-NTC Trennverstärker, NTC 1x NTC 1x 10V + 20mA DC
B309 MV-KP10 ... Messverstärker, KP10 1x KP10 1x 10V + 20mA DC
B309.1 MV-KTY ... Messverstärker, KTY ... 1x KTY... 1x 10V + 20mA DC
B309.2 TV-KP10 Trennverstärker, KTY ... 1x KTY... 1x 10V + 20mA DC
B310 MV-AD592 Messverstärker, AD592 1x AD592 Sensor 1x 10V + 20mA DC
B311 MV-THERMO ... Messverstärker, Thermoelement (Typ E,J,K,T,R,S) 1x Thermoelement 1x 10V + 20mA DC
B311.1 MO-MV-THERMO ... Messverstärker, Thermoelement (Typ E,J,K,T,R,S) Modulgehäuse 1x Thermoelement 1x 4 - 20mA DC
B311.2 TV-THERMO Trennverstärker, Thermoelement (Typ E,J,K,T,R,S) 1x Thermoelement 1x 10V + 20mA DC
B312 MV-LUX ... Messverstärker, Lichtsensor LF 1 (Helligkeitsmessung) 1x LF1 LUX-Sensor 1x 10V + 20mA DC
B312.1 MO-MV-LUX ... Messverstärker, Lichtsensor LF 1 Modulgehäuse 1x LF1 LUX-Sensor 1x 10V DC
B313 LF1 (LF8) Lichtsensor LF1/LF8 (Einbauversion) 1x 0-20.000 Lux 1x Meßverst. MV-LUX
B351.1 LC-MV-1xR Messverstärker, Widerstand / Poti, 1 Kanal 1x Widerstand, Poti 1x 10V DC
B351.2 LC-MV-2xR Messverstärker, Widerstand / Poti, 2 Kanäle 2x Widerstand, Poti 2x 10V DC
B351.4 LC-MV-4xR Messverstärker, Widerstand / Poti, 4 Kanäle 4x Widerstand, Poti 4x 10V DC
B352.1 LC-MV-1xPT100 Messverstärker, PT100, 1 Kanal 1x PT100 Dreileiter 1x 10V DC
B352.2 LC-MV-2xPT100 ... Messverstärker, PT100, 2 Kanäle 2x PT100 Dreileiter 2x 10V DC
B352.4 LC-MV-4xPT100 ... Messverstärker, PT100, 4 Kanäle 4x PT100 Dreileiter 4x 10V DC
B353.1 LC-MV-1xPT1000 ... Messverstärker, PT1000, 1 Kanal 1x PT1000 1x 10V DC
B353.2 LC-MV-2xPT1000 ... Messverstärker, PT1000, 2 Kanäle 2x PT1000 2x 10V DC
B353.4 LC-MV-4xPT1000 ... Messverstärker, PT1000, 4 Kanäle 4x PT1000 4x 10V DC
B354.1 LC-MV-1xNI1000 ... Messverstärker, NI1000, 1 Kanal 1x NI1000,NI200 1x 10V DC
B354.2 LC-MV-2xNI1000 ... Messverstärker, NI1000, 2 Kanäle 2x NI1000,NI200 2x 10V DC
B354.4 LC-MV-4xNI1000 ... Messverstärker, NI1000, 4 Kanäle 4x NI1000,NI200 4x 10V DC
B355.1 LC-MV-1xKP250 ... Messverstärker, KP250, 1 Kanäle 1x KP250 1x 10V DC
B355.2 LC-MV-2xKP250 ... Messverstärker, KP250, 2 Kanäle 2x KP250 2x 10V DC
B355.4 LC-MV-4xKP250 ... Messverstärker, KP250, 4 Kanäle 4x KP250 4x 10V DC
B359.1 LC-MV-1xKP10 ... Messverstärker, KP10, 1 Kanal 1x KP10 / LM135Z 1x 10V DC
B359.2 LC-MV-2xKP10 ... Messverstärker, KP10, 2 Kanäle 2x KP10 / LM135Z 2x 10V DC
B359.4 LC-MV-4xKP10 ... Messverstärker, KP10, 4 Kanäle 4x KP10 / LM135Z 4x 10V DC
B359.5 LC-MV-1xKTY ... Messverstärker, KTY, 1 Kanal 4x KPY ... 4x 10V DC
B359.6 LC-MV-2xKTY... Messverstärker, KTY, 2 Kanäle 2x KTY (10, 81, ...) 2x 10V DC
B359.8 LC-MV-4xKTY... Messverstärker, KTY, 4 Kanäle 4x KTY (10, 81, ...) 4x 10V DC
B361.2 SMV-2xNI1000-LS.UI Messverstärker Sensoreingang Bereichsumschaltung 2x Ni1000 2x 10V + 20mA DC
B367 NP-MV-XxSENSOR Messverstärker für Temperatursensoren ohne Hilfsspannung (Stromschleifenspeisung) 1x Sensor 1x 4-20mA DC
B367.3 NP-MV-XxPT.4-20mA Messverstärker für PT100 PT1000 (Stromschleifenspeisung) 1x Sensor 1x 4-20mA DC
B367.4 NP-MV-XxR.4-20mA Messverstärker für Potentiometer (Stromschleifenspeisung) 1x Sensor 1x 4-20mA DC
B367.8 NP-MV-XxNTC Messverstärker für NTC-Temperatursensoren (Stromschleifenspeisung) 1x Sensor 1x 4-20mA DC
B380 MVC-4x... .10V.1S Der Messumsetzer steuert je nach Software mit den Eingängen 1-4 die Ausgänge 1-2 an 4x 10V 24V 1x 10V + 1x Kontakt
B383 MVC-F.U GS Grenzwertschalter (Sonderanwendungen) 1x Digital 1x 10V+ Kontakt
B390 MUX-U 16 Analogmultiplexer 16 zu 1, Eingang 16x Spannung 16x 10V DC 1x 10V DC
B390.1 MUX-I 16 Analogmultiplexer 16 zu 1 (Strom nach Spannung) 16x 20mA DC 1x 10V DC
B391 MUX-OUT 16 Analogausgangsmultiplexer, 16x Spannung 1x 10V DC 16x 10V DC
B392 MUX_K8 Digitaler Ausgangsmultiplexer mit Handebene (Notbedienung) 24V DC Adresse 8x Relaiskontakt
B393 MUX-K8/S1/50ms Digitaler Ausgangsmultiplexer 24V DC Adresse 8x Relaiskontakt
B397 MU-VZ-U.U Messwertverzögerung, Integrator, Rampenfunktion 1x 10V DC 1x 10V + 20mA DC
B398 MU-U.TAB Kennlinie nach EXCEL Tabelle für beliebige auch nichtlineare Verläufe (logarithmisch, stufig, ...) 1x ... V DC 1x 10V + 20mA DC
B400 MU-2xU.DIV Analog-Dividierer, C=A/B, einslbar 2x 10V DC 1x 10V + 20mA DC
B401 MV-SU ... Analog-Summierer, 3 (4) Eingänge 3x (4x) ...V/mA DC 1x 10V + 20mA DC
B402 MV-SU8 ... Analog-Summierer, 8 Eingänge (Stufenschaltg.-Analogausgang) 8x ...V/mA DC 1x 10V + 20mA DC
B403 MV-MI ... Mitwertbildung, 3 (4) Eingänge 3x (4x) ...V/mA DC 1x 10V + 20mA DC
B405 MV-I2-I1 Differenzverstärker, subtrahiert I1 von I2 2x 20mA DC 1x 10V + 20mA DC
B405.1 MV-U2-U1 Differenzverstärker, subtrahiert U1 von U2 2x 10V DC 1x 10V + 20mA DC
B406 MV-MIN-MAX.10V Minimal- und Maximalwertausgabe, 2 Eingänge 2x 10V DC 2x 10V DC
B406.1 MV-6xU.MAX Maximalwertausgabe, 6x Spannungseingang 6x ...V DC 1x 10V DC
B406.2 MV-U-MAX Maximalwertausgabe aus 2 Spannungseingängen 2x 10V DC 1x 10V + 20mA DC
B406.2 MV-U-MIN Minimalwertausgabe aus 2 Spannungseingängen 2x 10V DC 1x 10V + 20mA DC
B406.3 MV-I-MAX Maximalwertausgabe, 2x Stromeingang 2x 20mA DC 1x 10V + 20mA DC
B406.3 MV-I-MIN Minimalwertausgabe, 2x Stromeingang 2x 20mA DC 1x 10V + 20mA DC
B406.4 MV-12xU_MAX Maximalwertausgabe, 12x Spannungseingang 12x 10V DC 1x 10V
B407 MV-8xIN Minimalwert-, Maximalwert-, Mitwert-, Summierer- Auswahlbaustein mit Wahlschalter, 8 Eingänge 8x Signal 1x 10V + 20mA DC
B409 MU-DA6 ... Digital-Analog Umsetzer 6 Bit Digital 1x 10V DC Analog
B411 MU-U/I.F Messumsetzer, Spannung/Strom - Frequenz 1x ... V DC 1x Hz bis kHz
B411.3 MU-U/I.F /IL Messumsetzer Taktgeber, Spannung/Strom nach Frequenz/Impulsfolge (für Dosierpumpen etc.) 1x ... V DC 1x 50Hz
B413 MU-F ... Messumsetzer, Frequenz - Spannung/Strom 1x ... Hz 1x 10V + 20mA DC
B414.4 MU-2P.UI /24V Umsetzung von 2Punkt (2 Stufen) nach Spannung/Strom, 24V Versorgung 2x 24/230V (2 Stufen) 1x 10V 20mA DC
B421 MV-REF10V Spannungsreferenz 1,5 - 16V 1x 24V AC/DC 1x 1,5 - 16V DC
B421.2 MV-VR10 Spannungsreferenz 1,5 - 16V (ohne Potentialtrennung) 1x 24V AC/DC 1x 1,5 - 16V DC
B421.3 MV-VR10 /S Sollwertgeber / Spannungsreferenz, einslbar ohne Potentialtrennung 1x 10V DC 1x 10V DC
B422 MV-REF-PR10,00V Präzisions-Referenzspannung 10,00V 1x 24V AC/DC 1x 10,00V DC
B423 MV-HE-U10V Handeinslung Analog, 0-10V (Aufschnappgehäuse) 1x 24V AC/DC 1x 10V DC Hand
B424 MV-HE-I20mA Handeinslung Analog, 0-20mA (Aufschnappgehäuse) 1x 24V AC/DC 1x 20mA DC Hand
B425 MV-HSE-U10V Handbedienebene Analog, 0-10V (Aufschnappgehäuse) 1x 10V DC 1x 10V DC Hand/Auto
B426 MV-HSE-I20mA Handbedienebene Analog, 0-20mA (Aufschnappgehäuse) 1x 20mA DC 1x 20mA DC Hand/Auto
B427 MV-HRE24V Handbedienebene Digital, Relaiskontakt (Aufschnappgehäuse) 1x 24V AC/DC 1x Umschaltkontakt
B427.1 MV-HE.K1 Handbedienebene Digital, Relaiskontakt (Wahlschalter) - 1x Umschaltkontakt
B431 HE 01 Handeinslung 0-10V (Schalttafeleinbau 28 x 28 mm) 1x 24V AC/DC 1x 10V DC
B432 HSE 01 Handbedieneben 0-10V (Schalttafeleinbau 28 x 28 mm) 1x 10V DC 1x 10V DC Hand/Auto
B433 HR 01 Handeinslung 0-10kOhm (Schalttafeleinbau 28 x 28 mm) Handeinslung 1x 0 - ... kOhm
B434 HE 02 N Handeinslung 0-10V (Schalttafeleinbau 48 x 48 mm) 1x 24V AC/DC 1x 10V / 20mA DC
B434.6 HE 02-6S Handeinslung 0-10V in 6 Stufen (Schalttafeleinbau 48 x 48 mm) 1x 24V AC/DC 1x 10V DC Hand
B435 HSE 02/N Handbedienebene 0-10V (Schalttafeleinbau 48 x 48 mm) 1x 10V / 20mA DC 1x 10V / 20mA DC Hand/Auto
B436 HR 02/N Handeinslung 0-10kOhm (Schalttafeleinbau 48 x 48 mm) Handeinslung 1x 0 - ... kOhm
B441 HSE-U10V Handbedienebene 0-10V (Schalttafeleinbau 72 x 36mm) 1x 10V DC 1x 10V DC Hand/Auto
B441.2 HE-U10V Handbedienebene 0-10V (Schalttafeleinbau 72 x 36mm) Frostschutzfunktion 1x 10V DC 1x 10V DC Hand/Auto
B442 HSE-I 20mA Handbedienebene 0-20mA (Schalttafeleinbau 72 x 36mm) 1x 20mA DC 1x 20mA DC Hand/Auto
B442.2 HE-I 20mA Handbedienebene 0-20mA (Schalttafeleinbau 72 x 36mm) 1x Poti 0-100% 1x 20mA DC Hand
B443 HSE-U10V-PM Handbedienebene 0-10V mit Panelmeter (Schaltt. 72 x 72mm) 1x 10V DC 1x 10V DC Hand/Auto
B444 HSE-I20mA-PM Handbedienebene 0-20mA mit Panelmeter (Schaltt. 72 x 72mm) 1x 20mA DC 1x 20mA DC Hand/Auto
B445 HSE-TV-U 10V-PM Handbedienebene, Trennverstärkereingang (Schaltt. 72 x 72mm) 1x 10V DC 1x 10V DC Hand/Auto
B447 HSE-U-72 Handbedienebene, 0-10V mit LED Display (Schaltt. 72x72mm) Handeinslung 1x 10V DC Hand/Auto
B471 HE 03/N Handeinslung 0-10V (Schalttafeleinbau 30 x 30 mm) 1x Handeinslung 1x 10V DC Hand
B472 HSE 03/N Handbedienebene 0-10V (Schalttafeleinbau 30 x 30 mm) 1x 10V DC 1x 10V DC Hand/Auto
B473 HR 03 Widerstandsgeber Handeinslung 1x 10kOhm
B474 HE 04 Handeinslung 0-10V (Tragschiene) Handeinslung 1x 10V
B475 HSE 04 Handbedienebene 0-10V (Tragschiene) Handeinslung 1x 10V 1x Kontakt
B476 HR 04 Handeinslung (Tragschiene) Handeinslung 1x 10kOhm
B483 HE 43.1 Handbedientableau, Sollwert, Istwert, LED-Display (u.P.) 1x 0-10V DC 1x 10V DC EIN/AUS Kontakt mit Anzeige
B484 HE 44 Handbedientableau, Sollwert, Istwert, Display (u.P.) Handeinslung 2x 10V DC EIN/AUS Kontakt
B501 GS-U Grenzwertschalter 1x 10V DC 1x Umschaltkontakt
B501.1 GS-IOP11 Grenzwertschalter, (ohne Potentialtrennung) 1x 10V DC 1x Umschaltkontakt
B501.2 GS-IOP-HE Grenzwertschalter, Handebene, (ohne Potentialtrennung) 1x 10V DC 1x Umschaltkontakt
B502 GS-U2 Grenzwertschalter, 2x Ausgangsrelais 1x 10V DC 2x Umschaltkontakt
B503 GS-LU Grenzwertschalter für Gleichstrom- Shuntmessung [mV] nach Angabe. 1x ... mV 1x Umschaltkontakt
B506 GS-U-AC ... Grenzwertschalter, Wechselspannung 1x ... V AC 1x Umschaltkontakt
B507 GS-U-BR ... Grenzwertschalter, Eingang Messbrücke 1x Messbrücke 1x Schliesserkontakt
B515 GS-U-ST20V Grenzwertschalter, 20V DC Phasenanschnitt 1x 20V == STAEFA 1x Umschaltkontakt
B516 GS-U2-ST20V Grenzwertschalter, 20V DC Phasenanschnitt, 2x Ausg. 1x 20V == STAEFA 2x Umschaltkontakt
B521 GS-I ... Grenzwertschalter 1x 20mA DC 1x Umschaltkontakt
B521.2 GS-I-DC ... Grenzwertschalter 1x ... A DC (max. 6A) 1x Umschaltkontakt
B522 GS-I2 ... Grenzwertschalter, 2x Ausgangsrelais 1x 20mA DC 2x Umschaltkontakt
B526 GS-I-AC ... Grenzwertschalter, Wechselstromeingang 1x ... A AC (<5A) 1x Umschaltkontakt
B527 GS-I-AC10A Grenzwertschalter, Wechselstromeingang, 10A (20A) 1x ... A AC (>5A) 1x Umschaltkontakt
B527.1 GS-I-DC10A Grenzwertschalter, Gleichstromeingang, 10A (20A) 1x ... DC (>5A) 1x Umschaltkontakt
B531 GS-R ... Grenzwertschalter, Widerstandseingang 1x ... Ohm 1x Umschaltkontakt
B532 GS-R2 ... Grenzwertschalter, Widerstandseingang, 2x Ausgangsrelais 1x ... Ohm 2x Umschaltkontakt
B533 GS-R-KTY ... Grenzwertschalter, Eingang Sensor 1x Sensor 1x Umschaltkontakt
B533 GS-R-BALCO500 ... Grenzwertschalter, Eingang Sensor 1x Sensor BALCO500 1x Umschaltkontakt
B533 GS-R-KP10 ... Grenzwertschalter, Eingang Sensor 1x Sensor KP10 1x Umschaltkontakt
B533 GS-R-KP250 ... Grenzwertschalter, Eingang Sensor 1x Sensor KP250 1x Umschaltkontakt
B533 GS-R-NI1000 ... Grenzwertschalter, Eingang Sensor 1x Sensor NI1000 1x Umschaltkontakt
B533 GS-R-NTC ... Grenzwertschalter, Eingang Sensor 1x Sensor NTC 1x Umschaltkontakt
B533 GS-R-PT100 ... Grenzwertschalter, Eingang Sensor 1x Sensor Pt100 1x Umschaltkontakt
B533 GS-R-PT1000 ... Grenzwertschalter, Eingang Sensor 1x Sensor Pt1000 1x Umschaltkontakt
B533 GS-R-PTC ... Grenzwertschalter, Eingang Sensor 1x Sensor PTC 1x Umschaltkontakt
B534 GS-THERMO ... Grenzwertschalter, Eingang Thermoelement 1x Thermoelement 1x Umschaltkontakt
B541 GS-INI-K1 ... Grenzwertschalter, NAMUR 1x NAMUR 1x Umschaltkontakt
B545 GS-F ... Grenzwertschalter, Eingang Frequenz 1x ... Hz 1x Umschaltkontakt
B546 GS-F2 ... Grenzwertschalter, Eingang Frequenz 1x ... Hz 2x Umschaltkontakt
B551 LC-GS2-U/I Grenzwertschalter, Eingang wählbar,2x Relaisk. 1x 10V od. 20mA DC 2x Umschaltkontakt
B552 LC-GS4-UI Grenzwertschalter, Eingang wählbar,4x Relaisk. 1x 10V od. 20mA DC 4x Umschaltkontakt
B561 GS-U-BAT 24V Grenzwertschalter, Batterie-Spannungsüberwachung 0-40V 1x 40V DC 1x Umschaltkontakt
B562 GS-I-BAT Grenzwertschalter, Batterie-Restladestromüberwachung 1x 6A DC 1x Umschaltkontakt
B571 GS-AL ... Alarmgeber-Auswertung ohne Meldelinienüberwachung 1x Alarm-Linie 1x Umschaltkontakt
B572 GS-AL-LI ... Alarmgeber-Auswertung mit Meldelinienüberwachung 1x Alarm-Linie 1x Umschaltkontakt
B602 MU-3P.UI /24V Umsetzung von 3Punkt Auf Zu nach stetig/analog für z.B. Ventilantriebe mit stetigem Analogeingang, 24V Versorgung 2x 24/230V 1x 10V 20mA DC
B602 MU-3P.UI /24V /S4 Umsetzung von 3Punkt Auf Zu nach stetig/analog für z.B. Ventilantriebe mit stetigem Analogeingang, 24V Versorgung (mit Speicherfunktion) 2x 24/230V 1x 10V 20mA DC
B602.1 MU-3P.UI /24V /HE Umsetzung von 3Punkt Auf Zu nach stetig/analog für z.B. Ventilantriebe mit stetigem Analogeingang, 24V Versorgung mit Handebene 2x 24/230V 1x 10V 20mA DC
B604 MU-3P.UI /230V Umsetzung von 3Punkt Auf Zu nach stetig/analog für z.B. Ventilantriebe mit stetigem Analogeingang, 230V Versorgung 2x 24/230V 1x 10V 20mA DC
B604.1 MU-3P.UI /230V /HE Umsetzung von 3Punkt Auf Zu nach stetig/analog für z.B. Ventilantriebe mit stetigem Analogeingang, 230V Versorgung mit Handebene 2x 24/230V 1x 10V 20mA DC
B610 TR-X/X.3P Umsetzung von stetig/analog nach 3Punkt Auf Zu für z.B. Ventilantriebe mit Auf Zu Eingang, Treiber 3Punkt, Differenzregler (Soll-/Istwert- 1x Soll/Istwert U,I,R 2x Schließer, 3Punkt
B610.1 TR-X/X.3P /HE Umsetzung von stetig/analog nach 3Punkt Auf Zu für z.B. Ventilantriebe mit Auf Zu Eingang, Treiber 3Punkt, Differenzregler (Soll-/Istwert-Regler) 1x Soll/Istwert U,I,R 2x Schließer, 3Punkt
B614 TR-X/5V.3P Treiber 3Punkt, Differenzregler (Soll-/Istwert-Regler) 1x Soll/Istwert U,I,R 2x Schließer, 3Punkt
B620 TR-U_R_WP Treiber Ventile Wendepolung Rückführung 1x 10V DC 1x 24V DC
B623 TR01 TR02 Triac-Treiber, 230V AC 1x 24V AC/DC 1(2)x Triac 24-230V AC 5A
B630 TRV-5V.PWM Umsetzer Analog auf PWM Pulsweitenmodulation, z.B. Solarpumpen, Hocheffizienz Umwälzpumpen, Heizung und Solaranlagen 1x 10V DC (20mA) 1x 5V ==, PWM
B631 TRV20V.1,5A Treiber Ventilantrieb 20V, 30VA (magnetische Ventile 0-20V) 1x 10V DC (20mA) 1x 20V ==, 30VA PWM
B632 TRV20V.2,5A Treiber Ventilantrieb 20V, 50VA (magnetische Ventile 0-20V) 1x 10V DC (20mA) 1x 20V ==, 50VA PWM
B633 TRV20V.4A Treiber Ventilantrieb 20V, 80VA (magnetische Ventile 0-20V) 1x 10V DC 1x 20V ==, 80VA
B634 TRV20V.6A Treiber Ventilantrieb 20V, 120VA (magnetische Ventile 0-20V) 1x 10V DC 1x 20V ==, 120VA
B635 TRV-PWM.PWM Treiber für PWM Signale, Ventile, Lampen, Kleinheizungen, 2A 1x 24V PWM 1x 24V PWM
B640 SSR480 Elektronisches Schütz, Solid-State-Relay 1x 24V DC PWM 1x 480V AC, 90A
B640.1 SSR3x480-xx Drehstrom-Halbleiterrelais zur Ansteuerung von Elektro-Heizregistern 1x 24V DC PWM 1x 480V AC, 90A
B641 TRL-DC Treiber Leistungssler, Ausgang 24V DC 1x 10V DC (20mA) 1x 24V ==, 30VA
B642 TRL-TRIAC Treiber Leistungssler, Ausgang Triac 1x 10V DC 1x 230V AC (Triac)
B643 TRL-K Treiber für kleine Leistungen, Ansteuerung von Ventilen, Heizungen o.ä mit Wechsel- oder Gleichspannung. 1x 10V DC 1x 24V AC/DC, max. 2A
B651 SWM 2 Wassersensor, Linienverdrahtung mit Alarmselbsthaltung 1x Wasserkontakt 1x Meldelinie an GS-AL(-LI)
B652 SWM 3 Wassersensor, Einzelgerät mit Alarmselbsthaltung 1x Wasserkontakt 1x Öffnerkontakt
B653 SWM 3.2 Wassersensor, Einzelgerät ohne Selbsthaltung 1x Wasserkontakt 1x Öffnerkontakt
B654 SWM 3.4 Wassersensor, Einzelgerät ohne Selbsthaltung, 2x Ausgang 1x Wasserkontakt 1x Schließer 1x Öffner
B664 SWM 4 Elektrodenauswertung, Wassersensor, Aufschnappgeh. 3x Wasserstandselektrode 3x Öffner oder Schließer
B665 SWM 5 Flüssigkeitssensor -melder LED Infrarot Reflex System 1x Öffner
B666 MLS31A Flüssigkeitssensor Pegelsensor 1x Sensor 1x Öffnerkontakt
B675 GS-NR31 Füllstand Überwachung Melder Stabsonden 3 + 1 Sensor 1x Kontakt
B700 SWM10 Flüssigkeitssensor (Elektrodenrelais) reagiert auf die Leitfähigkeit der Flüssigkeit 1x Sensor 1x Umschaltkontakt
B701 TPM 01 Taupunktmelder (z.B. Taufeuchtigkeit an Rohren) 1x Betauung / Taupunkt 1x Umschaltkontakt
B702 TPM 01 /230V Taupunktmelder (z.B. Taufeuchtigkeit an Rohren) 1x Betauung / Taupunkt 1x Umschaltkontakt
B703 TPM 02 Taupunktmelder (z.B. Taufeuchtigkeit an Rohren) 1x Betauung / Taupunkt 1x 10V + Umschaltkontakt
B704 TPM02/230V Taupunktsensor (z.B. Taufeuchtigkeit an Rohren) 1x Betauung / Taupunkt 1x 10V + Umschaltkontakt
B720 TV-R.UI.K1 Überwachung Rohrleitung Fernheizrohre 1x Widerstand 1x 10V 20mA + Kontakt
B752 LCU-I-GLT.K1 Lüftermotorüberwachung 1x 24V AC/DC 1x 230V 2x Umschaltkontakt
B753 LCU-xxxPa.K1/xxxPa.10V Luftstromüberwachung 1x Sensor 1x 10V + Umschaltkontakt
B754 LCU-3xU-I.K2 Baustein zur Überwachung (z.B. Lüfterüberwachung) 3x 230V AC 2x Kontakt
B755 LCU-3xIN.K3 Baustein zur Überwachung / Grenzwertschalter (z.B. Lüfterüberwachung) 1x 10V 2x Kontakt 3x Kontakt
C001 RIN-PROG-USB Programm-Tool - -
C009 PM 510/LED/F Bargrafanzeige Einbauversion 1x 10V 20mA 10x LED
C011 PM 518 LCD Panelmeter, Eingang 0-10V, Anzeige alphanumerisch 8 slig 1x 10V 20mA DC 1x LCD-Anzeige 8 slig
C012 PM 528 Anzeige 2x 8 Zeichen Textanzeige nach Kundenangabe individuell 1x 10V 20mA DC 1x Anzeige 2x8 Zeichen
C012.2 PM 528-B/F Display Anzeige Einbauversion 1x 10V 20mA 2x8 Zeichen
C120 PLU-H-D-12.2-12 Anzeige-Meldemodul mit 12 Leuchtfeldern (Beschriftung über Einschubstreifen) + Tastfunktion 12x 12 - 24V AC/DC digital 1x Kontakt (Sammelmeldung, ext. Hupe)
C130 PLU-H-D-24.2-12 Anzeige-Meldemodul mit 12 Leuchtfeldern (Beschriftung über Einschubstreifen) + Tastfunktion 24x 12 - 24V AC/DC digital 1x Kontakt (Sammelmeldung, ext. Hupe)
C301 IPT3.2 Teiler programmierbar, 2-slig, Teilerfaktor 1-99 1x Impuls 1x Optokoppler-Transistor
C301 IPT3.3 Teiler programmierbar, 3-slig, Teilerfaktor 1-999 1x Impuls 1x Optokoppler-Transistor
C301 IPT3.4 Teiler programmierbar, 4-slig, Teilerfaktor 1-9999 1x Impuls 1x Optokoppler-Transistor
C303.1 IPL 1 Impulsverlängerung, 1 Kanal, Ausgang t + ... Sek n.A. 1x Impuls 1x Optokoppler-Transistor
C310 IPX 4 Impulsumsetzer, 4 Kanäle, Impulsteiler, Impulsverlängerung 4x Impuls 4x Optokoppler-Transistor
C315 IPV 4 /N Impulsumsetzer, Impulsvervielfachung, Impulsverlängerung, Impulssummierer z.B. für Gaszähler Wasserzähler Wärmezähler Elektrizitätszähler 4x Impuls 4x Optokoppler-Transistor
C524 LBI 24 Steuerungsbaustein für 2 Klappenantriebe 24V Netz 24V AC/DC 1x Umschaltkontakt
C530 ZSWA Versorgungsgerät für Antriebe Netz 230V AC/DC 2x 24V DC
C603 TK 4 Spannungsüberwchungsrelais, 230V / 400V DC 3x 230/400V AC 2x Umschaltkontakt
C621 SR-I1-16A.K1 Stromüberwachungsrelais, 1 Kanal 1x 0,1 - 16 A AC/DC 1x Optokoppler-Transistor
C622 SR-I4-K4 Stromüberwachungsrelais, 4 Kanäle, Photo-Mos-Signal Relais 4x 0,1 - 1 A AC/DC 4x Transistor
C624 SR-I1.K1 Stromüberwachungsbaustein, max. 2A, Sicherung, einslbar 1x 1,4 A 1x Optokoppler-Transistor
C625.2 SB-SR-I1-K3 Stromüberwachung, 3Stufen, Wassermelder, Meldung 1x 1,2 A AC 1x Optokoppler-Transistor
C710 US 10 Steuermodul, 1x Analogeingang, 10x Schließerkontakt 230V 1x 10V od. 20mA DC 10x Schließer/Öffner 230V
C710.1 US 10 /S1 Grenzwertschalter, Spannungseingang, 10x Schließerkontakt 1x 10V od. 20mA DC 10x Schließer/Öffner 230V
C730 USE 20 Eingangs-Anschlussmodul, 20x Digital Ein-Ausgänge 20x Schließer 20x TTL
C731 USE 20.2 USE20.2 ist baugleich mit USE20, hat aber zusätzlich den Eingang LED Test. 20x Schließer 20x TTL
C741 USA 10A Ausgabeeinheit für TTL-Pegel (z.B. Andover DM20), 10x Schließerkontakt 10x 5V (TTL/Andover DM20) 10x Schließer 230V
C741 USA 10B Erweiterung zu USA10A(-HE) oder USE10A(-HE) 10x 5V (TTL/Andover DM20) 10x Schließer 230V
C741.1 USA 10A-HE Ausgabeeinheit für TTL-Pegel (z.B. Andover DM20), 10x Schließerkontakt mit Handebene 10x 5V (TTL/Andover DM20) 10x Schließer 230V
C741.1 USA 10B-HE Erweiterung zu USA10A(-HE) oder USE10A(-HE) 10x 5V (TTL/Andover DM20) 10x Schließer 230V
C742 USE 10B Erweiterung zu USA10A oder USE10A 10x 24V AC/DC 10x 24V AC/DC digital
C742 USE 10A Eingabeeinheit für DM20, 10x Optokopplereingang, Schließerk. SUB-D-25 Andover DM20 10x 24V AC/DC digital
C820.20 CU-3xUI.K4 Grenzwertschalter Messverstärker Anzeige 3x max. 30V/20mA 4x Kontakt 1x 10V BUS
C820.23 CU-I-AC/DC.K4 Grenzwertschalter Messverstärker Anzeige 1x 1A (5A) AC 4x Kontakt 1x 10V BUS
C820.31 CU-XXX.K4 Grenzwertschalter Messverstärker Anzeige 10V 20mA Sensor 4x Kontakt 1x 10V BUS
C820.32 CU-2xXXX.K4 Regler / Grenzwertschalter mit Anzeige 10V 20mA Sensor 4x Kontakt 1x 10V BUS
C820.33 CU-XXX.K4/R Temperaturregler Anzeige 1x PT1000 / U / I 4x Kontakt 1x 10V BUS
C820.39 CU-3xXXX.K4/3Z Temperaturregler 3 Kanal 10V 20mA PT1000 4x Kontakt 1x 10V BUS
C820.4 CU-F.K4 Grenzwertschalter Messverstärker Anzeige 1x ... Hz 4x Kontakt 1x 10V BUS
C820.61 CU-DIFF-2xU.K4 3zeilig Differenzbildung 2x 10V 4x Kontakt 1x 10V BUS
C820.61 CU-DIFF-2xU.K4/3Z Grenzwertschalter Anzeige Differenzbildung 2x 10V 4x Kontakt 1x 10V BUS
C820.612 CU-DIFF-BAT.K4 Batterieüberwachungsmodul 2x 30V 4x Kontakt 1x 10V BUS
C820.622 CU-DIFF-2xI.K4/3Z Grenzwertschalter Anzeige Differenzbildung 2x 20mA 4x Kontakt 1x 10V BUS
C820.63 CU-DIFF-2xPT100.K4/3Z Grenzwertschalter Anzeige Differenzbildung 2x 20mA 4x Kontakt 1x 10V BUS
C820.65 CU-DIFF-2xPT1000.K4/P/3Z Grenzwertschalter Anzeige Maximalwert 2x PT1000 4x Kontakt 1x 10V BUS
C820.66 CU-DIFF-2xPT100.K4/P/3Z Differenzregler 2x PT100 4x Kontakt 1x 10V BUS
C820.67 CU-MI-2xU.K4 Grenzwertschalter Anzeige Mitwert 2x 10V 4x Kontakt 1x 10V BUS
C820.68 CU-MAX-3xPT1000.K4/VZ Grenzwertschalter Anzeige Maximalwert 3x PT1000 4x Kontakt 1x 10V BUS
C820.71 CU-U_K4/HE Grenzwertschalter Handbedienebene Analog-Eingang/Ausgang 1x 10V DC (20mA) 4x Kontakt 1x 10V
C820.72 CU-U.K1/HE/EVG Handbedienebene mit Stromsenke für EVG Geräte 1x 10V DC (20mA) 1x Kontakt 1x 10V
C820.9 CU-T.K2 Grenzwertschalter Anzeige Zeitschalter (COUNT DOWN TIMER) - 2x Kontakt BUS
C820.91 CU-3IN_K4_IMP_Garage Impulszähler / Differenzzähler / Belegungsstand Parkhaus - -
C822 CU-U-I.K2.P-D/24V Leistungsmessgerät für Kleinspannungen 1x 100 V DC 1x 60mV 2x Kontakt 1x 10V
C822.21 CU-2xPT100-20mA/PG Messung der Wärmemenge, Anzeige der Vorlauf- und Rücklauftemperatur, Durchflussmenge 2x PT100, 20mA 2x Kontakt 1x 10V
C830 CU-U_Schnittsle Schnittsle für Geräte-Typ CU ..-D - -
C840 CT-20 /N Temperaturregler Differenzregler Eingang (PT1000, Spannung, Strom) Ausgang (3Punkt 0-10V) optional RS485 MODBUS 10V, 20mA, PT1000 Kontakt BUS
C850 CT-001 Steuergerät I, U, R 4x Kontakt
C870 PLU-X.X Programmierbarer Analogbaustein 4x 10V, 24V 4x Schliesser, 10V
C910 PLU-A-16.16 Programmierbarer Analogbaustein 16x R, Sensor 16x 10V
C915 PLU-U-BR-4.0/… 4 Kanal Messverstärker für Messbückeneingang (Weatstonebrücke) MODBUS CANBUS 4x +-100mV (5V) BUS
C920 PLU-D-16.16 Programmierbarer Logikbaustein 16x DC digital 16x digital / Schliesser
C930 PLU-K-16.16 Programmierbarer Relaisbaustein 16x 24V DC digital 16x Schliesser
C940 PLU-J-4.6 Jalousie Auf Zu Steuermodul 6 Kanal 24V DC digital / 6 Kanal 230V AC AUF/ZU BUS
C960 PLU-H-A-x.x Analoge Handbedienebene mit Leuchtdrucktastern, MODBUS und analogen Ein- und Ausgängen 8x 10V 8x 10V BUS
C970 PLU-H-D-x.x/x Digitale Handbedienebene mit Leuchtdrucktastern, MODBUS und digitalen Ein- und Ausgängen 24V DC digital / 6 Kanal 230V AC
C990 PLM-305 Leistungsmessgerät 230/400V Drehstrom S0 MODBUS Ethernet RS485
D100 SIM 4/12 Temperaturfühler-Simulator, 4 x 12 Bereiche n.A. Handeinslung 1x Widerstand
D200 FIBOX ... FIBOX Kunststoffgehäuse für RINCK ELECTRONIC Geräte - -
D300 PT 1000 Temperatursensor PT1000 1x Sensor -
D301 HMQ 02/03 Multi-Raumsensor für Raumtemperatur, Luftfeuchtigkeit und Güte der Raumluft VOC 1x Sensor 2x 10V
D302 KT2U / KT2I Klemmen-Adapter Spannung / Strom 1x 10V 1x 5V
D303 HMQ 03.S5 /VOC Multi-Raumsensor HMQ-03.S5 /VOC 1x Sensor -
D303 HMQ-04.S5 /CO2 Multi-Raumsensor HMQ-03.S5 /VOC 1x Sensor -
D311 LCU-xxPA.S1 Luftdrucksensor erfasst/überwacht den Druck / Differenzdruck im Wohnbereich zwischen zwei Räumen (Schaltkontakt) 1x Sensor 1x Umschaltkontakt
D503 DD3_100 Grossanzeige Display für Wand- Tisch- und Deckenmontage 1x 10V, 20 mA Anzeige
D503 DD-X+X/100 Grossanzeige Display für Wand- Tisch- und Deckenmontage 2-Zeilen 1x 10V, 20 mA Anzeige
K022 KB-D22.COM Diodenmodul für Sammelmeldung oder Lampentest 22x Signal 1x
K024 KB-SMB Störmeldebaustein 2x 4x
K025 KB-GL24V Gleichrichtereinheit für einfache Anwendungen, DC Motore, Lampen, Ventile 1x 24V AC 1x
K101 KB-REL4-Typ1 Relaisblock mit 4x Lastrelais, Spulen- u. Kontaktausführung siehe Datenblatt 4x ... 2x Wechsler 2x Schließer
K101.1 KB-REL4-Typ1_UC Relaisblock mit 4x Lastrelais, Ansteuerung mit sensitiven Relaisspulen und Brückengleichrichter. 4x ... 4x Kontakt
K102 KB-REL4-Typ2 Relaisblock mit 4x Lastrelais, 3 Punkt, Spulen- u. Kontaktausführung siehe Datenblatt 4x ... 2x 3 Punkt, Auf, Zu
K103 KB-REL4-Typ3.10V Relaisblock mit 4x Lastrelais, Ansteuerung 5V, 10V, TTL, Kontaktausführung siehe Datenblatt 4x 5V 10V TTL 2x Wechsler 2x Schließer
K104 KB-REL4-Typ4 Relaisblock mit 4x Lastrelais, Spulen- u. Kontaktausführung siehe Datenblatt 4x ... 2x Wechsler 2x Schließer
K105 KB-REL4 /S1 Relaisblock für 3-Stufen-Steuerung, Störmeldung 4x Relais, Sicherung 3x Schließer
K310 KB-PH12-24.D05 Spannungswandler, Weitbereichseingang, stabilisiert und kurzschlussfest 24-60V AC/DC +/-5V DC 1,2A
K310 KB-PH12-24.D12 Spannungswandler, Weitbereichseingang, stabilisiert und kurzschlussfest 24-60V AC/DC +/-12V DC 0,5A
K310 KB-PH12-24.D15 Spannungswandler, Weitbereichseingang, stabilisiert und kurzschlussfest 24-60V AC/DC +/-15V DC 0,4A
K310 KB-PH12-24.S05 Spannungswandler, Weitbereichseingang, stabilisiert und kurzschlussfest 24-60V AC/DC +5V DC 2,4A
K310 KB-PH12-24.S12 Spannungswandler, Weitbereichseingang, stabilisiert und kurzschlussfest 24-60V AC/DC +12V DC 1A
K310 KB-PH12-24.S15 Spannungswandler, Weitbereichseingang, stabilisiert und kurzschlussfest 24-60V AC/DC +15V DC 0,8A
K385 KB-MVC-4_2 Funktionsbaustein für Steueraufgaben (programmierbar) 4x ... 1x Schließer 10V 20mA EVG
S101 SB-PV1 Potenzialverteiler 2x 24 Klemmen, 2 Potenziale 2x Potenzial, Spannung 23x Potenzial, Spannung
S102 SB-SV1 Signalverteiler 24x 2 Klemmen, 24 Signale 24x Signal 24x Signal
S103 SB-D12 Diodenblock, 12x Diode, 24x 2 Klemmen 12x Diode 12x Diode
S104 SB-...12 Widerstandsblock, 12x Widerstand, Kaltleiter, Diode n. Angabe, 24x 2 Klemmen () 12x Widerstand 12x Widerstand
S106 SB-LED12 Anzeigeblock, 12x LED, 24V 12x LED, Meldung 12x LED, Leuchte
S107 SB-6 OK Optokoppler Baustein 6x Optokoppler, 10-24V 6x Photo-MOS Kontakt
S108.1 SB-8OK-T1 Optokoppler Baustein (bis 1000 Hz) 8x Optokoppler, 10-24V 8x Transistor
S108.2 SB-8OK-T2 Optokoppler Baustein (bis 50 Hz) 8x Optokoppler, 10-24V 8x Transistor
S110.1 SB-MATRIX 8X4 A Schalt-/Abfrage Matrix 8x4, 32 Eingänge 23x Taste, Kontakt 8x4 Abfragematrix, digital
S110.2 SB-MATRIX 8X4 B Schalt-/Abfrage Matrix 8x4, 32 Eingänge 23x Taste, Kontakt 8x4 Abfragematrix, digital
S150 SB-REL8A Relaisblock 8x Umschaltkontakt 8x Koppelrelais 8x Umschaltkontakt
S150.1 SB-REL8A/HE Relaismodul mit Handbedienebene 8x Umschaltkontakt 8x Koppelrelais 8x Umschaltkontakt
S151 SB-REL8B Relaisblock 8x Umschaltkontakt, C. gebrückt 8x Koppelrelais 8x Umschaltkontakt
S151.1 SB-REL8-B_HE Relaisblock 8x Umschaltkontakt, mit Handebene 8x Koppelrelais 8x Umschaltkontakt
S152 SB-REL8-TYP2 2-Stufen-Steuerung, 4 Kanal, (z.B. Notbeleuchtung, Potenzialumschaltung) 8x Relais 8x Kontakt
S153 SB-REL10 Relaisblock 10x Schließerkontakt, LED 10x Relais 10x Schliesserkontakt
S160 SB-2xWP Wendepolschaltung für 24V DC Antriebe, rechts-stop-links 2x 24V (3 Punkt) 2x Umpol-Kontakte
S161 SB-REL6-3S 3-Stufen-Steuerung, 4 Kanal (baugleich LBI3808) 3x Spannung 4x 3 Stufen Kontakt
S165 SB-6I.K4 Steuerbaustein mit 6x Digitaleingänge und 4x Ausgänge je 1x Schließerkontakt und 1x Umschaltkontakt, LED Anzeigen. 6x Signal 4x Kontakt
Ansicht Typ Kurzbezeichnung Eingang Ausgang DE UK
.. /230V Optionale Versorgung 230V AC für Geräte im 24mm Gehäuse Netz 230V AC 1x 24V AC 0,16A
APU 230V.12V- 0,6A/0,3Ah USV-Stromversorgung 230V / 12V DC, 0,6A 0,3Ah Netz 85-264V AC 1x 12V DC 0,6A
APU 230V.12V- 3A/.. Ah USV-Stromversorgung Netz 230V AC 1x 12V DC 3A
APU 230V.12V- 3A-2,6Ah USV-Stromversorgung Akkusatz 2,6Ah Netz 85-264V AC 1x 12V DC 3A
APU 230V.12V- 6A/.. Ah USV-Stromversorgung Netz 230V AC 1x 12V DC 6A
APU 230V.12V-10A/..Ah USV-Stromversorgung Netz 230V AC 1x 12V DC 10A
APU 230V.24V- 0,3A/0,15Ah USV-Stromversorgung 230V/24V DC 0,3A (0,15Ah) Netz 85-264V AC 1x 24V DC 0,3A
APU 230V.24V- 1,5A/1,3Ah USV-Stromversorgung 230V/24V DC 1,5A (0,13Ah) Netz 85-264V AC 1x 24V DC 1,5A
APU 230V.24V- 2A/...Ah USV-Stromversorgung Netz 230V AC 1x 24V DC 2A
APU 230V.24V- 2A/2,2Ah/TS USV-Stromversorgung 230V/24V DC 2A (2,2Ah) Tragschiene Netz 230V AC 1x 24V DC 2A
APU 230V.24V- 3A/ ...Ah USV-Stromversorgung 230V/24V DC 3A (...Ah) Netz 230V AC 1x 24V DC 3A
APU 230V.24V- 3A/2,2Ah/TS USV-Stromversorgung 230V/24V DC 3A (2,2Ah) Tragschiene Netz 230V AC 1x 24V DC 3A
APU 230V.24V- 6A/ ... Ah USV-Stromversorgung 230V/24V DC 6A (...Ah) Netz 230V AC 1x 24V DC 6A
APU 230V.24V-10A/...Ah USV-Stromversorgung 230V/24V DC 10A (...Ah) Netz 230V AC 1x 24V DC 10A
APU 230V.24V-16A/...Ah USV-Stromversorgung 230V/24V DC 16A (...Ah) Netz 230V AC 1x 24V DC 16A
APU 230V.24V-32A/...Ah USV-Stromversorgung 230V/24V DC 32A (...Ah) Netz 230V AC 1x 24V DC 32A
APU 230V.48V-3A/... Ah USV-Stromversorgung 230V / 48V DC, 3A, Akku n. Angabe Netz 230V AC 1x 48V DC 3A
APU 230V.48V-6A/ ...Ah USV-Stromversorgung 230V / 48V DC, 6A, Akku n. Angabe Netz 230V AC 1x 48V DC 6A
APU 230V.60V-8A/ ...Ah USV-Stromversorgung 230V / 60V DC, 8A, Akku n. Angabe Netz 230V AC 1x 60V DC 8A
APU 24V.12V-2,4A/2,6Ah USV-Stromversorgung Akkusatz 2,6Ah Netz 24V AC 1x 12V DC 2,4A
APU 24V.12V-2x1A/1,3Ah USV-Stromversorgung Akkusatz 1,3Ah Netz 85-264V AC 1x 24V DC 1A + 12VDC 1A
APU 24V.24V- 1,2A/1,3Ah USV-Stromversorgung Akkusatz 1,3Ah Netz 24V AC/DC 1x 24V DC 1,2A
C4.700uF / 40V Kondensatorbatterie, Spannungsüberbrückung/Glättung 1x 40V DC 1x 40V DC
C40.000uF / 50V Kondensatorbatterie, Spannungsüberbrückung/Glättung 1x 50V DC 1x 50V DC
C44.000uF / 25V Kondensatorbatterie, Spannungsüberbrückung/Glättung 1x 25V DC 1x 25V DC
C60.000uF / 35V Kondensatorbatterie, Spannungsüberbrückung/Glättung 1x 35V DC 1x 35V DC
C88.000uF / 25V Kondensatorbatterie, Spannungsüberbrückung/Glättung 1x 25V DC 1x 25V DC
CT-001 Steuergerät I, U, R 4x Kontakt
CT-20 /N Temperaturregler Differenzregler Eingang (PT1000, Spannung, Strom) Ausgang (3Punkt 0-10V) optional RS485 MODBUS 10V, 20mA, PT1000 Kontakt BUS
CU-2xPT100-20mA/PG Messung der Wärmemenge, Anzeige der Vorlauf- und Rücklauftemperatur, Durchflussmenge 2x PT100, 20mA 2x Kontakt 1x 10V
CU-2xXXX.K4 Regler / Grenzwertschalter mit Anzeige 10V 20mA Sensor 4x Kontakt 1x 10V BUS
CU-3IN_K4_IMP_Garage Impulszähler / Differenzzähler / Belegungsstand Parkhaus - -
CU-3xUI.K4 Grenzwertschalter Messverstärker Anzeige 3x max. 30V/20mA 4x Kontakt 1x 10V BUS
CU-3xXXX.K4/3Z Temperaturregler 3 Kanal 10V 20mA PT1000 4x Kontakt 1x 10V BUS
CU-DIFF-2xI.K4/3Z Grenzwertschalter Anzeige Differenzbildung 2x 20mA 4x Kontakt 1x 10V BUS
CU-DIFF-2xPT100.K4/3Z Grenzwertschalter Anzeige Differenzbildung 2x 20mA 4x Kontakt 1x 10V BUS
CU-DIFF-2xPT100.K4/P/3Z Differenzregler 2x PT100 4x Kontakt 1x 10V BUS
CU-DIFF-2xPT1000.K4/P/3Z Grenzwertschalter Anzeige Maximalwert 2x PT1000 4x Kontakt 1x 10V BUS
CU-DIFF-2xU.K4 3zeilig Differenzbildung 2x 10V 4x Kontakt 1x 10V BUS
CU-DIFF-2xU.K4/3Z Grenzwertschalter Anzeige Differenzbildung 2x 10V 4x Kontakt 1x 10V BUS
CU-DIFF-BAT.K4 Batterieüberwachungsmodul 2x 30V 4x Kontakt 1x 10V BUS
CU-F.K4 Grenzwertschalter Messverstärker Anzeige 1x ... Hz 4x Kontakt 1x 10V BUS
CU-I-AC/DC.K4 Grenzwertschalter Messverstärker Anzeige 1x 1A (5A) AC 4x Kontakt 1x 10V BUS
CU-MAX-3xPT1000.K4/VZ Grenzwertschalter Anzeige Maximalwert 3x PT1000 4x Kontakt 1x 10V BUS
CU-MI-2xU.K4 Grenzwertschalter Anzeige Mitwert 2x 10V 4x Kontakt 1x 10V BUS
CU-T.K2 Grenzwertschalter Anzeige Zeitschalter (COUNT DOWN TIMER) - 2x Kontakt BUS
CU-U.K1/HE/EVG Handbedienebene mit Stromsenke für EVG Geräte 1x 10V DC (20mA) 1x Kontakt 1x 10V
CU-U_K4/HE Grenzwertschalter Handbedienebene Analog-Eingang/Ausgang 1x 10V DC (20mA) 4x Kontakt 1x 10V
CU-U_Schnittsle Schnittsle für Geräte-Typ CU ..-D - -
CU-U-I.K2.P-D/24V Leistungsmessgerät für Kleinspannungen 1x 100 V DC 1x 60mV 2x Kontakt 1x 10V
CU-XXX.K4 Grenzwertschalter Messverstärker Anzeige 10V 20mA Sensor 4x Kontakt 1x 10V BUS
CU-XXX.K4/R Temperaturregler Anzeige 1x PT1000 / U / I 4x Kontakt 1x 10V BUS
DD3_100 Grossanzeige Display für Wand- Tisch- und Deckenmontage 1x 10V, 20 mA Anzeige
DD-X+X/100 Grossanzeige Display für Wand- Tisch- und Deckenmontage 2-Zeilen 1x 10V, 20 mA Anzeige
DR-120-12 Gleichstromversorgung (120W) Netz 230V AC 1x 12V DC 10A
DR-120-24 Gleichstromversorgung (120W) Netz 230V AC 1x 24V DC 5A
DR-120-48 Gleichstromversorgung (120W) Netz 230V AC 1x 48V DC 2,5A
DR-75-12 Stromversorgung 230V Netz 230V AC 1x 12V DC 6,3A
DR-75-24 Stromversorgung 230V Netz 230V AC 1x 24V DC 3,2A
DR-75-48 Stromversorgung 230V Netz 230V AC 1x 48V DC 1,6A
DRP-240-24 Gleichstromversorgung (240W) Netz 230V AC 1x 24V DC 10A
DRP-240-48 Gleichstromversorgung (240W) Netz 230V AC 1x 48V DC 5A
DRP-480S-24 Gleichstromversorgung (480W) Netz 230V AC 1x 24V DC 20A
DRP-480S-48 Gleichstromversorgung (480W) Netz 230V AC 1x 48V DC 10A
FIBOX ... FIBOX Kunststoffgehäuse für RINCK ELECTRONIC Geräte - -
GS-AL ... Alarmgeber-Auswertung ohne Meldelinienüberwachung 1x Alarm-Linie 1x Umschaltkontakt
GS-AL-LI ... Alarmgeber-Auswertung mit Meldelinienüberwachung 1x Alarm-Linie 1x Umschaltkontakt
GS-F ... Grenzwertschalter, Eingang Frequenz 1x ... Hz 1x Umschaltkontakt
GS-F2 ... Grenzwertschalter, Eingang Frequenz 1x ... Hz 2x Umschaltkontakt
GS-I ... Grenzwertschalter 1x 20mA DC 1x Umschaltkontakt
GS-I2 ... Grenzwertschalter, 2x Ausgangsrelais 1x 20mA DC 2x Umschaltkontakt
GS-I-AC ... Grenzwertschalter, Wechselstromeingang 1x ... A AC (<5A) 1x Umschaltkontakt
GS-I-AC10A Grenzwertschalter, Wechselstromeingang, 10A (20A) 1x ... A AC (>5A) 1x Umschaltkontakt
GS-I-BAT Grenzwertschalter, Batterie-Restladestromüberwachung 1x 6A DC 1x Umschaltkontakt
GS-I-DC ... Grenzwertschalter 1x ... A DC (max. 6A) 1x Umschaltkontakt
GS-I-DC10A Grenzwertschalter, Gleichstromeingang, 10A (20A) 1x ... DC (>5A) 1x Umschaltkontakt
GS-INI-K1 ... Grenzwertschalter, NAMUR 1x NAMUR 1x Umschaltkontakt
GS-IOP11 Grenzwertschalter, (ohne Potentialtrennung) 1x 10V DC 1x Umschaltkontakt
GS-IOP-HE Grenzwertschalter, Handebene, (ohne Potentialtrennung) 1x 10V DC 1x Umschaltkontakt
GS-LU Grenzwertschalter für Gleichstrom- Shuntmessung [mV] nach Angabe. 1x ... mV 1x Umschaltkontakt
GS-NR31 Füllstand Überwachung Melder Stabsonden 3 + 1 Sensor 1x Kontakt
GS-R ... Grenzwertschalter, Widerstandseingang 1x ... Ohm 1x Umschaltkontakt
GS-R2 ... Grenzwertschalter, Widerstandseingang, 2x Ausgangsrelais 1x ... Ohm 2x Umschaltkontakt
GS-R-BALCO500 ... Grenzwertschalter, Eingang Sensor 1x Sensor BALCO500 1x Umschaltkontakt
GS-R-KP10 ... Grenzwertschalter, Eingang Sensor 1x Sensor KP10 1x Umschaltkontakt
GS-R-KP250 ... Grenzwertschalter, Eingang Sensor 1x Sensor KP250 1x Umschaltkontakt
GS-R-KTY ... Grenzwertschalter, Eingang Sensor 1x Sensor 1x Umschaltkontakt
GS-R-NI1000 ... Grenzwertschalter, Eingang Sensor 1x Sensor NI1000 1x Umschaltkontakt
GS-R-NTC ... Grenzwertschalter, Eingang Sensor 1x Sensor NTC 1x Umschaltkontakt
GS-R-PT100 ... Grenzwertschalter, Eingang Sensor 1x Sensor Pt100 1x Umschaltkontakt
GS-R-PT1000 ... Grenzwertschalter, Eingang Sensor 1x Sensor Pt1000 1x Umschaltkontakt
GS-R-PTC ... Grenzwertschalter, Eingang Sensor 1x Sensor PTC 1x Umschaltkontakt
GS-THERMO ... Grenzwertschalter, Eingang Thermoelement 1x Thermoelement 1x Umschaltkontakt
GS-U Grenzwertschalter 1x 10V DC 1x Umschaltkontakt
GS-U2 Grenzwertschalter, 2x Ausgangsrelais 1x 10V DC 2x Umschaltkontakt
GS-U2-ST20V Grenzwertschalter, 20V DC Phasenanschnitt, 2x Ausg. 1x 20V == STAEFA 2x Umschaltkontakt
GS-U-AC ... Grenzwertschalter, Wechselspannung 1x ... V AC 1x Umschaltkontakt
GS-U-BAT 24V Grenzwertschalter, Batterie-Spannungsüberwachung 0-40V 1x 40V DC 1x Umschaltkontakt
GS-U-BR ... Grenzwertschalter, Eingang Messbrücke 1x Messbrücke 1x Schliesserkontakt
GS-U-ST20V Grenzwertschalter, 20V DC Phasenanschnitt 1x 20V == STAEFA 1x Umschaltkontakt
HE 01 Handeinslung 0-10V (Schalttafeleinbau 28 x 28 mm) 1x 24V AC/DC 1x 10V DC
HE 02 N Handeinslung 0-10V (Schalttafeleinbau 48 x 48 mm) 1x 24V AC/DC 1x 10V / 20mA DC
HE 02-6S Handeinslung 0-10V in 6 Stufen (Schalttafeleinbau 48 x 48 mm) 1x 24V AC/DC 1x 10V DC Hand
HE 03/N Handeinslung 0-10V (Schalttafeleinbau 30 x 30 mm) 1x Handeinslung 1x 10V DC Hand
HE 04 Handeinslung 0-10V (Tragschiene) Handeinslung 1x 10V
HE 43.1 Handbedientableau, Sollwert, Istwert, LED-Display (u.P.) 1x 0-10V DC 1x 10V DC EIN/AUS Kontakt mit Anzeige
HE 44 Handbedientableau, Sollwert, Istwert, Display (u.P.) Handeinslung 2x 10V DC EIN/AUS Kontakt
HE-I 20mA Handbedienebene 0-20mA (Schalttafeleinbau 72 x 36mm) 1x Poti 0-100% 1x 20mA DC Hand
HE-U10V Handbedienebene 0-10V (Schalttafeleinbau 72 x 36mm) Frostschutzfunktion 1x 10V DC 1x 10V DC Hand/Auto
HMQ 02/03 Multi-Raumsensor für Raumtemperatur, Luftfeuchtigkeit und Güte der Raumluft VOC 1x Sensor 2x 10V
HMQ 03.S5 /VOC Multi-Raumsensor HMQ-03.S5 /VOC 1x Sensor -
HMQ-04.S5 /CO2 Multi-Raumsensor HMQ-03.S5 /VOC 1x Sensor -
HR 01 Handeinslung 0-10kOhm (Schalttafeleinbau 28 x 28 mm) Handeinslung 1x 0 - ... kOhm
HR 02/N Handeinslung 0-10kOhm (Schalttafeleinbau 48 x 48 mm) Handeinslung 1x 0 - ... kOhm
HR 03 Widerstandsgeber Handeinslung 1x 10kOhm
HR 04 Handeinslung (Tragschiene) Handeinslung 1x 10kOhm
HSE 01 Handbedieneben 0-10V (Schalttafeleinbau 28 x 28 mm) 1x 10V DC 1x 10V DC Hand/Auto
HSE 02/N Handbedienebene 0-10V (Schalttafeleinbau 48 x 48 mm) 1x 10V / 20mA DC 1x 10V / 20mA DC Hand/Auto
HSE 03/N Handbedienebene 0-10V (Schalttafeleinbau 30 x 30 mm) 1x 10V DC 1x 10V DC Hand/Auto
HSE 04 Handbedienebene 0-10V (Tragschiene) Handeinslung 1x 10V 1x Kontakt
HSE-I 20mA Handbedienebene 0-20mA (Schalttafeleinbau 72 x 36mm) 1x 20mA DC 1x 20mA DC Hand/Auto
HSE-I20mA-PM Handbedienebene 0-20mA mit Panelmeter (Schaltt. 72 x 72mm) 1x 20mA DC 1x 20mA DC Hand/Auto
HSE-TV-U 10V-PM Handbedienebene, Trennverstärkereingang (Schaltt. 72 x 72mm) 1x 10V DC 1x 10V DC Hand/Auto
HSE-U10V Handbedienebene 0-10V (Schalttafeleinbau 72 x 36mm) 1x 10V DC 1x 10V DC Hand/Auto
HSE-U10V-PM Handbedienebene 0-10V mit Panelmeter (Schaltt. 72 x 72mm) 1x 10V DC 1x 10V DC Hand/Auto
HSE-U-72 Handbedienebene, 0-10V mit LED Display (Schaltt. 72x72mm) Handeinslung 1x 10V DC Hand/Auto
IPL 1 Impulsverlängerung, 1 Kanal, Ausgang t + ... Sek n.A. 1x Impuls 1x Optokoppler-Transistor
IPT3.2 Teiler programmierbar, 2-slig, Teilerfaktor 1-99 1x Impuls 1x Optokoppler-Transistor
IPT3.3 Teiler programmierbar, 3-slig, Teilerfaktor 1-999 1x Impuls 1x Optokoppler-Transistor
IPT3.4 Teiler programmierbar, 4-slig, Teilerfaktor 1-9999 1x Impuls 1x Optokoppler-Transistor
IPV 4 /N Impulsumsetzer, Impulsvervielfachung, Impulsverlängerung, Impulssummierer z.B. für Gaszähler Wasserzähler Wärmezähler Elektrizitätszähler 4x Impuls 4x Optokoppler-Transistor
IPX 4 Impulsumsetzer, 4 Kanäle, Impulsteiler, Impulsverlängerung 4x Impuls 4x Optokoppler-Transistor
KB-D22.COM Diodenmodul für Sammelmeldung oder Lampentest 22x Signal 1x
KB-GL24V Gleichrichtereinheit für einfache Anwendungen, DC Motore, Lampen, Ventile 1x 24V AC 1x
KB-MVC-4_2 Funktionsbaustein für Steueraufgaben (programmierbar) 4x ... 1x Schließer 10V 20mA EVG
KB-PH12-24.D05 Spannungswandler, Weitbereichseingang, stabilisiert und kurzschlussfest 24-60V AC/DC +/-5V DC 1,2A
KB-PH12-24.D12 Spannungswandler, Weitbereichseingang, stabilisiert und kurzschlussfest 24-60V AC/DC +/-12V DC 0,5A
KB-PH12-24.D15 Spannungswandler, Weitbereichseingang, stabilisiert und kurzschlussfest 24-60V AC/DC +/-15V DC 0,4A
KB-PH12-24.S05 Spannungswandler, Weitbereichseingang, stabilisiert und kurzschlussfest 24-60V AC/DC +5V DC 2,4A
KB-PH12-24.S12 Spannungswandler, Weitbereichseingang, stabilisiert und kurzschlussfest 24-60V AC/DC +12V DC 1A
KB-PH12-24.S15 Spannungswandler, Weitbereichseingang, stabilisiert und kurzschlussfest 24-60V AC/DC +15V DC 0,8A
KB-REL4 /S1 Relaisblock für 3-Stufen-Steuerung, Störmeldung 4x Relais, Sicherung 3x Schließer
KB-REL4-Typ1 Relaisblock mit 4x Lastrelais, Spulen- u. Kontaktausführung siehe Datenblatt 4x ... 2x Wechsler 2x Schließer
KB-REL4-Typ1_UC Relaisblock mit 4x Lastrelais, Ansteuerung mit sensitiven Relaisspulen und Brückengleichrichter. 4x ... 4x Kontakt
KB-REL4-Typ2 Relaisblock mit 4x Lastrelais, 3 Punkt, Spulen- u. Kontaktausführung siehe Datenblatt 4x ... 2x 3 Punkt, Auf, Zu
KB-REL4-Typ3.10V Relaisblock mit 4x Lastrelais, Ansteuerung 5V, 10V, TTL, Kontaktausführung siehe Datenblatt 4x 5V 10V TTL 2x Wechsler 2x Schließer
KB-REL4-Typ4 Relaisblock mit 4x Lastrelais, Spulen- u. Kontaktausführung siehe Datenblatt 4x ... 2x Wechsler 2x Schließer
KB-SMB Störmeldebaustein 2x 4x
KT2U / KT2I Klemmen-Adapter Spannung / Strom 1x 10V 1x 5V
LBI 24 Steuerungsbaustein für 2 Klappenantriebe 24V Netz 24V AC/DC 1x Umschaltkontakt
LC-GS2-U/I Grenzwertschalter, Eingang wählbar,2x Relaisk. 1x 10V od. 20mA DC 2x Umschaltkontakt
LC-GS4-UI Grenzwertschalter, Eingang wählbar,4x Relaisk. 1x 10V od. 20mA DC 4x Umschaltkontakt
LC-MV-1xKP10 ... Messverstärker, KP10, 1 Kanal 1x KP10 / LM135Z 1x 10V DC
LC-MV-1xKP250 ... Messverstärker, KP250, 1 Kanäle 1x KP250 1x 10V DC
LC-MV-1xKTY ... Messverstärker, KTY, 1 Kanal 4x KPY ... 4x 10V DC
LC-MV-1xNI1000 ... Messverstärker, NI1000, 1 Kanal 1x NI1000,NI200 1x 10V DC
LC-MV-1xPT100 Messverstärker, PT100, 1 Kanal 1x PT100 Dreileiter 1x 10V DC
LC-MV-1xPT1000 ... Messverstärker, PT1000, 1 Kanal 1x PT1000 1x 10V DC
LC-MV-1xR Messverstärker, Widerstand / Poti, 1 Kanal 1x Widerstand, Poti 1x 10V DC
LC-MV-2xKP10 ... Messverstärker, KP10, 2 Kanäle 2x KP10 / LM135Z 2x 10V DC
LC-MV-2xKP250 ... Messverstärker, KP250, 2 Kanäle 2x KP250 2x 10V DC
LC-MV-2xKTY... Messverstärker, KTY, 2 Kanäle 2x KTY (10, 81, ...) 2x 10V DC
LC-MV-2xNI1000 ... Messverstärker, NI1000, 2 Kanäle 2x NI1000,NI200 2x 10V DC
LC-MV-2xPT100 ... Messverstärker, PT100, 2 Kanäle 2x PT100 Dreileiter 2x 10V DC
LC-MV-2xPT1000 ... Messverstärker, PT1000, 2 Kanäle 2x PT1000 2x 10V DC
LC-MV-2xR Messverstärker, Widerstand / Poti, 2 Kanäle 2x Widerstand, Poti 2x 10V DC
LC-MV-4U.4U Messverstärker, Treiber für 0-10V, 4 Kanal 4x 10V DC 4x 10V DC
LC-MV-4xKP10 ... Messverstärker, KP10, 4 Kanäle 4x KP10 / LM135Z 4x 10V DC
LC-MV-4xKP250 ... Messverstärker, KP250, 4 Kanäle 4x KP250 4x 10V DC
LC-MV-4xKTY... Messverstärker, KTY, 4 Kanäle 4x KTY (10, 81, ...) 4x 10V DC
LC-MV-4xNI1000 ... Messverstärker, NI1000, 4 Kanäle 4x NI1000,NI200 4x 10V DC
LC-MV-4xPT100 ... Messverstärker, PT100, 4 Kanäle 4x PT100 Dreileiter 4x 10V DC
LC-MV-4xPT1000 ... Messverstärker, PT1000, 4 Kanäle 4x PT1000 4x 10V DC
LC-MV-4xR Messverstärker, Widerstand / Poti, 4 Kanäle 4x Widerstand, Poti 4x 10V DC
LC-MV-8U.8U Messverstärker, Treiber für 0-10V, 8 Kanal 8x 10V DC 8x 10V DC
LC-MV-U2.10V Messverstärker, Signalaufsplittung 0-10V, 2x Ausgang 2x 10V DC 2x 10V DC
LC-TV-1I.1I Trennverstärker, 1I.1I, 1 Kanal 1x 20mA DC 1x 20mA DC
LC-TV-1I.1U Trennverstärker, 1I.1U, 1 Kanal 1x 20mA DC 1x 10V DC
LC-TV-1U.1I Trennverstärker, 1U.1I, 1 Kanal 1x 10V DC 1x 20mA DC
LC-TV-1U.1U Trennverstärker, 1U.1U, 1 Kanal 1x +-10V DC 1x +-10V DC
LC-TV-1xI-AC Trennverstärker, 3x Wechselstromeingang, 3x Spannungsausgang 1x 1A AC (max 5A) 1x 10V DC
LC-TV-2I.2I Trennverstärker, 2I.2I, 2 Kanäle 2x 20mA DC 2x 20mA DC
LC-TV-2I.2U Trennverstärker, 2I.2U, 2 Kanäle 2x 20mA DC 2x 10V DC
LC-TV-2U.2I Trennverstärker, 2U.2I, 2 Kanäle 2x 10V DC 2x 20mA DC
LC-TV-2U.2U Trennverstärker, 2U.2U, 2 Kanäle 2x +-10V DC 2x +-10V DC
LC-TV-3xI-AC Trennverstärker, 3x Wechselstromeingang, 3x Spannungsausgang 3x 1A AC (max. 5A) 3x 10V DC
LC-TV-4I.4I Trennverstärker, 4I.4I, 4 Kanäle 4x 20mA DC 4x 20mA DC
LC-TV-4I.4U Trennverstärker, 4I.4U, 4 Kanäle 4x 20mA DC 4x 10V DC
LC-TV-4U.4I Trennverstärker, 4U.4I, 4 Kanäle 4x 10V DC 4x 20mA DC
LC-TV-4U.4U Trennverstärker, 4U.4U, 4 Kanäle 4x +-10V DC 4x +-10V DC
LCU-3xIN.K3 Baustein zur Überwachung / Grenzwertschalter (z.B. Lüfterüberwachung) 1x 10V 2x Kontakt 3x Kontakt
LCU-3xU-I.K2 Baustein zur Überwachung (z.B. Lüfterüberwachung) 3x 230V AC 2x Kontakt
LCU-I-GLT.K1 Lüftermotorüberwachung 1x 24V AC/DC 1x 230V 2x Umschaltkontakt
LCU-xxPA.S1 Luftdrucksensor erfasst/überwacht den Druck / Differenzdruck im Wohnbereich zwischen zwei Räumen (Schaltkontakt) 1x Sensor 1x Umschaltkontakt
LCU-xxxPa.K1/xxxPa.10V Luftstromüberwachung 1x Sensor 1x 10V + Umschaltkontakt
LF1 (LF8) Lichtsensor LF1/LF8 (Einbauversion) 1x 0-20.000 Lux 1x Meßverst. MV-LUX
MLS31A Flüssigkeitssensor Pegelsensor 1x Sensor 1x Öffnerkontakt
MO-MV-LUX ... Messverstärker, Lichtsensor LF 1 Modulgehäuse 1x LF1 LUX-Sensor 1x 10V DC
MO-MV-THERMO ... Messverstärker, Thermoelement (Typ E,J,K,T,R,S) Modulgehäuse 1x Thermoelement 1x 4 - 20mA DC
MO-MV-XxU Treibermodul 1-2 Kanäle MO-MV-XxU 2x 10V DC 2x 10V DC
MU-2P.UI /24V Umsetzung von 2Punkt (2 Stufen) nach Spannung/Strom, 24V Versorgung 2x 24/230V (2 Stufen) 1x 10V 20mA DC
MU-2xU.DIV Analog-Dividierer, C=A/B, einslbar 2x 10V DC 1x 10V + 20mA DC
MU-3P.UI /230V Umsetzung von 3Punkt Auf Zu nach stetig/analog für z.B. Ventilantriebe mit stetigem Analogeingang, 230V Versorgung 2x 24/230V 1x 10V 20mA DC
MU-3P.UI /230V /HE Umsetzung von 3Punkt Auf Zu nach stetig/analog für z.B. Ventilantriebe mit stetigem Analogeingang, 230V Versorgung mit Handebene 2x 24/230V 1x 10V 20mA DC
MU-3P.UI /24V Umsetzung von 3Punkt Auf Zu nach stetig/analog für z.B. Ventilantriebe mit stetigem Analogeingang, 24V Versorgung 2x 24/230V 1x 10V 20mA DC
MU-3P.UI /24V /HE Umsetzung von 3Punkt Auf Zu nach stetig/analog für z.B. Ventilantriebe mit stetigem Analogeingang, 24V Versorgung mit Handebene 2x 24/230V 1x 10V 20mA DC
MU-3P.UI /24V /S4 Umsetzung von 3Punkt Auf Zu nach stetig/analog für z.B. Ventilantriebe mit stetigem Analogeingang, 24V Versorgung (mit Speicherfunktion) 2x 24/230V 1x 10V 20mA DC
MU-DA6 ... Digital-Analog Umsetzer 6 Bit Digital 1x 10V DC Analog
MU-F ... Messumsetzer, Frequenz - Spannung/Strom 1x ... Hz 1x 10V + 20mA DC
MU-U.TAB Kennlinie nach EXCEL Tabelle für beliebige auch nichtlineare Verläufe (logarithmisch, stufig, ...) 1x ... V DC 1x 10V + 20mA DC
MU-U/I.F Messumsetzer, Spannung/Strom - Frequenz 1x ... V DC 1x Hz bis kHz
MU-U/I.F /IL Messumsetzer Taktgeber, Spannung/Strom nach Frequenz/Impulsfolge (für Dosierpumpen etc.) 1x ... V DC 1x 50Hz
MU-VZ-U.U Messwertverzögerung, Integrator, Rampenfunktion 1x 10V DC 1x 10V + 20mA DC
MUX_K8 Digitaler Ausgangsmultiplexer mit Handebene (Notbedienung) 24V DC Adresse 8x Relaiskontakt
MUX-I 16 Analogmultiplexer 16 zu 1 (Strom nach Spannung) 16x 20mA DC 1x 10V DC
MUX-K8/S1/50ms Digitaler Ausgangsmultiplexer 24V DC Adresse 8x Relaiskontakt
MUX-OUT 16 Analogausgangsmultiplexer, 16x Spannung 1x 10V DC 16x 10V DC
MUX-U 16 Analogmultiplexer 16 zu 1, Eingang 16x Spannung 16x 10V DC 1x 10V DC
MV-12xU_MAX Maximalwertausgabe, 12x Spannungseingang 12x 10V DC 1x 10V
MV-6xU.MAX Maximalwertausgabe, 6x Spannungseingang 6x ...V DC 1x 10V DC
MV-8xIN Minimalwert-, Maximalwert-, Mitwert-, Summierer- Auswahlbaustein mit Wahlschalter, 8 Eingänge 8x Signal 1x 10V + 20mA DC
MV-AD592 Messverstärker, AD592 1x AD592 Sensor 1x 10V + 20mA DC
MV-BALCO500 ... Messverstärker, BALCO500 1x BALCO 500 1x 10V + 20mA DC
MV-BGZ-I Begrenzung des Stromes, maximaler Schleifenstrom 1x 0-20mA 1x 3,5-30mA
MV-BGZ-U ... Spannungsbegrenzung 1x +-50V DC 1x 10V einslbar
MVC-4x... .10V.1S Der Messumsetzer steuert je nach Software mit den Eingängen 1-4 die Ausgänge 1-2 an 4x 10V 24V 1x 10V + 1x Kontakt
MVC-F.U GS Grenzwertschalter (Sonderanwendungen) 1x Digital 1x 10V+ Kontakt
MV-HE.K1 Handbedienebene Digital, Relaiskontakt (Wahlschalter) - 1x Umschaltkontakt
MV-HE-I20mA Handeinslung Analog, 0-20mA (Aufschnappgehäuse) 1x 24V AC/DC 1x 20mA DC Hand
MV-HE-U10V Handeinslung Analog, 0-10V (Aufschnappgehäuse) 1x 24V AC/DC 1x 10V DC Hand
MV-HRE24V Handbedienebene Digital, Relaiskontakt (Aufschnappgehäuse) 1x 24V AC/DC 1x Umschaltkontakt
MV-HSE-I20mA Handbedienebene Analog, 0-20mA (Aufschnappgehäuse) 1x 20mA DC 1x 20mA DC Hand/Auto
MV-HSE-U10V Handbedienebene Analog, 0-10V (Aufschnappgehäuse) 1x 10V DC 1x 10V DC Hand/Auto
MV-I ... Messverstärker, Buffer 1x ... mA DC 1x 10V + 20mA DC
MV-I2-I1 Differenzverstärker, subtrahiert I1 von I2 2x 20mA DC 1x 10V + 20mA DC
MV-I-INV ... Messverstärker, Eingang-Ausgang invertiert 1x ... mA DC 1x 10V + 20mA DC
MV-I-MAX Maximalwertausgabe, 2x Stromeingang 2x 20mA DC 1x 10V + 20mA DC
MV-I-MIN Minimalwertausgabe, 2x Stromeingang 2x 20mA DC 1x 10V + 20mA DC
MV-KP10 ... Messverstärker, KP10 1x KP10 1x 10V + 20mA DC
MV-KP250 ... Messverstärker, KP250 1x KP250 1x 10V + 20mA DC
MV-KP250.KP10 Messverstärker, KP250, Ausgang KP10 1x KP250 1x KP10
MV-KTY ... Messverstärker, KTY ... 1x KTY... 1x 10V + 20mA DC
MV-LU ... Messverstärker, mV Eingang, (Strom-Shunt-Verst.) 1x ... mV DC 1x 10V + 20mA DC
MV-LUX ... Messverstärker, Lichtsensor LF 1 (Helligkeitsmessung) 1x LF1 LUX-Sensor 1x 10V + 20mA DC
MV-MI ... Mitwertbildung, 3 (4) Eingänge 3x (4x) ...V/mA DC 1x 10V + 20mA DC
MV-MIN-MAX.10V Minimal- und Maximalwertausgabe, 2 Eingänge 2x 10V DC 2x 10V DC
MV-NI1000 ... Messverstärker, NI1000 1x NI1000, NI200 1x 10V + 20mA DC
MV-NTC ... Messverstärker, NTC Sensor 1x NTC Sensor n.A. 1x 10V + 20mA DC
MV-PT100 ... Messverstärker, PT100 1x PT100 Dreileiter 1x 10V + 20mA DC
MV-PT100.KP10 Messverstärker, PT100, Ausgang KP10 1x PT100 Dreileiter 1x KP10
MV-PT1000 ... Messverstärker, PT1000 1x PT1000 1x 10V + 20mA DC
MV-PT1000.KP10 Messverstärker, PT1000 1x PT1000 1x KP10
MV-PT100x2OUT … Messverstärker, PT100 1x PT100 Dreileiter 2x 10V + 20mA DC
MV-PTC ... Messverstärker, PTC Sensor 1x PTC Sensor n.A. 1x 10V + 20mA DC
MV-R ... Messverstärker, Widerstandseingang, Poti 1x ... Ohm 1x 10V + 20mA DC
MV-R-3L ... Messverstärker, Poti-Dreileitereingang 1x Poti ... Ohm 1x 10V + 20mA DC
MV-REF10V Spannungsreferenz 1,5 - 16V 1x 24V AC/DC 1x 1,5 - 16V DC
MV-REF-PR10,00V Präzisions-Referenzspannung 10,00V 1x 24V AC/DC 1x 10,00V DC
MV-SU ... Analog-Summierer, 3 (4) Eingänge 3x (4x) ...V/mA DC 1x 10V + 20mA DC
MV-SU8 ... Analog-Summierer, 8 Eingänge (Stufenschaltg.-Analogausgang) 8x ...V/mA DC 1x 10V + 20mA DC
MV-T1 Messverstärker, T1 Sensor 1x T1 Sensor 1x 10V + 20mA DC
MV-THERMO ... Messverstärker, Thermoelement (Typ E,J,K,T,R,S) 1x Thermoelement 1x 10V + 20mA DC
MV-U ... Messerstärker, Buffer 1x ... V DC 1x 10V + 20mA DC
MV-U2 ... Messerstärker, mit zwei Ausgängen 1x ... V DC 2x 10V + 20mA DC
MV-U2-U1 Differenzverstärker, subtrahiert U1 von U2 2x 10V DC 1x 10V + 20mA DC
MV-U-BR ... Messverstärker, Eingang Meßbrücke 1x ... mV Meßbrücke 1x 10V + 20mA DC
MV-U-BR / S51 Messverstärker, Eingang Meßbrücke 1x ... mV Meßbrücke 1x +-10V
MV-U-BR / S54 Messverstärker, Eingang Meßbrücke 4x ... mV Meßbrücke 4x +-10V
MV-U-INV ... Messverstärker, Eingang-Ausgang invertiert 1x ... V DC 1x 10V + 20mA DC
MV-U-MAX Maximalwertausgabe aus 2 Spannungseingängen 2x 10V DC 1x 10V + 20mA DC
MV-U-MIN Minimalwertausgabe aus 2 Spannungseingängen 2x 10V DC 1x 10V + 20mA DC
MV-VR10 Spannungsreferenz 1,5 - 16V (ohne Potentialtrennung) 1x 24V AC/DC 1x 1,5 - 16V DC
MV-VR10 /S Sollwertgeber / Spannungsreferenz, einslbar ohne Potentialtrennung 1x 10V DC 1x 10V DC
NP-..xTV-U/I.4-20mA Trennverstärker, Strom od. Spannungseingang ohne Hilfsspannung (Stromschleifenspeisung) 1x 10V 20mA DC 1x 4-20mA DC
NP-1xPWM24V.1x10V Messumformer, 24V PWM auf 0-10V ohne Hilfsspannung 1x 24V DC PWM 1x 10V DC
NP-2xPWM24V.2x10V Messumformer, 24V PWM auf 0-10V ohne Hilfsspannung 2x 24V DC PWM 2x 10V DC
NP-MV-XxNTC Messverstärker für NTC-Temperatursensoren (Stromschleifenspeisung) 1x Sensor 1x 4-20mA DC
NP-MV-XxPT.4-20mA Messverstärker für PT100 PT1000 (Stromschleifenspeisung) 1x Sensor 1x 4-20mA DC
NP-MV-XxR.4-20mA Messverstärker für Potentiometer (Stromschleifenspeisung) 1x Sensor 1x 4-20mA DC
NP-MV-XxSENSOR Messverstärker für Temperatursensoren ohne Hilfsspannung (Stromschleifenspeisung) 1x Sensor 1x 4-20mA DC
NP-SPS.EVG_XXX Messumformer, Analog auf EVG ohne Hilfsspannung 1x 10V DC / 230V AC 1x 1-10V DC (EVG)
NP-TV-LI… Trennverstärker ohne Hilfsenergie für kleine Ströme uA/mA 1x 4-20mA DC 1x 4-20mA DC
Nxt .. Wechselrichter Netz 12/24/48V DC 1x 230V AC
P 24V.24V /U Spannungsstabilisierung, Längsregler Netz 24V AC 24V DC (0,5A)
P24V. ... Spannungsstabilisierung, Längsregler Netz 24V AC/DC 1x 1,5 - 26V DC 1A
PH12-24. ... Spannungswandler 12W Netz 24-60V AC/DC 5 12 +-12 24 15 +-15 30 VDC
PH20-24. ... Spannungswandler 20W Netz 24-60V AC/DC 5 12 +-12 24 15 +-15 30 VDC
PH40-24. ... Spannungswandler 40W Netz 24-60V AC/DC 5 12 +-12 24 15 +-15 30 VDC
PH5-24. ... Spannungswandler 5W Netz 24-60V AC/DC 5 12 +-12 24 15 +-15 30 VDC
PI-AC 24V.24V-3,3A Wechselrichter 24V Netz 24V AC/DC 1x 24V AC 3,3A
PJ 230V.24V Vorsorgung/Auswertung Netz 230/24V AC/DC 1x Umschaltkontakt
PLM-305 Leistungsmessgerät 230/400V Drehstrom S0 MODBUS Ethernet RS485
PLU-A-16.16 Programmierbarer Analogbaustein 16x R, Sensor 16x 10V
PLU-D-16.16 Programmierbarer Logikbaustein 16x DC digital 16x digital / Schliesser
PLU-H-A-x.x Analoge Handbedienebene mit Leuchtdrucktastern, MODBUS und analogen Ein- und Ausgängen 8x 10V 8x 10V BUS
PLU-H-D-12.2-12 Anzeige-Meldemodul mit 12 Leuchtfeldern (Beschriftung über Einschubstreifen) + Tastfunktion 12x 12 - 24V AC/DC digital 1x Kontakt (Sammelmeldung, ext. Hupe)
PLU-H-D-24.2-12 Anzeige-Meldemodul mit 12 Leuchtfeldern (Beschriftung über Einschubstreifen) + Tastfunktion 24x 12 - 24V AC/DC digital 1x Kontakt (Sammelmeldung, ext. Hupe)
PLU-H-D-x.x/x Digitale Handbedienebene mit Leuchtdrucktastern, MODBUS und digitalen Ein- und Ausgängen 24V DC digital / 6 Kanal 230V AC
PLU-J-4.6 Jalousie Auf Zu Steuermodul 6 Kanal 24V DC digital / 6 Kanal 230V AC AUF/ZU BUS
PLU-K-16.16 Programmierbarer Relaisbaustein 16x 24V DC digital 16x Schliesser
PLU-U-BR-4.0/… 4 Kanal Messverstärker für Messbückeneingang (Weatstonebrücke) MODBUS CANBUS 4x +-100mV (5V) BUS
PLU-X.X Programmierbarer Analogbaustein 4x 10V, 24V 4x Schliesser, 10V
PM 510/LED/F Bargrafanzeige Einbauversion 1x 10V 20mA 10x LED
PM 518 LCD Panelmeter, Eingang 0-10V, Anzeige alphanumerisch 8 slig 1x 10V 20mA DC 1x LCD-Anzeige 8 slig
PM 528 Anzeige 2x 8 Zeichen Textanzeige nach Kundenangabe individuell 1x 10V 20mA DC 1x Anzeige 2x8 Zeichen
PM 528-B/F Display Anzeige Einbauversion 1x 10V 20mA 2x8 Zeichen
PT 1000 Temperatursensor PT1000 1x Sensor -
PU 230V_60V.24V-2A Stromversorgung 230V/60V Netz 230V AC / 60V DC 1x 24V DC 2A
PU 230V_60V.24V-3A Stromversorgung 230V/60V Netz 230V AC / 60V DC 1x 24V DC 3A
PU 230V_60V.24V-6A Stromversorgung 230V/60V Netz 230V AC / 60V DC 1x 24V DC 6A
PU230V.10V Stromversorgung 230V Netz 85-250V AC 1x 10V DC 0,8A
PU230V.12V Stromversorgung 230V Netz 85-250V AC 1x 12V DC 0,8A
PU230V.15V Stromversorgung 230V Netz 85-250V AC 1x 15V DC 0,8A
PU230V.15V Stromversorgung 230V Netz 85-250V AC 1x 15 V DC 0,8A
PU230V.24V Stromversorgung 230V Netz 85-250V AC 1x 24V DC 0,8A
PU230V.5V Stromversorgung 230V Netz 85-250V AC 1x 5V DC 1A
PW230V.10V Stromversorgung 230V Netz 85-250V AC 1x 10V DC 2,5A
PW230V.12V Stromversorgung 230V Netz 85-250V AC 1x 12V DC 2,5A
PW230V.15V Stromversorgung 230V Netz 85-250V AC 1x 15V DC 2,5A
PW230V.24V Stromversorgung 230V Netz 85-250V AC 1x 24V DC 2A
PW230V.5V Stromversorgung 230V Netz 85-250V AC 1x 5V DC 4A
RIN-PROG-USB Programm-Tool - -
SB-...12 Widerstandsblock, 12x Widerstand, Kaltleiter, Diode n. Angabe, 24x 2 Klemmen () 12x Widerstand 12x Widerstand
SB-2xWP Wendepolschaltung für 24V DC Antriebe, rechts-stop-links 2x 24V (3 Punkt) 2x Umpol-Kontakte
SB-6 OK Optokoppler Baustein 6x Optokoppler, 10-24V 6x Photo-MOS Kontakt
SB-6I.K4 Steuerbaustein mit 6x Digitaleingänge und 4x Ausgänge je 1x Schließerkontakt und 1x Umschaltkontakt, LED Anzeigen. 6x Signal 4x Kontakt
SB-8OK-T1 Optokoppler Baustein (bis 1000 Hz) 8x Optokoppler, 10-24V 8x Transistor
SB-8OK-T2 Optokoppler Baustein (bis 50 Hz) 8x Optokoppler, 10-24V 8x Transistor
SB-D12 Diodenblock, 12x Diode, 24x 2 Klemmen 12x Diode 12x Diode
SB-LED12 Anzeigeblock, 12x LED, 24V 12x LED, Meldung 12x LED, Leuchte
SB-MATRIX 8X4 A Schalt-/Abfrage Matrix 8x4, 32 Eingänge 23x Taste, Kontakt 8x4 Abfragematrix, digital
SB-MATRIX 8X4 B Schalt-/Abfrage Matrix 8x4, 32 Eingänge 23x Taste, Kontakt 8x4 Abfragematrix, digital
SB-PV1 Potenzialverteiler 2x 24 Klemmen, 2 Potenziale 2x Potenzial, Spannung 23x Potenzial, Spannung
SB-REL10 Relaisblock 10x Schließerkontakt, LED 10x Relais 10x Schliesserkontakt
SB-REL6-3S 3-Stufen-Steuerung, 4 Kanal (baugleich LBI3808) 3x Spannung 4x 3 Stufen Kontakt
SB-REL8A Relaisblock 8x Umschaltkontakt 8x Koppelrelais 8x Umschaltkontakt
SB-REL8A/HE Relaismodul mit Handbedienebene 8x Umschaltkontakt 8x Koppelrelais 8x Umschaltkontakt
SB-REL8B Relaisblock 8x Umschaltkontakt, C. gebrückt 8x Koppelrelais 8x Umschaltkontakt
SB-REL8-B_HE Relaisblock 8x Umschaltkontakt, mit Handebene 8x Koppelrelais 8x Umschaltkontakt
SB-REL8-TYP2 2-Stufen-Steuerung, 4 Kanal, (z.B. Notbeleuchtung, Potenzialumschaltung) 8x Relais 8x Kontakt
SB-SR-I1-K3 Stromüberwachung, 3Stufen, Wassermelder, Meldung 1x 1,2 A AC 1x Optokoppler-Transistor
SB-SV1 Signalverteiler 24x 2 Klemmen, 24 Signale 24x Signal 24x Signal
SIM 4/12 Temperaturfühler-Simulator, 4 x 12 Bereiche n.A. Handeinslung 1x Widerstand
SMV-2xNI1000-LS.UI Messverstärker Sensoreingang Bereichsumschaltung 2x Ni1000 2x 10V + 20mA DC
SR-I1.K1 Stromüberwachungsbaustein, max. 2A, Sicherung, einslbar 1x 1,4 A 1x Optokoppler-Transistor
SR-I1-16A.K1 Stromüberwachungsrelais, 1 Kanal 1x 0,1 - 16 A AC/DC 1x Optokoppler-Transistor
SR-I4-K4 Stromüberwachungsrelais, 4 Kanäle, Photo-Mos-Signal Relais 4x 0,1 - 1 A AC/DC 4x Transistor
SSR3x480-xx Drehstrom-Halbleiterrelais zur Ansteuerung von Elektro-Heizregistern 1x 24V DC PWM 1x 480V AC, 90A
SSR480 Elektronisches Schütz, Solid-State-Relay 1x 24V DC PWM 1x 480V AC, 90A
ST3-230V.12V-8A Ventilator-Stufensteuerung, 3-Stufen, Aus-1-2-3 Netz 230V AC, 0-10V DC 0V, 6V, 9V, 12V AC
STEP-PS ..W Gleichstromversorgung (Weitbereichseingang) 12 - 100W Netz 230V AC/DC 1x ..V DC
SWM 2 Wassersensor, Linienverdrahtung mit Alarmselbsthaltung 1x Wasserkontakt 1x Meldelinie an GS-AL(-LI)
SWM 3 Wassersensor, Einzelgerät mit Alarmselbsthaltung 1x Wasserkontakt 1x Öffnerkontakt
SWM 3.2 Wassersensor, Einzelgerät ohne Selbsthaltung 1x Wasserkontakt 1x Öffnerkontakt
SWM 3.4 Wassersensor, Einzelgerät ohne Selbsthaltung, 2x Ausgang 1x Wasserkontakt 1x Schließer 1x Öffner
SWM 4 Elektrodenauswertung, Wassersensor, Aufschnappgeh. 3x Wasserstandselektrode 3x Öffner oder Schließer
SWM 5 Flüssigkeitssensor -melder LED Infrarot Reflex System 1x Öffner
SWM10 Flüssigkeitssensor (Elektrodenrelais) reagiert auf die Leitfähigkeit der Flüssigkeit 1x Sensor 1x Umschaltkontakt
TK 4 Spannungsüberwchungsrelais, 230V / 400V DC 3x 230/400V AC 2x Umschaltkontakt
TPM 01 Taupunktmelder (z.B. Taufeuchtigkeit an Rohren) 1x Betauung / Taupunkt 1x Umschaltkontakt
TPM 01 /230V Taupunktmelder (z.B. Taufeuchtigkeit an Rohren) 1x Betauung / Taupunkt 1x Umschaltkontakt
TPM 02 Taupunktmelder (z.B. Taufeuchtigkeit an Rohren) 1x Betauung / Taupunkt 1x 10V + Umschaltkontakt
TPM02/230V Taupunktsensor (z.B. Taufeuchtigkeit an Rohren) 1x Betauung / Taupunkt 1x 10V + Umschaltkontakt
TR01 TR02 Triac-Treiber, 230V AC 1x 24V AC/DC 1(2)x Triac 24-230V AC 5A
TRAFO 230V.24V-0,3A Stromversorgung 230V / 24V AC / 0,3A Netz 230V AC 1x 24V AC 0,3A
TRAFO 230V.24V-0,4A Stromversorgung 230V / 24V AC / 0,4A Netz 230V AC 1x 24V AC 0,4A
TRAFO 230V.24V-1,25A Stromversorgung 230V / 24V AC / 1,25A Netz 230V AC 1x 24V AC 1,25A
TRAFO 230V.24V-1,25A /V Stromversorgung 230V / 24V AC / 1,25A / Verteilereinbau Netz 230V AC 1x 24V AC 1,25A
TRAFO 230V.24V-2,5A Stromversorgung 230V / 24V AC, 2,5A Netz 230V AC 1x 24V AC 2,5A
TRAFO 230V.24V-4A Stromversorgung 230V / 24V AC / 4A Netz 230V AC 1x 24V AC 4A
TRAFO 230V.24V-6,5A Stromversorgung 230V / 24V AC, 6,5A Netz 230V AC 1x 24V AC 6,5A
TRAFO 30W /F Stromversorgung 230V / 24V AC, 1,25A, flache Bauform (Geräteeinbaumontage) Netz 230V AC 1x 24V AC 1,25A
TRAFO 30W U Stromversorgung 230V / 24V AC, 1,25A, (Unterflureinbau) Netz 230V AC 1x 24V AC 1,25A
TRL-DC Treiber Leistungssler, Ausgang 24V DC 1x 10V DC (20mA) 1x 24V ==, 30VA
TRL-K Treiber für kleine Leistungen, Ansteuerung von Ventilen, Heizungen o.ä mit Wechsel- oder Gleichspannung. 1x 10V DC 1x 24V AC/DC, max. 2A
TRL-TRIAC Treiber Leistungssler, Ausgang Triac 1x 10V DC 1x 230V AC (Triac)
TR-U_R_WP Treiber Ventile Wendepolung Rückführung 1x 10V DC 1x 24V DC
TRV20V.1,5A Treiber Ventilantrieb 20V, 30VA (magnetische Ventile 0-20V) 1x 10V DC (20mA) 1x 20V ==, 30VA PWM
TRV20V.2,5A Treiber Ventilantrieb 20V, 50VA (magnetische Ventile 0-20V) 1x 10V DC (20mA) 1x 20V ==, 50VA PWM
TRV20V.4A Treiber Ventilantrieb 20V, 80VA (magnetische Ventile 0-20V) 1x 10V DC 1x 20V ==, 80VA
TRV20V.6A Treiber Ventilantrieb 20V, 120VA (magnetische Ventile 0-20V) 1x 10V DC 1x 20V ==, 120VA
TRV-5V.PWM Umsetzer Analog auf PWM Pulsweitenmodulation, z.B. Solarpumpen, Hocheffizienz Umwälzpumpen, Heizung und Solaranlagen 1x 10V DC (20mA) 1x 5V ==, PWM
TRV-PWM.PWM Treiber für PWM Signale, Ventile, Lampen, Kleinheizungen, 2A 1x 24V PWM 1x 24V PWM
TR-X/5V.3P Treiber 3Punkt, Differenzregler (Soll-/Istwert-Regler) 1x Soll/Istwert U,I,R 2x Schließer, 3Punkt
TR-X/X.3P Umsetzung von stetig/analog nach 3Punkt Auf Zu für z.B. Ventilantriebe mit Auf Zu Eingang, Treiber 3Punkt, Differenzregler (Soll-/Istwert- 1x Soll/Istwert U,I,R 2x Schließer, 3Punkt
TR-X/X.3P /HE Umsetzung von stetig/analog nach 3Punkt Auf Zu für z.B. Ventilantriebe mit Auf Zu Eingang, Treiber 3Punkt, Differenzregler (Soll-/Istwert-Regler) 1x Soll/Istwert U,I,R 2x Schließer, 3Punkt
TV-1xUI Universal Trennverstärker mit Bereichsumschaltung (1 Kanal) 1x 10V 20mA 1x 10V 20mA
TV-2xUI Universal Trennverstärker mit Bereichsumschaltung (2 Kanal) 2x 10V 20mA 2x 10V 20mA
TV-4xUI Universal Trennverstärker mit Bereichsumschaltung (4 Kanal) 4x 10V 20mA 4x 10V 20mA
TV-H-LU Trennverstärker, mV Eingang, hohe Isolationsspannung 1x 0-60 mV DC 1x 10V + 20mA DC
TV-H-U ... Trennverstärker, hohe Isolationsspannung 1x bis 1000V DC 1x 10V + 20mA DC
TV-I ... Trennverstärker, Stromeingang 1x ... mA DC 1x 10V + 20mA DC
TV-I2 ... Trennverstärker mit zwei Ausgängen 1x ... mA DC 2x 10V + 20mA DC
TV-I-AC ... Trennverstärker, Wechselstromeingang (Stromwandler) 1x ... A AC 1x 10V + 20mA DC
TV-I-AC10A.10V Trennverstärker, Wechselstromeingang (Stromwandler) 1x 10A AC (20A) 1x 10V + 20mA DC
TV-I-DC ... Trennverstärker, Gleichstromeingang 1x ... A DC 1x 10V + 20mA DC
TV-I-DC10A.10V Trennverstärker, Gleichstromeingang 1x 10A DC (20A) 1x 10V + 20mA DC
TV-I-INV ... Trennverstärker, Eingang-Ausgang invertiert 1x ... mA DC 1x 10V + 20mA DC
TV-KP10 Trennverstärker, KTY ... 1x KTY... 1x 10V + 20mA DC
TV-LI ... Trennverstärker, µA Eingang 1x ... µA DC 1x 10V + 20mA DC
TV-LU ... Trennverstärker, mV Eingang, (Strom-Shunt-Verst.) 1x ... mV DC 1x 10V + 20mA DC
TV-LU-AC... Trennverstärker, Wechselspannungseingang 0-...mV 1x ... mV AC 1x 10V + 20mA DC
TV-NI1000 2xOUT Trennverstärker, NI1000 1x NI1000 2x 10V + 20mA DC
TV-NI1000... Trennverstärker, NI1000 1x NI1000 1x 10V + 20mA DC
TV-NTC Trennverstärker, NTC 1x NTC 1x 10V + 20mA DC
TV-PT100 ... Trennverstärker, PT100 1x PT100 Dreileiter 1x 10V + 20mA DC
TV-PT1000 ... Trennverstärker, PT1000 1x PT1000 1x 10V + 20mA DC
TV-PT1000.2xOUT Trennverstärker, PT1000 1x PT1000 2x 10V + 20mA DC
TV-PT100x2OUT ... Trennverstärker, PT100 1x PT100 Dreileiter 2x 10V + 20mA DC
TV-R ... Trennverstärker, Widerstandseingang, Poti 1x ... Ohm 1x 10V + 20mA DC
TV-R.UI.K1 Überwachung Rohrleitung Fernheizrohre 1x Widerstand 1x 10V 20mA + Kontakt
TV-R-3L … Trennverstärker, Poti-Dreileitereingang 1x Poti ... Ohm 1x 10V + 20mA DC
TV-THERMO Trennverstärker, Thermoelement (Typ E,J,K,T,R,S) 1x Thermoelement 1x 10V + 20mA DC
TV-U ... Trennverstärker 1x ... V DC 1x 10V + 20mA DC
TV-U2 ... Trennverstärker mit zwei Ausgängen 1x ... V DC 2x 10V + 20mA DC
TV-U-AC ... Trennverstärker, Wechselspannungseingang 0-250 / 500V 1x ... V AC 1x 10V + 20mA DC
TV-U-DC ... Trennverstärker, Gleichspannungseingang 0-250V DC 1x ... V DC 1x 10V + 20mA DC
TV-U-INV ... Trennverstärker, Eingang-Ausgang invertiert 1x ... V DC 1x 10V + 20mA DC
TV-U-INV-ST20V.10V Trennverstärker, Eingang 20V, Ausgang invertiert 1x 20V == STAEFA 1x 10V + 20mA DC
TV-U-PWM24V.10V Trennverstärker, Eingang 24V, Pulsweitenmodulation PWM 1x 24V AC/DC PWM 1x 10V + 20mA DC
TV-U-ST20V.10V Trennverstärker, Eingang 20V Phasenanschnitt 1x 20V == STAEFA 1x 10V + 20mA DC
U230V. 5V-1A Stromversorgung 230V (10VA) Netz 230V AC 1x 5V DC 1A
U230V. 6V-1A Stromversorgung 230V (10VA) Netz 230V AC 1x 6V DC 1A
U230V. 8V-0,8A Stromversorgung 230V (10VA) Netz 230V AC 1x 8V DC 0,8A
U230V. 9V-0,8A Stromversorgung 230V (10VA) Netz 230V AC 1x 9V DC 0,8A
U230V.10V-0,6A Stromversorgung 230V (10VA) Netz 230V AC 1x 10V DC 0,6A
U230V.12V-0,6A Stromversorgung 230V (10VA) Netz 230V AC 1x 12V DC 0,6A
U230V.12V-3,3A Stromversorgung 230V (50VA) Netz 230V AC 1x 12V DC 3,3A
U230V.12V-4A Stromversorgung 230V (80VA) Netz 230V AC 1x 12V DC 4A
U230V.15V-0,5A Stromversorgung 230V (10VA) Netz 230V AC 1x 15V DC 0,5A
U230V.24V-0,3A Stromversorgung 230V (10VA) Netz 230V AC 1x 24V DC 0,3A
U230V.24V-1,6A Stromversorgung 230V (50VA) Netz 230V AC 1x 24V DC 1,6A
U230V.24V-2A/S1 Stromversorgung 230V (80VA) Netz 230V AC 1x 24V DC 1x 24V AC
U230V.24V-2A/S3 Stromversorgung 230V (80VA) Netz 230V AC 1x 24V DC 1x 24V AC
U230V.24V-2A/S4 Stromversorgung 230V (80VA) Netz 230V AC 1x 24V DC 1x 24V AC
U230V.24V-3A Stromversorgung 230V (80VA) Netz 230V AC 1x 24V DC 3A
U230V.48V-1,8A Stromversorgung 230V (80VA) Netz 230V AC 1x 48V DC 1,8A
U230V.48V-1A Stromversorgung 230V (50VA) Netz 230V AC 1x 48V DC 1,0A
U230V.60V-1,3A Stromversorgung 230V (80VA) Netz 230V AC 1x 60V DC 1,3A
U400V. 5V-1A Stromversorgung 400V (10VA) Netz 400V AC 1x 5V DC 1A
U400V. 6V-1A Stromversorgung 400V (10VA) Netz 400V AC 1x 6V DC 1A
U400V. 8V-0,8A Stromversorgung 400V (10VA) Netz 400V AC 1x 8V DC 0,8A
U400V. 9V-0,8A Stromversorgung 400V (10VA) Netz 400V AC 1x 9V DC 0,8A
U400V.10V-0,6A Stromversorgung 400V (10VA) Netz 400V AC 1x 10V DC 0,6A
U400V.12V-0,6A Stromversorgung 400V (10VA) Netz 400V AC 1x 12V DC 0,6A
U400V.12V-3,3A Stromversorgung 400V (50VA) Netz 400V AC 1x 12V DC 3,3A
U400V.12V-4A Stromversorgung 400V (80VA) Netz 400V AC 1x 12V DC 4A
U400V.15V-0,5A Stromversorgung 400V (10VA) Netz 400V AC 1x 15V DC 0,5A
U400V.24V-0,3A Stromversorgung 400V (10VA) Netz 400V AC 1x 24V DC 0,3A
U400V.24V-1,6A Stromversorgung 400V (50VA) Netz 400V AC 1x 24V DC 1,6A
U400V.24V-2A/S1 Stromversorgung 400V (80VA) Netz 400V AC 1x 24V DC 1x 24V AC
U400V.24V-2A/S3 Stromversorgung 400V (80VA) Netz 400V AC 1x 24V DC 1x 24V AC
U400V.24V-2A/S4 Stromversorgung 400V (80VA) Netz 400V AC 1x 24V DC 1x 24V AC
U400V.24V-3A Stromversorgung 400V (80VA) Netz 400V AC 1x 24V DC 3A
U400V.48V-1,8A Stromversorgung 400V (80VA) Netz 400V AC 1x 48V DC 1,8A
U400V.48V-1A Stromversorgung 400V (50VA) Netz 400V AC 1x 48V DC 1,0A
U400V.60V-1,3A Stromversorgung 400V (80VA) Netz 400V AC 1x 60V DC 1,3A
US 10 Steuermodul, 1x Analogeingang, 10x Schließerkontakt 230V 1x 10V od. 20mA DC 10x Schließer/Öffner 230V
US 10 /S1 Grenzwertschalter, Spannungseingang, 10x Schließerkontakt 1x 10V od. 20mA DC 10x Schließer/Öffner 230V
USA 10A Ausgabeeinheit für TTL-Pegel (z.B. Andover DM20), 10x Schließerkontakt 10x 5V (TTL/Andover DM20) 10x Schließer 230V
USA 10A-HE Ausgabeeinheit für TTL-Pegel (z.B. Andover DM20), 10x Schließerkontakt mit Handebene 10x 5V (TTL/Andover DM20) 10x Schließer 230V
USA 10B Erweiterung zu USA10A(-HE) oder USE10A(-HE) 10x 5V (TTL/Andover DM20) 10x Schließer 230V
USA 10B-HE Erweiterung zu USA10A(-HE) oder USE10A(-HE) 10x 5V (TTL/Andover DM20) 10x Schließer 230V
USE 10A Eingabeeinheit für DM20, 10x Optokopplereingang, Schließerk. SUB-D-25 Andover DM20 10x 24V AC/DC digital
USE 10B Erweiterung zu USA10A oder USE10A 10x 24V AC/DC 10x 24V AC/DC digital
USE 20 Eingangs-Anschlussmodul, 20x Digital Ein-Ausgänge 20x Schließer 20x TTL
USE 20.2 USE20.2 ist baugleich mit USE20, hat aber zusätzlich den Eingang LED Test. 20x Schließer 20x TTL
ZSWA Versorgungsgerät für Antriebe Netz 230V AC/DC 2x 24V DC
Typ Kurzbezeichnung Eingang Ausgang DE UK Alternative
3P-230V.24V Umsetzer, 3Punkt-230V nach 3Punkt-24V mit 2x Trafo 2x 230V AC 3Punkt 2x 24V AC Alternative
DU 8 Optokoppler-Pegelumsetzer, Ausgang 10V / 24V 8x 5 - 24V DC 8x 10V oder 24V DC Alternative
HE 48 Handbedientableau 2x Meldeleuchte 2x 10V Alternative
IPL 4 Impulsverlängerung, 4 Kanäle, Ausgang t + ... Sek n.A. 4x Impuls 4x Optokoppler-Transistor Alternative
IPS 3 Impulssummierer, 3x Eingang, 1x Ausgang 3x Impuls 1x Optokoppler-Transistor Alternative
IPT 4 Teiler programmierbar, 4 Kanäle, Teilerfaktor 10 oder 100 4x Impuls 4x Optokoppler-Transistor Alternative
IPV 4 Impulsumsetzer 1x Impuls 4x Transistor-Kontakt Alternative
LC-MU-3P.U Messumsetzer, 3Punkt auf Spannung - MU-3P.UI B602 2x 24V AC/DC 3Punkt 1x 10V DC Alternative
LC-MU-U.3P Messumsetzer, Spannung - 3Punkt Signal 1x 10V DC 2x Schließer, 3Punkt Alternative
LC-SA-U.3P Umsetzer von Spannung auf 3Punkt, taktet Auf/Zu 1x 10V DC 2x Schließer, 3Punkt getaktet Alternative
MOD11 Anzeige-Meldemodul, 12x Meldung (z.B. Betrieb + Störung) 24x 24V AC/DC 24x LED Alternative
MOD23T Anzeige-Meldemodul, 12x, Arbeitsstrom, Sammelmeldung 12x 24V AC/DC 12x LED-Anz.Sammelmeldung Alternative
MOD24 Anzeige-Meldemodul, 12x 12x 24V AC/DC 12x LED-Anzeige Alternative
MOD25 Anzeige-Meldemodul, 2x 12 Eingänge, Sammelmeldung 2x12x 24V AC/DC 2x12 LED-Anz.Sammelmeldung Alternative
MU-2P.10V Messumsetzer, 2Punkt Signal (2 Stufen) - Spannung 2x 24V AC/DC 2Punkt 1x 10V DC Alternative
MU-U-3P Messumsetzer, Spannung - 3Punkt Signal, Handbedienebene - siehe TR-X/X.3P B610 1x 10V DC 2x Schließer, 3Punkt Alternative
PM 511 LCD Panelmeter, Eingang 0-10V, Anzeige 3 1/2 slig 1x 10V DC 1x LCD-Anzeige 3 1/2sl. Alternative
Ansicht Typ Kurzbezeichnung Eingang Ausgang DE UK
CT-001 Steuergerät I, U, R 4x Kontakt
CT-20 /N Temperaturregler Differenzregler Eingang (PT1000, Spannung, Strom) Ausgang (3Punkt 0-10V) optional RS485 MODBUS 10V, 20mA, PT1000 Kontakt BUS
CU-3xUI.K4 Grenzwertschalter Messverstärker Anzeige 3x max. 30V/20mA 4x Kontakt 1x 10V BUS
CU-3xXXX.K4/3Z Temperaturregler 3 Kanal 10V 20mA PT1000 4x Kontakt 1x 10V BUS
CU-DIFF-2xI.K4/3Z Grenzwertschalter Anzeige Differenzbildung 2x 20mA 4x Kontakt 1x 10V BUS
CU-DIFF-2xPT100.K4/3Z Grenzwertschalter Anzeige Differenzbildung 2x 20mA 4x Kontakt 1x 10V BUS
CU-I-AC/DC.K4 Grenzwertschalter Messverstärker Anzeige 1x 1A (5A) AC 4x Kontakt 1x 10V BUS
CU-U.K1/HE/EVG Handbedienebene mit Stromsenke für EVG Geräte 1x 10V DC (20mA) 1x Kontakt 1x 10V
CU-U_K4/HE Grenzwertschalter Handbedienebene Analog-Eingang/Ausgang 1x 10V DC (20mA) 4x Kontakt 1x 10V
CU-XXX.K4 Grenzwertschalter Messverstärker Anzeige 10V 20mA Sensor 4x Kontakt 1x 10V BUS
DD3_100 Grossanzeige Display für Wand- Tisch- und Deckenmontage 1x 10V, 20 mA Anzeige
DD-X+X/100 Grossanzeige Display für Wand- Tisch- und Deckenmontage 2-Zeilen 1x 10V, 20 mA Anzeige
GS-AL ... Alarmgeber-Auswertung ohne Meldelinienüberwachung 1x Alarm-Linie 1x Umschaltkontakt
GS-AL-LI ... Alarmgeber-Auswertung mit Meldelinienüberwachung 1x Alarm-Linie 1x Umschaltkontakt
GS-I ... Grenzwertschalter 1x 20mA DC 1x Umschaltkontakt
GS-I2 ... Grenzwertschalter, 2x Ausgangsrelais 1x 20mA DC 2x Umschaltkontakt
GS-I-AC ... Grenzwertschalter, Wechselstromeingang 1x ... A AC (<5A) 1x Umschaltkontakt
GS-I-AC10A Grenzwertschalter, Wechselstromeingang, 10A (20A) 1x ... A AC (>5A) 1x Umschaltkontakt
GS-I-BAT Grenzwertschalter, Batterie-Restladestromüberwachung 1x 6A DC 1x Umschaltkontakt
GS-I-DC ... Grenzwertschalter 1x ... A DC (max. 6A) 1x Umschaltkontakt
GS-I-DC10A Grenzwertschalter, Gleichstromeingang, 10A (20A) 1x ... DC (>5A) 1x Umschaltkontakt
HE-I 20mA Handbedienebene 0-20mA (Schalttafeleinbau 72 x 36mm) 1x Poti 0-100% 1x 20mA DC Hand
HSE 02/N Handbedienebene 0-10V (Schalttafeleinbau 48 x 48 mm) 1x 10V / 20mA DC 1x 10V / 20mA DC Hand/Auto
HSE-I 20mA Handbedienebene 0-20mA (Schalttafeleinbau 72 x 36mm) 1x 20mA DC 1x 20mA DC Hand/Auto
HSE-I20mA-PM Handbedienebene 0-20mA mit Panelmeter (Schaltt. 72 x 72mm) 1x 20mA DC 1x 20mA DC Hand/Auto
LC-GS2-U/I Grenzwertschalter, Eingang wählbar,2x Relaisk. 1x 10V od. 20mA DC 2x Umschaltkontakt
LC-GS4-UI Grenzwertschalter, Eingang wählbar,4x Relaisk. 1x 10V od. 20mA DC 4x Umschaltkontakt
LC-TV-1I.1I Trennverstärker, 1I.1I, 1 Kanal 1x 20mA DC 1x 20mA DC
LC-TV-1I.1U Trennverstärker, 1I.1U, 1 Kanal 1x 20mA DC 1x 10V DC
LC-TV-1xI-AC Trennverstärker, 3x Wechselstromeingang, 3x Spannungsausgang 1x 1A AC (max 5A) 1x 10V DC
LC-TV-2I.2I Trennverstärker, 2I.2I, 2 Kanäle 2x 20mA DC 2x 20mA DC
LC-TV-2I.2U Trennverstärker, 2I.2U, 2 Kanäle 2x 20mA DC 2x 10V DC
LC-TV-3xI-AC Trennverstärker, 3x Wechselstromeingang, 3x Spannungsausgang 3x 1A AC (max. 5A) 3x 10V DC
LC-TV-4I.4I Trennverstärker, 4I.4I, 4 Kanäle 4x 20mA DC 4x 20mA DC
LC-TV-4I.4U Trennverstärker, 4I.4U, 4 Kanäle 4x 20mA DC 4x 10V DC
MU-2xU.DIV Analog-Dividierer, C=A/B, einslbar 2x 10V DC 1x 10V + 20mA DC
MU-U.TAB Kennlinie nach EXCEL Tabelle für beliebige auch nichtlineare Verläufe (logarithmisch, stufig, ...) 1x ... V DC 1x 10V + 20mA DC
MU-U/I.F Messumsetzer, Spannung/Strom - Frequenz 1x ... V DC 1x Hz bis kHz
MU-U/I.F /IL Messumsetzer Taktgeber, Spannung/Strom nach Frequenz/Impulsfolge (für Dosierpumpen etc.) 1x ... V DC 1x 50Hz
MU-VZ-U.U Messwertverzögerung, Integrator, Rampenfunktion 1x 10V DC 1x 10V + 20mA DC
MUX-I 16 Analogmultiplexer 16 zu 1 (Strom nach Spannung) 16x 20mA DC 1x 10V DC
MV-8xIN Minimalwert-, Maximalwert-, Mitwert-, Summierer- Auswahlbaustein mit Wahlschalter, 8 Eingänge 8x Signal 1x 10V + 20mA DC
MV-BGZ-I Begrenzung des Stromes, maximaler Schleifenstrom 1x 0-20mA 1x 3,5-30mA
MV-HSE-I20mA Handbedienebene Analog, 0-20mA (Aufschnappgehäuse) 1x 20mA DC 1x 20mA DC Hand/Auto
MV-I ... Messverstärker, Buffer 1x ... mA DC 1x 10V + 20mA DC
MV-I2-I1 Differenzverstärker, subtrahiert I1 von I2 2x 20mA DC 1x 10V + 20mA DC
MV-I-INV ... Messverstärker, Eingang-Ausgang invertiert 1x ... mA DC 1x 10V + 20mA DC
MV-I-MAX Maximalwertausgabe, 2x Stromeingang 2x 20mA DC 1x 10V + 20mA DC
MV-I-MIN Minimalwertausgabe, 2x Stromeingang 2x 20mA DC 1x 10V + 20mA DC
MV-MI ... Mitwertbildung, 3 (4) Eingänge 3x (4x) ...V/mA DC 1x 10V + 20mA DC
MV-SU ... Analog-Summierer, 3 (4) Eingänge 3x (4x) ...V/mA DC 1x 10V + 20mA DC
MV-SU8 ... Analog-Summierer, 8 Eingänge (Stufenschaltg.-Analogausgang) 8x ...V/mA DC 1x 10V + 20mA DC
NP-..xTV-U/I.4-20mA Trennverstärker, Strom od. Spannungseingang ohne Hilfsspannung (Stromschleifenspeisung) 1x 10V 20mA DC 1x 4-20mA DC
NP-TV-LI… Trennverstärker ohne Hilfsenergie für kleine Ströme uA/mA 1x 4-20mA DC 1x 4-20mA DC
PM 510/LED/F Bargrafanzeige Einbauversion 1x 10V 20mA 10x LED
PM 518 LCD Panelmeter, Eingang 0-10V, Anzeige alphanumerisch 8 slig 1x 10V 20mA DC 1x LCD-Anzeige 8 slig
PM 528 Anzeige 2x 8 Zeichen Textanzeige nach Kundenangabe individuell 1x 10V 20mA DC 1x Anzeige 2x8 Zeichen
SB-SR-I1-K3 Stromüberwachung, 3Stufen, Wassermelder, Meldung 1x 1,2 A AC 1x Optokoppler-Transistor
SB-SV1 Signalverteiler 24x 2 Klemmen, 24 Signale 24x Signal 24x Signal
SR-I1.K1 Stromüberwachungsbaustein, max. 2A, Sicherung, einslbar 1x 1,4 A 1x Optokoppler-Transistor
SR-I1-16A.K1 Stromüberwachungsrelais, 1 Kanal 1x 0,1 - 16 A AC/DC 1x Optokoppler-Transistor
SR-I4-K4 Stromüberwachungsrelais, 4 Kanäle, Photo-Mos-Signal Relais 4x 0,1 - 1 A AC/DC 4x Transistor
TRL-DC Treiber Leistungssler, Ausgang 24V DC 1x 10V DC (20mA) 1x 24V ==, 30VA
TRV20V.1,5A Treiber Ventilantrieb 20V, 30VA (magnetische Ventile 0-20V) 1x 10V DC (20mA) 1x 20V ==, 30VA PWM
TRV20V.2,5A Treiber Ventilantrieb 20V, 50VA (magnetische Ventile 0-20V) 1x 10V DC (20mA) 1x 20V ==, 50VA PWM
TRV-5V.PWM Umsetzer Analog auf PWM Pulsweitenmodulation, z.B. Solarpumpen, Hocheffizienz Umwälzpumpen, Heizung und Solaranlagen 1x 10V DC (20mA) 1x 5V ==, PWM
TR-X/5V.3P Treiber 3Punkt, Differenzregler (Soll-/Istwert-Regler) 1x Soll/Istwert U,I,R 2x Schließer, 3Punkt
TR-X/X.3P Umsetzung von stetig/analog nach 3Punkt Auf Zu für z.B. Ventilantriebe mit Auf Zu Eingang, Treiber 3Punkt, Differenzregler (Soll-/Istwert- 1x Soll/Istwert U,I,R 2x Schließer, 3Punkt
TR-X/X.3P /HE Umsetzung von stetig/analog nach 3Punkt Auf Zu für z.B. Ventilantriebe mit Auf Zu Eingang, Treiber 3Punkt, Differenzregler (Soll-/Istwert-Regler) 1x Soll/Istwert U,I,R 2x Schließer, 3Punkt
TV-1xUI Universal Trennverstärker mit Bereichsumschaltung (1 Kanal) 1x 10V 20mA 1x 10V 20mA
TV-2xUI Universal Trennverstärker mit Bereichsumschaltung (2 Kanal) 2x 10V 20mA 2x 10V 20mA
TV-4xUI Universal Trennverstärker mit Bereichsumschaltung (4 Kanal) 4x 10V 20mA 4x 10V 20mA
TV-I ... Trennverstärker, Stromeingang 1x ... mA DC 1x 10V + 20mA DC
TV-I2 ... Trennverstärker mit zwei Ausgängen 1x ... mA DC 2x 10V + 20mA DC
TV-I-AC ... Trennverstärker, Wechselstromeingang (Stromwandler) 1x ... A AC 1x 10V + 20mA DC
TV-I-AC10A.10V Trennverstärker, Wechselstromeingang (Stromwandler) 1x 10A AC (20A) 1x 10V + 20mA DC
TV-I-DC ... Trennverstärker, Gleichstromeingang 1x ... A DC 1x 10V + 20mA DC
TV-I-DC10A.10V Trennverstärker, Gleichstromeingang 1x 10A DC (20A) 1x 10V + 20mA DC
TV-I-INV ... Trennverstärker, Eingang-Ausgang invertiert 1x ... mA DC 1x 10V + 20mA DC
TV-LI ... Trennverstärker, µA Eingang 1x ... µA DC 1x 10V + 20mA DC
US 10 /S1 Grenzwertschalter, Spannungseingang, 10x Schließerkontakt 1x 10V od. 20mA DC 10x Schließer/Öffner 230V
Ansicht Typ Kurzbezeichnung Eingang Ausgang DE UK
CT-001 Steuergerät I, U, R 4x Kontakt
CT-20 /N Temperaturregler Differenzregler Eingang (PT1000, Spannung, Strom) Ausgang (3Punkt 0-10V) optional RS485 MODBUS 10V, 20mA, PT1000 Kontakt BUS
CU-2xXXX.K4 Regler / Grenzwertschalter mit Anzeige 10V 20mA Sensor 4x Kontakt 1x 10V BUS
CU-3xXXX.K4/3Z Temperaturregler 3 Kanal 10V 20mA PT1000 4x Kontakt 1x 10V BUS
CU-DIFF-2xPT100.K4/P/3Z Differenzregler 2x PT100 4x Kontakt 1x 10V BUS
CU-DIFF-2xPT1000.K4/P/3Z Grenzwertschalter Anzeige Maximalwert 2x PT1000 4x Kontakt 1x 10V BUS
CU-MAX-3xPT1000.K4/VZ Grenzwertschalter Anzeige Maximalwert 3x PT1000 4x Kontakt 1x 10V BUS
CU-T.K2 Grenzwertschalter Anzeige Zeitschalter (COUNT DOWN TIMER) - 2x Kontakt BUS
CU-XXX.K4/R Temperaturregler Anzeige 1x PT1000 / U / I 4x Kontakt 1x 10V BUS
GS-AL ... Alarmgeber-Auswertung ohne Meldelinienüberwachung 1x Alarm-Linie 1x Umschaltkontakt
GS-AL-LI ... Alarmgeber-Auswertung mit Meldelinienüberwachung 1x Alarm-Linie 1x Umschaltkontakt
GS-INI-K1 ... Grenzwertschalter, NAMUR 1x NAMUR 1x Umschaltkontakt
GS-NR31 Füllstand Überwachung Melder Stabsonden 3 + 1 Sensor 1x Kontakt
GS-R ... Grenzwertschalter, Widerstandseingang 1x ... Ohm 1x Umschaltkontakt
GS-R2 ... Grenzwertschalter, Widerstandseingang, 2x Ausgangsrelais 1x ... Ohm 2x Umschaltkontakt
GS-R-BALCO500 ... Grenzwertschalter, Eingang Sensor 1x Sensor BALCO500 1x Umschaltkontakt
GS-R-KP10 ... Grenzwertschalter, Eingang Sensor 1x Sensor KP10 1x Umschaltkontakt
GS-R-KP250 ... Grenzwertschalter, Eingang Sensor 1x Sensor KP250 1x Umschaltkontakt
GS-R-KTY ... Grenzwertschalter, Eingang Sensor 1x Sensor 1x Umschaltkontakt
GS-R-NI1000 ... Grenzwertschalter, Eingang Sensor 1x Sensor NI1000 1x Umschaltkontakt
GS-R-NTC ... Grenzwertschalter, Eingang Sensor 1x Sensor NTC 1x Umschaltkontakt
GS-R-PT100 ... Grenzwertschalter, Eingang Sensor 1x Sensor Pt100 1x Umschaltkontakt
GS-R-PT1000 ... Grenzwertschalter, Eingang Sensor 1x Sensor Pt1000 1x Umschaltkontakt
GS-R-PTC ... Grenzwertschalter, Eingang Sensor 1x Sensor PTC 1x Umschaltkontakt
GS-THERMO ... Grenzwertschalter, Eingang Thermoelement 1x Thermoelement 1x Umschaltkontakt
GS-U-BR ... Grenzwertschalter, Eingang Messbrücke 1x Messbrücke 1x Schliesserkontakt
HR 01 Handeinslung 0-10kOhm (Schalttafeleinbau 28 x 28 mm) Handeinslung 1x 0 - ... kOhm
HR 02/N Handeinslung 0-10kOhm (Schalttafeleinbau 48 x 48 mm) Handeinslung 1x 0 - ... kOhm
LC-MV-1xKP10 ... Messverstärker, KP10, 1 Kanal 1x KP10 / LM135Z 1x 10V DC
LC-MV-1xKP250 ... Messverstärker, KP250, 1 Kanäle 1x KP250 1x 10V DC
LC-MV-1xKTY ... Messverstärker, KTY, 1 Kanal 4x KPY ... 4x 10V DC
LC-MV-1xNI1000 ... Messverstärker, NI1000, 1 Kanal 1x NI1000,NI200 1x 10V DC
LC-MV-1xPT100 Messverstärker, PT100, 1 Kanal 1x PT100 Dreileiter 1x 10V DC
LC-MV-1xPT1000 ... Messverstärker, PT1000, 1 Kanal 1x PT1000 1x 10V DC
LC-MV-1xR Messverstärker, Widerstand / Poti, 1 Kanal 1x Widerstand, Poti 1x 10V DC
LC-MV-2xKP10 ... Messverstärker, KP10, 2 Kanäle 2x KP10 / LM135Z 2x 10V DC
LC-MV-2xKP250 ... Messverstärker, KP250, 2 Kanäle 2x KP250 2x 10V DC
LC-MV-2xKTY... Messverstärker, KTY, 2 Kanäle 2x KTY (10, 81, ...) 2x 10V DC
LC-MV-2xNI1000 ... Messverstärker, NI1000, 2 Kanäle 2x NI1000,NI200 2x 10V DC
LC-MV-2xPT100 ... Messverstärker, PT100, 2 Kanäle 2x PT100 Dreileiter 2x 10V DC
LC-MV-2xPT1000 ... Messverstärker, PT1000, 2 Kanäle 2x PT1000 2x 10V DC
LC-MV-2xR Messverstärker, Widerstand / Poti, 2 Kanäle 2x Widerstand, Poti 2x 10V DC
LC-MV-4xKP10 ... Messverstärker, KP10, 4 Kanäle 4x KP10 / LM135Z 4x 10V DC
LC-MV-4xKP250 ... Messverstärker, KP250, 4 Kanäle 4x KP250 4x 10V DC
LC-MV-4xKTY... Messverstärker, KTY, 4 Kanäle 4x KTY (10, 81, ...) 4x 10V DC
LC-MV-4xNI1000 ... Messverstärker, NI1000, 4 Kanäle 4x NI1000,NI200 4x 10V DC
LC-MV-4xPT100 ... Messverstärker, PT100, 4 Kanäle 4x PT100 Dreileiter 4x 10V DC
LC-MV-4xPT1000 ... Messverstärker, PT1000, 4 Kanäle 4x PT1000 4x 10V DC
LC-MV-4xR Messverstärker, Widerstand / Poti, 4 Kanäle 4x Widerstand, Poti 4x 10V DC
LCU-xxPA.S1 Luftdrucksensor erfasst/überwacht den Druck / Differenzdruck im Wohnbereich zwischen zwei Räumen (Schaltkontakt) 1x Sensor 1x Umschaltkontakt
LCU-xxxPa.K1/xxxPa.10V Luftstromüberwachung 1x Sensor 1x 10V + Umschaltkontakt
LF1 (LF8) Lichtsensor LF1/LF8 (Einbauversion) 1x 0-20.000 Lux 1x Meßverst. MV-LUX
MLS31A Flüssigkeitssensor Pegelsensor 1x Sensor 1x Öffnerkontakt
MO-MV-LUX ... Messverstärker, Lichtsensor LF 1 Modulgehäuse 1x LF1 LUX-Sensor 1x 10V DC
MO-MV-THERMO ... Messverstärker, Thermoelement (Typ E,J,K,T,R,S) Modulgehäuse 1x Thermoelement 1x 4 - 20mA DC
MUX-I 16 Analogmultiplexer 16 zu 1 (Strom nach Spannung) 16x 20mA DC 1x 10V DC
MUX-U 16 Analogmultiplexer 16 zu 1, Eingang 16x Spannung 16x 10V DC 1x 10V DC
MV-AD592 Messverstärker, AD592 1x AD592 Sensor 1x 10V + 20mA DC
MV-BALCO500 ... Messverstärker, BALCO500 1x BALCO 500 1x 10V + 20mA DC
MV-KP10 ... Messverstärker, KP10 1x KP10 1x 10V + 20mA DC
MV-KP250 ... Messverstärker, KP250 1x KP250 1x 10V + 20mA DC
MV-KP250.KP10 Messverstärker, KP250, Ausgang KP10 1x KP250 1x KP10
MV-KTY ... Messverstärker, KTY ... 1x KTY... 1x 10V + 20mA DC
MV-LUX ... Messverstärker, Lichtsensor LF 1 (Helligkeitsmessung) 1x LF1 LUX-Sensor 1x 10V + 20mA DC
MV-NI1000 ... Messverstärker, NI1000 1x NI1000, NI200 1x 10V + 20mA DC
MV-NTC ... Messverstärker, NTC Sensor 1x NTC Sensor n.A. 1x 10V + 20mA DC
MV-PT100 ... Messverstärker, PT100 1x PT100 Dreileiter 1x 10V + 20mA DC
MV-PT100.KP10 Messverstärker, PT100, Ausgang KP10 1x PT100 Dreileiter 1x KP10
MV-PT1000 ... Messverstärker, PT1000 1x PT1000 1x 10V + 20mA DC
MV-PT1000.KP10 Messverstärker, PT1000 1x PT1000 1x KP10
MV-PT100x2OUT … Messverstärker, PT100 1x PT100 Dreileiter 2x 10V + 20mA DC
MV-PTC ... Messverstärker, PTC Sensor 1x PTC Sensor n.A. 1x 10V + 20mA DC
MV-R ... Messverstärker, Widerstandseingang, Poti 1x ... Ohm 1x 10V + 20mA DC
MV-R-3L ... Messverstärker, Poti-Dreileitereingang 1x Poti ... Ohm 1x 10V + 20mA DC
MV-T1 Messverstärker, T1 Sensor 1x T1 Sensor 1x 10V + 20mA DC
MV-THERMO ... Messverstärker, Thermoelement (Typ E,J,K,T,R,S) 1x Thermoelement 1x 10V + 20mA DC
MV-U-BR / S51 Messverstärker, Eingang Meßbrücke 1x ... mV Meßbrücke 1x +-10V
MV-U-BR / S54 Messverstärker, Eingang Meßbrücke 4x ... mV Meßbrücke 4x +-10V
NP-MV-XxNTC Messverstärker für NTC-Temperatursensoren (Stromschleifenspeisung) 1x Sensor 1x 4-20mA DC
NP-MV-XxPT.4-20mA Messverstärker für PT100 PT1000 (Stromschleifenspeisung) 1x Sensor 1x 4-20mA DC
NP-MV-XxR.4-20mA Messverstärker für Potentiometer (Stromschleifenspeisung) 1x Sensor 1x 4-20mA DC
NP-MV-XxSENSOR Messverstärker für Temperatursensoren ohne Hilfsspannung (Stromschleifenspeisung) 1x Sensor 1x 4-20mA DC
PLU-A-16.16 Programmierbarer Analogbaustein 16x R, Sensor 16x 10V
PLU-X.X Programmierbarer Analogbaustein 4x 10V, 24V 4x Schliesser, 10V
SB-...12 Widerstandsblock, 12x Widerstand, Kaltleiter, Diode n. Angabe, 24x 2 Klemmen () 12x Widerstand 12x Widerstand
SB-MATRIX 8X4 A Schalt-/Abfrage Matrix 8x4, 32 Eingänge 23x Taste, Kontakt 8x4 Abfragematrix, digital
SB-MATRIX 8X4 B Schalt-/Abfrage Matrix 8x4, 32 Eingänge 23x Taste, Kontakt 8x4 Abfragematrix, digital
SMV-2xNI1000-LS.UI Messverstärker Sensoreingang Bereichsumschaltung 2x Ni1000 2x 10V + 20mA DC
SWM 2 Wassersensor, Linienverdrahtung mit Alarmselbsthaltung 1x Wasserkontakt 1x Meldelinie an GS-AL(-LI)
SWM 3 Wassersensor, Einzelgerät mit Alarmselbsthaltung 1x Wasserkontakt 1x Öffnerkontakt
SWM 3.2 Wassersensor, Einzelgerät ohne Selbsthaltung 1x Wasserkontakt 1x Öffnerkontakt
SWM 3.4 Wassersensor, Einzelgerät ohne Selbsthaltung, 2x Ausgang 1x Wasserkontakt 1x Schließer 1x Öffner
SWM 4 Elektrodenauswertung, Wassersensor, Aufschnappgeh. 3x Wasserstandselektrode 3x Öffner oder Schließer
SWM 5 Flüssigkeitssensor -melder LED Infrarot Reflex System 1x Öffner
SWM10 Flüssigkeitssensor (Elektrodenrelais) reagiert auf die Leitfähigkeit der Flüssigkeit 1x Sensor 1x Umschaltkontakt
TPM 01 Taupunktmelder (z.B. Taufeuchtigkeit an Rohren) 1x Betauung / Taupunkt 1x Umschaltkontakt
TPM 01 /230V Taupunktmelder (z.B. Taufeuchtigkeit an Rohren) 1x Betauung / Taupunkt 1x Umschaltkontakt
TPM 02 Taupunktmelder (z.B. Taufeuchtigkeit an Rohren) 1x Betauung / Taupunkt 1x 10V + Umschaltkontakt
TPM02/230V Taupunktsensor (z.B. Taufeuchtigkeit an Rohren) 1x Betauung / Taupunkt 1x 10V + Umschaltkontakt
TR-X/5V.3P Treiber 3Punkt, Differenzregler (Soll-/Istwert-Regler) 1x Soll/Istwert U,I,R 2x Schließer, 3Punkt
Schneider, Omal, Wenglor, IFM, SICK, Kraus & Neimer, DvG Automation, ELTRA, Emotron, Select, Elbe, Gemu, Proface, Hohner, Pizzato, Brevini, Camozzi, Bonfiglio, Hengstler, FESTO. TR-X/X.3P Umsetzung von stetig/analog nach 3Punkt Auf Zu für z.B. Ventilantriebe mit Auf Zu Eingang, Treiber 3Punkt, Differenzregler (Soll-/Istwert- 1x Soll/Istwert U,I,R 2x Schließer, 3Punkt
TR-X/X.3P /HE Umsetzung von stetig/analog nach 3Punkt Auf Zu für z.B. Ventilantriebe mit Auf Zu Eingang, Treiber 3Punkt, Differenzregler (Soll-/Istwert-Regler) 1x Soll/Istwert U,I,R 2x Schließer, 3Punkt
TV-KP10 Trennverstärker, KTY ... 1x KTY... 1x 10V + 20mA DC
TV-NI1000 2xOUT Trennverstärker, NI1000 1x NI1000 2x 10V + 20mA DC
TV-NI1000... Trennverstärker, NI1000 1x NI1000 1x 10V + 20mA DC
类型:SG16LED
+ 部件号:103.196.160.210
+ 序列号:13072365
+ 旋转编码器 MH670-MU/5550S01-001.001 TV-NTC Trennverstärker, NTC 1x NTC 1x 10V + 20mA DC BB M2QA250M4A Low-voltage Three-Phase Induction Motors
ABB M2QA Series IEC
MOTOR M2QA250M4A B3 55 KW 1500
ABB Motor IE3, 55 kW, 75 HP, 415 V, 4 Pole/1500 rpm, DOL, Foot Mounted, 3 Phase, TEFC Cast Iron Induction Motor
TV-PT100 ... Trennverstärker, PT100 1x PT100 Dreileiter 1x 10V + 20mA DC
TV-PT1000 ... Trennverstärker, PT1000 1x PT1000 1x 10V + 20mA DC
TV-PT1000.2xOUT Trennverstärker, PT1000 1x PT1000 2x 10V + 20mA DC
TV-PT100x2OUT ... Trennverstärker, PT100 1x PT100 Dreileiter 2x 10V + 20mA DC
TV-R ... Trennverstärker, Widerstandseingang, Poti 1x ... Ohm 1x 10V + 20mA DC
TV-R.UI.K1 Überwachung Rohrleitung Fernheizrohre 1x Widerstand 1x 10V 20mA + Kontakt
TV-R-3L … Trennverstärker, Poti-Dreileitereingang 1x Poti ... Ohm 1x 10V + 20mA DC
TV-THERMO Trennverstärker, Thermoelement (Typ E,J,K,T,R,S) 1x Thermoelement 1x 10V + 20mA DC
TV-PT100x2OUT ... Trennverstärker, PT100 1x PT100 Dreileiter 2x 10V + 20mA DC
TV-R ... Trennverstärker, Widerstandseingang, Poti 1x ... Ohm 1x 10V + 20mA DC
TV-R.UI.K1 Überwachung Rohrleitung Fernheizrohre 1x Widerstand 1x 10V 20mA + Kontakt
TV-R-3L … Trennverstärker, Poti-Dreileitereingang 1x Poti ... Ohm 1x 10V + 20mA DC
TV-THERMO Trennverstärker, Thermoelement (Typ E,J,K,T,R,S) 1x Thermoelement 1x 10V + 20mA DC
TV-U2 ... Trennverstärker mit zwei Ausgängen 1x ... V DC 2x 10V + 20mA DC
TV-U-AC ... Trennverstärker, Wechselspannungseingang 0-250 / 500V 1x ... V AC 1x 10V + 20mA DC
TV-U-DC ... Trennverstärker, Gleichspannungseingang 0-250V DC 1x ... V DC 1x 10V + 20mA DC
TV-U-INV ... Trennverstärker, Eingang-Ausgang invertiert 1x ... V DC 1x 10V + 20mA DC
TV-U-INV-ST20V.10V Trennverstärker, Eingang 20V, Ausgang invertiert 1x 20V == STAEFA 1x 10V + 20mA DC
TV-U-PWM24V.10V Trennverstärker, Eingang 24V, Pulsweitenmodulation PWM 1x 24V AC/DC PWM 1x 10V + 20mA DC
TV-U-ST20V.10V Trennverstärker, Eingang 20V Phasenanschnitt 1x 20V == STAEFA 1x 10V + 20mA DC
保险丝,600V,10AMP,CL CC,TDEL,REJ,MIDGET E105789
KIT, PCB, I/O Model: MVC3002-4001A MV3000 Delta I/O Panel
套件、PCB、I/O 型号:MVC3002-4001A MV3000 Delta I/O 面板 AY21504
POWER SUPPLY, INVERTER, 575-690V, MV3000
电源,逆变器,575-690V,MV3000 E15209
INTERFACE, ETHERNET, MV3000, MODIFIED
接口,以太网,MV3000,修改 E18233
SERVICE LOOP, AC POWER, 5 IN, 500T,77 FT
服务回路,交流电源,5 英寸,500 吨,77 英尺 AY19252-2-1
SERVICE LOOP,CONTROL,4 IN,76 FT,FIBER
服务回路,控制,4 英寸,76 英尺,光纤 AY19251-2
ULVAC 500FW Turbo Pump
ULVAC UTM350FH/D1C Turbo Pump
ULVAC UTM350FH/D3C Turbo Pump
ULVAC UTM800FW/D1A Turbo Pump
ULVAC DA-120S Vacuum Pump
ULVAC DA-121D Vacuum Pump
ULVAC DA-20D Vacuum Pump
ULVAC DA-20D VACUUM PUMP
ULVAC DA-240S Vacuum Pump
ULVAC DA-241S Vacuum Pump
ULVAC DA-30 Vacuum Pump
ULVAC DA-30D Vacuum Pump
ULVAC DA-30S Vacuum Pump
ULVAC DA-40S Vacuum Pump
ULVAC DA-60D Vacuum Pump
ULVAC DA-60S Vacuum Pump
ULVAC DA121D Vacuum Pump
ULVAC DAP15 Vacuum Pump
ULVAC DAT-100S Vacuum Pump
ULVAC DOP-100T Vacuum Pump
ULVAC DTC-60 Vacuum Pump
ULVAC G-100D Vacuum Pump
ULVAC GLD-040 Vacuum Pump
ULVAC C-31MT Cryo-compressor
ULVAC C10 Cryo-compressor
ULVAC C30MT Cryo-compressor
ULVAC C30MPVRT Cryo pump compressor
ULVAC Cryopump exchange jig
ULVAC - Connector for cryopump
ULVAC DIS-500 Scroll pump
ULVAC FTI-480W Turbo controller
ULVAC FTI-800W Turbo controller
ULVAC FT1-500W Turbo pump power
ULVAC FT300W Turbo pump power
ULVAC PTI-150 Turbo molecular pump meter
ULVAC DA-60S Diaphragm pump
ULVAC DTP-180SQ Diaphragm pump
ULVAC DTC-22 Diaphragm type dry vacuum pump
ULVAC DA-30D Diaphragm vacuum pump
ULVAC DA-120S Diaphragm pump
ULVAC DA-241S Diaphragm pump
ULVAC DA-60D Diaphragm pump
ULVAC DAL-361S Diaphragm pump
ULVAC DAT-100S Diaphragm pump
ULVAC DTC-22 Diaphragm pump
ULVAC DTC-41 Diaphragm pump
ULVAC MD 8C Diaphragm pump
ULVAC DA-60D Vacuum pump
ULVAC DVS-631 Vacuum pump
ULVAC PMB001CM Mechanical booster PUMP
ULVAC 006CM Mechanical booster pump
ULVAC 006CM(S/N A15A-00889) Mechanical booster pump
ULVAC PMB006CM Mechanical booster pump
ULVAC D-650DK Rotary
ULVAC DA-120S Vacuum Pump
ULVAC DA-40S Vacuum Pump
Varian PTS03001UNIV DRY SCROLL PUMP
Varian PTS03101UNIV DRY SCROLL PUMP
WORLD CHEMICAL 43GR-RV PUMP
WORLD CHEMICAL 45GR-FV PUMP
WORLD CHEMICAL YD-252GUF-AD6-G Pump
WORLD CHEMICAL YD-40NSF-P-D-A Pump
WORLD CHEMICAL YD-40NSF-P-D-JA Pump
WORLD CHEMICAL YD-40NSF-P-D-JA PUMP
WORLD CHEMICAL YD43GR-RV Kemi free magnet pump
WORLD CHEMICAL YD250GV-AE6 Transfer pump
WORLD CHEMICHAL 43GR-FV Pump
WORLD CHEMICHAL 45GR-FV Pump
WORLD CHEMICHAL YD-40NSF-P-D-JA Pump
YAMADA DP-10F Dry Pump
YAMADA DP-20F PT Diaphragm pump
YAMADA DP-10F PT(N) Diaphragm pump
YOKOGAWA RV8 EDWARDS PUMP
Aisin Seiki DB-010 DRY Pump
Aisin Seiki DB-010-C DRY Pump
Aisin Seiki DB-010/11 DRY Pump
Asahi Denki EPDM製40 Pump packing
Anelva Techno Service P-250VH Spare pump · ELA
Entegris NSP-55G-1X Bellows pump
Enomoto micro pump DM-403ST Micro pump
Ebara A150W-M DRY Pump
M & F Enterprise LVM-I0 Air Pump
M & F Enterprise LVM-12 Diaphragm pump
Oruon KRX-5 V Rotary vacuum pump
Schmitt CE-25-00-02-21-0 Circulating pump
Seiko Chemical VEM-0400F01SNP Pump
Takushimi EXD1-53-VTC-FWS Kusuricho CR supply pump
Tokemi MD4 Diaphragm pump
Matsubo TPS600 PM041815A TMP Pfeiffer power
Ransburg Industry RIF-0.5-02P Gear pump
Ebara A10S DRY Pump
Ebara A70W DRY Pump
Ebara AA20NV1-H (SN:ES90123D) DRY Pump
Kashiyamakogyo SD40V2 Dry vacuum pump
Maruyama production 01-RXAB-010B Baseless pump
Maruyama Mfg. 15-RXAS-075TE Stainless steel pump
Iwatani Denki WPT401 Pump
Iwatani Electric Works 25UT04050 Vortex flow pump
Iwatani Electric Works 252STX504 Stainless steel Centrifugal Pump
None listed 記載無し Pump filter
Sansodenki PMD-2573 A2F Magnet pump
Sansodenki PMD-1523B6M Pump
Shibaura ELETECH PSL-100-100 PISTON PUMP
Tetsu Masuda factory CHS30-150*100 Incidental pump
Tetsu Masuda factory CISM22-65*50 E211 Incidental pump
Japan Dräger ARJB-F010 Well belly pump
Meito Kakoki ME-1000 Diaphragm pump
Hayashi Kakoki HDG-25PG LEAKLESS PUMP
Hayashi Kakoki HD-80RE-PS Pump
Hayashi Kakoki HDG-25TF Pump
Suzuki Shokan / AISIN SEIKI SA06SJ-01S Cryo Pump
Suzuki Shokan / AISIN SEIKI SA08SJ-01S Cryo Pump
Applied Materials P-5000 Dry Etcher
Applied Materials P-5000 Mark II Dry Etcher
CANON MAS 801 HR Asher
Plasma System DES-A 304 Dry Etcher
Tel TE-5000 S Dry Etcher
Tel TE-8500 Dry Etcher
Tel TE-8500 S Dry Etcher
Tel TE 8600 P Dry Etcher
Tel U 2 e-655 II Dry Etcher
Tel Unity 85 DM Dry Etcher
Tel TE-5000 S Etcher
TOK OPM-A 1250 Asher
TOK TCA 7822 S Asher
TOK TSE 306 W Dry Etcher
Applied Materials P-5000 P-CVD
CANON MAS 801 Asher
CANON PLA 501 FA Mask Aligner
Canon PLA 600 FA Mask Aligner
Dainippon (DNS) SCW-80 A-AVQ Polyimide Coater
USHIO UMA-2003-H 120 F-BK UV Cure
USHIO UMA-802-HC 55 UV Cure
Dainippon (DNS) SSW-629-B Scrubber
Dainippon (DNS) SSW-629-B Wafer Scrubber
ETAC TL401A Thermostatic bath
KOYO INH-9CD-S High Temperature Oven
Yamato Scientific DT610 High Temperature Oven
Disco DFD 6340 Dicing Saw
Disco DFD 6450 Dicing Saw
Disco DFD 660 Dicing Saw
Disco DFD 641 Dicing Saw
Disco DFD 641 Dicing Saw
Disco DFD 641 Dicing Saw
Disco DFD 640 Dicing Saw
Disco DFD 640 Dicing Saw
Disco DFD 640 Dicing Saw
Disco DAD 522 Dicing Saw
Disco DAD 320 Dicing Saw
Disco DAD 552(DAC 552) Dicing Saw
Disco DAD 552(DAC 552) Dicing Saw
Disco DAD 552(DAC 552) Dicing Saw
Disco DAD 552(DAC 552) Dicing Saw
Orion RKS-1500 V-A Chiller
Disco CO2 Injector Bubbler
Nomura MicroScience NDB-3 Bubbler
Nomura MicroScience NDB-3 Bubbler
Takatori ATM-8100 T Mounter
Ultron UH 115 Mounter
Takatori SAM 8 Mounter
Technovision FM-2243 Mounter
Hugle HS-7800 Mounter
Disco DFU 2 CR-E Filter System
Hugle HUV-0608 UV Iradiator
Ultron UH 102-8 UV Iradiator
Canon Bestem D01 Die Bonder
Canon Bestem D02 Die Bonder
ASM AD 8930 Die Bonder
ASM AD 830 Die Bonder
Panasonic DM 60 M-H Die Bonder
Shibuya SBM 310 Ball Bonder
Shinkawa SBB 410 Ball Bonder
Panasonic FCX-501 Flip Chip Bonder
Panasonic FCX-501 Flip Chip Bonder
Panasonic FCX-501 Flip Chip Bonder
Panasonic FCX-501 Flip Chip Bonder
Shinkawa UTC 1000 Wire Bonder
Kaijo FB Wire Bonder
ASM iHawk Wire Bonder
ASM iHawk Xtreme Wire Bonder
ASM Eagle Wire Bonder
Mitsutoyo QV 202-PT 5 F Measuring System
Olympus BX 51 Microscope
Yamato BIC-1602 N Clean Bench
Hugle HS-1010 Expander
AIS 2100 Scanning Electron Microscope (SEM) "Rontec EDS
3.5 nm
Magnifcation: 15x, 300,000x
Secondary Electron Image SEI
Beam Scan Mode: Scarch, TV, Inspection, Photo(4Step)
Accelerating Voltage: .5 kV - 30 kV
Scan Speed: Search (320 x 240) 1080ms
TV (320 x 240) 880.0us
Inspection (640 x 480) 19.2 ms
photo (1280 x 960) 75.2 ms"
ESPEC PH-201M OVEN
ADVANTEC DRE 320DA OVEN
ADVANTEC DRE 320DA OVEN
ADVANTEC DRE 320DA OVEN
ADVANTEC DRE 320DA OVEN
Nihon Garter NCS-1700m HANDLER Broken vacuum
Nihon Garter NCS-1700m HANDLER Broken vacuum
Nihon Garter NCS-1700m HANDLER Broken vacuum
Nihon Garter NCS-1700m HANDLER
TEKNOLOGUE LX 465X Series TESTER
EME Corporation V-mini 300 VACUUM System
Musashi SHOTS Master 300 MOLDING A System
Musashi SHOTS Master 300 MOLDING A System
Musashi SHOTS Master 300 MOLDING A System
Musashi SHOTS Master 300 MOLDING A System
Musashi SHOTS Master 300 MOLDING A System
Musashi SHOTS Master 300 MOLDING A System
EME Corporation VMX-N550 VACUUM System
Towa LCM 1010 MOLDING B System
Towa LCM 1010 MOLDING B System
Towa LCM 1010 MOLDING B System
Towa LCM 1010 MOLDINGB System
Daitron DBM-402R SEPARATION System
Daitron DBM-402R SEPARATION System
Daitron DBM-402R SEPARATION System
Daitron DBM-402R SEPARATION System
Daitron DBM-402R SEPARATION System
ESPEC PH-201M OVEN
ESPEC PH-201M OVEN
ESPEC PH-201M OVEN
ESPEC PH-201M OVEN
ESPEC PHH201 OVEN
ESPEC PH-201M OVEN
ESPEC PH-201M OVEN
ESPEC PH-201M OVEN
CREST DSA-150-6L2-5.7L UV Irradiation System
Nihon Garter NTC-3700+ TEKNOLOGUE TAPING System
Nihon Garter NTC-3700+ TEKNOLOGUE TAPING System
Nihon Garter NTC-3700+ TEKNOLOGUE TAPING System
Nihon Garter NTC-3700+ TEKNOLOGUE TAPING System
Nihon Garter NTC-3700+ TEKNOLOGUE TAPING System
Nihon Garter NTC-3700+ TEKNOLOGUE TAPING System
Nihon Garter NTC-3700+ TEKNOLOGUE TAPING System
Nihon Garter NTC-3700+ TEKNOLOGUE TAPING System
Nihon Garter NUS-0076 HANDLER
TEKNOLOGUE LX 465X Series TESTER
Nihon Garter NUS-0076 HANDLER
TEKNOLOGUE LX 465X Series 4652A TESTER
Nihon Garter NUS-0076 HANDLER
TEKNOLOGUE LX 465X Series TESTER
Nihon Garter NUS-0076 HANDLER
TEKNOLOGUE LX 465X Series TESTER
Nihon Garter NUS-0076 HANDLER
TEKNOLOGUE LX 465X Series TESTER
Nihon Garter NUS-0076 HANDLER
TEKNOLOGUE LX 465X Series TESTER
Nihon Garter NUS-0076 HANDLER
TEKNOLOGUE LX 465X Series TESTER
Nihon Garter NUS-0076 HANDLER
TEKNOLOGUE LX 465X Series 4652A TESTER
Nihon Garter NUS-0076 HANDLER
TEKNOLOGUE LX 465X Series TESTER
SAMCO PC-300 PLASMA CLEANING System
Musashi SHOTS Master 300 DAMRING System
Musashi SHOTS Master 300 DAMRING System
Musashi SHOTS Master 300 DAMRING System
Musashi SHOTS Master 300 DAMRING System
Musashi SHOTS Master 300 DAMRING System
ASM ADS 930 Die Bonder
ASM ADS 930 Die Bonder
ASM ADS 930 Die Bonder
ASM ADS 930 Die Bonder
ASM ADS 930 Die Bonder
ASM ADS 930 Die Bonder
ASM ADS 930 Die Bonder
ASM ADS 930 Die Bonder
ASM ADS 930 Die Bonder
ASM ADS 930 Die Bonder
ASM ADS 930 Die Bonder
ASM ADS 30 Die Bonder
ASM ADS 30 Die Bonder
ASM ADS 30 Die Bonder
ASM ADS 30 Die Bonder
ASM ADS 30 Die Bonder
ASM iHawk Wire Bonder
ASM iHawk Wire Bonder
ASM iHawk Wire Bonder
ASM iHawk Wire Bonder
ASM Eagle Wire Bonder
ASM Eagle Wire Bonder
ASM iHawk Wire Bonder
ASM iHawk Wire Bonder
ASM iHawk Wire Bonder
ASM iHawk Wire Bonder
ASM Eagle Wire Bonder
ASM Eagle Wire Bonder
ASM iHawk Wire Bonder
ASM iHawk Wire Bonder
ASM iHawk Wire Bonder
ASM iHawk Wire Bonder
ASM iHawk Wire Bonder
ASM iHawk Xtreme Wire Bonder
Musashi SHOT MINI 2000-3A MOLDING System
Musashi SHOT MINI 200 MOLDING System
Musashi SHOT MINI 200 MOLDING System
Musashi SHOT Master 300 MOLDING System
Musashi SHOT MINI 2000-3A MOLDING System
Musashi SHOT MINI 200 MOLDING System
Musashi SHOT MINI 200 MOLDING System
Musashi SHOT MINI 2000-3A MOLDING System
Musashi SHOT Master 300 MOLDING System
Musashi SHOT MINI 2000-3A MOLDING System
Musashi SHOT MINI 200 MOLDING System
Musashi SHOT MINI 200 MOLDING System
EME Corporation V-mini 300 Vacuum Mixer
EME Corporation V-mini 300 Vacuum Mixer
EME Corporation V-mini 300 Vacuum Mixer
EME Corporation V-mini 300 Vacuum Mixer
EME Corporation V-mini 300 Vacuum Mixer
KOTOBUKI BKM-002K-04 PCB Breaking System
KOTOBUKI BKM-002K-04 PCB Breaking System
KOTOBUKI BKM-002K-04 PCB Breaking System
KOTOBUKI STH004K(SUNX LP400 C02 laser) HANDLER
TEKNOLOGUE LX-4651A TESTER
ESPEC PH-201M OVEN
ESPEC PH-201M OVEN
ESPEC PH-201M OVEN
ESPEC PH-201M OVEN
ESPEC PH-201M OVEN
ESPEC PH-201M OVEN
ESPEC PH-201M OVEN
ESPEC PH-202 OVEN
Kaiio FB 880 Wire Bonder
Kaijo FB 880 Wire Bonder
Kaijo FB 880 Wire Bonder
Musashi Shots Mini 200Q MOLDING A System
Musashi Shots Mini 200Q MOLDING A System
Nihon Garter NCT3700 TAPING System
Nihon Garter NCT 3700 TAPING System
JAM HYP 505S PRESS
JAM HYP 505S PRESS
ASM AD 8930 Die Bonder
Cannon Bestern D01Np Die Bonder
Cannon Bestern D01Np Die Bonder
Cannon Bestern 001 Np Die Bonder
Cannon Bestern 001 Np Die Bonder
Cannon Bestern 001 Np Die Bonder
ASM AD8930 Die Bonder
Kaijo FB 880 Wire Bonder
Kaijo FB 880 Wire Bonder
Unitek / Miyachi ML-2150 A Laser Welder Maker Maintenance is recommended (optical axis?Water chillerr?Filter)
Ebara A 07 V-S Dry Pump
Ebara A 30 W-N Dry Pump
KLA-Tencor FLX-2320
LAM 9600 SE
STS Multiplex MESC Etcher "ICP
Load Lock"
HC-21 Ion Sputter Coater
Varian M2i Sputtering System 4 Chamber
SEZ SP 201 Spin Etcher 1 Chamber
"Tektronix
" "TDS 6124 C
" "Digital Storage Oscilloscope
" "12GHz
"
Keithley 595 Quasistatic CV Meter
Keithley 230
Keithley 590 CV Analyzer
Hewlett Packard "4275A
" "multi frequency LCR meter
"
WELL 3242 Precision Diamond Wire Saws
Sagitta ECP 2000 "Cross-Section System
"
KLA-Tencor 5200 Inspection System
Veeco Mark 2 Ion Source
Advantest M 6300 Handler
Advantest T 5585 Tester
Cascade REL-4800 Prober
Cascade REL-5500 Prober
LTX / Credence Quartet Prober
Cse X-300 Semi-Automatic Prober
Electroglas 2001 X Prober
Electroglas 2080 X Prober
Electroglas 3001 X Prober
Electroglas 4080 Prober
Electroglas 4085 Prober
Electroglas 4090 u Prober
Gsi Lumonics Sigmaclean Laser Marking system
Keithley S-425 Tester
Keithley S-430 Tester
Keithley S-475 Tester
MHF 300 Manipulator
MHF 4000 Manipulator
MHF 410 Manipulator
MM-9900 Prober
Tel P-12 XL Prober
Tel P-12 XLm Prober
Tel P-8 Prober
Tel P-8 XL Prober
Tel WDF Frame Prober
Accretech / TSK UF 200 FL Prober
Accretech / TSK UF 300 Prober
Accretech / TSK UF 300 A Prober
Accretech / TSK UF 190 A Full Auto Prober
Accretech / TSK UF 190 A Full Auto Prober
Accretech / TSK UF 190 A Full Auto Prober
Accretech / TSK UF 190 A Full Auto Prober
Accretech / TSK UF 200 Full Auto Prober
Accretech / TSK UF 200 Full Auto Prober
Accretech / TSK UF 200 Full Auto Prober
Accretech / TSK UF 200 Full Auto Prober
Accretech / TSK UF 200 Full Auto Prober
Accretech / TSK UF 200 A Full Auto Prober
Accretech / TSK UF 200 A Full Auto Prober
Accretech / TSK UF 200 A Full Auto Prober
Accretech / TSK UF 200 A Full Auto Prober
Accretech / TSK UF 200 S Full Auto Prober
Accretech / TSK UF 200 S Full Auto Prober
Accretech / TSK UF 200 S Full Auto Prober
Accretech / TSK UF 200 S Full Auto Prober
Accretech / TSK UF 200 S Full Auto Prober
Accretech / TSK UF 200 S Full Auto Prober
Accretech / TSK UF 3000 Full Auto Prober
Accretech / TSK UF 3000 Full Auto Prober
Accretech / TSK UF 3000 Full Auto Prober
Accretech / TSK UF 3000 Full Auto Prober
Accretech / TSK UF 3000 Full Auto Prober
Accretech / TSK UF 3000 Full Auto Prober
Accretech / TSK UF 3000 Full Auto Prober
Accretech / TSK UF 3000 EX Full Auto Prober
Accretech / TSK UF 3000 EX Full Auto Prober
Advantest T 2000 Soc Tester
Hewlett Packard 4142 B Digital Multimeter
Hewlett Packard 4073 B Parametric Tester
Hewlett Packard 4073 B Parametric Tester
Anelva I-1080 Sputtering System
Applied Materials Mirra CMP System Oxide
Applied Materials Mirra CMP System Oxide
Applied Materials Mirra CMP System Oxide
Applied Materials Mirra CMP System W
Applied Materials Mirra CMP System
Applied Materials Mirra CMP System
Applied Materials Centura DxZ CVD System
Applied Materials Centura DxZ CVD System
Applied Materials Centura GIGA-FILL CVD System
Applied Materials Centura HDP CVD System
Applied Materials Centura Singen CVD System Plasma
Applied Materials P-5000 CVD System Plasma
Applied Materials Centura EPI System
Applied Materials Centura DPS Poly Etcher
Applied Materials Centura MXP Oxide Etcher
Applied Materials Endura 5500 Sputtering System
Applied Materials Endura 5500 Sputtering System
Applied Materials Endura 5500 Sputtering System
Applied Materials Endura 5500 Sputtering System
Applied Materials Centura XE Tin Anneal
Applied Materials Centura XE Tin Anneal
Asm Eagle 10 TRIDENT Plasma Cvd System
Tel SS-4 Wafer Scrubber
Tel SS-4 Wafer Scrubber
Tel SS-4 Wafer Scrubber
Tel SS-4 Wafer Scrubber
Teradyne IP 750 Tester
Teradyne IP 750 EP Tester
Teradyne IP 750 EP Tester
Teradyne IP 750 EX Tester
Teradyne IP 750 EX Tester
Tok TCA-3400 Asher
Tok TCA-3822 Asher
Tok TCE-3822 Asher
Tok TCE-3822 Asher
Tok TCE-3822 Asher
Topcon WM-5000 Wafer Particle Counter
Ultratech Saturn-SS 3 Aligner
Uni-Hite System XVA-160 X-Ray 3D-Ct System
Uni-Hite System XVA-160 X-Ray 3D-Ct System
Varian E-220 Medium Current Ion Implanter
Varian E-500 HP Medium Current Ion Implanter
Varian E-500 HP Medium Current Ion Implanter
Varian E-500 HP Medium Current Ion Implanter
Varian EHP-500 Medium Current Ion Implanter
Varian 3290 Sputtering System
Veeco (Emcore) D 300 Mocvd System
HP / Agilent / Verigy 93000 Tester
Tel UnityII-855 II Oxide Etcher
Tel UnityIIe-655 II Oxide-Etcher
Tel UnityIIe-855 II Oxide-Etcher
Tel UnityIIe-855 II Oxide-Etcher
Tel UnityIIe-855 II Oxide-Etcher
Tel UnityIIe-855 II Oxide-Etcher
Tel UnityIIe-855 PP Oxide-Etcher
Tel UnityIIe-855 SS Oxide-Etcher
Tel ACT 12 Developer 3x Develop
Tel ACT 12 Coater 4x Coat
Tel ACT 8 Coater / Developer 2x Coat, 2x Develop
Tel ACT 8 Coater / Developer 2x Coat, 2x Develop
Tel ACT 8 Coater / Developer 2x Coat, 2x Develop
Tel ACT 8 Coater / Developer 2x Coat, 2x Develop
Tel ACT 8 Coater / Developer 2x Coat, 2x Develop
Tel ACT 8 Coater / Developer 2x Coat, 2x Develop
Tel ACT 8 Coater / Developer 2x Coat, 2x Develop
Tel ACT 8 Coater / Developer 4x Coat, 3x Develop
Tel MARK-7 Coater / Developer
Tel MARK-8 Coater
Tel MARK-8 Coater / Developer
Tel MARK-V Coater
Tel MARK-V Developer
Tel MARK-V 8 Coater / Developer
Tel P-12 XL Full Auto Prober
Tel P-12 XL Full Auto Prober
Tel P-12 XL Full Auto Prober
Tel P-12 XL Full Auto Prober
Tel P-12 XL Full Auto Prober
Tel P-12 XL Full Auto Prober
Tel P-12 XL Full Auto Prober
Tel P-12 XLn Full Auto Prober
Tel P-8 XL Full Auto Prober
Tel P-8 XL Full Auto Prober
Tel P-8 XL Full Auto Prober
Tel P-8 XL Full Auto Prober
Tel P-8 XL Full Auto Prober
Tel P-8 XL Full Auto Prober
Tel P-8 XL Full Auto Prober
Tel P-8 XL Full Auto Prober
Tel P-8 XL Full Auto Prober
Tel P-8 XL Full Auto Prober
Tel P-8 XL Full Auto Prober
Tel P-8 XL Full Auto Prober
Tel P-8 XL Full Auto Prober
Tel P-8 XL Full Auto Prober
Tel P-8 XL Full Auto Prober
Tel P-8 XL Full Auto Prober
Tel P-8 XL Full Auto Prober
Tel P-8 XL Full Auto Prober
Tel P-8 XL Full Auto Prober
Tel P-8 XL Full Auto Prober
Tel P-8 XL Full Auto Prober
Tel Precio Full Auto Prober
Tel MARK-7 Cleaning System
Hitachi S-5000 Scanning Electron Microscope (SEM)
Hitachi S-5200 Scanning Electron Microscope (SEM)
KLA-Tencor FLX 2320 Stress Measurement System
KLA-Tencor OP 3290 Film Thickness Measurement
KLA-Tencor OP 3290 Film Thickness Measurement
KLA-Tencor TP 500 Ion Dose Monitor
KLA-Tencor WI-3000 Automatic Visual Inspection
KLA-Tencor OP 3260 Film Thickness Measurement
KLA-Tencor OP 3260 Film Thickness Measurement
Kobelco LTA-500 Lifetime Measuring
Kobelco LTA-550 Lifetime Measuring
Kokusai DJ-835 V CVD System D-Poly
Kokusai DJ-835 V CVD System Si3N4
Kokusai DJ-853 V CVD System HTO
Kokusai DJ-853 V CVD System HTO
Kokusai DD-833 V Diffusion PYRO
Kokusai DD-833 V Diffusion PYRO
Kokusai DD-833 V Diffusion PYRO
Kokusai VR-120 S Resistivity Test System
Kokusai VR-70 Resistivity Test System
Nanometrics NANOSPEC Thickness Measuring
Nanometrics NANOSPEC Thickness Measuring
Nanometrics NANOSPEC Thickness Measuring
Nanometrics Nanospec 9200 Thickness Measuring "Dual Open Cassette
Kensington Robot"
Nanometrics Nanospec 9310 Thickness Measuring
Nanometrics NanoSpec 6100 Thickness Measuring
Nikon NSR-2205 EX 12 B Stepper
Nikon NSR-2205 EX 12 B Stepper
Nikon NSR-2205 EX 14 C Stepper
Nikon NSR-2205 EX 14 C Stepper
Nikon NSR-2205 EX 14 C Stepper
Novellus CONCEPT ONE CVD System W
Novellus CONCEPT ONE CVD System
Novellus CONCEPT ONE CVD System
Novellus CONCEPT TWO Sequel & Speed CVD System
Novellus CONCEPT TWO Speed CVD System
Nuflare Technology HT 2000 B Epi System
Olympus MX 50, AL 110 Microscope
Osi Metra-2100 M Inspection
Rigaku FXA 3640 Wafer Inspection
Rudolph FE-7 Ellipsometry
Rudolph FE-7 D Ellipsometry
Rudolph S 200 ETCH Ellipsometry
Sen NV-GSDIII-90 Implanter
Sez RST 201 Spin Etcher
Sez RST 201 Spin Etcher
Showa Shinku SBC-10 C Evaporator
Tel IW-6 C CVD System
Tel Unity-CVD Plasma CVD System
Tel TE 5000 ATC Oxide-Etcher
Tel TE 5000 ATC Oxide-Etcher
Tel TE 8500 (S)ATC Oxide-Etcher
Tel TE 8500 (S)ATC Oxide-Etcher
Tel TE 8500 ATC Oxide-Etcher
Tel UnityII-855 II Oxide Etcher
Asm Eagle 10 TRIDENT Plasma CVD System
Canon FPA-2000 i 1 Stepper
Canon FPA-2000 i 1 Stepper
Canon FPA-2000 i 1 Stepper
Canon FPA-2000 i 1 Stepper
Canon FPA-2000 i 1 Stepper
Canon MPA-600 FA Mask Aligner
Canon MPA-600 FA Mask Aligner
Canon MPA-600 FA Mask Aligner
Canon MPA-600 FA Mask Aligner
Canon MPA-600 FA Mask Aligner
Canon MPA-600 FA Mask Aligner
Canon MPA-600 FA Mask Aligner
Canon MPA-600 SUPER Mask Aligner
Canon PLA-501 FA Mask Aligner
Canon HP-8800 Tin Anneal
Canon HP-8800 Tin Anneal
Canon HP-8800 Tin Anneal
Disco DFD-651 Full Automatic Dicer
Dainippon (DNS) VM 1210 Film Thickness Measurement
Dainippon (DNS) SC-W 60 A Coater
Dainippon (DNS) SD-W 60 A Developer
Dainippon (DNS) SK-80 BW Coater
Dainippon (DNS) LA 820 Rapid Thermal Anneal (RTA)
Dainippon (DNS) AS 2000 Cleaning System
Dainippon (DNS) AS 2000 Cleaning System
Dainippon (DNS) AS 2000 Cleaning System
Dainippon (DNS) AS 2000 Cleaning System
Dainippon (DNS) AS 2000 Cleaning System
Dainippon (DNS) AS 2000 Cleaning System
Dainippon (DNS) AS 2000 Cleaning System
Dainippon (DNS) AS 2000 Cleaning System
Dainippon (DNS) MP-2000 Cleaning System
Dainippon (DNS) SS-W 80 A-AR Wafer Scrubber
Dainippon (DNS) SS-W 80 A-AR Wafer Scrubber
Dainippon (DNS) SS-W 80 A-AVR Wafer Scrubber
Dainippon (DNS) SS-W 80 A-AVR Wafer Scrubber
Ebara GDC 250 SA Gas Scrubber
Ebara GDC 250 SA Gas Scrubber
Ebara F-REX 300 CMP System
Esi ESI 9350 Laser Repair System
Hitachi M-501 AW Metal Etcher
Hitachi M-501 AW Metal Etcher
Hitachi M-511 A Poly Etcher
Hitachi U-702 A Metal Etcher
Hitachi FB 2100 FocIon Beam (FIB)
Hitachi FSM-128 Flatness Measuring System
Hitachi FSM-128 Flatness Measuring System
Hitachi LS 6700 Wafer Particle Counter
Hitachi RS-3000 Review-Scanning Electron Microscope (SEM)
Hitachi TS-3700 Laser Dust Moniter
Hitachi TS-6500 Laser Dust Moniter
Hitachi LS 6800 Wafer Particle Counter
Hitachi N-6000 Nano Prober
Hitachi S-3000 N Scanning Electron Microscope (SEM)
AG Associates AW 41 0 Atmosphere Rapid Thermal Process (RTP) "Manual Desktop System
2 to 4 inch wafer size
100-800°C
10-100 °C/S ramp rate
10-100 °C/S cooling rate
30 Mins@600°C; 20 Mins@700°C;
5 Mins@1000°C
1 gas line with 10 SLM MFC
3 phase,50/60Hz, 200/2081380/420
VAC power
Options:
100-1250 °C with Thermocouple &
Patented ERP Pyrometer
2 - 4 or 5 - 6 gas lines with MFCs
"
AG Associates AW 61 0 Atmosphere Rapid Thermal Process (RTP) "Manual Desktop System
2 to 4 or 4 to 6 inch wafer size
100-800°C
10-200 °C/S ramp rate
10-150 °C/S cooling rate
60 Mins@600°C; 30 Mins@700°C;
5 Mins@1000°C
1 gas line with 10 SLM MFC
3 phase,50/60Hz, 200/2081380/420
VAC power
Options:
100-1250 °C with Thermocouple
and Patented ERP Pyrometer
2 - 4 or 5 - 6 gas lines with MFCs
"
AG Associates AW 810 Atmosphere Rapid Thermal Process (RTP) "Manual Desktop System
4 to 6 or 5 to 8 inch wafer size
100-800°C
10-200 °C/S ramp rate
10-150 °C/S cooling rate
60 Mins@600°C; 40 Mins@900°C;
10 Mins@1000°C
2 gas lines with 10 SLM MFCs
3 phase,50/60Hz, 200/2081380/420
VAC power
Options:
100-1250 °C with Thermocouple &
Patented ERP Pyrometer
3 to 4 gas lines with MFCs
"
AG Associates AW 820 Atmosphere Rapid Thermal Process (RTP) "Manual Stand Alone System
4 to 6 or 5 to 8 inch wafer size
100-800°C
10-200 °C/S ramp rate
10-150 °C/S cooling rate
120 Mins@600°C; 90 Mins@900°C;
60 Mins@1000°C
2 gas lines with 10 SLM MFCs
3 phase,50/60Hz, 200/2081380/420
VAC power
Options:
100-1250 °C with Thermocouple &
Patented ERP Pyrometer
3 to 4 gas lines with MFCs
"
AG Associates AW 830 Atmosphere Rapid Thermal Process (RTP) "Automatic Stand alone System
8 or 12 inch substrate size
100-800°C
10-200 °C/S ramp rate
10-150 °C/S cooling rate
40 Mins@600°C; 20 Mins@800°C;
5 Mins@1000°C
2 gas lines with 10 SLM MFCs
3 phase,50/60Hz, 200/2081380/420
VAC power
Options:
100-1250 °C with Thermocouple &
Patented ERP Pyrometer
3 to 4 gas lines with MFCs
"
AG Associates A W 860 Rapid Thermal Process (RTP)
AG Associates AW 610V Vacuum Rapid Thermal Process (RTP) "Manual Desktop System
Baratron Gauge to read the pressure
Top Tungsten halogen lamps
2 to 4 or 4 to 6 inch wafer size
100-800 °C with Thermocouple
Sensor
10-80 °C/S ramp rate
10-80 °C/S cooling rate
20 Mins@600°C; 10 Mins@ 800°C;
2 Mins@1000°C
2 gas lines with 10 SLM MFCs
3 phase, 50/60Hz, 200/208/380/420
VAC power
Options:
100-1000 °C with Thermocouple and
Patented ERP Pyrometer
3 to 4 gas lines with MFCs
Vacuum pumps
Throttle valve to control the pressure
Atmospheric process function
"
AG Associates AW 820V Vacuum Rapid Thermal Process (RTP) "Manual Stand Alone System
Baratron Gauge to read the pressure
Throttle valve to control the pressure
Top & bottom Tungsten halogen lamps
4 to 6 or 5 to 8 inch wafer size
100-900 °C with Thermocouple Sensor
10-80 °C/S ramp rate
10-80 °C/S cooling rate
60Mins@600°C; 30Mins@ 800°C;
1 OMins@1000°C
2 gas lines with 10 SLM MFCs
3 phase, 50/60Hz, 200/208/380/420
VAC power
Options:
3 to 4 gas lines with MFCs
Vacuum pumps
Atmospheric process function
"
AG Associates AW 860V Vacuum Rapid Thermal Process (RTP) "Automatic Stand Alone System
Baratron Gauge to read the pressure
Throttle valve to control the pressure
Top & bottom Tungsten halogen lamps
4 to 6 or 5 to 8 inch wafer size
100-900 °C with Thermocouple Sensor
10-80 °C/S ramp rate
10-80 °C/S cooling rate
60 Mins@600°C; 30 Mins@800°C; 10
Mins@1000°C
2 gas lines with 10 SLM MFCs
3 phase,50/60Hz, 200/2081380/420
VAC power
Options:
3 to 4 gas lines with MFCs
Vacuum pumps
Atmospheric process function
"
Matrix Matrix 105 R Plasma Asher Descum "Rebuilt system with innovative know-how
More reliable One Arm Robot wafer transfer
Comparable integrated process control
system with PC
GaAs wafer Asher and Descum
2 inch to 6 inch wafer capability
Wafer Chuck temperature control loop
Single wafer close loop process
"
Matrix 105 Plasma Asher Descum "Frog leg robot wafer transfer;
GaAs wafer Asher and Descum
2 inch to 6 inch wafer capability
Wafer Chuck temperature control loop
Single wafer plasma close loop process
"
Matrix 106 Plasma Asher Descum "Frog leg robot wafer transfer;
GaAs wafer Asher and Descum
2 inch to 6 inch wafer capability
Wafer Chuck temperature control loop
Single wafer plasma close loop process
"
Matrix 205 Plasma Asher Descum "Frog leg robot wafer transfer;
GaAs wafer Asher and Descum
2 inch to 6 inch wafer capability
Wafer Chuck temperature control loop
Single wafer plasma close loop process
"
Branson IPC L 3200 Plasma Asher Descum "More reliable One Arm Robot wafer transfer
Comparable integrated process control
system with PC
Silicon wafer Asher and Stripper
Up to 4 hours descum process
3 inch to 8 inch wafer capability
Wafer Chuck temperature control loop
Single wafer plasma downstream close loop
process
"
Branson IPC 3000 Plasma Asher Descum "New controller with up to 3 gas lines with
MFC
Comparable integrated process control
system with PC
300W to 1200W ENI RF Generator
Descum and Asher process
2 inch to 8 inch wafer capability
Barrel batch close loop process
"
Branson IPC 2000 Plasma Asher Descum "New controller with up to 3 gas lines with
MFC
Comparable integrated process control
system with PC
300W to 1200W ENI RF Generator
Descum and Asher process
2 inch to 8 inch wafer capability
Barrel batch close loop process
"
Branson IPC 4000 Plasma Asher Descum "New controller with up to 3 gas lines with
MFC
Comparable integrated process control
system with PC
300W to 1200W ENI RF Generator
Descum and Asher process
2 inch to 8 inch wafer capability
Barrel batch close loop process
"
Gasonics L 3510 Plasma Asher Descum "Frog leg robot wafer transfer;
Original controller;
Silicon wafer stripper and asher
3 inch to 8 inch wafer capability
Wafer Chuck temperature control loop
Single wafer plasma downstream close loop
process
Microwave downstream plasma
"
Gasonics Aura 1000 Plasma Asher Descum "More reliable One Arm Robot wafer transfer
Comparable integrated process control
system with PC
New temperature control subsystem
Silicon wafer stripper and asher
3 inch to 6 inch wafer capability
Lamp heat temperature control loop
Single wafer plasma downstream close loop
process
Microwave downstream plasma
"
Gasonics Aura 3010 Plasma Asher Descum "More reliable One Arm Robot wafer transfer
Comparable integrated process control
system with PC
Silicon wafer stripper and asher
3 inch to 8 inch wafer capability
Lamp heat temperature control loop
Single wafer close loop process
Microwave downstream plasma
"
Gasonics Aura 2000LL Plasma Asher Descum "More reliable One Arm Robot wafer transfer
Comparable integrated process control
system with PC
Silicon wafer stripper and asher
6 inch to 8 inch wafer capability
Lamp heat temperature control loop
Single wafer plasma downstream close loop
process
Microwave downstream plasma
"
Tegal 901e Plasma Etcher "Desktop system
3 inch to 6 inch wafer capability
Single wafer close loop process
Silicon, Nitride Etch
"
Tegal 903e Plasma Etcher "Desktop system
3 inch to 6 inch wafer capability
Single wafer downstream close loop process
Silicon Oxide Etch"
Tegal 901e TTW Plasma Etcher "Through the wall (TTW) system
3 inch to 6 inch wafer capability
Single wafer close loop process
Silicon, Nitride Etch
"
Tegal 903e TTW Plasma Etcher "Through the wall (TTW) system
3 inch to 6 inch wafer capability
Single wafer close loop process
Silicon Oxide Etch
"
Lam Rainbow 4420 / 4428 Plasma Etcher "6 inch to 8 inch wafer capability
Single wafer close loop process
Original Controller
Silicon, Nitride Etch
"
Lam Rainbow 4520/4528 Plasma Etcher "6 inch to 8 inch wafer capability
Single wafer close loop process
Original Controller
Silicon Oxide Etch"
Lam Rainbow 4620/4628 Plasma Etcher "6 inch to 8 inch wafer capability
Single wafer close loop process
Original Controller
Metal, Alloy Etch
"
Lam Rainbow 4720/4728 Plasma Etcher "6 inch to 8 inch wafer capability
Single wafer close loop process
Original Controller
Metal, Alloy Etch"
Lam AutoEtch 490 Plasma Etcher "4 inch to 6 inch wafer capability
Single wafer close loop process
Silicon, Nitride Etch
"
Lam AutoEtch 590 Plasma Etcher "4 inch to 6 inch wafer capability
Single wafer close loop process
Silicon Oxide Etch
"
Lam AutoEtch 690 Plasma Etcher "4 inch to 6 inch wafer capability
Single wafer close loop process
Metal, Alloy Etch
"
Lam AutoEtch 790 Plasma Etcher "4 inch to 6 inch wafer capability
Single wafer close loop process
Metal, Alloy Etch
"
Matrix 303 Plasma Etcher "2 inch to 6 inch wafer capability
Single wafer close loop process
Comparable integrated process control system with PC
Silicon, Nitride Etch"
Gasonics AE 2001 Plasma Etcher
Perkin Elmer AW 4450 Sputtering System "Customer programming of recipe for process parameters.
Process parameters recorded on system computer.
Optional GEM/SECS II functions.
24V DC control components
DC gear motors with encoder to run table rotate/ table
up & down
DC gear motors run shutters/pallet carriage moving
Vacuum gauge/vacuum control system
Gas control system
RF automatically matching network
DC power / RF generator can be mounted in the frame
Plumbing system
Cables/wires harness
High rate delta DC magnetron sputtering: Aluminum and
aluminum alloys can be sputter deposited at rates in excess
of 1800 A /min, with loads of thirty 3"" or thirteen 4""wafers.
High throughput operation: Automated load lock and
controller sequences provide for efficient pump-down and
pallet transfer to process chamber, maximizing throughput.
High uniformity: +/-7 guaranteed with water-cooledd
rotating annular substrate table; +/-5 achievable.
The right sputter mode for each application: Sputter deposit,
DC Megnetion. Optina!: Sputter Etching, Pulse-DC, Bias
Sputter, RF Magnetron, RF Diode.
Ultra-clean vacuum: Cryopump and Meissner-trapped
process chamber ensures contamination-free conditions
especially important for critical processes.
Designed for operator safety: Two-button operation initiates
pump-down and load sequence. Safety interlocks on DC and
RF suppliers .
Easy maintenance: New Designed removable depositionzl
shields permit easy system cleaning. Automatic cryopump
regeneration minimizes downtime and inconvenience.
Easy wafer loading: Tweezer grooves facilitate wafer loading .
Nested pallets are optional.
A fail-safe system: Water flow switches on cathodes, chamber
and vacuum system automatically shut the system down in
case of cooling system failure .
"
Perkin Elmer 4480 Sputtering System
Perkin Elmer 4410 Sputtering System "Customer programming of recipe for process parameters.
Process parameters recorded on system computer.
Optional GEM/SECS II functions.
24V DC control components
DC gear motors with encoder to run table rotate/ table
up & down
DC gear motors run shutters/pallet carriage moving
Vacuum gauge/vacuum control system
Gas control system
RF automatically matching network
DC power / RF generator can be mounted in the frame
Plumbing system
Cables/wires harness
High rate delta DC magnetron sputtering: Aluminum and
aluminum alloys can be sputter deposited at rates in excess
of 1800 A /min, with loads of thirty 3"" or thirteen 4""wafers.
High throughput operation: Automated load lock and
controller sequences provide for efficient pump-down and
pallet transfer to process chamber, maximizing throughput.
High uniformity: +/-7 guaranteed with water-cooledd
rotating annular substrate table; +/-5 achievable.
The right sputter mode for each application: Sputter deposit,
DC Megnetion. Optina!: Sputter Etching, Pulse-DC, Bias
Sputter, RF Magnetron, RF Diode.
Ultra-clean vacuum: Cryopump and Meissner-trapped
process chamber ensures contamination-free conditions
especially important for critical processes.
Designed for operator safety: Two-button operation initiates
pump-down and load sequence. Safety interlocks on DC and
RF suppliers .
Easy maintenance: New Designed removable depositionzl
shields permit easy system cleaning. Automatic cryopump
regeneration minimizes downtime and inconvenience.
Easy wafer loading: Tweezer grooves facilitate wafer loading .
Nested pallets are optional.
A fail-safe system: Water flow switches on cathodes, chamber
and vacuum system automatically shut the system down in
case of cooling system failure .
"
Perkin Elmer 2400 Sputtering System
Canon MPA 600 FA Aligner
Canon MPA 600 FA Aligner Parts Machine
Canon MPA 600 FA Aligner
Canon MPA 600 SUPER Aligner Changeable Chuck
Canon MPA 600 SUPER Aligner
Canon MPA 600 SUPER Aligner
Canon PLA 500 Aligner
Canon PLA 521 Aligner DEEP UV (Manual)
EVG EV 620 TBR Aligner
Dainippon (DNS) SC-W 80 A-AV Coater 2 cups, 1 nozzles/cup, HP*2, CP*6, HH*4
Tel MARK V Coater COLOR RESIST
Tel MARK-Vz Coater / Developer 1C1D
Dainippon (DNS) SD-80 BW-AVP Developer 2 cups, 1 nozzle/cup, HP*6, CP*2,
Kimura-Etching SD-200 Developer Sping Type
Tel MARK V Developer COLOR RESIST
Nikon NSR-2205 EX 12 B Stepper KrF
Nikon NSR-2205 EX 14 C Stepper KrF
Nikon NSR-2205 i 10 Stepper Missing Parts
Nikon NSR-2205 i 12 D Stepper
Nikon NSR-2205 i 12 D Stepper
Nikon NSR-S 204 B Stepper
Tel Mark 8 Stepper "Inline
Missing Parts"
Orc EXF-2828-A-01 ExposureSystem Manual
Litrex 140 P Ink Jet Printing Machine Print area 370㎜ x 470mm
Chemitronics HO-8000 Furnace Custom made
Hike QUIXACEII QLV 2 LPCVD System System Poly-Doped
Kem DJ-802 V LPCVD System System
Canon HEATPULSE-8800 Rapid Thermal Anneal (RTA) Metal
Ulvac MILA-3000-P-N Rapid Thermal Anneal (RTA) 1200℃
Mattson AST 3000 Rapid Thermal Process (RTP)
Aviza CELSIOR ALD System
Watkins Johnson (WJ) WJ 1000 APCVD System System
Watkins Johnson (WJ) WJ 999 R 3.5 APCVD System System TEOS
Applied Materials CENTURA CVD System
Applied Materials Producer SE CVD System 2x Twin Chambers
Applied Materials Ultima X CVD System
Asm EAGLE-10 CVD System SIO2 2x Chambers
Asm EAGLE-10 CVD System SiO2
Aviza WJ 1500 TFV 1.0 CVD System Atmosphere TEOS BPSG
Canon APT-5800 CVD System Missing Parts
Novellus Concept One CVD System
Novellus Concept Two Altus CVD System
Samco PD-220 N CVD System TEOS
Ulvac CME 200 J Ion Milling Etcher
Taiyo Sanso SR-23410 KS MOCVD System
Hitachi FS 2 X MEDIA COATING SOURCE Fs 2X Media Coating Source
Riber CBE-32 GS MBE System
Applied Materials Endura Sputtering System 4x Chambers
Anelva I-1051 Sputtering System Missing Parts
Anelva I-1060 SV 2 + 1 Sputtering System PVD
Mrc 643 Sputtering System
Shibaura SWN Sputtering System
Ulvac CERAUS Z-1000 Sputtering System
Ulvac SH-450 Sputtering System
Ulvac SH-550 Sputtering System
Hitachi M-602 A Dry Etcher AL
Applied Materials CENTURA DPSII Etcher POLY
Applied Materials CNETURA-eMXP Etcher 2x Etch, 1x RPS Chambers
Anelva I-4002 Etcher Missing Parts
Anelva I-4003 T Etcher Missing Parts
Anelva I-4015 Etcher Missing Parts
Anelva I-4017 Etcher Missing Parts
Anelva I-4032 Etcher Missing Parts
Anelva I-4033 Etcher Missing Parts
Anelva I-4100 WR Etcher Missing Parts
Shibaura CDE-300 Etcher Light Etch
Tel 308 SCCM Etcher
Tel TSP-30555 SSS Etcher Oxide
Applied Materials MIRRA CMP System Integrated with DNS AS 2000
Applied Materials MIRRA 3400 CMP System
Strasbaugh 6 DS-SP CMP System Oxide
Dainippon (DNS) SP-W 813-AS CMP Cleaner CMP cleaner
Tel SSW-629 Scrubber
Unisem UN 2000 A-DD Scrubber
Icf TSES-7-01 Wafer Cleaner
Semitool Storm III Box And Cassette Cleaner
Applied Materials QUANTUM X High Current Implanter High Current
Applied Materials VISTA 810 Medium Current Implanter Medium Current
Ulvac IHD Implanter
Nidek IM 800 ADI System
Topcon WM-1500 Contamination Checker
Keyence SK-200 ,SK-030 Electrostatic Sensor
Rudolph FE-Ⅳ Ellipsometer Thickness Measurement
Rudolph FE-Ⅶ Ellipsometer Thickness Measurement
Seiko Seiki SMI 3050 SE FocIon Beam (FIB) DUAL BEAM
Filmtek 2000 Film Thickness Measurement
Olympus AL 1100 Microscope
Olympus AL 2100-SMIF Microscope
Nanometrics Metra 2100 m Overlay Measurement Missing Parts
Nikon NRM-1000 A Overlay Measurement
KLA-Tencor RS 55 Resistance Measurement
Applied Materials SEMVision CX Scanning Electron Microscope (SEM)
Hitachi S-2380 N Scanning Electron Microscope (SEM) "EDX
100 x 50 Eucentric Stage"
Hitachi S-4500 Scanning Electron Microscope (SEM) EDX
Hitachi S-5000 H Scanning Electron Microscope (SEM)
Hitachi S-6200 H CD SEM (Scanning Electron Microscope)
Hitachi S-6280 H CD SEM (Scanning Electron Microscope)
Hitachi S-8820 CD SEM (Scanning Electron Microscope)
Hitachi S-8820 S CD SEM (Scanning Electron Microscope)
Hitachi S-9380 Scanning Electron Microscope (SEM)
Hitachi S-9380 II Scanning Electron Microscope (SEM)
Jeol JWS-7515 Inspection Scanning Electron Microscope (SEM)
KLA-Tencor ECD 1 Scanning Electron Microscope (SEM)
KLA-Tencor P-20 H Surface Profiler
Accretech / TSK SURFCOM 590 A-64 Surface Profiler
Rigaku 3640 XF Analyzer 6" Wafer Holder
Rigaku 3640 XF Analyzer
Rigaku Primini XF Analyzer
Ayumi EB-45 S Pressure Regulator
Union SWS-150 Aligner
Advantest T 6741 A Handler
Advantest T 6741 AD Handler
Delta Design SUMMIT ATC Handler
Ueno Seiki LT 16 Handler
Yamaha V 4 Handler -125℃, 1.5-20mm
Yokogawa HS 2040 Handler
Cascade Microtech PA 200 HS Semi Auto Prober
Mjc MP-10 Manual Prober
Mjc MP-10 A Manual Prober High Temperature
Oyama OYM-420 FL Manual Prober
Oyama OYM-430 Prober SHIELD BOX
Tel P 8 Prober MARKING
Tel P 8 Prober Low Temperature 10-150
Tel P 8 Prober
Tel P 8 Prober CPU=VIP 3
Tel P 8 Prober CPU=VIP 3
Tel P 8 XL Prober VIP 3
Tel P 8 XL Prober CPU=VIP 3
Tel P 12 XL Prober Low Temperature
Accretech / TSK A-PM-60 B Prober
Accretech / TSK A-PM-60 B Prober No GPIB
Accretech / TSK FP 200 Prober
Accretech / TSK UF 60 Prober
Accretech / TSK UF 60 Prober
Vector MX 3000 T Manual Prober "150℃
CCD CAMERA"
Wentworth Japan PLM 800 Manual Prober "Laser Trimmer
NEW WAVE RESACH Laser"
Integrated Technology PB 3500 Probe Card Analyzer
Advantest 5581 H Tester MEMORY
Advantest T 3326 Tester LOGIC
Advantest T 3347 A Tester 1 Head
Advantest T 5371 Tester MEMORY
Advantest T 5771 Tester MEMORY
Advantest T 6565 Tester 1 Head, Parts Machine, SOC
Advantest T 6672 Tester 1 Head, Parts Machine, SOC
HP / Agilent 4073 Tester
HP / Agilent / Verigy 84000 Tester RF
HP / Agilent HP 4062 Tester PARAMETER
HP / Agilent HP 4062 Tester PARAMETER
Mosaid MS 4205 Tester
Shibasoku S 230 G Tester PDP DRIVER
Shibasoku WL 21 Tester
Shibasoku WL 219 E Tester
Shibasoku WL 27 Tester Parts Machine
Teradyne Ultra Flex SOC Tester
Yokogawa TS 900 Tester
Orion QAP 080 T Air Dryer
Mrg SSBM-03 F 1 Ball Bonder
Fuji S-FAB 50 Mounter
Takatori WSM-300 M Bonder 12" notch, 8" notch, 8" OF
Dage 4000 Bonding Tester
Dage 4000 Bonding Tester
Dage 4000 Bonding Tester HBP 10KG, BS 5KG
Dage PC 2400 Bonding Tester
Rhesca PTR-1100 Bonding Tester
Ngk RC II 2000 ACD Bubbler Defect
As One CS-3 S Cabinet With Hepa Unit
Disco DTU-150 Chiller
Disco DTU-151 Chiller
Willve Cleaner
Nikon VM-500 N CNC Video Measuring System
Escal 65 R Compreser
Accretech / TSK RVF 600 A-X 2 Coordinate Measuring Machine
Takatori DM-800 B DFR Lamination Machines
Disco DAD 3350 Dicing Saw 3" blade, Ceramic
Disco DAD 341 Dicing Saw
Disco DAD 3 D 8 Dicing Saw
Disco DAD 562 Dicing Saw "BBD
NCS"
Disco DFD 6340 Dicing Saw
Disco DFD 6361 Dicing Saw "- Max Power: AC 200V
- Mass: 2150 kg
- 50/60 Hz
- 3 Phase"
Disco DFD 651 Dicing Saw
Disco DFL-7160 Dicing Saw
Disco DFL-7160 Dicing Saw
Disco DFL-7340 Dicing Saw
Accretech / TSK A-WD-100 A Dicing Saw
Accretech / TSK A-WD-100 A Dicing Saw
Accretech / TSK A-WD-10 A Dicing Saw
Accretech / TSK A-WD-10 A Dicing Saw
Accretech / TSK A-WD-5000 A Dicing Saw 6 porous
Asm AD 830 Die Bonder
Asm AD 830 Die Bonder
Asm AD 830 Die Bonder
Asm AD 8930 Die Bonder
Canon Bestem-D 01 Die Bonder LED
Canon Bestem-D 01 Np Die Bonder
Canon Bestem-D 02 Die Bonder
Canon CPS-1800 Die Bonder
Canon CPS-610 VX Die Bonder
Canon CPS-610 VX Die Bonder
Canon CPS-610 VXR Die Bonder 4" Glip Ring
Panasonic DM 60 Die Bonder
Panasonic DM 60 Die Bonder
Shinkawa SPA 300 Die Bonder
Dynerpert EDB-36 Die Bonder Manual, epoxy
Kaijo WBB-700 Bump Bonder
Shinkawa SBB-310 Bump Bonder
Shinkawa DPS-250 Package Sorter
Asm Eagle Wire Bonder
Asm iHawk Wire Bonder
Asm iHawk Wire Bonder
K&S 4524 Wire Bonder Manual
Kaijo FB-131 Wire Bonder
Kaijo FB-131 Wire Bonder
Kaijo FB-150 D Wire Bonder
Kaijo FB-780 Wire Bonder
Kaijo FB-780 Wire Bonder Manual
Kaijo FB-880 Wire Bonder
Shinkawa ACB-400 Wire Bonder In Line
Shinkawa UTC 400 Wire Bonder
Shinkawa UTC 1000 Wire Bonder In Line
Shinkawa UTC 1000 Wire Bonder Parts Machine
Shinkawa UTC 1000 Wire Bonder Non Super
Shinkawa UTC 2000 super Wire Bonder
Tpt HB 16 Wire Bonder
Ultrasonic Engineering REBO-7 Wire Bonder aluminium
Ultrasonic Engineering REBO-7 Wire Bonder aluminium
Ultrasonic Engineering USW-5 Z 60 K Wire Bonder Manual
Ultrasonic Engineering USW-5 Z 60 K Wire Bonder Manual
Iwashita Engineering MG-2 AX(AC) Dispenser ASC 7000 B
Iwashita Engineering SYSTEM 500 Dispenser
Musashi 300 DS Dispenser
Musashi FAD 2000 Dispenser
Musashi FAD 2200 Dispenser
Musashi FAD 2200 Dispenser SOP 6PIN
Musashi FAD 2300-2 S Dispenser AUTO
Musashi ML-808 FXcom Dispenser
Musashi MPP-1 Dispenser
Musashi MS-10 D Dispenser
Musashi FAD 320 S Desktop Type Dispensing Robot
Musashi FAD 320 S Desktop Type Dispensing Robot
Musashi FAD 320 S Desktop Type Dispensing Robot
Musashi SHOT mini 200 s Desktop Type Dispensing Robot
Musashi SM 300 S-4 A(M 25-1500 ) Desktop Type Dispensing Robot
Epi PTS-4000 Embossed Tape Peel Tester
Todo TP-100 Embossed Tape Peel Tester
Takaki ETM 6001 Embossed Taper BGA
Takaki ETM 6010 Embossed Taper BGA
Todo TT-900 EH-K Embossed Taper
HamApplied Materialssu PHEMOS-75 Emission Microscope
Panasonic FCB 3 Flip Chip Bonder Defect
Panasonic FCX-501 Flip Chip Bonder
As One AS-800 S Glove Box
Disco DAG 810 Grinder
Disco DFG 83 H 6 Grinder
Disco DFG 841 Back Grinder Back grinder
Emtec CVP-80 Edge Grinder Edge grinder
Okamoto GNX 300 Grinder
Okamoto VG-502 Grinder
Speedfam 24 BSG Grinder
Accretech / TSK AWD 300 T Grinder
Tel 300 T Wafer Saw WITH AUTOMIZING CLEANING SYSTEM
Ultra HI-200 Hot Plate
Yasunaga LI-2000 IC Scanner
Shibaura TTI-810 Inner Lead Bonder
Unitek / Miyachi ML 7061 A Laser Maker
Sunx LP-D 20 H Laser Maker
Nihon Garter NUS-0072 Led Sorter
Nihon Garter NUS-0076 Led Sorter
Teknologue LX 4651 C Led Tester
Teknologue LX 4652 C Led Tester
Hayashi LA-180 Me Metal Halide Light Source 180W
Hitachi ZA-H 100 M Microscope
Keyence VH-7000 Microscope
Keyence VK-8500 Microscope
Keyence VK-8500 Microscope
Mitutoyo TM Microscope
Nikon X 6 PUW-NR Microscope
Union HISOMET Microscope
Nippon Scientific PS 102 S Mold Opener
Fujiwa Seiki TEP 12-16 Molding Equipment
Nft Across R 2 (-100 ) Molding Equipment 100t, SON, SOT-25
Takara TTM-MS Molding Equipment
Towa DPS 100 V-4 F Molding Equipment
Towa LCM 1010 Molding Equipment
Towa Y 1 ( 4 MODULE) Molding Equipment
Nikon V-12 Optical Comparator
Nikon V-16 D Optical Comparator
Espec IPHH-202 Oven Inert oven
Espec LP-201 Oven
Espec LU-113 Oven
Espec PVH-331 M Oven
Espec PVH-331 M Oven
Espec ST 120 BI Oven
Espec STH-120 Oven
Espec SU-261 Oven -60 - +150℃
Isuzu Soyokaze Oven
Koyo Thermos 810 A-II-4 Z Oven
Koyo Thermos CLO-2 AH-4 Clean oven
YApplied Materialso ADP 200 Oven
YApplied Materialso DE 610 Oven
YApplied Materialso DF 411 Oven
YApplied Materialso DKM 300 Oven
YApplied Materialso DKN 302 Oven
YApplied Materialso DN 610 I Oven
YApplied Materialso DP 43 Oven
Canon CAP-100 Picker
Canon CAP-300 Picker
Canon CAP-3000 II Picker
Canon CAP-300 II Picker
Canon CAP-300 II Picker
Canon CAP-550 Picker
Emtec WCS 811 C Picker
Emtec WC-SM-2700 Picker
Panasonic PC 30 B-HS Plasma Cleaner
Avio TCW-315 Pulse Heating Power Supply CNT-310, NA-201, NA-111
Malcom RCP-200 Reflow Checker
Senjyu SNR-615 Reflow
Shinko-Seiki VSL-3030 A-FHG Reflow
Smic SX-2510 N 2 W Reflow
Hitachi mi-scope hyper Scanning Acoustic Tomograph
Micro-Tec MT-150 Screen Printer
Minami MK-280 SA Screen Printer
Newlong Seimitsu SC-450 Screen Printer
Nomura Micro Science NDB 4 TYPE N 4 R-3.0-1200 Super Bubbler Wac
Machzone HTM-12 M Tape Mounter HTM-2060434
Fuji Seiko MTH-54230-THP Taper
Nec RTL-011 Taper
Nitto DR 8500 II Taper
Nitto DSA 840 Taper Semi auto
Nitto DSA 840 Taper Semi auto
Takatori ATM-1100 Taper BG
Takatori ATM-1100 Taper
Takatori ATM-9500 Taper PKG
Takatori ATM-9500 NS Taper PKG
Tenryu Seiki TTM-EX 01 CS Taper SOP 6PIN
Takatori ATRI-2100 D Detaper
Thermonics T 2500 E Temperature Characteristic Evaluation System
Orientec RTM-500 Tensile Tester
Seidensya SONOPET Σ 1200 S Ultrasonic Welder
Nelsystem UM 110 UV Irradiation
Takatori TUV-1 UV Irradiation DC
Takatori TUV-1 UV Irradiation DC
Fuji Impulse V-602-CH Vacuum Sealer
Emtec WJS-150 B Wafer Cleaner
Hugle HS-1800 Wafer Expander
Hugle HS-1810 Wafer Expander
Technovision TEX-21 B Wafer Expander Wafer ring 2-8-1
Hugle UH-310 Wafer Mounter
Lintec RAD-2500 F/8 Wafer Mounter
Lintec RAD-2500 M/6 Wafer Mounter Semi auto
Lintec RAD-2500 M/6 Wafer Mounter Semi auto
Lintec RAD-3500 m 12 Wafer Mounter
Nitto M 265 N Wafer Mounter Semi auto
Takatori SAM-8 Wafer Mounter Semi auto
Seiwa Engineering NWEX-268 SR Wafer Transfer
Takatori TRO-25 CC Wafer Transfer
Takatori TRO-25 CC Wafer Transfer
Seiko SFT-7150 X-Ray
Seiko SFT-9200 X-Ray
Seiko SFT-9200 X-Ray
Seiko SFT-9450 X-Ray
Shimadzu SMX-160 ET X-Ray
Toshiba S 8160 IN X-Ray
Panasonic Softbeam MF X-Ray Inspection Desktop
Unitek / Miyachi ML 2150 A Yag Laser Welder
Opto OBM-90 TP Breaker
Ishii SH-5142 Trim And Form System
Ishii SP-5 EN 5-NR Trim And Form System
Pink VADU 200 XL Soldering System
Shinko Seiki VSL-3030 A-FHG 0 Soldering System
Tamura HC 60-39 NF Soldering System
Buehler Vibro met 2 Vibratory Polisher
Sodick TR 05 EH Injection Molding Machine
Kosaka KS-150 F FPD Glass Scriber 1 head, 500×500×3mm
Tmc P 16 + 3D Profiler
Anritsu MP 1632 A Digital Data Analyzer
Japan Pionics WGC Gas Purifier
Anelva A-220 M-LD Helium Leak Detector
Horiba CM-210-F 1-PF-01-100/115 (FLOW TYPE) Hydrofluoric Acid Monitor
Horiba CM-210-F 1-PF-1000 MS-100/115 (FLOW TYPE) Hydrofluoric Acid Monitor
Horiba CS-137-2103 Hydrofluoric Acid Monitor
Horiba CS-150 C-9010 Hydrofluoric Acid Monitor
Horiba CS-15 MC-00-90109801 (BS) Hydrofluoric Acid Monitor
Horiba HF-960 M/FS-10-3/4 Hydrofluoric Acid Monitor
Horiba HF-960 M/FS-10-3/4 Hydrofluoric Acid Monitor
Horiba HF-960 M/FS-10 F-3/4 Hydrofluoric Acid Monitor
Horiba OZ-96 IS Hydrofluoric Acid Monitor
Agilent HP 8720 C Network Analyzer
Metryx MENTOR SF 3 Mass Measurement
Ulvac REGA-202 Residual Gas Analyzer
Nec SL 473 D 2 Laser Maker
Ae MDX-10 X DC Power Suuply
Ae MDX-20 K DC Power Suuply
Ae Atlas 2012 M/N 3150262-000 C 6 SE RF Power Suuply
Daihen DRFS-10 SB 12 RF Power Suuply
Daihen DRFS-10 SB 4 RF Power Suuply
Daihen DRFS-10 SB 6 RF Power Suuply
Daihen DRFS-10 SB 9 RF Power Suuply
Daihen DRFS-5 SA RF Power Suuply
Daihen MFG-15 SA 6 RF Power Suuply
Eni ACG-5 RF Power Suuply
Eni ACG-5 J RF Power Suuply
Eni OEM-12 RF Power Suuply
Eni OEM-6 RF Power Suuply
Eni OEM-6 A-11491-51 RF Power Suuply
Eni OEM-6 AM-1 B RF Power Suuply
Eni OEM-6 AM-1 B-21251 RF Power Suuply
Eni OEM-6 AM-1 B-21251 REV D RF Power Suuply
Eni OEM-6 AM-1 B-21251 REVC RF Power Suuply
Eni OEM-6 J RF Power Suuply
Eni OEM-28 B-04 RF Power Suuply
Alcatel 2063 Dry Pump
Ebara 150 x 40 UERP 6 M Dry Pump
Ebara 40 X 20 ERD 5 M-NJ Dry Pump
Ebara 50 x 20 UERR 6 M Dry Pump
Ebara 80 x 25 UERR 6 M Dry Pump
Ebara 80 X 25 UERR 6 M-N Dry Pump
Ebara A 07 V Dry Pump
Ebara A 25 S Dry Pump
Ebara A 30 W Dry Pump
Ebara AA 10 Dry Pump
Ebara AA 100 W Dry Pump
Ebara AA 30 Dry Pump
Ebara AA 30 Nv 1-H Dry Pump
Ebara AAS 2000 WN Dry Pump
Edwards CDP 40 Dry Pump
Edwards CDP 80 Dry Pump
Edwards DP 40 Dry Pump
Edwards DP 80 Dry Pump
Edwards IL 70 Dry Pump
Edwards IPX 180 A Dry Pump
Edwards QDP 40 Dry Pump
Kashiyama SD 60 VII Dry Pump
Ulvac UR 1200 Dry Pump
Ebara 40 X 20 ERD 5 M-NJ Dry Pump
Edwards DP 80 +EH 250 Dry Pump+Booster
Edwards iQDP 80 +QMB 500 Dry Pump+Booster
Edwards QDP 40 +QMB 250 F Dry Pump+Booster
Osaka TG 1003 Turbo Pump
Osaka TG 1300 VW-80 Turbo Pump
Osaka TG 550 Turbo Pump
Osaka TG 551 Turbo Pump
Shimazu TMP 500 Turbo Pump
Shimadzu UHV-1500 Turbo Pump
Leybold 360 H Turbo Pumpvac
Ebara 305 W-A Turbo Pump Controller
Ebara ET-300 WS Turbo Pump Controller
Osaka TG 1100 FBAB / TC 1104 Turbo Pump Controller
Seiko Seiki SCU-200 Turbo Pump Controller
Shimazu EI-1000 M Turbo Pump Controller
Shimazu Turbo Pump Controller
Edwards QDP40 Dry Pump Controller
Edwards QDP80 Dry Pump Controller
Kashiyama PC-100 Dry Pump Controller
Horiba Stec SEC-410-X Mass Flow Controller
Stec SEC-310-N 1 Mass Flow Controller
Stec SEC-410-D Mass Flow Controller
Stec SEC-4400 M Mass Flow Controller
Stec SEC-4400 MO Mass Flow Controller
Edwards EH 1200 High Vacuum Pump
Fuji Seiki TD-201 P-03 Oli-Free Vacuum Pump
Risshi CS 15 W Chiller
Taitec CH-150 B Chiller
Taitec CH-400 AH Chiller
Taitec CH-600 B Chiller
Smc HRW 008-HS Chiller
Smc INR-496-003 D Chiller
Smc INR-498-016 C Chiller
Smc INR-341-12 Dual Chiller
Cti ONBOARD 8 R Cryo Pump
Cti TORR 8 Cryo Pump
Ulvac U-10 A 11 R-10 Cryo Pump
Cti CT 8 Cryo Pump
Anelva CAP-80 Cryo Pump
Suzuki Shokan A 300 HF-JS 3 N Cryo Pump Head
Suzuki Shokan A 300 H-J 3 N Cryo Pump Head
Suzuki Shokan A 300-J 3 N Cryo Pump Head
Adtec AX-1000 LFIII RF Generator 400 kHz
Advanced Energy LF-5 RF Generator
Rfpp LF 10 RF Generator
Aixtron 20012110 Air Operation Valve
Aixtron 40.02.1676 Ceilling
Aixtron 20060539 El-Flow
Aixtron 40.02.1522 Exhaust Leg Top
Aixtron 40.02.1514 Exhaust Let Bottom
Aixtron 40.02.1662 "A" Star
Aixtron 40.02.1521 "A" Supporting Collector
Aixtron 40021661/40.02.1695 Supporting Disc
Aixtron 40021661/40021695 "A" Supporting Disc
Aixtron 40021697 Supporting Tube
Aixtron 40050455 Vacuum Cleaner
Aixtron 4006.0041 Rev.C Vacuum Cleaner
Aixtron Vacuum Cleaner Hose
Aixtron 90131443 Ventilation Flow Sensor
Applied Materials 0010-09417 W Rf Match CVD System
Applied Materials 0010-09750 W Rf Match CVD System
Applied Materials P 5000 用MARKI LID 0020-09125 B Ring, Center
Anelva UGD-1 S Ion Gauge Tube
Astec JF 101 G-2000-2000 Filament Power Supply 5V 200A
Ulvac MH 04-5034-0 180:450 Heater Panel1/2 2/2
Nikki Denso NPSA-10 NN-70 Actus Power Servo Controller
Electric Measurements TCR 40 T 150 Analiyzer Magnet Power Supply
Vat 14040-PE 34-AAV 1 Gate Valve
Bodine Electric Company NSH-11 D 3 Gear Motor
Lintec HX-101-L 100-200 Heat Exchanger Unit
Kaiser 1100003-1 Hvps Controller
Varian K 2500302 Ion Gauge Tube
Iwaki MDM 25-160 PRFF 015 J-72 Magnet Pump
Stec PAC-3 E-N Mass Flow System
Aera FC-770 AC Mfc
Brooks 5850 E Mfc
Brooks 5866 RT Mfc
Applied Materials UFC-1100 Mfc
Applied Materials UFC-1100 A Mfc
Mitsubishi MR-SO 203 P Melservo-So
Mitsubishi MR-SO 303 P Melservo-So
"Superior Electric/
Novellus" M 093-LS 07/00-684049-00 Motor Ay Wh
"Oriental Motor
/Tel" UDX 5107-G 4-2/040-00501-00 Motor Driver
Bodine Electric Company 431-00037 "Pcb Models Bsh-200/250
Fhp Msc"
Orion ETS 101-N Pel Thermo CANON CDS630
Brooks 002-4037-04 Power Pak
Kaiser 110002 Pre-Regulator
Komatsu E-331 B-3 Radiator
Leybold COOLVAC 1500 Refrigerator Cryo Pumps
Daihen MFM-10 SA Rf Tuner
Daihen MFM-15 SA Rf Tuner Pc:Low-Freq
Servo Dynamics SDI-1525-101-1 Single Axis Chas.W/1
Superior Electric M 093-FD 07 Synchronous/Stepping Motor
Daihen DAUMA-10 SA Tuner
Mks Quall Torr Orion Vacuum System Control Unit
Hitachi VB-040-E Vortex Blower
Omron E 5 EJX 2/H 5 CR Temperature Controllerx2/Timer CANON CDS630
Orion ETS 101-DR-B TemperatureControllerPowerSuuply CANON CDS630
Omron E 5 EJ Temperature Controller CANON CDS630
Ctec 8440 Controller(Terminal Grx0-10) CANON CDS630
Mitsubishi MR-J 2-20 B Servo Driver
Keyence SJ-B 020 A StaticEliminator CANON CDS630
Keyence SJ-B 120 A Controller CANON CDS630
Iwatsu HV-P 300 HighVoltageProbe
Canon Ceramic Arm CANON CDS630
Canon Chuck CANON CDS630
Canon Plate CANON CDS630
Canon 102077 Main Panel CANON CDS630
Applied Materials EMAX CT Emax Chamber
Applied Materials EMAX CT+ Emax Chamber
Applied Materials P-5000 CVD System 2x SiO, 1x Etch Chambers
Applied Materials P-5000 CVD System 2x SiO, 1x Etch Chambers
Applied Materials P-5000 CVD System 3x SiN Chambers
Applied Materials P-5000 CVD System 3x SACVD[Ozone BPSG] Chambers
Applied Materials P-5000 CVD System 3x SiN Chambers
Applied Materials P-5000 CVD System 3x SiN Chambers
Applied Materials P-5000 CVD System 2x SiO, 1x Etch Chambers
Applied Materials P-5090 E Etcher 3x MxP(1x Ox, 2x Poly), 1x ORT Chambers
Applied Materials P-5090 E Etcher 3x MxP(1x Ox, 2x Poly), 1x ORT Chambers
Dainippon (DNS) LA-820 Lamp Anneal Analyzer missing
Dainippon (DNS) LA-820 Lamp Anneal JMF, Monitor & keyboard missing
Dainippon (DNS) LA-W 820-A Lamp Anneal JMF, PC missing
Dainippon (DNS) SP-W 813-U Spin Processer
Lam TCP 9600 Etcher
Lam TCP 9600 SE Etcher Autoloader, Classic, TCP, DSQ, Local RF Match
Lam TCP 9600 SE Etcher Autoloader, Classic, TCP, DSQ, Local RF Match
Lam TCP 9600 SE Etcher Autoloader, Classic, TCP, DSQ, Local RF Match, Chiller broken
Nikon NRM 1000 A Inspection System
Nikon NSR-2205 i 12 D Stepper 6" reticle, Left flow, Pneumatic box missing
Nikon NSR-2205 i 12 D Stepper 6" reticle, Stand-alone, OF unit missing
Rudolph FE 4 Inspection System
Rudolph FE 7 Inspection System Upgraded from FE4
Tel ALPHA-808 SD Diffusion System DRY Ox, TS4000Z, GAS:N2, O2, Ar, HCL, 150 wafer/Bacth, VMU-40-102 EX2
Tel ALPHA-808 SD Diffusion System DRY Ox, TS4000Z, GAS:N2, O2, Ar, HCL, VMU-40-101
Tel ALPHA-808 SD Diffusion System DRY Ox, TS4000Z, GAS:N2, O2, Ar, HCL, 150wafer/Bacth, VMM-40-102EX2
Tel ALPHA-808 SD Diffusion System DRY Ox(Hi-Temp), TS4000Z, Gas: N2, Ar, O2, HCL, 150 wafer/Bacth, VMU-40-102
Tel ALPHA-808 SD Diffusion System DRY Ox(Hi-Temp), TS4000Z, Gas: N2, Ar, O2, HCL, 150 wafer/Bacth, VMU-40-102EX2
Tel ALPHA-808 SD Diffusion System DRY Ox(Hi-Temp), TS4000Z, Gas: N2, Ar, O2, HCL, 150 wafer/Bacth, VMM-40-102EX2
Tel ALPHA-808 SD Diffusion System DRY Ox(Hi-Temp), TS4000Z, Gas: N2, Ar, O2, HCL, 150 wafer/Bacth, VMM-40-102EX2
Tel ALPHA-808 SD Diffusion System DRY Ox(Hi-Temp), TS4000Z, Gas: N2, Ar, O2, HCL, 150 wafer/Bacth, VMM-40-102EX2
Tel ALPHA-808 SD Diffusion System DRY Ox(Hi-Temp), TS4000Z, Gas: N2, Ar, O2, HCL, 150 wafer/Bacth, VMM-40-102EX2
Tel ALPHA-808 SD Diffusion System DRY Ox(Hi-Temp), TS4000Z, Gas: N2, Ar, O2, HCL, 150 wafer/Bacth, VMM-40-102EX2
Tel ALPHA-808 SD Diffusion System DRY Ox(Hi-Temp), TS4000Z, Gas: N2, Ar, O2, HCL, 150 wafer/Bacth, VMM-40-102EX2
Tel ALPHA-808 SD Diffusion System DRY Ox(Hi-Temp), TS4000Z, Gas: N2, Ar, O2, HCL, 150 wafer/Bacth, VMM-40-102EX2
Tel ALPHA-808 SD Diffusion System DRY Ox(Hi-Temp), TS4000Z, Gas: N2, Ar, O2, HCL, 150 wafer/Bacth, VMM-40-102EX2
Tel ALPHA-808 SD Diffusion System DRY Ox(Hi-Temp), TS4000Z, Gas: N2, Ar, O2, HCL, 150 wafer/Bacth, VMU-40-102EX2
Tel ALPHA-808 SD (PYRO) Diffusion System PYRO, TS4000Z, Gas: N2, O2, H2, 150 wafer/Batch , VMU-40-102
Tel ALPHA-808 SD (PYRO) Diffusion System PYRO, TS4000Z, Gas: N2, O2, Ar, H2, 150 wafer/Batch, VMM-40-101
Tel ALPHA-8 S-Z(PYRO) Diffusion System PYRO, WAVES (Ver 2.48 R007), Gas : N2, O2, H2, HCL, 100 wafer/Batch, VOS-40-017(FTP), TwinBoat
Tel UNITY 85 DP Etcher 2x PE Chambers
Tel UNITY 85 DP Etcher 2x PE Chambers
Tel UNITY 85 DP Etcher 2x PE Chambers
Ushio UMA-1002-HC 93 UV Cure System
Ushio UMA-1002-HC 93 UV Cure System
Varian E 220 HP Ion Implanter
Perkin Elmer Lambda 900 Spectrometer "UV VIS NIR Integrating Sphere
"
KLA-Tencor P 15 Profiler
KLA-Tencor P 16+ Profiler
Inficon UL 200 Leak Detector
Disco DAD 341 Dicing Saw -NCS and BBD included
Bio-Rad QS-300 FTIR
Bio-Rad QS-312 FTIR
Nicolet 410 FTIR
FSI Mercury MP System "IR Heater
HF, H2S)4, H2O2, NH4OH, HCL
8"" PFA Turntable
586 CPU and SECS/GEM Communication"
FSI Mercury MP System Non Operational
Hewlett Packard 4261 A LCR Meter
Hewlett Packard 4195 A Network Analyzer 10Hz-500MHz
OptiPro UFF 300 Polisher
Fogale Lenscan LS 200 Measurement System
Schneider SCG 121 Aspheric Grinder
Shincron CES 3 Coater
Optical Rail
Meyer Burger TS 4 Glass Saw
Metro C 85-DVN Oven
ETQ DG 4 LE / DG 6 LE Generator
KLA-Tencor 2131 Inspection System
Mitsui (Shibaura) CDE 8 Etcher
KLA-Tencor 2608 Inspection System
Vistec MIS 200 Microscope
Vistec MIS 201 Microscope
KLA-Tencor 2608 Defect Inspection System
KLA-Tencor NC 110 Measurement System
Semitool VTP 1500 Furnace Semitherm Wet Oxide 1100C not HCL compatible
Semitool VTP 1500 Furnace Dry Oxide 1050C O2/HCL 100 wafer max.
Semitool VTP 1500 Furnace Dry Oxide 1050C O2/HCL 100 wafer max
Semitool VTP 1500 Furnace Hydrogen Anneal max.800C H2/N2
Aviza VTR 7000 Mid Temp Furnace
Semitool VTP 1500 Furnace LPCVD Nitride DCS/HCl/NH3 100wafer 8"
Semitool VTP 1500 Furnace LPCVD Teos Oxide Schumaker Teos Source 75 wafer
LTX / Credence Fusion AC Automatic Test System Digital Printer Not Working
VG Wet Bench Ortho Front Pot & Rear Pot
Semitool Storm III Boxwasher
Aviza VTR 7000 Aviza Mid Temp Furnace Element and PCB's needed
Aviza VTR 7001 Aviza High temp furnace New Element Needed
KLA-Tencor 5015 Measurement System
KLA-Tencor 2131 Defrect Detection System
LTX / Credence Fusion MX Tester "P/N & Vers Description Qty :
974-0026-02 SSBA (2) 974-0122-00 OVI (2) 974-1014-01 HCOVI (1) 974-0230-00 VI16 (1) 974-1157-00 SWG (2) 974-1004-03 DIG_HSB (1) 974-1253-00 HSIO (1) 974-1061-01 FX2HD_PEC (4) 974-1110-00 FX1_TMBD (2) 974-1138-04 RF16 (2)
Rohde Schwarz SMA 100 A/W B 22 (2)
Rohde Schwarz SMA 100 A (2)
Rohde Schwarz SMATE 200 A (2)
"
Palatek 15 DK Air Compressor
DI DM 1900 N Burn In Tester
CHA SE-1000 Bell Jar System "24 x 40 Stainless Steel Bell Jar
4 pocket E–Gun
Planetary System with 4 planets with a maximum capacity of 8” diameter each
CV-8 Power Supply
Glow discharge
4 thermal-evaporation sources controlled by two SCR power controllers
Each controller controls two sources w/selectors.
Sycon TM-100 thickness monitor
DP 10 Diffusion Pump
Leybold Hereas Mechanical Pump
5 ton Chiller "
Elgar SW 5250 A-1-3-1 Power Supply AC-DC and AC-AC either 1 phase output or 3 phase output power source
Temptronics TPO 3000 A ThermoChuck
FSI Mercury MP System "FM4910 Compliant PVCC Cabinets
PVDF Exhaust Chimney, single damper w/ integral rinse
6 position PFA turntable
2nd Generation CE style Console, Steel Tower
208 VAC, 3 Phase Configuration
586 CPU with Version 11.10 SW
Dual Etch Probe
4 Drains with P-Traps
IR Inline Chemical Heater with Bypass
Side Bowl Spray Post
6 position chemical manifold, including DI Mix - 49% HF, NH4OH, HCL, H2O2, NH4OH, H2O2
Original Vintage 1998, Complete Refurbishment in 2011"
Hewlett Packard E 3630A DC Power Supply
Machines
Brooks Ergospeed Loader
FSI Mercury System
FSI Saturn System
FSI Titan System
FSI Excalibur System
PRI Robot Loader
Tel Act Coater / Developer
FSI Mercury System
FSI Zeta System
Machine Tools
KLA-Tencor F 5 X Film Thickness Measurement "Dual open cassette,
PRI Robot & Aligner"
KLA-Tencor 4500 Inspection System
MicroVision (Leica) MVT 2080 Inspection Station "- One is set up for 8” the other 4” but has the coding to covert “semi-simply” back to 8”
- Both units the same and have XYZ stage Z being focus
- Both have 2.5x, 20x, 50x, 100x, 150x
- Both systems have 10x/25 eye pieces
- Programable Bright light Macro-Inspection Module
- Sony DXC-151A CCD Video Imaging Camera
- Windows NT 4.0"
Teradyne J 750 EX Tester "512 High speed digital pins capability
8Meg LVM
Can be licensed to 64M LVM
Memory shared between parallel and scan vectors
TERADITD 99.99 permanent uncounted A8798C35732C
50 MHz
128 pins (64 pins per boards x2)
"
FSI Polaris
54" x 60" Chamber
KLA-Tencor 6420
KLA-Tencor 6220
Therma-wave OP 5240 Thickness Measurement Tool DUVI
Therma-wave OP 3260 DUVI
Rudolph S 200
Matrix 105
Matrix System 1
Matrix System 10
Axcelis / Fusion GPL & GES
Axcelis / Fusion GPS
Axcelis / Fusion PCU
Hockmeyer Mixer 20 HP
Hitachi S-8840
SZEGVARI Attritor S-1 Union Process Attritor Explosion Proof
Netzsch LME 20-T Horizontal Media Mill Explosion Proof
Philips / Assembleon Topaz X Placement System "Hours 7525
Manuals
Some spare parts
Original Tool Kit"
BTU VIP-70 7525 Hours
Clean Air Products CAP 303 830 30 H Bench
Ingersoll Rand 2545 E 10-VP Compressor
Hansvedt Plunge EDM
Vacuum Thermoformer
Weldlogic Welder
KLA-Tencor Alpha Step 200
KLA-Tencor Alpha Step 300
KLA-Tencor Alpha Step 500
KLA-Tencor P-10
KLA-Tencor P-12
KLA-Tencor P-22
Sonogage 200
Sonogage 300
KLA-Tencor RS-35
KLA-Tencor RS-55
KLA-Tencor RS-75
KLA-Tencor 6220
KLA-Tencor 6420
KLA-Tencor Sp 1
KLA-Tencor 7600
KLA-Tencor 7700
KLA-Tencor AIT
KLA-Tencor 2135
KLA-Tencor 2138
KLA-Tencor 2139
Leybold AREs 1350 Vacuum Coater "● Two sets of Electron Beam systems.
● One set APS ion source.
● One set direct type light control film thickness control instrument.
● One set multi-probe crystal controlled film thickness control instrument."
Teradyne Ultra Flex Tester
Teradyne Ultra Flex Tester
Onon DGDB-3382572 Generator 212 Hours
ProTec ACU 3008 Mechatronic Thin Wafer Sorter "Two Bernoulli Endeffector capable of handling up to 50µm thin 8"" wafers,
Pre Aligner Unit,
Moving 3 Cassatte Wafer Tower,
Carrier Charging and Discharging Station"
ASM iHawk Wire Bonder
Applied Materials P 5000 PECVD System "System Mainframe:
- Mark II Mainframe, TTW Installation & TTW Monitor
- Remote Stand Alone Monitor qty. 2 (one chase, one sub-fab)
- Bolt down LL Lid & 100mm Cassette Handler, Phase III Robot
- 15 Slot Storage Elevator, Keyence IO Wafer Sensors, ZA Slit Valves
- 21 Slot Expanded VME Rack w/ 3
- Electro-polished fore lines
- GEMS Hardware
Chambers A, B, C, & D: Standard PECVD Process Chamber
- Oxy/Nitride Chamber Lid (P/N 0020-09879) ,
- 150mm Process Kit
- Lamp Heated Module (750W Lamps) & Phase IV RF Match Network
- Dual (10 Torr & 100 Torr) Baratron & Leak Check Port
- CVD Endpoint Module, Silane Nitride Throttle Valve
Gas Panel Configuration
- 28 Slot Gas Panel, 7:1 Gas Manifolds, Cajon/Swagelock fittings
- New In-line, POU Filters and SS Gas Lines,
Remote Hardware, to include:
- Modular Remote Frame
- OEM 12B RF Supply (qty. 4)
- AMAT-0 Heat Exchanger
- 25 ft. Remote rack cables
- 50 ft. Sub-fab cables (pumps, heat exchanger, etc.)
"
Blue M POM-136 G-1 Oven
PR Hoffman 1500 Double Sided Lapping Machine
Chilled water System 30 Ton
Ferrotec Electron Beam Bun Power Supplies
Carrera High Voltage Power Supply
Continuous Grinder "48” O.D., 13” I.D.
Open face lapping machine
Cast iron table top with 1 ½” deep checker board grooves (1”x1” square cross section)
10 hp motor
3 phase 220V
Comes with 4 steel 20” OD rings
Comes with Variable Frequency Drive to control table speed
"
Continuous Polisher "48” O.D., 13” I.D.
Open face lapping machine
Steel table top
10 hp motor
3 phase 220V
Comes with Variable Frequency Drive to control table speed
Hoist and table conditioner
Currently not operational
"
Novellus Concept One System TEOS
Keithley 2602 A Meter
Balzers BAK 550 Evaporator
Kinney KD 30 Pump
New York Blower Series 20 GI Fan
Applied Materials Opal Scanning Electron Microscope (SEM)
Hitachi S-4500 Scanning Electron Microscope (SEM)
KLA-Tencor ES 20 Inspection System
Ulvac ULDis 900 H Sputtering System "8""x8""
Load Lock
Cassette to Cassette"
Applied Materials Centura Dxz Teos
Axcelis / Fusion Uv Bake
Axcelis / Fusion Uv Bake
Axcelis / Fusion Uv Bake
Axcelis / Fusion Uv Bake
Axcelis / Fusion Uv Bake
Axcelis / Fusion Fusion M 200 Pcu Litho Uv Cure System
Hitachi 9300 CD SEM (Scanning Electron Microscope)
Semitool Lt 210 Cu Plating
Semitool Lt 210 Cu Plating
Tel Unity 2 E 855 Dd Oxide Etch
Tel Unity 2 E 855 Dd Oxide Etch
Tel Unity 2 E : Drm Oxide Etch
Varian Viista 810 Implant
Applied Materials P 5000 TEOS 2x Dep, 1x Eb Chambers
Applied Materials Ame 8330
Axcelis / Fusion Fusion 200 Acu
Axcelis / Fusion Fusion 200 Acu Fusion 200
Axcelis / Fusion Fusion 200 Acu Fusion 200
Axcelis / Fusion 200 Mcu
Axcelis / Fusion Fusion 200 Mcu Fusion 200
Axcelis / Fusion Fusion UV Pcu Fusion_Uv
Axcelis / Fusion Fusion UV Pcu Fusion_Uv
Beta Square Litography Pe 700 ( 761 Tt)
Beta Square Litography Pe 600 ( 661 Ht)
Beta Square Litography Pe 700 ( 761 Tt)
Canon Canon i 1
Canon Canon i 1
Dainippon (DNS) Dns Skw-80 A-Bvpe Track System
Lam 4420 Poly / 4420 Clamp
Lam 4420 Poly / 4420 Clamp
Lam 4520 Aniso Clp
Lam 4520 Aniso Clp
Mattson 2900 Bump Reflow Oven "Low Temp,
No Handling"
Mattson Ast 2800 Rapid Thermal Process (RTP)
Mattson Ast 2800 Rapid Thermal Process (RTP)
Varian 160 Xp Implanter
Varian 300 Xp Implanter
Tegal 903 E
Tegal 903 E
Tegal 903 E
Olympus Mx 50
SVG 88 Track System
SVG 88 Track System
Semco 51 Xx Horizontal Furnace
Semitool Spin Rinse Dryer (SRD)
SVG Vtr 7000 Lpcvd
Tegal 903 E
Tegal 6540
Tegal 6540
Tegal 901 E Metal
Thermco 5 Xxx Horizontal Furnace
Thermco 51 Xx Horizontal Furnace
Thermco 52 Xx Horizontal Furnace
Thermco 51 Xx Horizontal Furnace
Thermco 52 Xx Horizontal Furnace
Wafermaster Sa 0150 Lp Copper Annealing Oven System
Branson IPC Bkfn
KLA-Tencor 5200 Measurement
KLA-Tencor 5200 Measurement
Lam 4520 8" Oxide Aniso Clamp
Lam 4520 8" Oxide Aniso Clamp
Lam 4520 8" Oxide Aniso Clamp
Mattson Ast 2800 Rapid Thermal Process (RTP)
Sonix Autowafer Scanning Acoustic Microscope
Sonix Autowafer Scanning Acoustic Microscope
Sonix Autowafer Scanning Acoustic Microscope
Sonix Autowafer Scanning Acoustic Microscope
Sonix Autowafer Scanning Acoustic Microscope
Karl Suss Dsm Overlay Double Side Measurement
SVG Svg 90 Track
Technoven Technoven 200 Tc
Technoven Technoven 200 Tc
Technoven Technoven 200 Tc
Viscom Ir Ctrl System Ir Ctrl System
Zeiss Zeiss Axiosprint System
Zeiss Zeiss Axiosprint System
Zeiss Zeiss Axiosprint System SMIF
Applied Materials Centura DPS Dps
Nikon S 204 B Scanner
Novellus Xceda Cmp W
Tjusung Centura Depot Ald
Modular Process Technology RTP 600 S Rapid Thermal Process (RTP)
Laurell M-Ws-400 B-8 Npp-Lite Spin Coater 6" Chuck
STS Multiplex AOE Etcher "- Load Lock
- AOE Chamber
- E-Rack
- RF Generator
- (1) QDP80 LL Pump
- (2) Affinity Chillers
- Huber Chiller
- Wall Panel
- (2) Miscellaneous Boxes"
OAI 200 Hybralign Mask Aligner
Rc 2235 Hot Plate Remote Control - 12" X 12"
Rc 2235 Hot Plate Remote Control - 12" X 12"
MRC 603 iii Sputttering System
MRL 1130 Double Stack Furnace PN: 650-911864
STS Multiplex PECVD System "- PECVD Chamber
- Load Lock
- Gas Box
- E-Rack
- Wall Panel
- (2) QDP 80 Process Pump
- (2) RF Generator
- Affinity Chiller
- (2) Miscellaneous Boxes"
Neslab Hx 150 Chiller
Hitachi S 5000 FE SEM (Scanning Electron Microscope)
Semitool 880-S Spin Rinse Dryer (SRD)
Nano Master Swc-3000-C Spin Rinse Dryer (SRD)
Blue M Vwr 1601 Oven
WAFAB International 72-32-70-Vlf 72" Wet Bench Station Clean
WAFAB International 72-32-70-Vlf 72" Wet Bench Station Clean
WAFAB International 72-32-70-Vlf 72" Wet Bench Station Developer
Universal Systems Us 96-Fume-2035 Feme Hoods
Yes 58 Oven
Axcelis / Fusion Uv Bake 1x Chamber
Axcelis / Fusion Uv Bake 1x Chamber
Axcelis / Fusion Uv Bake 1x Chamber
Axcelis / Fusion Uv Bake 1x Chamber
Axcelis / Fusion Uv Bake 1x Chamber
Axcelis / Fusion Fusion M 200 Pcu Litho Uv Cure System
Hitachi 9300 CD SEM (Scanning Electron Microscope)
KLA-Tencor Es 20 Inspection Scanning Electron Microscope (SEM)
Lam Tcp-9400 Dfm Poly Etch DFM Chamber only w/gas box
Lam Tcp 9400 Poly Etch PTX Chamber only w/gas box
Gasonic 2000 II Asher
Gasonic 2000 II Asher
Gasonic 2000 II Asher
Semitool Lt 210 Cu Plating EPC LT210 Cu
Semitool Lt 210 Cu Plating EPC LT210 Cu
Shibaura Cde 80 An Asher
Shibaura Asher
Tel Alpha-8 Se Nitride
Tel Alpha-8 Se Lpcvd Furnace DOPED POLY
Tel Unity 2 E 855 Dd Oxide Etch
Tel Unity 2 E 855 Dd Oxide Etch
Tel Unity 2 E Drm Oxide Etch
Varian Viista 810 Medium Current Implanter
Watkins Johnson (WJ) 1000 T CVD System BPSG
Applied Materials Centura Dps Metal
Applied Materials Centura Dps Poly, Metal
Applied Materials Centura Dps Poly
Applied Materials Centura Dps Metal
Canon Mas-8220 Dry
Canon Mas-8220 Dry
Daito Cte-8600 Wet
Dan Cte-8600 Wet
Dainippon (DNS) Cw-1500 Wet
Dainippon (DNS) Cw-1500 Wet
Dainippon (DNS) Cw-1500 Wet
Dainippon (DNS) La-830 Aneal
Dainippon (DNS) La-830 Aneal
Dainippon (DNS) Sp-W 813 ‐U Wet
Dainippon (DNS) Spw 813 U Wet
Dainippon (DNS) Sp-W 813-U Wet
Dainippon (DNS) Sp-W 83-A Wet
Dainippon (DNS) Ws-820 C Wet
Dainippon (DNS) Ws-820 S Wet
Applied Materials C 11200 Analysis
Hitachi S-9380 CD SEM (Scanning Electron Microscope)
Kanken Kpl-C 13 S Scrubber
Kanken Kt 1000 H Scrubber
Kanken Techno Ks-5 Mocvd
KLA-Tencor P-11 Profiler
KLA-Tencor Rs 75 Resistivity Measurement
Maple Mdc-6540 Dry Cleaner
Maple Mdc-6540 Dry Cleaner
Rorze Rs 8151 Id Sorter
Rudolph Fe-Vii Film Thickness Measurement
Shibaura Ceraus Zi-1000 Metal
Shibaura Ceraus Zi-1000 Metal
Shibaura Swn 5000 Metal
Spectris Xpert-Pro-Mrd-Xl X-Ray Analyzer
Karl Suss Cb-200 M Wafer Bond
Karl Suss Cbc-200 Wafer Bond
Tel Act 8 Pep
Tel Act 8 Pep
Tel Act 8 Pep
Tel Act 8 Pep
Tel Α-8 Se Diffusion
Tel Α-8 Se-Z Diffusion
Ulvac Ebx 2000 C Metal
Ulvac Ei-7 L Evaporator
Ulvac Ei-7 L Evaporator
Ulvac Ei-7 L Evaporator
Ulvac Ei-7 L Evaporator
Ulvac Srh-420 Metal
JAE Ion Beam Sputtering System
Lapmaster 120" Continuous Grinder
Lapmaster 120" Continuous Polisher
Perkin Elmer Spectrum Custom GX "Computer is included
Infrared Detector
"
"Rogers and Clarke
" G 150-2 Curve Generators
LOH 24 CNC Centering Machine
DoAll Broken Arm DTR-28 Drill "The transmission has been modified to only run at 560 or 1120 mp
"
Siltec 860 Silicon Crystal Furnace Furnace only does not include the control panel. Could be made operational or for parts
Tenney Environmental Chamber
Dage 4000 HS Bond Tester
Anritsu MT 8820 C Radio Communication Analyzer "Extended RF Hardware
TDMA Measurement Hardware
CDMA 2000 Measurement Hardware
GSM Measurement Software
CDMA 2000 Measurement Hardware"
Okamoto ACC 16-32 DX OD Saw
Okamoto ACC 29-40 DX OD Saw
DCM IG 280 SD Surface Grinder
Teradyne IP 750 EX Tester
Teradyne IP 750 Tester
test
Nuaire NU-425-600 Hood
Nuaire NU-430-600 Hood
Nuaire NU-430-400 Hood
Forma Scientific 1128 Hood
KLA-Tencor 4500 Surface Contamination Analyzer
Heller MC 16 Horizontal Machining Center
Zeiss DB 900 CMM "Software: Calypso 5.4.2
Controller: C90 HP
with Probes
Sensor Type: Touch Trigger Probe
Measuring Range (X/Y/Z) 1219 x 2438 x 914
Length Measuring Uncertainty: 6.5 + L/250
Positioning Speed: 330 mm/s
Max. Acceleration: 0.4 m/s2
Max Acceleration - Vector: 2.3 m/s2
Max Workpiece Weight: 2700 kg
Size: 48"" x 96"" x 36"""
Niles Simmons N 30 MC x 4500
MovinCool 10 SFU
Mitsubishi Robot
Square D 15 T 6 HCT Transformer
Square D 45 T 3 H Transformer
Nash NRV-60 Vacuum Pump
HAAS VF-0
Ultratech 1500 Stepper Non MVS
Trailer
Edwards STP 1003 C Turbo Pump ISO-200K Inlet
Leybold Turbovac 1000 C Pump "Turbotronik NT 20 controller
"
Centorr Vacuum Industries Sintervac 3710 Graphite Vacuum Furnace "• Max Temp = 2250°C
• 24 x 48 x 18” Work Zone
• Graphite Hot Zone
• Horizontal Front-Loading
• Pyrometer/TC Control
• PC Data Logging
• 460V, 3ph, 60 Hz
• 375 KVA Water Cooled Power Supply
• Cooling Fan
• Custom Work
Insertion/Removal Die Lift Cart
• Capable of 10-3 Torr
• Automated Atmosphere Control
- Gas Back Fill Circuit
- Partial Pressure Controls
• Kinney CB-4015 (150/400 CFM) •Rotary Piston Vacuum Pump with Blower
• Main, Bypass & Debind Manifolds
• Gas Plenum
• Graphite Retort
• Vacuum System Particulate Filter
• Multiple Furnaces Available"
72 MW Solar Cell Line
30 MW Solar Cell Line
Edwards / Seiko Seiki STP 1003C Pump ISO-200K Inlet
Edwards / Seiko Seiki STP 1003 C Turbo Pump ISO-200 K Inlet
Balzers BAK 760 Evaporator
Cannon Industries DPI 2-HD Drill Jumbo
Applied Materials Ultima Plus HDP CVD Chamber
Applied Materials Ultima Plus HDP CVD Chamber
HP / Agilent Design Jet 800
Perkin Elmer Lambda 9 Spectrophotometer
Disco DAD 321 Dicing Saw
FSI Mercury MP System
Advanced Cooling Tower Systems AT 5
ASM iHawk Xtreme Bonder
Yamato DKN 600 Natural Convection Oven
Euromatic 370 PP Dake Automatic Cold Saw
Kurt J Lesker Film Evaporator
Motoman MRC II SV 3 Robot
Motoman UP 20 XRC Robot
Motoman UP 20-6 XRC Robot
Motoman SK6 XRC Robot
Applied Materials Centura II DPS
KLA-Tencor SP-3
Okamoto SPP-600 S Grinder
Canon OCE VP 2090 Printer
Canon irc 5235 Printer
Appricia Cenote Aluminum Etch
AVP 8000 Selox
Disco DFG 840 Backgrinder
Dainippon (DNS) Skw-80B Coater/Developer 2X coat / 2X develop
Irvine Optical Ultrastation Wafer Sorter Wafer Sorter
Advantec DRE 320 DA Oven
Advantec DRE 320 DA Oven
Advantec DRE 320 DA Oven
Advantec DRE 320 DA Oven
Appricia Cenote Aluminum Etch
AVP 8000 Selox
Disco DFG 840 Backgrinder
Dainippon (DNS) Skw-80B Coater/Developer 2X coat / 2X develop
Irvine Optical Ultrastation Wafer Sorter Wafer Sorter
Lam Rainbow 4420 Poly Etcher
Novellus Concept One Dielectric Cvd System
Novellus Concept One Dielectric Cvd System
Novellus Concept One Dielectric W-Cvd System
Novellus Concept One Dielectric W-Cvd System
Rudolph FE III FocEllipsometer - Thickness Monitor
Sokudo RF 3 Developer
SVG VTR 7000 Field/Vtr Oxide Field/Vtr Oxide
SVG VTR 7000 Oxide/Densification
Tel UW-8000 Acid Strip Acid Strip
Tel UW-8000 Oxide Etch F2Wo01 - Wao3E3
Tel UW-8000 Nitride Etch - H2Wn01 - Wni3N2
Tel Mark 8 2 C / 2 D Inline Coater/Developer For I12
Tel Mark 8 2 C/2 D Inline Coater/Developer For I12
Tel Mark 8 2 C/2 D Inline Coater/Developer For I12
Tel Alpha 808 SD Wet Ox
Tel Alpha 808 SDN High Temp Anneal
Tel Alpha 808 SD High Temp Anneal
Westech 472 CMP Tungsten
Aucma BC/BD-390 FA Aucma Refrigerator
Bellgroup BE-TH-150 M 8 Low/High Temperature Testing Chamber
Exsaf T 0 N 90 B with ES 2000 Flammable Gas Alarm Device
Exsaf T 0 N 90 B with ES 2001 Flammable Gas Alarm Device
Exsaf T 0 N 90 B with ES 2002 Flammable Gas Alarm Device
Exsaf T 0 N 90 B with ES 2003 Flammable Gas Alarm Device
Exsaf EP 200-1 Portable Gas Detector
Exsaf EP 200-1 Portable Gas Detector
Fujikura FSM-60 S 2008 New Type Fiber Fusion Splicer
Fujikura FSM-60 S 2008 New Type Fiber Fusion Splicer
Fujikura FSM-60 S 2008 New Type Fiber Fusion Splicer
Fujikura FSM-60 S 2008 New Type Fiber Fusion Splicer
Fujikura FSM-60 S 2008 New Type Fiber Fusion Splicer
Fujikura FSM-60 S 2008 New Type Fiber Fusion Splicer
Fujikura FSM-60 S 2008 New Type Fiber Fusion Splicer
Fujikura FSM-60 S 2008 New Type Fiber Fusion Splicer
Fujikura FSM-60 S 2008 New Type Fiber Fusion Splicer
Fujikura FSM-60 S 2008 New Type Fiber Fusion Splicer
Fujikura FSM-60 S 2008 New Type Fiber Fusion Splicer
Fujikura KL-300 T 2008 New Type Fiber Fusion Splicer
Fujikura Fujikura Ltd. FSM-60 S Fusion Splicer
Fujikura Fujikura Ltd. FSM-60 S Fusion Splicer
Fujikura Fujikura Ltd. FSM-60 S Fusion Splicer
Fujikura Fujikura Ltd. FSM-60 S Fusion Splicer
Fujikura Fujikura Ltd. FSM-62 S Fusion Splicer
Futans Ultraviolet Illumination Meter
Glsun 1310/1470-1610 Desktop Stabilized Light Source
Glsun 1270-1410 Desktop Stabilized Light Source
Glsun 1450-1610 Desktop Stabilized Light Source
Glsun 1450-1610 Desktop Stabilized Light Source
Glsun 1270-1430 Desktop Stabilized Light Source
Glsun 1270-1430 Desktop Stabilized Light Source
Glsun 1450-1610 Desktop Stabilized Light Source
Glsun LST-13/15 (DFB) Desktop Stabilized Light Source
Glsun SUN-OPM-3 C Handheld Optical Power Meter
Glsun SUN-OPM-3 C Handheld Optical Power Meter
Glsun SUN-OPM-3 C Handheld Optical Power Meter
Glsun SUN-OPM-3 C Handheld Optical Power Meter
Glsun SUN-OPM-3 C Handheld Optical Power Meter
Glsun SUN-OPM-3 C Handheld Optical Power Meter
Glsun SUN-OPM-3 C Handheld Optical Power Meter
Glsun SUN-OPM-3 C Handheld Optical Power Meter
Glsun SUN-OPM-3 C Handheld Optical Power Meter
Glsun SUN-OPM-3 C Handheld Optical Power Meter Standard Microprocessor Controller I Power Requirements: 0.4Amp@110VAC+15%-15% 47-63 HZ
Ambient Operating Temp.: 0-55□ C Output Isolated Relay
Contacts: 10Amps CONT.@250VAC/30VDC
P/N: 88292036-999
Make: SULLAIR品牌:寿力
标准微处理器控制器 I 电源要求:0.4Amp@110VAC+15%-15% 47-63 HZ
工作环境温度:0-55□C 输出隔离继电器
触点:10Amps CONT.@250VAC/30VDC
Transformer变压器
INPUT: 380V, OUTPUT: 110V输入:380V,输出:110V
JBK5-250 TH P=250VA 50/60HZ T40/E IP00 GB5226-85 VDE 0550
Make: SULLAIR品牌:寿力
Manual Motor Starter手动电机启动器
Model: GV2-PMq 0C/ 4-6.3 A型号:GV2-PMq 0C/ 4-6.3 A
Make: Schneider Electric 制造商:施耐德电气
RTD 100 CHM PLATINU 传感器
P/N: 250039-909
Make: Sullair 寿力
Blowdown Valve 排污阀
P/N: 02250049-634
Make: Sullair
Valve, Pressure Reg阀门,压力调节器
P/N: 250017-280
Make: Sullair
Pressure Sensor压力传感器
P/N: 88290003-806
Make: Sullair
Industrial Control Transformer 工控变压器
CAT NO. PH350MQMJ
Primary Voltage: 400 V, Secondary: 120V
350VA, 50-60 Hz, 800 C RISE
Make: Hammond Power Solutions Inc., China
Walkie Talkies range up to 2 Miles, Rechargeable 2 Way Radio Walkie Talkie, Clear Sound Two Way Radio for Security Construction Team, 1500 mAh Battery Capacity 对讲机范围可达 2 英里,可充电 2 路收音机对讲机,用于安全施工团队的清晰声音两路收音机,1500 mAh 电池容量
Online Interactive UPS 在线互动式UPS
2KVA, Input: 220 VAC, Output: 220 VAC, 50 Hz, Make: CASTLE C2k. China
Handle For MCC House Drawer MCC 抽屉拉手
Model:LCJG-1
Make: Shantou Lingyue Electric Manufacturing Co. Ltd., China.
Handle For MCC House Drawer MCC 抽屉拉手
Model: LCJG-1X
Make: Shantou Lingyue Electric Manufacturing Co. Ltd., China.
Magnetic Contactor 电磁接触器
Type: DILM150 (RAC120)
Art. No.: 239587, Cat. No.: XTCE150G01A
Coil Voltage: 100-120V,50Hz
Operational Rating: 400VAC, 75kW, 150A
Make: Eaton, USA or Equivalent. 美国伊顿公司或同等产品。
Over Load Relay 过载继电器
Cat. No.: XTOB150GC1, Art. No.: 278442
Operational Rating: 400VAC, 75kW, 150A
Make: Eaton, USA or Equivalent 美国伊顿公司或同等产品
Magnetic contactor 电磁接触器
LC1-D115…C
Coil Voltage: 110V
Ith=250A, Ui=1000V, 55 KW
Make: Telemecanique, France/USA or Equivalent Telemecanique,法国/美国或同等
Magnetic contactor电磁接触器
MR-J4-A(-RJ)/A4(-RJ)
MR-J4-B(-RJ)/B4(-RJ)
MR-J4W-B
MR-J4-GF(-RJ)/GF4(-RJ) PACIFIC SCIENTIFIC 04G-020
MR-J4-TM/TM4
LC1-D115…C
Coil Voltage: 230V
Ith=250A, Ui=1000V, 55 KW
Make: Telemecanique, France/USA or Equivalent
Thermal Overload Relay 热过载继电器
LRD325, 17-25 A
Make: Telemecanique, France/USA or Equivalent
Auxiliary Contactor (only use with Contactor LC1 D/CA D)辅助接触器(仅与接触器 LC1 D/CA D 一起使用)
R-DDV® Servovalve Model 27A -- 27A50F-0M01
R-DDV® Servovalve Model 27A -- 27A50F-0M02
R-DDV® Servovalve Model 27A -- 27A50F-0M03
R-DDV® Servovalve Model 27A -- 27A50F-0M04
R-DDV® Servovalve Model 27A -- 27A50F-0M05
R-DDV® Servovalve Model 27A -- 27A50F-0M06
R-DDV® Servovalve Model 27A -- 27A50F-0M07
R-DDV® Servovalve Model 27A -- 27A50F-0M08
R-DDV® Servovalve Model 27A -- 27A50F-0M09
R-DDV® Servovalve Model 27A -- 27A50F-0M10
R-DDV® Servovalve Model 27A -- 27A50F-0M11
R-DDV® Servovalve Model 27A -- 27A50F-0M12
R-DDV® Servovalve Model 27A -- 27A50F-0M13
R-DDV® Servovalve Model 27A -- 27A50F-0M14
R-DDV® Servovalve Model 27A -- 27A50F-0M15
R-DDV® Servovalve Model 27A -- 27A50F-0M16
R-DDV® Servovalve Model 27A -- 27A50F-0M17
R-DDV® Servovalve Model 27A -- 27A50F-0M18
R-DDV® Servovalve Model 27A -- 27A50F-1E01
R-DDV® Servovalve Model 27A -- 27A50F-1E02
R-DDV® Servovalve Model 27A -- 27A50F-1E03
R-DDV® Servovalve Model 27A -- 27A50F-1E04
R-DDV® Servovalve Model 27A -- 27A50F-1E05
R-DDV® Servovalve Model 27A -- 27A50F-1E06
R-DDV® Servovalve Model 27A -- 27A50F-1E07
R-DDV® Servovalve Model 27A -- 27A50F-1E08
R-DDV® Servovalve Model 27A -- 27A50F-1E09
R-DDV® Servovalve Model 27A -- 27A50F-1E10
R-DDV® Servovalve Model 27A -- 27A50F-1E11
R-DDV® Servovalve Model 27A -- 27A50F-1E12
R-DDV® Servovalve Model 27A -- 27A50F-1E13
R-DDV® Servovalve Model 27A -- 27A50F-1E14
R-DDV® Servovalve Model 27A -- 27A50F-1E15
R-DDV® Servovalve Model 27A -- 27A50F-1E16
R-DDV® Servovalve Model 27A -- 27A50F-1E17
R-DDV® Servovalve Model 27A -- 27A50F-1E18
R-DDV® Servovalve Model 27A -- 27A50F-2F01
R-DDV® Servovalve Model 27A -- 27A50F-2F02
R-DDV® Servovalve Model 27A -- 27A50F-2F03
R-DDV® Servovalve Model 27A -- 27A50F-2F04
R-DDV® Servovalve Model 27A -- 27A50F-2F05
R-DDV® Servovalve Model 27A -- 27A50F-2F06
R-DDV® Servovalve Model 27A -- 27A50F-2F07
R-DDV® Servovalve Model 27A -- 27A50F-2F08
R-DDV® Servovalve Model 27A -- 27A50F-2F09
R-DDV® Servovalve Model 27A -- 27A50F-2F10
R-DDV® Servovalve Model 27A -- 27A50F-2F11
R-DDV® Servovalve Model 27A -- 27A50F-2F12
R-DDV® Servovalve Model 27A -- 27A50F-2F13
R-DDV® Servovalve Model 27A -- 27A50F-2F14
R-DDV® Servovalve Model 27A -- 27A50F-2F15
R-DDV® Servovalve Model 27A -- 27A50F-2F16
R-DDV® Servovalve Model 27A -- 27A50F-2F17
R-DDV® Servovalve Model 27A -- 27A50F-2F18
R-DDV® Servovalve Model 27A -- 27A50F-3D01
R-DDV® Servovalve Model 27A -- 27A50F-3D02
R-DDV® Servovalve Model 27A -- 27A50F-3D03
R-DDV® Servovalve Model 27A -- 27A50F-3D04
R-DDV® Servovalve Model 27A -- 27A50F-3D05
R-DDV® Servovalve Model 27A -- 27A50F-3D06
R-DDV® Servovalve Model 27A -- 27A50F-3D07
R-DDV® Servovalve Model 27A -- 27A50F-3D08
R-DDV® Servovalve Model 27A -- 27A50F-3D09
R-DDV® Servovalve Model 27A -- 27A50F-3D10
R-DDV® Servovalve Model 27A -- 27A50F-3D11
R-DDV® Servovalve Model 27A -- 27A50F-3D12
R-DDV® Servovalve Model 27A -- 27A50F-3D13
R-DDV® Servovalve Model 27A -- 27A50F-3D14
R-DDV® Servovalve Model 27A -- 27A50F-3D15
R-DDV® Servovalve Model 27A -- 27A50F-3D16
R-DDV® Servovalve Model 27A -- 27A50F-3D17
R-DDV® Servovalve Model 27A -- 27A50F-3D18
R-DDV® Servovalve Model 27A -- 27A50F-4K01
R-DDV® Servovalve Model 27A -- 27A50F-4K02
R-DDV® Servovalve Model 27A -- 27A50F-4K03
R-DDV® Servovalve Model 27A -- 27A50F-4K04
R-DDV® Servovalve Model 27A -- 27A50F-4K05
R-DDV® Servovalve Model 27A -- 27A50F-4K06
R-DDV® Servovalve Model 27A -- 27A50F-4K07
R-DDV® Servovalve Model 27A -- 27A50F-4K08
R-DDV® Servovalve Model 27A -- 27A50F-4K09
R-DDV® Servovalve Model 27A -- 27A50F-4K10
R-DDV® Servovalve Model 27A -- 27A50F-4K11
R-DDV® Servovalve Model 27A -- 27A50F-4K12
R-DDV® Servovalve Model 27A -- 27A50F-4K13
R-DDV® Servovalve Model 27A -- 27A50F-4K14
R-DDV® Servovalve Model 27A -- 27A50F-4K15
R-DDV® Servovalve Model 27A -- 27A50F-4K16
R-DDV® Servovalve Model 27A -- 27A50F-4K17
R-DDV® Servovalve Model 27A -- 27A50F-4K18
0.48" port circle, 0.938" x 1.032" bolt pattern, nitrile o-ring standard
R-DDV® Servovalve Model 27C -- 27C20F-3B01
R-DDV® Servovalve Model 27C -- 27C20F-3B02
R-DDV® Servovalve Model 27C -- 27C20F-3B03
R-DDV® Servovalve Model 27C -- 27C20F-3B04
R-DDV® Servovalve Model 27C -- 27C20F-3B05
R-DDV® Servovalve Model 27C -- 27C20F-3B06
R-DDV® Servovalve Model 27C -- 27C20F-3B07
R-DDV® Servovalve Model 27C -- 27C20F-3B08
R-DDV® Servovalve Model 27C -- 27C20F-3B09
R-DDV® Servovalve Model 27C -- 27C20F-3B10
R-DDV® Servovalve Model 27C -- 27C20F-3B11
R-DDV® Servovalve Model 27C -- 27C20F-3B12
R-DDV® Servovalve Model 27C -- 27C20F-3B13
R-DDV® Servovalve Model 27C -- 27C20F-3B14
R-DDV® Servovalve Model 27C -- 27C20F-3B15
R-DDV® Servovalve Model 27C -- 27C20F-3B16
R-DDV® Servovalve Model 27C -- 27C20F-3B17
R-DDV® Servovalve Model 27C -- 27C20F-3B18
R-DDV® Servovalve Model 27C -- 27C20F-4D01
R-DDV® Servovalve Model 27C -- 27C20F-4D02
R-DDV® Servovalve Model 27C -- 27C20F-4D03
R-DDV® Servovalve Model 27C -- 27C20F-4D04
R-DDV® Servovalve Model 27C -- 27C20F-4D05
R-DDV® Servovalve Model 27C -- 27C20F-4D06
R-DDV® Servovalve Model 27C -- 27C20F-4D07
R-DDV® Servovalve Model 27C -- 27C20F-4D08
R-DDV® Servovalve Model 27C -- 27C20F-4D09
R-DDV® Servovalve Model 27C -- 27C20F-4D10
R-DDV® Servovalve Model 27C -- 27C20F-4D11
R-DDV® Servovalve Model 27C -- 27C20F-4D12
R-DDV® Servovalve Model 27C -- 27C20F-4D13
R-DDV® Servovalve Model 27C -- 27C20F-4D14
R-DDV® Servovalve Model 27C -- 27C20F-4D15
R-DDV® Servovalve Model 27C -- 27C20F-4D16
0.78" port circle, 1.344" x 1.688" bolt pattern, nitrile o-ring standard
R-DDV® Servovalve Model 27B -- 27B50F-1A01
R-DDV® Servovalve Model 27B -- 27B50F-1A02
R-DDV® Servovalve Model 27B -- 27B50F-1A03
R-DDV® Servovalve Model 27B -- 27B50F-1A04
R-DDV® Servovalve Model 27B -- 27B50F-1A05
R-DDV® Servovalve Model 27B -- 27B50F-1A06
R-DDV® Servovalve Model 27B -- 27B50F-1A07
R-DDV® Servovalve Model 27B -- 27B50F-1A08
R-DDV® Servovalve Model 27B -- 27B50F-1A09
R-DDV® Servovalve Model 27B -- 27B50F-1A10
R-DDV® Servovalve Model 27B -- 27B50F-1A11
R-DDV® Servovalve Model 27B -- 27B50F-1A12
R-DDV® Servovalve Model 27B -- 27B50F-1A13
R-DDV® Servovalve Model 27B -- 27B50F-1A14
R-DDV® Servovalve Model 27B -- 27B50F-1A15
R-DDV® Servovalve Model 27B -- 27B50F-1A16
R-DDV® Servovalve Model 27B -- 27B50F-1A17
R-DDV® Servovalve Model 27B -- 27B50F-1A18
R-DDV® Servovalve Model 27B -- 27B50F-2B01
R-DDV® Servovalve Model 27B -- 27B50F-2B02
R-DDV® Servovalve Model 27B -- 27B50F-2B03
R-DDV® Servovalve Model 27B -- 27B50F-2B04
R-DDV® Servovalve Model 27B -- 27B50F-2B05
R-DDV® Servovalve Model 27B -- 27B50F-2B06
R-DDV® Servovalve Model 27B -- 27B50F-2B07
R-DDV® Servovalve Model 27B -- 27B50F-2B08
R-DDV® Servovalve Model 27B -- 27B50F-2B09
R-DDV® Servovalve Model 27B -- 27B50F-2B10
R-DDV® Servovalve Model 27B -- 27B50F-2B11
R-DDV® Servovalve Model 27B -- 27B50F-2B12
R-DDV® Servovalve Model 27B -- 27B50F-2B13
R-DDV® Servovalve Model 27B -- 27B50F-2B14
R-DDV® Servovalve Model 27B -- 27B50F-2B15
R-DDV® Servovalve Model 27B -- 27B50F-2B16
R-DDV® Servovalve Model 27B -- 27B50F-2B17
R-DDV® Servovalve Model 27B -- 27B50F-2B18
R-DDV® Servovalve Model 27B -- 27B50F-3C01
R-DDV® Servovalve Model 27B -- 27B50F-3C02
R-DDV® Servovalve Model 27B -- 27B50F-3C03
R-DDV® Servovalve Model 27B -- 27B50F-3C04
R-DDV® Servovalve Model 27B -- 27B50F-3C05
R-DDV® Servovalve Model 27B -- 27B50F-3C06
R-DDV® Servovalve Model 27B -- 27B50F-3C07
R-DDV® Servovalve Model 27B -- 27B50F-3C08
R-DDV® Servovalve Model 27B -- 27B50F-3C09
R-DDV® Servovalve Model 27B -- 27B50F-3C10
R-DDV® Servovalve Model 27B -- 27B50F-3C11
R-DDV® Servovalve Model 27B -- 27B50F-3C12
R-DDV® Servovalve Model 27B -- 27B50F-3C13
R-DDV® Servovalve Model 27B -- 27B50F-3C14
R-DDV® Servovalve Model 27B -- 27B50F-3C15
R-DDV® Servovalve Model 27B -- 27B50F-3C16
R-DDV® Servovalve Model 27B -- 27B50F-3C17
R-DDV® Servovalve Model 27B -- 27B50F-3C18
R-DDV® Servovalve Model 27B -- 27B50F-4D01
R-DDV® Servovalve Model 27B -- 27B50F-4D02
R-DDV® Servovalve Model 27B -- 27B50F-4D03
R-DDV® Servovalve Model 27B -- 27B50F-4D04
R-DDV® Servovalve Model 27B -- 27B50F-4D05
R-DDV® Servovalve Model 27B -- 27B50F-4D06
R-DDV® Servovalve Model 27B -- 27B50F-4D07
R-DDV® Servovalve Model 27B -- 27B50F-4D08
R-DDV® Servovalve Model 27B -- 27B50F-4D09
R-DDV® Servovalve Model 27B -- 27B50F-4D10
R-DDV® Servovalve Model 27B -- 27B50F-4D11
R-DDV® Servovalve Model 27B -- 27B50F-4D12
R-DDV® Servovalve Model 27B -- 27B50F-4D13
R-DDV® Servovalve Model 27B -- 27B50F-4D14
R-DDV® Servovalve Model 27B -- 27B50F-4D15
R-DDV® Servovalve Model 27B -- 27B50F-4D16
R-DDV® Servovalve Model 27B -- 27B50F-4D17
R-DDV® Servovalve Model 27B -- 27B50F-4D18
R-DDV® Servovalve Model 27B -- 27B50F-5E01
R-DDV® Servovalve Model 27B -- 27B50F-5E02
R-DDV® Servovalve Model 27B -- 27B50F-5E03
R-DDV® Servovalve Model 27B -- 27B50F-5E04
R-DDV® Servovalve Model 27B -- 27B50F-5E05
R-DDV® Servovalve Model 27B -- 27B50F-5E06
R-DDV® Servovalve Model 27B -- 27B50F-5E07
R-DDV® Servovalve Model 27B -- 27B50F-5E08
R-DDV® Servovalve Model 27B -- 27B50F-5E09
R-DDV® Servovalve Model 27B -- 27B50F-5E10
R-DDV® Servovalve Model 27B -- 27B50F-5E11
R-DDV® Servovalve Model 27B -- 27B50F-5E12
R-DDV® Servovalve Model 27B -- 27B50F-5E13
R-DDV® Servovalve Model 27B -- 27B50F-5E14
R-DDV® Servovalve Model 27B -- 27B50F-5E15
R-DDV® Servovalve Model 27B -- 27B50F-5E16
R-DDV® Servovalve Model 27B -- 27B50F-5E17
R-DDV® Servovalve Model 27B -- 27B50F-5E18
R-DDV® Servovalve Model 27B -- 27B50F-6F01
R-DDV® Servovalve Model 27B -- 27B50F-6F02
R-DDV® Servovalve Model 27B -- 27B50F-6F03
R-DDV® Servovalve Model 27B -- 27B50F-6F04
R-DDV® Servovalve Model 27B -- 27B50F-6F05
R-DDV® Servovalve Model 27B -- 27B50F-6F06
R-DDV® Servovalve Model 27B -- 27B50F-6F07
R-DDV® Servovalve Model 27B -- 27B50F-6F08
R-DDV® Servovalve Model 27B -- 27B50F-6F09
R-DDV® Servovalve Model 27B -- 27B50F-6F10
R-DDV® Servovalve Model 27B -- 27B50F-6F11
R-DDV® Servovalve Model 27B -- 27B50F-6F12
R-DDV® Servovalve Model 27B -- 27B50F-6F13
R-DDV® Servovalve Model 27B -- 27B50F-6F14
R-DDV® Servovalve Model 27B -- 27B50F-6F15
R-DDV® Servovalve Model 27B -- 27B50F-6F16
R-DDV® Servovalve Model 27C -- 27C20F-4D17
R-DDV® Servovalve Model 27C -- 27C20F-4D18
R-DDV® Servovalve Model 27C -- 27C20F-5K01
R-DDV® Servovalve Model 27C -- 27C20F-5K02
R-DDV® Servovalve Model 27C -- 27C20F-5K03
R-DDV® Servovalve Model 27C -- 27C20F-5K04
R-DDV® Servovalve Model 27C -- 27C20F-5K05
R-DDV® Servovalve Model 27C -- 27C20F-5K06
R-DDV® Servovalve Model 27C -- 27C20F-5K07
R-DDV® Servovalve Model 27C -- 27C20F-5K08
R-DDV® Servovalve Model 27C -- 27C20F-5K09
R-DDV® Servovalve Model 27C -- 27C20F-5K10
R-DDV® Servovalve Model 27C -- 27C20F-5K11
R-DDV® Servovalve Model 27C -- 27C20F-5K12
R-DDV® Servovalve Model 27C -- 27C20F-5K13
R-DDV® Servovalve Model 27C -- 27C20F-5K14
R-DDV® Servovalve Model 27C -- 27C20F-5K15
R-DDV® Servovalve Model 27C -- 27C20F-5K16
R-DDV® Servovalve Model 27C -- 27C20F-5K17
R-DDV® Servovalve Model 27C -- 27C20F-5K18
0.78" port circle, 1.344" x 1.688" bolt pattern, nitrile o-ring standard
R-DDV® Servovalve Model 27E -- 27E50F-3B01
R-DDV® Servovalve Model 27E -- 27E50F-3B02
R-DDV® Servovalve Model 27E -- 27E50F-3B03
R-DDV® Servovalve Model 27E -- 27E50F-3B04
R-DDV® Servovalve Model 27E -- 27E50F-3B05
R-DDV® Servovalve Model 27E -- 27E50F-3B06
R-DDV® Servovalve Model 27E -- 27E50F-3B07
R-DDV® Servovalve Model 27E -- 27E50F-3B08
R-DDV® Servovalve Model 27E -- 27E50F-3B09
R-DDV® Servovalve Model 27E -- 27E50F-3B10
R-DDV® Servovalve Model 27E -- 27E50F-3B11
R-DDV® Servovalve Model 27E -- 27E50F-3B12
R-DDV® Servovalve Model 27E -- 27E50F-3B13
R-DDV® Servovalve Model 27E -- 27E50F-3B14
R-DDV® Servovalve Model 27E -- 27E50F-3B15
R-DDV® Servovalve Model 27E -- 27E50F-3B16
R-DDV® Servovalve Model 27E -- 27E50F-3B17
R-DDV® Servovalve Model 27E -- 27E50F-3B18
R-DDV® Servovalve Model 27E -- 27E50F-4A01
R-DDV® Servovalve Model 27E -- 27E50F-4A02
R-DDV® Servovalve Model 27E -- 27E50F-4A03
R-DDV® Servovalve Model 27E -- 27E50F-4A04
R-DDV® Servovalve Model 27E -- 27E50F-4A05
R-DDV® Servovalve Model 27E -- 27E50F-4A06
R-DDV® Servovalve Model 27E -- 27E50F-4A07
R-DDV® Servovalve Model 27E -- 27E50F-4A08
R-DDV® Servovalve Model 27E -- 27E50F-4A09
R-DDV® Servovalve Model 27E -- 27E50F-4A10
R-DDV® Servovalve Model 27E -- 27E50F-4A11
R-DDV® Servovalve Model 27E -- 27E50F-4A12
R-DDV® Servovalve Model 27E -- 27E50F-4A13
R-DDV® Servovalve Model 27E -- 27E50F-4A14
R-DDV® Servovalve Model 27E -- 27E50F-4A15
R-DDV® Servovalve Model 27E -- 27E50F-4A16
R-DDV® Servovalve Model 27E -- 27E50F-4A17
R-DDV® Servovalve Model 27E -- 27E50F-4A18
0.875" port circle, 1.750" x 2.562" bolt pattern, nitrile o-ring standard
R-DDV® Servovalve Model 27G -- 27G50F-1E01
R-DDV® Servovalve Model 27G -- 27G50F-1E02
R-DDV® Servovalve Model 27G -- 27G50F-1E03
R-DDV® Servovalve Model 27G -- 27G50F-1E04
R-DDV® Servovalve Model 27G -- 27G50F-1E05
R-DDV® Servovalve Model 27G -- 27G50F-1E06
R-DDV® Servovalve Model 27G -- 27G50F-1E07
R-DDV® Servovalve Model 27G -- 27G50F-1E08
R-DDV® Servovalve Model 27G -- 27G50F-1E09
R-DDV® Servovalve Model 27G -- 27G50F-1E10
R-DDV® Servovalve Model 27G -- 27G50F-1E11
R-DDV® Servovalve Model 27G -- 27G50F-1E12
R-DDV® Servovalve Model 27G -- 27G50F-1E13
R-DDV® Servovalve Model 27G -- 27G50F-1E14
R-DDV® Servovalve Model 27G -- 27G50F-1E15
R-DDV® Servovalve Model 27G -- 27G50F-1E16
R-DDV® Servovalve Model 27G -- 27G50F-1E17
R-DDV® Servovalve Model 27G -- 27G50F-1E18
R-DDV® Servovalve Model 27G -- 27G50F-3B01
R-DDV® Servovalve Model 27G -- 27G50F-3B02
R-DDV® Servovalve Model 27G -- 27G50F-3B03
R-DDV® Servovalve Model 27G -- 27G50F-3B04
R-DDV® Servovalve Model 27G -- 27G50F-3B05
R-DDV® Servovalve Model 27G -- 27G50F-3B06
R-DDV® Servovalve Model 27G -- 27G50F-3B07
R-DDV® Servovalve Model 27G -- 27G50F-3B08
R-DDV® Servovalve Model 27G -- 27G50F-3B09
R-DDV® Servovalve Model 27G -- 27G50F-3B10
R-DDV® Servovalve Model 27G -- 27G50F-3B11
R-DDV® Servovalve Model 27G -- 27G50F-3B12
R-DDV® Servovalve Model 27G -- 27G50F-3B13
R-DDV® Servovalve Model 27G -- 27G50F-3B14
R-DDV® Servovalve Model 27G -- 27G50F-3B15
R-DDV® Servovalve Model 27G -- 27G50F-3B16
R-DDV® Servovalve Model 27G -- 27G50F-3B17
R-DDV® Servovalve Model 27G -- 27G50F-3B18
R-DDV® Servovalve Model 27G -- 27G50F-5A01
R-DDV® Servovalve Model 27G -- 27G50F-5A02
R-DDV® Servovalve Model 27G -- 27G50F-5A03
R-DDV® Servovalve Model 27G -- 27G50F-5A04
R-DDV® Servovalve Model 27G -- 27G50F-5A05
R-DDV® Servovalve Model 27G -- 27G50F-5A06
R-DDV® Servovalve Model 27G -- 27G50F-5A07
R-DDV® Servovalve Model 27G -- 27G50F-5A08
R-DDV® Servovalve Model 27G -- 27G50F-5A09
R-DDV® Servovalve Model 27G -- 27G50F-5A10
R-DDV® Servovalve Model 27G -- 27G50F-5A11
R-DDV® Servovalve Model 27G -- 27G50F-5A12
R-DDV® Servovalve Model 27G -- 27G50F-5A13
R-DDV® Servovalve Model 27G -- 27G50F-5A14
R-DDV® Servovalve Model 27G -- 27G50F-5A15
R-DDV® Servovalve Model 27G -- 27G50F-5A16
R-DDV® Servovalve Model 27G -- 27G50F-5A17
R-DDV® Servovalve Model 27G -- 27G50F-5A18
R-DDV® Servovalve Model 27G -- 27G50F-9C01
R-DDV® Servovalve Model 27G -- 27G50F-9C02
R-DDV® Servovalve Model 27G -- 27G50F-9C03
R-DDV® Servovalve Model 27G -- 27G50F-9C04
R-DDV® Servovalve Model 27G -- 27G50F-9C05
R-DDV® Servovalve Model 27G -- 27G50F-9C06
R-DDV® Servovalve Model 27G -- 27G50F-9C07
R-DDV® Servovalve Model 27G -- 27G50F-9C08
R-DDV® Servovalve Model 27G -- 27G50F-9C09
R-DDV® Servovalve Model 27G -- 27G50F-9C10
R-DDV® Servovalve Model 27G -- 27G50F-9C11
R-DDV® Servovalve Model 27G -- 27G50F-9C12
R-DDV® Servovalve Model 27G -- 27G50F-9C13
R-DDV® Servovalve Model 27G -- 27G50F-9C14
R-DDV® Servovalve Model 27G -- 27G50F-9C15
R-DDV® Servovalve Model 27G -- 27G50F-9C16
R-DDV® Servovalve Model 27G -- 27G50F-9C17
R-DDV® Servovalve Model 27G -- 27G50F-9C18
1.75" port circle, 2.375" x 3.625" bolt pattern, for hazardous environments
R-DDV® Servovalve Model 27S -- 27S30*-**01
R-DDV® Servovalve Model 27S -- 27S30*-**01
R-DDV® Servovalve Model 27S -- 27S30*-**01
R-DDV® Servovalve Model 27S -- 27S30*-**01
R-DDV® Servovalve Model 27S -- 27S30*-**01
R-DDV® Servovalve Model 27S -- 27S30*-**01
R-DDV® Servovalve Model 27S -- 27S30*-**02
R-DDV® Servovalve Model 27S -- 27S30*-**02
R-DDV® Servovalve Model 27S -- 27S30*-**02
R-DDV® Servovalve Model 27S -- 27S30*-**02
R-DDV® Servovalve Model 27S -- 27S30*-**02
R-DDV® Servovalve Model 27S -- 27S30*-**02
R-DDV® Servovalve Model 27S -- 27S30*-**03
R-DDV® Servovalve Model 27S -- 27S30*-**03
R-DDV® Servovalve Model 27S -- 27S30*-**03
R-DDV® Servovalve Model 27S -- 27S30*-**03
R-DDV® Servovalve Model 27S -- 27S30*-**03
R-DDV® Servovalve Model 27S -- 27S30*-**03
R-DDV® Servovalve Model 27S -- 27S30*-**04
R-DDV® Servovalve Model 27S -- 27S30*-**04
R-DDV® Servovalve Model 27S -- 27S30*-**04
R-DDV® Servovalve Model 27S -- 27S30*-**04
R-DDV® Servovalve Model 27S -- 27S30*-**04
R-DDV® Servovalve Model 27S -- 27S30*-**04
R-DDV® Servovalve Model 27S -- 27S30*-**05
R-DDV® Servovalve Model 27S -- 27S30*-**05
R-DDV® Servovalve Model 27S -- 27S30*-**05
R-DDV® Servovalve Model 27S -- 27S30*-**05
R-DDV® Servovalve Model 27S -- 27S30*-**05
R-DDV® Servovalve Model 27S -- 27S30*-**05
R-DDV® Servovalve Model 27S -- 27S30*-**06
R-DDV® Servovalve Model 27S -- 27S30*-**06
R-DDV® Servovalve Model 27S -- 27S30*-**06
R-DDV® Servovalve Model 27S -- 27S30*-**06
R-DDV® Servovalve Model 27S -- 27S30*-**06
R-DDV® Servovalve Model 27S -- 27S30*-**06
R-DDV® Servovalve Model 27S -- 27S30*-**07
R-DDV® Servovalve Model 27S -- 27S30*-**07
R-DDV® Servovalve Model 27S -- 27S30*-**07
R-DDV® Servovalve Model 27S -- 27S30*-**07
R-DDV® Servovalve Model 27S -- 27S30*-**07
R-DDV® Servovalve Model 27S -- 27S30*-**07
R-DDV® Servovalve Model 27S -- 27S30*-**08
R-DDV® Servovalve Model 27S -- 27S30*-**08
R-DDV® Servovalve Model 27S -- 27S30*-**08
R-DDV® Servovalve Model 27S -- 27S30*-**08
R-DDV® Servovalve Model 27S -- 27S30*-**08
R-DDV® Servovalve Model 27S -- 27S30*-**08
R-DDV® Servovalve Model 27S -- 27S30*-**09
R-DDV® Servovalve Model 27S -- 27S30*-**09
R-DDV® Servovalve Model 27S -- 27S30*-**09
R-DDV® Servovalve Model 27S -- 27S30*-**09
R-DDV® Servovalve Model 27S -- 27S30*-**09
R-DDV® Servovalve Model 27S -- 27S30*-**09
R-DDV® Servovalve Model 27S -- 27S30*-**10
R-DDV® Servovalve Model 27S -- 27S30*-**10
R-DDV® Servovalve Model 27S -- 27S30*-**10
R-DDV® Servovalve Model 27S -- 27S30*-**10
R-DDV® Servovalve Model 27S -- 27S30*-**10
R-DDV® Servovalve Model 27S -- 27S30*-**10
R-DDV® Servovalve Model 27S -- 27S30*-**11
R-DDV® Servovalve Model 27S -- 27S30*-**11
R-DDV® Servovalve Model 27S -- 27S30*-**11
R-DDV® Servovalve Model 27S -- 27S30*-**11
R-DDV® Servovalve Model 27S -- 27S30*-**11
R-DDV® Servovalve Model 27S -- 27S30*-**11
R-DDV® Servovalve Model 27S -- 27S30*-**12
R-DDV® Servovalve Model 27S -- 27S30*-**12
R-DDV® Servovalve Model 27S -- 27S30*-**12
R-DDV® Servovalve Model 27S -- 27S30*-**12
R-DDV® Servovalve Model 27S -- 27S30*-**12
R-DDV® Servovalve Model 27S -- 27S30*-**12
R-DDV® Servovalve Model 27S -- 27S30*-**13
R-DDV® Servovalve Model 27S -- 27S30*-**13
R-DDV® Servovalve Model 27S -- 27S30*-**13
R-DDV® Servovalve Model 27S -- 27S30*-**13
R-DDV® Servovalve Model 27S -- 27S30*-**13
R-DDV® Servovalve Model 27S -- 27S30*-**13
R-DDV® Servovalve Model 27S -- 27S30*-**14
R-DDV® Servovalve Model 27S -- 27S30*-**14
R-DDV® Servovalve Model 27S -- 27S30*-**14
R-DDV® Servovalve Model 27S -- 27S30*-**14
R-DDV® Servovalve Model 27S -- 27S30*-**14
R-DDV® Servovalve Model 27S -- 27S30*-**14
R-DDV® Servovalve Model 27S -- 27S30*-**15
R-DDV® Servovalve Model 27S -- 27S30*-**15
R-DDV® Servovalve Model 27S -- 27S30*-**15
R-DDV® Servovalve Model 27S -- 27S30*-**15
R-DDV® Servovalve Model 27S -- 27S30*-**15
R-DDV® Servovalve Model 27S -- 27S30*-**15
R-DDV® Servovalve Model 27S -- 27S30*-**16
R-DDV® Servovalve Model 27S -- 27S30*-**16
R-DDV® Servovalve Model 27S -- 27S30*-**16
R-DDV® Servovalve Model 27S -- 27S30*-**16
R-DDV® Servovalve Model 27S -- 27S30*-**16
R-DDV® Servovalve Model 27S -- 27S30*-**16
R-DDV® Servovalve Model 27S -- 27S30*-**17
Model 27A HR TEXTRON 27E50F-4A06-999 R-DDV SERVO VALVE
In Premier Die Casting Company
Hr Textron 27C20F-5K05-CN4 R-ddv 10v Rotary-direct Drive Servo Valve B361320
Product ID: S-361320
MFG #: 27C20F-5K05-CN4
R-DDV HR Textron 27b50f-3c01g-999 Servo Valve R-ddv Direct Drive 1.2 GPM 5000 PSI Max
Servovalve, Hydraulic Family
R-DDV® Servovalve Model 27S -- 27S30*-**17
R-DDV® Servovalve Model 27S -- 27S30*-**17
R-DDV® Servovalve Model 27S -- 27S30*-**17
R-DDV® Servovalve Model 27S -- 27S30*-**17
R-DDV® Servovalve Model 27S -- 27S30*-**17
R-DDV® Servovalve Model 27S -- 27S30*-**18
R-DDV® Servovalve Model 27S -- 27S30*-**18
R-DDV® Servovalve Model 27S -- 27S30*-**18
R-DDV® Servovalve Model 27S -- 27S30*-**18
R-DDV® Servovalve Model 27S -- 27S30*-**18
R-DDV® Servovalve Model 27S -- 27S30*-**18
R-DDV® Servovalve Model 27B -- 27B50F-6F17
R-DDV® Servovalve Model 27B -- 27B50F-6F18
R-DDV® Servovalve Model 27B -- 27B50F-7G01
R-DDV® Servovalve Model 27B -- 27B50F-7G02
R-DDV® Servovalve Model 27B -- 27B50F-7G03
R-DDV® Servovalve Model 27B -- 27B50F-7G04
R-DDV® Servovalve Model 27B -- 27B50F-7G05
R-DDV® Servovalve Model 27B -- 27B50F-7G06
R-DDV® Servovalve Model 27B -- 27B50F-7G07
R-DDV® Servovalve Model 27B -- 27B50F-7G08
R-DDV® Servovalve Model 27B -- 27B50F-7G09
R-DDV® Servovalve Model 27B -- 27B50F-7G10
R-DDV® Servovalve Model 27B -- 27B50F-7G11
R-DDV® Servovalve Model 27B -- 27B50F-7G12
R-DDV® Servovalve Model 27B -- 27B50F-7G13
R-DDV® Servovalve Model 27B -- 27B50F-7G14
R-DDV® Servovalve Model 27B -- 27B50F-7G15
R-DDV® Servovalve Model 27B -- 27B50F-7G16
R-DDV® Servovalve Model 27B -- 27B50F-7G17
R-DDV® Servovalve Model 27B -- 27B50F-7G18
Make: Schneider Electric OSS-PCIe-HIB25-x1-H
Glsun SUN-OPM-3 C Handheld Optical Power Meter
Glsun SUN-OPM-3 C Handheld Optical Power Meter
Glsun 1 * 8 Optical Power Meter Automatic Test Equipment
Glsun SUN-OPM-3 C Handheld Optical Power Meter
Glsun SUN-ORL-3 Desk Type Optical Power Meter
Glsun SUN-ORL-3 Desk Type Optical Power Meter
Glsun SUN-LSU-13/15/85/13 Rack Mounted Light Source
Glsun SUN-LSU-13/15/85/13 Rack Mounted Light Source
Glsun SUN-LSU-13/15/85/13 Rack Mounted Light Source
Glsun SUN-LSU-13/15/85/13 Rack Mounted Light Source
Glsun SUN-LSU-13/15/85/13 Rack Mounted Light Source PACIFIC SCIENTIFIC 04G-020
Glsun OPM-3 C Handheld Optical Power Meter
Glsun OPM-3 C Handheld Optical Power Meter
Glsun OPM-3 C Handheld Optical Power Meter
Glsun OPM-3 C Handheld Optical Power Meter
Glsun OPM-3 C Handheld Optical Power Meter
Glsun OPM-3 C Handheld Optical Power Meter
Glsun OPM-3 C Handheld Optical Power Meter
Glsun OPM-3 C Handheld Optical Power Meter
Glsun SUN-ORL-3.1 Insertion Loss Return Loss Test
Glsun SUN-ORL-3.1 Insertion Loss Return Loss Test
Glsun SUN-ORL-3.1 Insertion Loss Return Loss Test
Glsun SUN-ORL-3.1 Insertion Loss Return Loss Test
Glsun SUN-ORL-3.1 Insertion Loss Return Loss Test
Glsun SUN-ORL-3.1 Insertion Loss Return Loss Test
Glsun SUN-ORL-3.1 Insertion Loss Return Loss Test
Glsun SUN-ORL-3.1 Insertion Loss Return Loss Test
Glsun Test Computer
Glsun Test Computer
Glsun Test Computer
Glsun Test Computer
Glsun Test Computer
Glsun Test Computer
Glsun Test Computer
Glsun SUN-OPM-3 C Handheld Optical Power Meter
Glsun SUN-OPM-3 C Handheld Optical Power Meter
Glsun SUN-OPM-3 C Handheld Optical Power Meter
Glsun SUN-OPM-3 C Handheld Optical Power Meter
Glsun SUN-OPM-3 C Handheld Optical Power Meter
Glsun SUN-OPM-3 C Handheld Optical Power Meter
Glsun SUN-OPM-3 C Handheld Optical Power Meter
Glsun SUN-OPM-3 C Handheld Optical Power Meter
Glsun SUN-OPM-3 C Handheld Optical Power Meter
Glsun SUN-OPM-3 C Handheld Optical Power Meter
Glsun 5 * 80 Road Rack Mounted Splitter (Black)
Glsun 1 * 2 Handheld Optical Switch
Glsun 1 * 2 Handheld Optical Switch
Glsun 1 * 2 Handheld Optical Switch
Glsun 1 * 2 Handheld Optical Switch
Glsun 1 * 3 Handheld Optical Switch
Glsun LASER SOURCE HLS-1 Handheld Red Light Source
Glsun LASER SOURCE HLS-1 Handheld Red Light Source
Glsun LASER SOURCE HLS-1 Handheld Red Light Source
Glsun LASER SOURCE HLS-1 Handheld Red Light Source
Glsun LASER SOURCE HLS-1 Handheld Red Light Source
Glsun LASER SOURCE HLS-1 Handheld Red Light Source
Glsun LASER SOURCE HLS-1 Handheld Red Light Source
Glsun RP-150 W Heat Tray
Glsun RP-150 W Heat Tray
Glsun RP-150 W Heat Tray
Glsun RP-150 W Heat Tray
Glsun RP-150 W Heat Tray
Glsun RP-150 W Heat Tray
Glsun RP-150 W Heat Tray
Glsun RP-150 W Heat Tray
Hai Bin Technology Wdmfr Semi Automatic Alignment System
Hai Bin Technology Wdmfr Semi Automatic Alignment System
Hai Bin Technology Wdmfr Semi Automatic Alignment System
Hai Bin Technology Wdmfr Semi Automatic Alignment System
Hai Bin Technology Wdmfr Semi Automatic Alignment System
Hai Bin Technology Wdmfr Semi Automatic Alignment System
HWUV HWUV-01 Uv Light Curing Chamber
Jilong Geelong KL-300 T Fusion Splicer
Lanpulike UVEC-4 Uv Spot Light Source Curing Machine
Lanpulike UVEC-4 Uv Spot Light Source Curing Machine
Lanpulike UVEC-4 Uv Spot Light Source Curing Machine
Lianyi CS-6-13 Fiber Adjustments For Reflection
Lianyi CS-6-13 Six Dimensional Adjustments
Lianyi CS-6-13 Six Dimensional Adjustments
Lianyi LD-631-S 1 Straight Through Fiber Adjustments
Lianyi LD-631-S 1 Straight Through Fiber Adjustments
Lianyi LD-631-S 1 Straight Through Fiber Adjustments
Lianyi LD-631-S 1 Straight Through Fiber Adjustments
Lianyi LD-631-S 1 Straight Through Fiber Adjustments
Lianyi LD-631-S 1 Straight Through Fiber Adjustments
Lianyi LD-631-S 1 Straight Through Fiber Adjustments
Lianyi LD-631-S 1 Straight Through Fiber Adjustments
Lianyi LD-631-S 1 Straight Through Fiber Adjustments
Lianyi LD-631-S 1 Straight Through Fiber Adjustments
Lianyi CS-6-13 Reflection Fiber Adjustments
Lightriar Technology FBT-LJS-001 Optical Coupler Manufacturing Machine
Lightriar Technology FBT-LJS-002 Optical Coupler Manufacturing Machine
Lightriar Technology FBT-LJS-003 Optical Coupler Manufacturing Machine
Lightriar Technology FBT-LJS-004 Optical Coupler Manufacturing Machine
Lightriar Technology FBT-LJS-005 Optical Coupler Manufacturing Machine
Lightriar Technology FBT-LJS-006 Optical Coupler Manufacturing Machine
Lightriar Technology FBT-LJS-007 Optical Coupler Manufacturing Machine
Lightriar Technology FBT-LJS-008 Optical Coupler Manufacturing Machine
Lightriar Technology FBT-LJS-009 Optical Coupler Manufacturing Machine
Lightriar Technology FBT-LJS-010 Optical Coupler Manufacturing Machine
Lightriar Technology FBT-LJS-011 Optical Coupler Manufacturing Machine
Lightriar Technology FBT-LJS-012 Optical Coupler Manufacturing Machine
Lightriar Technology FBT-LJS-013 Optical Coupler Manufacturing Machine
Lightriar Technology ZDJ-1000 Fbt Terminal
Lightriar Technology FBT-LJS-014 Optical Coupler Manufacturing Machine
Lightriar Technology FBT-LJS-015 Optical Coupler Manufacturing Machine
Lightriar Technology FBT-LJS-016 Optical Coupler Manufacturing Machine
Lightriar Technology FBT-LJS-017 Optical Coupler Manufacturing Machine
Lightriar Technology FBT-LJS-018 Optical Coupler Manufacturing Machine
Lightriar Technology FBT-LJS-019 Optical Coupler Manufacturing Machine
Lightriar Technology FBT-LJS-020 Optical Coupler Manufacturing Machine
Lightriar Technology FBT-LJS-021 Optical Coupler Manufacturing Machine
Lightriar Technology FBT-LJS-022 Optical Coupler Manufacturing Machine
Lightriar Technology FBT-LJS-023 Optical Coupler Manufacturing Machine
Lightriar Technology FBT-LJS-024 Optical Coupler Manufacturing Machine
Lightriar Technology FBT-LJS-025 Optical Coupler Manufacturing Machine
Lightriar Technology FBT-LJS-026 Optical Coupler Manufacturing Machine
Lightriar Technology LZ 17001 Fbt Terminal
Lightriar Technology FBT-LJS-027 Optical Coupler Manufacturing Machine
Lightriar Technology FBT-LJS-028 Optical Coupler Manufacturing Machine
Lightriar Technology FBT-LJS-029 Optical Coupler Manufacturing Machine
Lightriar Technology FBT-LJS-030 Optical Coupler Manufacturing Machine
Lightriar Technology FBT-LJS-031 Optical Coupler Manufacturing Machine
Lightriar Technology FBT-LJS-032 Optical Coupler Manufacturing Machine
Lightriar Technology FBT-LJS-033 Optical Coupler Manufacturing Machine
Lightriar Technology FBT-LJS-034 Optical Coupler Manufacturing Machine
Lightriar Technology B 4360 Pull Cone Test System
Lightriar Technology B 4360 Pull Cone Test System
Lightriar Technology B 4360 Pull Cone Test System
Lightriar Technology B 4360 Pull Cone Test System
Lightriar Technology B 4360 Pull Cone Test System
Lightriar Technology B 4360 Pull Cone Test System
Lightriar Technology B 4360 Pull Cone Test System
Lightriar Technology B 4360 Pull Cone Test System
Lightriar Technology B 4360 Pull Cone Test System
Lightriar Technology B 4360 Pull Cone Test System
Lightriar Technology B 4360 Pull Cone Test System
Lightriar Technology B 4360 Pull Cone Test System
Lightriar Technology B 4360 Pull Cone Test System
Lightriar Technology B 4360 Pull Cone Test System
Lightriar Technology B 4360 Pull Cone Test System
Lightriar Technology B 4360 Pull Cone Test System
Lightriar Technology B 4360 Pull Cone Test System
Lightriar Technology B 4360 Pull Cone Test System
Lightriar Technology B 4360 Pull Cone Test System
Lightriar Technology B 4360 Pull Cone Test System
Lightriar Technology B 4360 Pull Cone Test System
Lightriar Technology B 4360 Pull Cone Test System
Lightriar Technology B 4360 Pull Cone Test System
Lightriar Technology B 4360 Pull Cone Test System
Lightriar Technology B 4360 Pull Cone Test System
Lightriar Technology B 4360 Pull Cone Test System
Lightriar Technology B 4360 Pull Cone Test System
Lightriar Technology B 4360 Pull Cone Test System
Lightriar Technology B 4360 Pull Cone Test System
Lightriar Technology B 4360 Pull Cone Test System
Lightriar Technology B 4360 Pull Cone Test System
Lightriar Technology B 4360 Pull Cone Test System
Lightriar Technology B 4360 Pull Cone Test System
Lightriar Technology B 4360 Pull Cone Test System
Lightriar Technology B 4360 Pull Cone Test System
Lightriar Technology B 4360 Pull Cone Test System
Lightriar Technology B 4360 Pull Cone Test System
Lightriar Technology B 4360 Pull Cone Test System
Lightriar Technology B 4360 Pull Cone Test System
Lightriar Technology B 4360 Pull Cone Test System
MTO MT Stereo Microscope
MTO MT Stereo Microscope
MTO MT Stereo Microscope
MTO MT Stereo Microscope
MTO MT Stereo Microscope
MTO MT Stereo Microscope
MTO MT Stereo Microscope
MTO MS 740 Desktop Microscope
MTO MT Stereo Microscope
MTO MT Stereo Microscope
MTO MS 740 Desktop Microscope
MTO MS 740 Desktop Microscope
MTO MS 740 Desktop Microscope
Nantong Hunan H 101-2 AS Dry Oven
Nantong Hunan H 101-2 AS Dry Oven
Nantong Hunan H 101-2 AS Dry Oven
Nantong Hunan HN 101-OA Dry Oven
Rinchen RQ-20 HZ Corners Pressurized Fiber Polishing Machine
Rinchen RQ-20 HZ Corners Pressurized Fiber Polishing Machine
Rongfong 6050 XDZK-20 L-T Vacuum Dry Oven
Samwell ALP-12 D Central Pressurized Polishing Machine
Samwell ZQ-200 A Corners Pressurized Fiber Polishing Machine
Samwell ALP-12 D Central Pressurized Polishing Machine
Samwell ALP-12 D Central Pressurized Polishing Machine
Sumitomo Sumitomo TYPE-39 Fusion Splicer
Sumitomo Sumitomo TYPE-39 Fusion Splicer
Ushio SP 7-250 DB Uv Light Curing System
Ushio SP 7-250 DB Uv Light Curing System
Xianjinyiqigz High Precision Manual Coupling Alignment System
Xin Ze XINZE-C 2 Vacuum Machine
Yidelong SP-982 Dispenser
Yidelong SP-982 Dispenser
Yidelong SP-982 Dispenser
12 * 15 ml angle rotor Td4A Desktop Low Speed Centrifuge
7.5 KW Air Compressor
Advantest T 6671 Tester
Advantest T 5592 Tester
Advantest T 5592 Tester
Advantest T 6671 Tester
Advantest T 6671 Tester
Advantest T 6671 Tester
Applied Materials Semvision G 3 Scanning Electron Microscopes (SEM)
Applied Materials Nanosem 3 D Critical Dimension Scanning Electron Microscope
Applied Materials Nanosem 3 D Critical Dimension Scanning Electron Microscope
Applied Materials Quantum X+ Medium Current Implanter
Applied Materials Quantum X+ Medium Current Implanter
Applied Materials Verasem 3 D Critical Dimension Scanning Electron Microscope
Applied Materials Xr 80 Implanter
ASM A 412 Vertical Lpcvd Furnaces
Asml Pas 5500/300 I-Line Wafer Stepper
Asml Pas 5500/300 I-Line Wafer Stepper
Asml Pas 5500/550 Deep Uv Wafer Stepper
Asml Pas 5500/550 Deep Uv Wafer Stepper
Axcelis / Fusion GSD 200 E 2 Ion Implanter
Axcelis / Fusion GSD HE Ion Implanter
Axcelis / Fusion Purion M Ion Implanter
Axcelis / Fusion 200 Pcu
BTU Tcas 181-8-81 E 36 Oven
Control Air D-9-L-Sm-Um-Mod 184
Ebara Ufp-200/300 A
Ebara Ufp-300 A
Gemetec Elymat Iii Scientific And Laboratory Equipment - Other
GSI Lumonics Csp 300
KLA-Tencor AIT Xuv
KLA-Tencor Uv 1050 Interferometers
Kokusai Dj-1236 Vn-Df Vertical Lpcvd Furnaces
Lam Rainbow 4428 Etcher
Lam 9408 Se Etcher
Lam Rainbow 4428 XL Etcher
Lam Tcp 9400 Etcher
Mattson Aspen II Single Wafer Resist Stripper
Mattson Aspen II Single Wafer Resist Stripper
Mega Kinetics Megapure 6001 Hc
Metryx Mentor Df 3
Metryx Mentor Df 3
Micro Control Abes Iv
Micro Control Abes Iii ( 10 X)
Micro Control Wrp 64
MKS Ax 8559 Generator
MKS Liquozone Primo 3
Murata Css 10 Foup Stocker
Philips Elmet 75 H 0883 Moly Sinter Plate
Revera Veraflex Xray Diffractometers
Semitool R-310-Fmc 2
Semitool Spectrum Wafer Cleaner
Mattson / Steag Tiw Etch Tool
Karl Suss BA 300
Karl Suss Hvmmft
Karl Suss Hvmmft, Mold Fill Tool
Teradyne J 971 Tester
Teradyne J 971 Tester
Teradyne J 971 Tester
Teradyne J 995 Tester
Teradyne J 995 Tester
Teradyne Tester
Thermo Scientific Celestron Tlp Oscilloscope
Tel Act 12 Coater
Tel Lithius Pro I Manual Photoresist Coaters
Tel 8500 Pe Plasma Processing Equipment And Tools - Other
Tel Tcp 9608 Se Plasma Processing Equipment And Tools - Other
Tel Telius 308 S Plasma Processing Equipment And Tools - Other
Tel Telius 308 S Sccm Dt Chamber
Tel Telius Sp Sccm Dt Plasma Processing Equipment And Tools - Other
Balzers / Unaxis Clusterline 300
Varian Viision 80 Implanter
Varian Viision 80 Implanter
Aerotech PS 05 Metrology ENCODER, 2048, HAZ AREA
编码器,2048,危险区域 N10843
SOLENOID 螺线管 H10151
AIR CONDITIONER 空调
208/230-1PH-60HZ 36000BTU
220-1PH-50HZ-29880BTU
FRIEDRICH 弗里德里希 E30-1006-030
INPUT ANALOG 4 CH, 4-20mA, POINT I/O
输入模拟 4 通道,4-20mA,点 I/O N10923
FIBER OPTIC, NEMA 4X, WALL MOUNT, W/DUPLEX
光纤,NEMA 4X,壁挂式,W/DUPLEX E15759
OUTPUT, DIGITAL, 4 RELAY
输出,数字,4 继电器 N10641
INPUT, ANALOG 8 CH CURRENT POINT I/O
输入,模拟 8 通道电流点 I/O N10613
COMPACTLOGIX PROGRAMMABLE CONTROLLER COMPACTLOGIX 可编程控制器 N10942
INPUT, DIGITAL, 24VDC, 8CH , POINT I/O
输入, 数字, 24VDC, 8CH , POINT I/O N10612
VFD, DRIVE, 690 VAC, 15 HP, 20 AMP
变频器驱动690 VAC,15 HP,20 AMP E12885
VLV, HYD, DIR, 2 POS, 4 WAY, D03 H03-1024-010
VLV, HYD, DIR, 3 POS, 4 WAY, 24V, D03 H10059
VLV, HYD, DIR, 2 POS, 4 WAY, 24V, D03 H10142
VLV, HYD, DIR, 3 POS, 4 WAY, 24V, D03 H10053
TRANSMITTER, PRESS, 4-20mA, 3000PSI
变送器,压力机,4-20mA,3000PSI N10350
CABLE, 4 C #16 AWG, EXANE, 600V
电缆,4 C #16 AWG,EXANE,600V E03-1023-010
CIRCUIT BKR, 100A, 3P, 25 KIC, UV
电路 BKR,100A,3P,25 KIC,UV E10551
CIRCUIT BREAKER, 70A, H-FRAME
断路器,70A,H 型 E14238
INVERTER MODULE逆变器模块
Model: MVD300-4602, 型号:MVD300-4602,
Continuous AC Current: 300/400A,
连续交流电流:300/400A,
3 PH AC Voltage: 0-690V, Frequency: 0-200Hz (Output)
三相交流电压:0-690V,频率:0-200Hz(输出)
DC Current: 346/461A (approx.)
直流电流:346/461A(大约)
DC withstand Voltage: 1200V 直流耐压:1200V E15210
RTD,100 OHM, PLATINUM, 3WIRE, 2 ½ in
RTD,100 欧姆,铂金,3 线,2 ½ 英寸 E11088
Flex Analog Input Cat. No. 1794-IE8
Flex 模拟输入目录 编号 1794-IE8 N21-3002-010
OUTPUT, DIGITAL, 24V, 8 CH, POINT I/O
输出,数字,24V,8 通道,点 I/O N10647
OUTPUT, DIGITAL, 8PT, 24VDC, FLEX I/O
输出,数字,8PT,24VDC,FLEX I/O N21-3001-010
INPUT, DIGITAL, 16PT, 24VDC, FLEX I/O
输入,数字,16PT,24VDC,FLEX I/O N21-3000-010
ETAP,DLR, COPPER PORT, 2 FIBER PORTS
ETAP、DLR、铜端口、2 个光纤端口 N10880
ETAP, DLR, 2 COPPER PORTS, 1 FIBER PORT
ETAP、DLR、2 个铜端口、1 个光纤端口 N10879
PRESSURE SWITCH 压力开关 N10161
FUSE, DC BUS, SEMICONDUCT, 1300V/630A
保险丝,直流母线,半导体,1300V/630A E15676
FUSE, AC BUS, SEMICONDUCT, 650V/1600A
保险丝,交流总线,半导体,650V/1600A E15677
HPU TRANSMITTER/ELEMENT, TEMPERATURE
HPU 变送器/元件,温度 E11416
ASSY, CABLE, FIBER OPTIC, 6 CH RECEPTACLE
组件,电缆,光纤,6 通道插座 AY19271-3
ASSY,CABLE,FIBER OPTIC,6 CH RECEPTACLE
组件,电缆,光纤,6 通道插座 AY19271-30
ASSY,CABLE,FIBER OPTIC,6 CH,PLUGGED
组件,电缆,光纤,6 通道插头 AY19270-180
ASSY, CABLE, FIBER OPTIC, 6 CH PLUGGED
组件,电缆,光纤,6 通道插头
AY19270-230
ASSY, CABLE, FIBER OPTIC, 6 CH PLUGGED
组件,电缆,光纤,6 通道插头
AY19270-80
ASSY, CABLE, FIBER OPTIC, 6 CH PLUGGED
组件,电缆,光纤,6 通道插头
AY19270-110
CABLE, 4 C #8 AWG, PEND,POLYRAD XT,600V
电缆 E10196
CABLE, 10 C #12 AWG, PERFECT-A-FLEX,600V
电缆,10 C #12 AWG,PERFECT-A-FLEX,600V E11302
CABLE, 4 C #8 AWG, 600V, GENERIC
电缆,4 C #8 AWG,600V,通用 E03-1019-010
CABLE, 10 C #12 AWG, 600V, GENERIC
电缆,10 C #12 AWG,600V,通用 E11302
CABLE, 10 C #16 AWG, 600V, GENERIC
电缆,10 C #16 AWG,600V,通用 E03-1034-010
CABLE, 4 TP 20 AWG, SHLD
电缆,4 TP 20 AWG,SHLD E14168
CABLE, 7 C #10 AWG, 600V, TYFL
电缆,7 C #10 AWG,600V,TYFL E11059
ASSY, CABLE, FIBER OPTIC, 6 CH PLUG/RECPT
组件、电缆、光纤、6 通道插头/接收器 AY19773-30
ENCODER OPTICAL ISOLATOR MODULE, 5V OUT编码器光隔离器模块,5V 输出 N10922
RTD, DYNAMIC BRAKE RESISTOR
RTD,动态制动电阻器 E13224
DYNAMIC BRAKE, 800AMP, 575VAC/940VDC
动态制动,800AMP,575VAC/940VDC E15682
MICROSWITCH, INDICATOR, INVERTER, 630A
微动开关,指示灯,逆变器,630A E15299
MICROSWITCH, RECTIFIER FUSE
微动开关,整流器保险丝 E15301
CANBUS, BUS COUPLER,24 VDC,2 x 5 POS.
CANBUS,总线耦合器,24 VDC,2 x 5 POS。 E15173
INLINE ANALOG OUTPUT TERMINAL BLOCK
在线模拟输出端子块 E15709
RELAY, SAFETY, 2A/2B, CONTACTS,24VDC
继电器,安全,2A/2B,触点,24VDC E15688
FUSE,600V,10AMP,CL CC,TDEL,REJ,MIDGET
保险丝,600V,10AMP,CL CC,TDEL,REJ,MIDGET E105789
KIT, PCB, I/O Model: MVC3002-4001A MV3000 Delta I/O Panel
套件、PCB、I/O 型号:MVC3002-4001A MV3000 Delta I/O 面板 AY21504
POWER SUPPLY, INVERTER, 575-690V, MV3000
电源,逆变器,575-690V,MV3000 E15209
INTERFACE, ETHERNET, MV3000, MODIFIED
接口,以太网,MV3000,修改 E18233
SERVICE LOOP, AC POWER, 5 IN, 500T,77 FT
服务回路,交流电源,5 英寸,500 吨,77 英尺 AY19252-2-1
SERVICE LOOP,CONTROL,4 IN,76 FT,FIBER
服务回路,控制,4 英寸,76 英尺,光纤 AY19251-2
Akrion HL 2000
Canon FPA 2500 I 3 Stepper
Dage 4000 HS Metrology
Dainippon (DNS) 200 W Interface
Dainippon (DNS) SD-80 R Developer
Dainippon (DNS) SC-80 R Coater
Dainippon (DNS) SD-80 R Developer
Dainippon (DNS) SC-RW 8 Coater
Dainippon (DNS) SC-80 R Coater
ECI QL-10 STAND Ql-10 Stand Metrology
Gasonics Iridia 4800 DL Asher
Gasonics Iridia Asher
Gasonics Iridia Asher
Gasonics Iridia Asher
GSI Lumonics WH 4100
Jeol 7505
Kensington CSMT 4
KLA-Tencor ULTRA
Mactronix AE 2-600 Ae2 Wafer Sorter
Noran 683 A 1 SPS
Novellus PEP IRIDIA Asher
Polyflow S-620 OMNI CLEAN
Polyflow S-620
Polyflow S-620
Rudolph FE-VII
Semix Tazmo 8131 SOG
Shibuya SBM 360 Ball Drop
Spec SBXAT 5-80 Wet Process
Spec SBXAT 1080 Wet Process
Spec SBXAT 1080 Wet Process
Ulvac Phoenix Asher
Ulvac Phoenix Asher
Ulvac Phoenix Asher
Ulvac Phoenix Asher
Ulvac Phoenix Asher
Ulvac Phoenix Asher
Universal US 66-RA 2610 Wet Process
Up Semi Wet Process
Varian E 500 Implanter "Software Rev- 12.10.21
Boron Gas Bottle Type- SDS
Arsine Gas Bottle Type- SDS
Phos Gas Bottle Type- SDS
External Argon Input- Yes
Type of Source- Bernus Pigtail
Source HiVac Pump- Seiko 1003c Source Rough Pump- Edwards QDP80 Extraction Potential- 70KV
Ion Gauge Type- Varian CCIG
Acell Voltage Potential- 180KV
External HV Resistor Readback- Yes Mirror- Yes
Analyzer HiVac Pump- Seiko 1003c Scanner HiVac PumpSeiko 1003c Beamline Roughing Pump- None
Analyzer Ion Gauge- Varian CCIG
Scanner Ion Gauge- Varian CCIG
Remote Monitor- Yes / Varian
HiVac Pump- CTI Onboard 10
Load Lock Roughing Pump- QDP80 Differential Roughing Pump- QDP80/QMD500
Load Lock HiVac Pump- Varian 250 Wafer Walk Out Detection- Yes
High Throughput Load Locks- No
E-Chuck Platen- Yes
Flat Cable for Roplat- No
Metals Reduction Kit- Partial
Operator Interface Screen- Flat Panel
"
Varian E 500 Implanter
Fluke 2638 A/20
Fluke 2638 A/20
Fluke 2638 A/20
Fluke 2638 A/20
Fluke 2638 A/20
Fluke 2638 A/20
Fluke 2638 A/20
Fluke 2638 A/20
Fluke 2638 A/20
Fluke 2638 A/20
Fluke 2638 A/20
Fluke 2645 A
Fluke 2680 A-FAI
Fluke 2686 A
Fluke 2686 A
Fluke 294-U 115 V
Fluke 52120 A/COIL 3 KA
Fluke 52120 A/COIL 6 KA
Fluke 5320 A/VLC/40 US
Fluke 5790 B/5
Fluke 5790 B/5
Fluke 6003 A/PQ/E 230
Fluke 6105 A/80 A/E/CLK
Fluke 8846 A 120 V
Fluke 910 R
Fluke 9500 B/3200
Fluke 9530 FLK
Fluke 9530 FLK
Fluke 9550 FLK
Fluke 96270 A/LL/HF
Fluke A 40 B-001 MA
Fluke A 40 B-10 A
Fluke A 40 B-1 A
Fluke A 40 B-500 MA
Fluke A 40 B-5 A
ASM AD 8930 Die Bonder
ASM AD 8930 Die Bonder
ASM AD 8930 Die Bonder
ASM AD 8930 Die Bonder
ASM AD 8930 Die Bonder
ASM AD 8930 Die Bonder
ASM AD 8930 Die Bonder
ASM AD 8930 Die Bonder
ASM AD 8930 Die Bonder
ASM AD 8930 Die Bonder
ASM AD 8930 Die Bonder
ASM AD 830 Die Bonder
ASM AD 830 Die Bonder
ASM AD 830 Die Bonder
ASM AD 830 Die Bonder
ASM AD 830 Die Bonder
ASM iHawk Wire Bonder
ASM iHawk Wire Bonder
ASM iHawk Wire Bonder
ASM iHawk Wire Bonder
ASM Eagle Wire Bonder
ASM Eagle Wire Bonder
ASM iHawk Wire Bonder
ASM iHawk Wire Bonder
ASM iHawk Wire Bonder
ASM iHawk Wire Bonder
ASM Eagle Wire Bonder
ASM Eagle Wire Bonder
ASM iHawk Wire Bonder
ASM iHawk Wire Bonder
ASM iHawk Wire Bonder
ASM iHawk Wire Bonder
ASM iHawk Wire Bonder
ASM iHawk Xtreme Wire Bonder
ASM AD 8930 Die Bonder
ASM AD 8930 Die Bonder
Cannon Bestem D 01 Np Die Bonder
Cannon Bestem D 01 Np Die Bonder
Cannon Bestem D 01 Np Die Bonder
Cannon Bestem D 01 Np Die Bonder
Cannon Bestem D 01 Np Die Bonder
Cannon Bestem D 10 Sp Die Bonder
Daitron DBM-402 R Substrate Separation
Daitron DBM-402 R Substrate Separation
Daitron DBM-402 R Substrate Separation
Daitron DBM-402 R Substrate Separation
Daitron DBM-402 R Substrate Separation
EME V-mini 300 Vacuum Mixer
EME V-mini 300 Vacuum Mixer
EME V-mini 300 Vacuum Mixer
EME V-mini 300 Vacuum Mixer
EME V-mini 300 Vacuum Mixer
EME V-mini 300 Vacuum
EME VMX-N 550 Vacuum
Espec PH-201 M Oven
Espec PH-201 M Oven
Espec PH-201 M Oven
Espec PH-201 M Oven
Espec PH-201 M Oven
Espec PH-201 M Oven
Espec PH-201 M Oven
Espec PH-201 M Oven
Espec PH-201 M Oven
Espec PH-201 M Oven
Espec PH-201 M Oven
Espec PH-201 M Oven
Espec PH-201 M Oven
Espec PH-201 M Oven
Espec PH-201 M Oven
Espec PH-201 M Oven
Espec PH-201 M Oven
Hitachi SPC-100 B Plasma Cleaning
Jam HYP 505 S Press
Jam HYP 505 S Press
Kaijo FB 880 Wire Bonder
Kaijo FB 880 Wire Bonder
Kaijo FB 880 Wire Bonder
Kaijo FB 880 Wire Bonder
Kaijo FB 880 Wire Bonder
Kaijo FB 880 Wire Bonder
Kasuga NUS-0076 Handler
Kasuga NUS-0076 Handler
Kasuga NUS-0076 Handler
Kasuga NUS-0076 Handler
Kasuga NUS-0076 Handler
Kasuga NUS-0076 Handler
Kasuga NUS-0076 Handler
Kasuga NUS-0076 Handler
Kasuga NUS-0076 Handler
Kotobuki BKM-002 K-04 Pcb Breaking
Kotobuki BKM-002 K-04 Pcb Breaking
Kotobuki BKM-002 K-04 Pcb Breaking
Kotobuki STH 001 K Handler
Kotobuki STH 004 K Handler
Musashi SHOTS Master 300 Damring
Musashi SHOTS Master 300 Damring
Musashi SHOTS Master 300 Damring
Musashi SHOTS Master 300 Damring
Musashi SHOTS Master 300 Damring
Musashi SHOT MINI 200 Molding
Musashi SHOT MINI 200 Molding
Musashi SHOT MINI 200 Molding
Musashi SHOT Master 300 Molding
Musashi SHOT MINI 200 Molding
Musashi SHOT MINI 200 Molding
Musashi SHOT MINI 200 Molding
Musashi SHOT MINI 200 Molding
Musashi SHOT Master 300 Molding
Musashi SHOT Master 300 Molding
Musashi SHOT Master 300 Molding
Musashi SHOT Master 300 Molding
Musashi SHOTS Master 300 Molding A
Musashi SHOTS Master 300 Molding A
Musashi SHOTS Master 300 Molding A
Musashi SHOTS Master 300 Molding A
Musashi SHOTS Master 300 Molding A
Musashi SHOTS Master 300 Molding A
Musashi Shots Mini 200 ? Molding A
Musashi Shots Mini 200 ? Molding A
Nihon Garter NTC-3700 Taping
Nihon Garter NTC-3700 Taping
Nihon Garter NTC-3700 Taping
Nihon Garter NTC-3700 Taping
Nihon Garter NTC-3700 Taping
Nihon Garter NTC-3700 Taping
Nihon Garter NTC-3700 Taping
Nihon Garter NTC-3700 Taping
Nihon Garter NCT 3700 Taping
Nihon Garter NCT 3700 Taping
Nihon Garter NCS-170111 Handler
Teknologue LX 4681 A Tester
Teknologue LX-4651 A Tester
Teknologue LX 4651 A Tester
Teknologue LX 465 X Series Tester
Teknologue LX 465 X Series Tester
Teknologue LX 465 X Series Tester
Teknologue LX 465 X Series Tester
Teknologue LX 465 X Series Tester
Teknologue LX 465 X Series Tester
Teknologue LX 465 X Series Tester
Teknologue LX 465 X Series Tester
Teknologue LX 465 X Series Tester
Teknologue LX 465 X Series Tester
Towa LCM 1010 Molding B
Towa LCM 1010 Molding B
Towa LCM 1010 Molding B
Towa LCM 1010 Molding B
Ueno Seiki HP-851 Handler
Advantest M 6541 A Pick & Place Memory Handler
Advantest M 6541 A Pick & Place Memory Handler
Advantest M 6541 A Pick & Place Memory Handler
Advantest M 6541 A Pick & Place Memory Handler
Advantest M 6541 A Pick & Place Memory Handler
Advantest M 6541 A Pick & Place Memory Handler
Advantest M 6541 AD Pick & Place Memory Handler
Advantest M 6541 AD Pick & Place Memory Handler
Advantest M 6541 AD Pick & Place Memory Handler
Advantest M 6541 AD Pick & Place Memory Handler
Advantest M 7211 A ATE Peripheral Calibration Robot
Advantest T 5377 Test Head
Advantest T 5377 S Memory Tester
Advantest T 5377 S Memory Tester
Advantest T 5377 S Memory Tester
Advantest T 5377 S Memory Tester
Advantest T 5586 Memory Tester
Advantest T 5586 Memory Tester
Advantest T 5586 Memory Tester
Advantest T 5586 Memory Tester
Advantest T 5586 Memory Tester
Advantest T 5586 Memory Tester
Advantest T 5586 Memory Tester
Advantest T 5586 Memory Tester
Advantest T 5586 Memory Tester
Advantest T 5586 Memory Tester
Advantest T 5586 Memory Tester
Advantest T 5593 Memory Tester High Speed Ddr Memory Test System
Apic Yamada MS-150 HP Molding System Manual
Applied Materials Centura 4.0 DPN Gate Stack Decoupled Plasma Nitride Nitridation System
Applied Materials Centura 4.0 Radiance RTP
Applied Materials Centura 4.0 Radiance RTP
Applied Materials Centura ACP ALD High-K Ald (Atomic Layer Deposition)
Applied Materials Centura AP DPS II Polysilicon Etcher
Applied Materials Centura AP Silvia TSV Polysilicon Etch
Applied Materials Centura AP Silvia TSV Polysilicon Etch
Applied Materials Centura AP Ultima X HDP CVD
Applied Materials Centura AP Ultima X HDP CVD
Applied Materials Producer SE APF PECVD
Applied Materials Producer SE SACVD HARP SACVD
Applied Materials Raider ECD (Electro Chemical Deposition)
Applied Materials Uvision 200 Brightfield Inspection
Applied Materials Uvision 4 Brightfield Inspection
Applied Materials Uvision 4 Brightfield Inspection
Applied Materials Uvision 4 Brightfield Inspection
Applied Materials Uvision 5 Brightfield Inspection
Applied Materials Vantage RadOx Rapid Thermal Process (RTP)
Blue M DC-136 KY Bake Oven
Canon FPA-5000 ES 4 248Nm (Krf) Scanner
Canon FPA-5000 ES 4 248Nm (Krf) Scanner
Cascade PS 300 Prober
Dainippon FC-3100 Batch Wafer Processing
Dainippon SU-3000 Single Wafer Processing
Dainippon SU-3000 Single Wafer Processing
Dainippon SU-3000 Single Wafer Processing
Dainippon SU-3000 Single Wafer Processing
Dainippon SU-3000 Single Wafer Processing
Dainippon SU-3000 Single Wafer Processing
Dainippon SU-3000 Single Wafer Processing
Dainippon SU-3000 Single Wafer Processing
Daitron Emtec CVP-320 Wafer Edge (Peripheral & Notch) Contouring Machine
Disco DFD 6361 Wafer Dicing Saw
Disco DFD 6361 Wafer Dicing Saw
Eco Snow MaskClean 150 Reticle Cleaner
Eles Semiconductor ART WLT&C 200 Wafer Level Tester & Cycler
Espec SCO-122 B-L Polyimide Bake Oven
Hitachi IS-3000 Darkfield Inspection
Hitachi LS 6800 Particle Measurement
Hitachi RS-5000 Scanning Electron Microscope (SEM) - Defect Review (Dr)
Hitachi RS-5500 Scanning Electron Microscope (SEM) - Defect Review (Dr)
Hitachi S-9380 II Scanning Electron Microscope (SEM) - Critical Dimension (Cd) Measurement
Hitachi S-9380 II Scanning Electron Microscope (SEM) - Critical Dimension (Cd) Measurement
Hitachi S-9380 II Scanning Electron Microscope (SEM) - Critical Dimension (Cd) Measurement
Hitachi Kokusai Electric Inc. Aldinna Ald (Atomic Layer Deposition)
Hitachi Kokusai Electric Inc. Lamda 300 Stripper/Asher
KLA-Tencor Archer AIM+ Overlay Measurement System
KLA-Tencor Archer AIM+ Overlay Measurement System
KLA-Tencor Archer AIM+ Overlay Measurement System
KLA-Tencor Archer XT+ Overlay Measurement System
Kobelco SBW-330 Wafer Characterization
Kokusai Electric Quixace II Doped Poly Vertical Lpcvd Furnace
Kokusai Electric Quixace Ultimate Vertical Diffusion Furnace
Kokusai Electric Zestone-III(C) DJ-1223 V Vertical Diffusion Furnace
Kokusai Electric Zestone-V(B) DD-1205 V Vertical Diffusion Furnace
Kokusai Electric Zestone-V(B) DD-1205 V Vertical Diffusion Furnace
Kokusai Electric Zestone-V(B) DD-1205 V Vertical Diffusion Furnace
Lam 2300 Versys Kiyo Polysilicon Etcher Mainframe Only
Manufacturing Integration Technology / MIT TML 8000 UR Tray Mark & Lead/Ball Inspection System
Micromanipulator 6100 Manual Prober
Nanometrics LYNX Critical Dimension (Cd) Measurement (Non Sem)
Nicolet Magma 560 Ft-Ir
Nova Measuring Instruments NovaScan 3090 Integrated Cmp Endpoint / Film Measurement
Novellus Concept Three Speed NExT Hdp Cvd
Olympus AL 3320 F Macro-Defect
Rorze RSC 222 Wafer Sorter Dual Port 12"
Rorze RSC 222 Wafer Sorter Dual Port 12"
Rorze RSC 242 Wafer Sorter
Rudolph S 3000 S Film Thickness Measurement System
Rudolph WS 3840 Bump Inspection
Sawatec HP-401 Softbake And Hardbake
Sela EM 2 Automated Tem/Stem Sample Preparation
Semitool Storm 300 Carrier Cleaner
Semitool Storm 300 Carrier Cleaner
SEZ 323 Single Wafer Processing
SEZ 323 Single Wafer Processing
SEZ 323 Single Wafer Processing
Sosul Extrima 3100 Bevel Etch
Tazmo WSS 12101 M Wafer Bonder Tws3000 Series Wafer Support System
Thermo Scientific Merlin M 75 Chiller/Heat Exchanger
Tel ALPHA-303 i Vertical Diffusion Furnace
Tel ALPHA-303 i Oxide Vertical Oxide Furnace
Tel ALPHA-303 i Oxide Vertical Oxide Furnace
Tel ALPHA-303 i Oxide Vertical Oxide Furnace
Tel Certas Single Wafer Processing
Tel CLEAN TRACK ACT 12 Dual Block Coater / Developer
Tel CLEAN TRACK ACT 12 Dual Block Coater / Developer
Tel CLEAN TRACK ACT 12 Dual Block Coater / Developer
Tel CLEAN TRACK ACT 12 Single Block (Resist Coater/Developer)
Tel NT 333 Ald (Atomic Layer Deposition)
Tel TELINDY ALD High-K Vertical Lpcvd Furnace
Tel TELINDY ALD High-K Vertical Lpcvd Furnace
Tel TELINDY Oxide Vertical Lpcvd Furnace
Tel TELINDY Plus ALD High-K Vertical Furnace
Tel TELINDY Plus ALD High-K Vertical Furnace
Tel TELINDY Plus ALD High-K Vertical Furnace
Tel TELINDY Plus ALD High-K Vertical Furnace
Tel TELINDY Plus ALD High-K Vertical Furnace
Tel TELINDY Plus ALD High-K Vertical Furnace
Tel TELINDY Plus ALD High-K Vertical Furnace
Tel TELINDY Plus ALD High-K Vertical Furnace
Tel TELINDY Plus ALD High-K Vertical Furnace
Tel TELINDY Plus ALD High-K Vertical Furnace
Tel TELINDY Plus ALD High-K Vertical Furnace
Tel TELINDY Plus ALD High-K Vertical Furnace
Tel TELINDY Plus ALD High-K Vertical Furnace
Tel TELINDY Plus ALD High-K Vertical Furnace
Tel Trias Ti/TiN Metal CVD
Tel Trias Ti/TiN Metal CVD
Tel Trias Ti/TiN Metal CVD
Tel Trias Ti/TiN Metal CVD
Tel Trias Ti/TiN Metal CVD
Tel Trias Ti/TiN Metal CVD
Tel Trias W MOCVD
Tel Trias W MOCVD
Tel UNITY-EP Metal CVD
Tel UW 300 Z Batch Wafer Processing
Toray 7000 R 300 Wafer Inspection
Toray SP-500 W 12 A Bump Height Measurement
Ulvac Entron W 300 Copper Interconnect PVD
Alcan Tech MAS-8199 Asher
Alcan Tech MAS-8000 Asher
Alcan Tech MAS-8000 Asher
Alcan Tech MAS-8000 Asher
Alcan Tech MAS-8000 Asher
Asia Local Slurry Supply Cabinet
Asia Local Slurry Supply Cabinet
Asia Local Slurry Supply Cabinet
Asia Local Slurry Supply Cabinet
Asia Local Slurry Supply Cabinet
ASM UHV-A 600 Thermal Hsg/Usg
ASM Eagle 10 Cvd
ASM Eagle 10 Cvd
Canon - Anelva ILD-4100 SDⅡ Al Etcher
Canon - Anelva ILD-4100 SDⅡ Al Etcher
Canon - Anelva ILD-4100 SR Al Etcher
Canon - Anelva ILD-4100 SR Al Etcher
Canon - Anelva ILD-4100 SR Al Etcher
Canon - Anelva ILD-4100 SR Al Etcher
Canon - Anelva 1-1060 SV 2 + 1 PVD
Canon - Anelva I-1060 SV 2 + 1 PVD
Dainippon (DNS) FL-820 L Hsg Pre-Treat Hood
Dainippon (DNS) SR-8040 A DSPIN Organic Strip
FSI EXC 2000 Hf Vapor Etch
Hitachi M-308 Al Etcher
Hitachi M-308 Al Etcher
Hitachi M-602 Al Etcher
Hitachi M-501 Al Etcher
HKE VERTEX-Ⅲ(F) Vertical Diffusion Furnace-Low
Kaijo RT-1030 T-C Acid Clean Bath
KLA-Tencor ES 20 XP Scanning Electron Microscope (SEM)
Leica Leitz MIS 200 Inspection Scanning Electron Microscope (SEM)
Nikon NSR-2205 EX 12 B Krf Stepper
Nikon NSR-2205 EX 12 B Krf Stepper
Nissin EXCEED 200 OA Implanter
SDI Fast 230 Surface Charge Analyzer
Seiko Sxi 200 P K-A 113000022 Inspection Scanning Electron Microscope (SEM)
Seiko Sxi 200 P K-A 113000022 Inspection Scanning Electron Microscope (SEM)
Semitool Wet Hood
Semitool SST-D-632-280 K Wet Hood
Semitool SST-C-742-280 Organic Strip
Strausbaugh / DNS Model-6 DS-SP/SP-W 813-AS Cmp
Strausbaugh / DNS Model-6 DS-SP/AS-2000 Cmp
SVG AVP 8000 Diffusion
SVG AVP 8000 Diffusion
Tel TE-8500 P ESC Etcher
Tel TE-8401 Etch
TKK MAC-92 MV 1 Tkk Overlay
TKK MAC-92 MV 1 Tkk Overlay
TKK MAC-92 MV 1 Tkk Overlay
TKK MAC-92 MV 1 Tkk Overlay
TKK MAC-92 MV 1 Tkk Overlay
TKK MAC-110 MV 1 Tkk Overlay
TYK 285507 Slurry Supply Unit For Sio2(Sso303)
Yuasa DR-8 Developer
Yuasa SR-8 Developer
Yuasa SR-8 Developer
Yuasa SR-8 Developer
Adhesive Pumping, slot die coating, adhesive drying, roll-roll lamination
Adhesive Pumping, reverse roll coating, adhesive drying, roll-roll lamination
Dainippon (DNS) SK-200 W-BVPF Coat / Develop Cluster Track System "- 4 Cassette Stage Load Stations
- 2 Resist Coat Modules
- 3 Developer Modules
- 2 EEW Hamamatsu UV Station
- 7 HP Stations
- 1 IFD1 Station
- Footprint 8'x16'
- 1 DNS Loader Station
- 2 DNS ACU Temp/Humidity Cabinets
- 1 Molecular Analytics"
Dainippon (DNS) SK-200 W-BVPF Coat / Develop Cluster Track System - Parts Tool
Semitool SAT 5 Acid Clean Station
Semitool SAT 6 Acid Clean Station
Semitool SAT 10 Acid Clean Station
Semitool SAT 2 Acid Clean Station
Xevios Spacer Sprayer (glass spheres)
Despatch LAC Series Burn-In Oven
STS Multplex ASE Etcher "- ASE Chamber
- Load Lock
- ASE E-Rack
- RF Generators
- Affinity Chiller
- (1) QDP80 OMB 250 / PROC Pump
- Edwards D 40 LL Pump
- (3) Miscellaneous Boxes"
Excellon 2000 Drill
ATI Router
Spartanics Punch
Nuarc Shooters Xxposure Unit
Uniline Single Head Drill
Yes 450 PB 8-2 P-CP High Temperature Vacuum Polyamide Cure Oven "- Includes separate oven and controller assemblies
- BOC Edwards XDS 36i vacuum pump included Oven Assembly:
- Chamber: One compartment-14.4""ID(Barrel) x 9.9""H x 25.7""D
- Working Area 9.4""W x 9""H x 18.1""D
- Overall oven dimension 26.13""W x 29.67""H x 44.60""D
- Wafer capacity: 2 cassettes of up to 8-inch wafers
- Material of construction: Electropolished 316L stainless steel
- Includes full dimension 100 micron inlet for preheated laminar nitrogen purge Throttle valve assembly:
- Air-cooled chamber with external forced air convection Controller Assembly -
- Console dimension: 19.0""D x 24.5""W x 7.5""H
- PLC & touchscreen controller
- Programmable temperature controller, 25-450C with LED temperature readout and ramp up, ramp down capability
- Multiple PID setting in temperature controller allows flexibility to run different process temperatures
- Momentary contact START and RESET switches
- Audible ""Cycle Complete"" indicator
- Digital Granville-Phillips double setpoint vacuum gauge
- High and Low pressure nitrogen checkpoint
- Audible and visual indication of incorrect process
- Light tower with ""abort"", ""cycle complete"" and ""running"" lights with audible alarm
- Overtemp protection shut-off
- EMO (front and rear)
- Auto Restart for power failure
- Includes stainless steel wafer cassettes
"
Nikon NSR 2205 i 11 D Stepper
Dage XD 7600 X-Ray
Applied Materials SinGen Chamber
Applied Materials MxP Chambers Polyamide ESC Type
Canon FPA-2500 i 3 Prealigner
Novellus Concept 2 Flat Aligner
KLA-Tencor 2122 Defect Inspection System "- Including SAT
- Including SMIF PIO Interlock amd 2x Asyst Smifs ARM2000
- Including KLA 2542 analysis workstation"
Jeol JSM-6600 Scanning Microscope "- included EDX option
- secondary electron image resolution (at 8mm working distance): at 35kV 3.5nm and at 1kV 20.0nm atteinable
- magnification: 10x to 300,000x
- accelerating voltage: 0.2 to 40kV (0.2 to 5kV variable in 0.1kV steps, 5 to 40kV variable in 1kV steps)
- 200VAC, 1 phase, 30A, 50/60Hz, 6KVA"
SCP / Akrion Evoltuion 200 Oxide Strip Wet Station "- convertible to 8""
- Load/Unload: Dual SMIF Arm with Wafer Transfer System (LRL process flow)
- Blank Module for Doped Cassette staging area
- Modules 1/2: Doped HF + Dynaflow Rinse
- Modules 3/4: HF diluted + Blank
- Modules 5/6: HF diluted + Dynaflow Rinse
- modules 7/8: End.Effector Wash and Dry + SCP Green Dryer
Comments: BTS has to be repaired because parts are missing"
Electro Scientific Industries Laser Trimming Tool "Missing Parts:
- Laser trimming
- positionning Laser
- alignment Computer"
Applied Materials Endura Parts "0910-01073 PORTE FUSIBLE 5
0910-01074 CAPOT PORTE FUSIBLE 6
3550-01117 PIN DOWEL 3/16 x 3/8L 2
3300-02884 FTG PLUG HOLLOW HEX 7/16 4
0015-20035 SCREWS INSULATOR 2
3690-01944 SCREW 1/4-20 X 7/8 10
3690-02126 SCREW 6-32 X 7/8 116
3690-01847 SCREW 8-32 X 3/4 5
3690-02564 SCREW 6-32 X 7/16 TITA. SLOT.F 18
3690-02568 SCREW 4-40 X 1/4 CAP 8
3690-02569 SCREW 6-32 X 1 TITAN 20
3880-01022 WASHER SPLIT 17
3880-01004 WASHER FLAT 11
0020-24236 CLIP SPRING PC II 4
3320-02242 WASHER CUIVRE 5
0190-70075 BEARING BALL 1.0BORE 1.388 4
3630-01092 RETAINING RING INT 1
3630-01091 RETAINING RING EXT 6
0020-20117 CONDUCTOR FLEX DC BIAS 1
0020-29409 CONDUCTOR FLEX DC BIAS 2
0020-20114 INSULATOR DC BIAS 3
0020-20113 HUB DC BIAS ASSY 2
0020-20119 PAD DC BIAS 3
0020-22839 PIN PRECLEAN LIFT 2
0020-22805 PLATE ADAPTER 2
3880-01006 WASHER FLAT #6.3750D X .149 17
3880-01304 WASHER FLAT 1/4"" .045 THK S 2
3690-01125 SCREW 6-32 X 3/8 PHILIPS PAN 1
3690-02674 SCREW 1/4-20 X 1/2 PHILIPS PAN 6
3500-01175 NUT KEPS 1/4-20 X 15/64TH 9
3690-02085 SCREW 1/4-20 X 3/8 CAP 26
3410-01008 INSR 1/2 OD X 3/8 ID SST 5
0020-16091 BUTTON REST PEDESTAL TIN COATE 3
3690-01882 SCREW MACH SKT HK 6-32X1.75L 10
0020-23587 SPACER MODIF SHIELD 8"" G-12 7
3880-01023 WASHER 108
0020-25730 SHIELD UPPER G12 DBL 1
3320-01026 GASKET COPPER 2
0150-20617 CABLE ASSY CH 5 HEATER CONVECT 1
0140-20542 HARNESS ASSY CHAMBER 5 OVERTEM 1
0150-20618 CABLE ASSY CH 5 HEATER TC AMP 1
0150-20197 HARNESS ASSY TC INTERFACE 1
0150-20633 CABLE ASSY CHMB 5 SLIT VALVE 1
0020-22956 CLAMP RING 2
0020-27093 SHIELD LOW KNEE 6"" 1
0020-24386 COVER RING 6"" 1
0020-27124 PEDESTAL PCII 6"" JMF/SMF 1
0010-20129 6"" BUFFER BLADE ASSY W/CNTNR 1
0020-21462 INSULATOR SOURCE 11.3"" CERAMIC 2
0020-21468 CLAMP SHIELD 5"" & 6"" 1
0020-26439 SHIELD LOWER 6"" 1
0020-22909 PEDESTAL SHIELD 1
0020-22547 ADAPTER 11.3"" SOURCE WIDE 1
0040-21178 BELL JAR 2
0020-26589 SHIELD PRECLEAN 6"" 1
0020-26111 CLAMPING RING 1
706-0634 ARTICULATION A ROTULE 2
3320-01033 GASKET SHIELD RF .094DIA 30LB/LIN-IN STD-FO 1
3320-01032 GASKET SHIELD RF .172DIA 30LB/LIN-IN STD-FO 1
0020-20673 PIN CHAMBER MONOLITH INTERFACE 2
3300-02376 FTG TUBE O.D 3/8 PLUG 1
3700-02749 O-RING 228 VITON 75 DURO 1
3880-01243 WASHER #8 SST .031THK .375 OD BLK OXID 2
3880-01378 WASHER LKG SPLIT #1/4 .365ODX.254IDX.062T 3
3880-01042 WASHER FLAT #10 .444ODX.203IDX.050THK SST 4
3880-01027 WASHER LKG SPLIT #5/16 .125W X .078THK SST 11
3880-01306 WASHER FLAT #4 .125ID X .375OD X .040THK STL ZINC 2
3880-01221 WASHER FLAT #4 .250OD X .116ID X .0625THK SST303 6
3690-01659 SCREW CAP FLT HD 4-40 X 3/8L PHIL 82DEG SST 4
3690-01627 SCREW CAP SKT HD 10-24 X 1L HEX SKT SST 8
3690-01538 SCREW CAP SKT HD 10-24 X 1/2L HEX SKT SST 6
3690-01073 SCREW CAP SKT HD 1/4-20 X 3/4L HEX SKT SST 2
3690-01307 SCREW MACHINED HEX HEAD 1/4-20X1-14 SST 2
3690-02349 SCREW CAP SKT HD 4-40 X 1/4L HEX SKT SST SLVR-PLT 2
3690-01850 SCREW VENT PAN HD 4-40 X 3/8L PHH SST SLVR-PLT 18
3690-01922 SCREW CAP SKT HD 6-32 X 1/2L HEX SKT SST SLVR-PLT 51
3690-02515 SCREW VENT CAP SKT HD 6-32 X 1/2L HEX SKT SLVR-PLT 3
3690-03074 SCREW MACH PAN HD 8-32 X 3/8L PHIL SST SLVR-PLT 1
3690-01955 SCREW CAP SKT HD 8-32 X 5/8 HEX SKT SST SLVR-PLT 4
3690-01885 SCREW CAP SKT HD 10-32X5/8L HEX SKT SST SLVR-PLT 2
3690-02123 SCREW CAP SKT HD5/16-18X1.25L HEX SKT SST SLVR-PLT 12
3690-02702 SCREW CAP SKT HD 1/4-20 X 6.0L HEX SKT SST 4
3060-01474 BEARING 4.71 X 9.51 X 3.16 mm 5
0150-20669 CABLE ASSY 75' RF COAXIAL 400KHZ W/CLAM 1
0020-26967 PC II, GAS TRENCH COVER 2
0010-20753 WAFER LIFT ASSY 1
0010-20754 PEDESTAL LIFT ASSY 1"
Canon FPA 1550 M IV-W Parts
Bruce BDF-41 Parts
Axcelis / Eaton Nova GSD 180 Parts
Applied Materials Chamber1
Applied Materials Chamber2
Applied Materials Chamber3
Applied Materials Chamber4
Applied Materials Chamber5
Applied Materials Chamber6
Applied Materials Chamber7
ENI OEM-25 N-XL RF Generator
Applied Materials P 5000 W-Dep Parts "0020-21706 CHUCK ORIENTER 6IN 1
0020-23593 PLATE 6IN CCD VACCUM SEAL 1
0270-20043 TOOL CALIBRATION ORIENTER 6IN 1
0200-09999 RING LIFT 1
0200-09830A RING PEDESTAL ESC 150mm 1 FLAT 2
0020-30406 PERF. PLATE 150mm BW 1
0020-31205 PLATE BLOCKER 4.5 & 6"" 2
0020-42083 PLATE PUMPING 6"" 3
0200-09121 SHIELD 4,5,6 BW 1
0020-30570 PLATE GAS DIST 150mm 1
0200-10265 RING FOCUS ESC 150-151m 2
0010-10204 Pedestal Esc Assy 6"" full refurbished 1"
Applied Materials P 5000 Oxide Etch Parts "3700-01130 O-RING 125 9
3700-01226 O-RING 229 8
0030-09050 SEAL LARGE FACE PLAT 150mm 1
0020-30651 INSERT # 1 NI OX/MLR/NIT 1
0020-30701 INSERT POLYSULFONE OX/MLR/NIT 2
0020-31708 CLAMP VESPEL OX/MLR/NIT 150mm 1
0020-03398 INSULATING PIPE 3
0020-30481 CARRIER 2
0020-03658 CLAMPING CYLINDER 1
0020-31492 GAS DIST PLATE 101 HOLES 1
0200-09657 WINDOW RECESSED ENPOINT 1
3690-02421 SCREW 6-32 X 3/8 PHIL FLAT 72
3690-02736 SCREW 4-40 x 3/8 CAP 52
3690-01478 SCREW 8-32 X 1/4 CAP 313
3690-01458 SCREW VENTED 8-32 X 3/8 CAP 159
3880-01004 WASHER 109
3880-01452 WASHER 86
3880-01007 WASHER # 8 305
0020-09039 STRIP 4
0020-30855 PLUG GAS DIST PLATE OX/ML 3
0020-31659 COVER VESPEL 24
0910-01049 FUSES 1A 125 8
0910-01133 FUSES 3A 125V 16
3930-01005 SPEED CONTROL 10-AS SER/IN 1
2258-A LOCKNUT IMPELLER 1
0010-00685 ACTUATOR, ASSY STD CATHODE 1
0190-70060 MAGNET DRIVE ASSY 1
0020-31699 HOUSING INNER HRTV 1
0050-10314 VENT LINE ETCH CHAMBER 1
0020-34340 WINDOW TOWER LOADLOCK COVER 1"
Applied Materials P 5000 Metal Etch Parts "3700-01824 O-RING 014 2
3700-01130 O-RING 125 1
3700-01224 O-RING 232 10
3700-01119 O-RING 116 2
3700-01857 O-RING 326 9
0020-09373 SEAL SMALL FACE 23
0030-00195 LARGE FACE SEAL 150mm 6
3700-01258 O-RING 033 3
3700-01014 O-RING 330 5
3700-01282 O-RING 010 23
3700-01643 O-RING 446 15
11.4045.3531 O-RING 225 7
3700-01015 O-RING 3
3700-01220 O-RING 254 11
3700-01044 O-RING 224 11
3700-01114 SEAL FLANGE 1-7/32 3/16 3
3700-01176 O-RING 277 3
3700-01221 SEAL LINEAR RADIAL 50SFT 2
3700-01127 O-RING 172 1
ESVP-075-99 BELLOWS KIT 2
ESV-075-16K BELLOWS 3
0020-09029 COVERING PIPE 1
0200-00148 PLASMA TUBE 1
0020-09031 INSULATING WASHER 1
0200-09735 COVER CLAMPING RING 150mm CERA 2
0020-70145 KLC 527 2
0020-31613 CLAMP CYLINDER 1
0200-09758 COLLAR 150mm PEDESTAL AL FINGE 1
0200-09759 PEDESTAL SHIELD 1
0020-03597 CARRIER 3
1010-01130 LAMP JCV 120V 500WBH UE (EHD) 14
0190-09184 T/C FEEDTHROUGH 1
0020-33166 SHIM 1
0190-09275 WAVE GUIDE&DIRECTIONAL 1
67.12.86 DATA SWITCHBOX 1
0200-10087 RING OUTER CERAMIC 1.50 DEG 2
0200-10027 RING INNER CERAMIC 6
0040-09580 TC FINGER 1
0020-30482 FLEX COUPLING 1
0010-09416W RF MATCHING 1
0015-09055 BELLOW 1
0020-04079 FEEDTHRU HELIUM 2
DETECTEUR REED 1
VERIN 2
3870-01129 VALVE RELIEF 1
0240-10324 TOOL KIT RF MATCH 1
0020-30977 FLANGE TOP LID 1
0020-31375 INSULATING FLANGE 1
0021-36130 BASE FLANGE 1
0040-09005 BASE STK CATHODE 1
0200-09005C WINDOW QUARTZ 2
0040-09719 TOOL ESC 1
0020-31632 CARRIER ESC 1
0200-09636 PLUG SIC W/HEAD 5
0150-09518 CABLE ASSY W/SW LAMP MTG 12"" 2
0050-31101 COVER GAZ INLET 1
0040-09723 UNIBODY ETCH CHAMBER 1
3690-01629 SCREW VENTED 4-40 X 1/4 SO BUT 23
3690-02620 SCREW MACH SHLDR 4-40 X .1245 X 1/8L HEX S 48
3690-01159 SCREW 8-32 X 3/8 186
3690-01383 SCREW VENTED 8-32 X 1/2 CAP 92
3690-01661 SCREW 8-32 X 3/8 SOCKET BUTTON 102
0020-09069 LIFTING PI VITON TIP 10
3880-01462 WASHER #8 CURVED 38
3690-02137 SCREW 6-32 X 3/4 CAP 97
0020-30408 SCREW 2-56 X 1/8 ALU. SLO. PAN 19
3690-01624 SCREW 4-40 HEX 65
0200-09270 ANGLE TC SUPPORT QTZ 2
3690-01115 SCREW 8-32 X 5/8 CAP 17
53.937 COLLIERS DIAM. 8 31
3880-01079 WASHER 16
0020-30302 SCREW 4-40 X 1/4 HEX ALU 3
3060-01157 BEARING 4
0020-10925 ADJ. HANDWHEEL 2
0020-31467 SCREW VENTED 6-32 X 3/8 CAP 8
0015-09232 ADJ. SCREW 4
0040-09581 FINGER 1
0270-09178 TOOL SPACER O-RING INSTALL 1
0020-31619 CONNECTING STRAP 3
3690-01581 SCREW VENTED 8-32X1 HEAD 11
3690-01086 SCREW CATHODE/BASE FLANGE 8-32 X 1/2 84
3690-01091 SCREW 27
3690-01172 SCREW 45
3690-03638 SCREW TIP 10-32 X 1/2L HEX SKT BRS 12
FICHE COUDEE 10A 250V 120° 2
0020-70169 HOUSING FLEXIBLE VAC SEAL 1
0020-70168 RING BACKING SEAL 1
0020-70170 CAP FLEX HSG VAC SEAL 1
0730-01040 CONV FREQ NT340M PHASE 0A NON-HEATED 1
0090-09027 MOTOR ASSY CVD LIFTS 1
0040-09069 CABLE ASSY 4
3700-01298 O-RING 254 5
3700-01565 O-RING 264 10
3700-01501 O-RING 256 5
11.02.1965 FICHE APPAREIL AVEC BOITE DE SORTIE 3"
Machine Tools
TestAssetService
Jeol Scanning Electron Microscope
Temptronic TPO 4300 B - 8 x 32-3 "US 30A 250V (L6-30A Connector)
220V - 244V (Strapped)
50 or 60 Hertz (Strapped)
1 PH
30 Amps
110 PSI
GPIB Compatible"
Temptronic TPO 4300 B - 8 x 32-4 "US 30A 250V (L6-30A Connector)
220V - 244V (Strapped)
50 or 60 Hertz (Strapped)
1 PH
30 Amps
110 PSI
GPIB Compatible"
Temptronic TPO 4300 B - 3 C 32-4 "US 30A 250V (L6-30A Connector)
220V - 244V (Strapped)
50 or 60 Hertz (Strapped)
1 PH
30 Amps
110 PSI
GPIB Compatible"
Temptronic TPO 4010 A - 3 C 20-2 "US 20A 250V
220V - 244V (Strapped)
50 / 60 Hertz
1 PH
20 Amps
110 PSI
No GPIB"
Temptronic TPO 4010 A - 3020-2 "US 20A 250V
220V - 244V (Strapped)
50 / 60 Hertz
1 PH
20 Amps
110 PSI
No GPIB"
Karl Suss MA-150 Mask Aligner
Karl Suss Mask Aligner
Test
Harris Tuvey (HT) 9464 Isolation Voltage Tester
Canon PLA 501 FA
Varian 3190 - Conmag Targets
Tegal 915
BTI 4 Stack Horizontal Furnace
LSI 45/60 Track
Asyst SVG SMIF Indexer "P/N: 9700-3483-01 Rev B
P/N: 9700-3483-02 Rev B"
Branson B 250 Sonic Cleaner
Eaton Nova 3206 Implanter
Disco DFG-83 H/16 Grinder
Varian 3180 Sputtering System
Varian 3190 Sputtering System
Conveyors
Teradyne IP 750 EX Tester "128 Pin, 48 PS, 4 ICUL1G instruments
IP-75E-00 1 IP750EX 512 pin Base system
2 IN-000-90 1 MICROSOFT OFFICE 2003 RIGHT-TO-USE LICENSE
3 IN-103-59 1 IG-XL SYSTEM SOFTWARE LICENSE
4 IT-006-30 1 IG-XL TESTSER SERVICE DAEMON KLA INTEGRATOR
5 460-414-02 1 KIT, IG-XL & CKR 3.50.40IP02
6 318-409-00 1 KIT, CLEAN PACKING FOR IP750
7 IAS-1ST-YR 1 First Year IG-XL Subscription Power Conditioner (Trans) - Standard
8 460-382-00 1 IP750EX 512 POWER CONDITIONER
9 460-381-07 1 480VAC INPUT POWER SELDCTION, 36KVA Computer-Tester PC only
10 TBD 1 KIT, IP750Ex Tester PC (314-454-00)
11 TBD 1 KIT, IP750Ex Tester PC PDU (314-456-00)
12 460-439-10 1 OPK,IP750EX 512 MANUALS PFU12 (E)
13 460-341-10 1 KIT, IP750EX ADDITIONAL IB SW for PFU12
14 460-373-11 2 KIT, INFINIBAND HCA & CBL 2M for PFU12
15 318-428-00 1 KIT, PC TABLE FOR CLEAN ROOM
16 IT-132-04 2 Kit, J750 200MHZ HLA
17 IN-045-05 2 J750EX DIGITAL SW ENABLED TO 16 MEG LVM
18 IN-046-03 2 J750EX DIGITAL SW ENABLED TO 100MHZ Option (CTO Slot total 4 slot)
19 460-369-00 4 OPK, ICUL1G
20 IN-417-00 4 ICUL1G _BASE_LICENSE
21 IN-417-02 4 ICUL1G MIPI4 LICENSE
22 IN-417-03 4 ICUL1G SERIAL LICENSE
23 IN-417-32 4 ICUL1G PORTNUM 32 LICENSE
24 IN-417-26 4 ICUL1G LANENUM 16 LICENSE
25 IN-417-06 4 ICUL1G CLOCKDDR LICENSE
Option (DPS Slot total 4 slot)
26 IT-131-00 2 KIT, J750 HDVIS (HIGH DENSITY DPS) Other Option
27 IN-009-00 1 SCAN PATH OPTION for EX
Pogo Tower for Direct Docking
28 IT-900-58 1 PogoTower 512 PIN w/Utility 32 Air Flow Control Board
29 613-614-00 6 ASSY AIR FLOW CONTROL BOARD, J750
30 613-613-00 2 ASSY AIR FLOW CONTROL BOARD DPS, J750
31 613-612-00 0 ASSY AIR FLOW CONTROL BOARD, CTO, J750 Site Option
32 460-333-00 1 KIT, IP750EX 512PIN CALDIB
AW1050 internal illuminator for IP-750EX (512 pin system)
"
KLA-Tencor FT 700 Film Thickness Measurement System
Tel Mark 8 2x Coat, 2x Develop
Hitachi S-4700 FE SEM (Scanning Electron Microscope) "Type I
1.5nm 15KV resolution
PC Type - Win NT
X 20 ~ X500,000
Upper SE and Lower SE detector "
Teradyne
HP / Agilent / Verigy
Advantest
LTX / Credence
Eagle
Yokogawa
LTX / Credence
Teradyne
Advantest
Yokogawa
Verigy
Meyer Burger DS 265 Wire Saw
Mitutoyo CMM
Applied Materials
Lam
Pryor Laser Markers
Optimum Opti D 240 x 500 G Glass Lathe
Sorensen DLM 60-66E Test
Veeco E 300 MOCVD Reactor
Meyer Burger DS 261
Meyer Burger TS 23 ID Slicing Machine
Ingersoll-Rand Compressor
LTX / Credence Emiscope-II t
Hitachi
Applied Materials Endura PVD
Speedfam 32 DPAW Single Side Hard Polishing Machine
Takatori MWS 812 SD Multi-Wire Saw
Meyer Burger DS 265 Multi-Wire Saw
ASM iHawk Xtreme Bonder
Zygo
TestMove3
Semics Opus 3 Prober
Hitachi S-4800 Scanning Electron Microscope (SEM)
Noiseken ESS-2000 ESD Tester
Ismeca TMBU-CA Taping Machine "DPAK, SOT-89, SOT-223, SOT-23, and SM DIP Packages
"
Reis SPS RV 30-26 6-Axis Robot
Mcquay 600 Ton Chiller
300 hp 15 lb Dual Fuel Cleaver Brooks Boilers
Marley "600 Ton Cooling Towers
"
Ismeca TMBU-CA Tapping Machine DPAK, SOT-89, SOT-223, SOT-23, and SM DIP Packages
Applied Materials P 5000 Type II MxP Oxide 3x Ch MxP Oxide ESC Type
Environmental Chamber
Testech "Focus-7600 SHF
" Burn In Chamber "Operational System: Windows
BIB Slot: 48 Slot (1 zone)"
TestFOS FOS-1740 Burn In Chamber "Operational System: Linux
BIB Slot: 48 Slot (1 zone)"
TestFOS FOS-1740 Burn In Chamber "Operational System: Linux
BIB Slot: 48 Slot (1 zone)"
Pacific Nanotechnology Nano-R Atomic Force Microscope (AFM)
Perkin Elmer Paragon 500 Fourier Transform IR Spectrometer (FTIR)
Struers TegraPol-21 Polisher
Quad QSV 1 Intelligent Feeders "1. 8mm: 60
2. 12mm: 30
3. 16mm: 10
4. 24mm: 10
5. 32mm: 4
6. 44mm: 2
7. 54mm: 1
8. Vibratory track feeder: 1
9. Tray Feeder (14” x 7”)"
Sun-Blaster Work Station
CAnon Pixma All-In-One Wireless Printer
APE Sniper Split Vision BGA Rework Station
Essemtec RO 300 FC Three Stage Reflow Oven
Gardner Denver EAH-99-A Air Compressor "50 Hp
Run Hours: 630
480V"
Quincy QNWG-502-D Air Compressor "100 Hp
Run Hours: 045,797
480V"
Makino MC-86 Horizontal Mill
Makino MC-98 Horizontal Mill
Rambaudi RamSpeed 827 L
SigmaTech 9600 M 1 V Wafer Thickness Measurement System
Branson 8510
Branson 101620-25-18
Cybeq Wafer Sorters
KLA-Tencor 6200
Stainless Steel Chemical Wet Bench
Magic Mirror
PBS Subsurface Defect
HCT 400 SD Wire Saws
GMN Flat Grinder
AMT 7810 Epi Reactor
Gemini III Epi Reactor
Markperi MPC 1012 RF Welder
Conveyor System
Advanced Techniques PRO 1600 Reflow Oven
STS
Gluco PM-18
Associated Pacific Machine SY-622
Therma-wave OP 3260
Lot of Electric Test Equipment
Tekena TDRC-SA 2100 DS-ULT-STD Web Cleaning System "Double-sided, Heavy Duty Web Cleaning System (S-wrap configuration)
Semi-automatic cleaning of contamination storage rollers: Includes integral drive motor
Storage roller cleaning fluid: IPA
Maximum cleaning width: 2100mm (82.67"")
Application line speed: Approx. 10ft/min
2 x 112mm OD, balanced cleaning rollers. Low weight to minimize added web tension
2 x 124mm OD, balanced, hi-capacity contamination storage rollers
Full cover Guard: Acrylic/Aluminum Cabinet
Control Box for line integration, pneumatic & electrical supplies
Automatic operation from line ON/OFF signal with Manual override
Utilities: 110V/60Hz single phase, 70-100psi dry, clean air
"
Tekena TDRC-A 2100 SS-ULT-STD Web Cleaning System "Single-sided, Heavy Duty Web Cleaning System
Fully Automatic, Traversing Cleaning Head with cloth roll for storage roller
Storage roller cleaning fluid: IPA
1 x 5ltr capacity, stainless steel IPA tank with all associated pipework, regulators etc.
PLC Control System (Omron) with 5.4” touch screen control panel
1 x 112mm OD, balanced cleaning roller. Low weight to minimize added web tension
1 x 124mm OD, balanced, hi-capacity contamination storage roller
All other specifications as Item 2 above
· Proprietary ULT Cleaning and Transfer rollers with a high surface energy run in contact with, and are driven by, the substrate. The rollers lift dry unattached contamination from the web down to nano-particulate size and transfers it to a specially engineered synthetic roll which acts as a storage reservoir. The storage roll is periodically cleaned by an semi or fully automated cloth/wipe system using IPA fluid. "
Crest Ultrasonics Cleaning
Edwards IQ
Edwards IQDP 80 Pump
GELON LIB Glove Box "Creates inert
Environment with 2 ppm level"
Park Technology Laser Cutter "For precision cutting
of Glass shell/any other part with
Automatic loading and unloading"
ASM AB 339 Fully Automatic Gold Wire Ball Bonder
JDSU SWS 15101
JDSU SWS 15102
JDSU SWS 15104
JDSU SWS 17101
OSI Metra 2000 Patterned Wafer Inspection system
Veeco Nxp 55 PM 2 Reactive PVD Module "Cabinet
Pinnacle power supply
Rough Pump
Cyro compressor"
Applied Materials P 5000 3x MxP, ESC Type, Endpoint, Dry Vacuum Pumps, Heat Exchangers, AC Panel
Toray FG 3000 Fully Automatic Bonder
CR Technology RTI 6520 AOI
Karl Suss MA 150 Mask Aligner
Neslab HX-500 Chiller
Nabertherm
Horiba Partica LA 950 V 2 Particle Analyzer
Wabash Genesis 15 Ton Press No heated platens
Nikon MM 40 Microscope
Pureaire Laminar Flow Bench
Branson Digital Sonifier
Shanghai Jintai Automatic Cutting Machine
Espec ENU 99-15 CWL Environmental Chamber 99 cu ft Walk In
Associated Environmental Systems BD-164 64 cu ft
JPW Industrial HT 334 TUL 480 V 30 KW Oven
JDSU SWS 15101
JDSU SWS 15102
JDSU SWS 15104
JDSU SWS 15101
JDSU SWS 15102
JDSU SWS 15104
JDSU SWS 17101
Siecor M 90 Fiberoptic Splicer
JDSU SWS 15101
JDSU SWS 15102
JDSU SWS 15104
JDSU SWS 17101
CPA Sputtering System
Atcor Cassette Washer
Neslab HX-300 Chiller
KLA-Tencor 300 DFF 1 P Wafer Handler
Novellus iNova
Disco DFG 841 Grinder "Cooling water inlet
Cooling water outlet
General Exhaust
Chuck Table*2
220V, 17 kVA
DI-Water
CDA
N2"
PRI Robot
PRI Pre-Aligner
Kaijo Cassette Cleaner with IPA
Kaijo Wet Bench
Sumimoto O3 Generator
Unitechnologies / MTA TR 300 Robot
Mattson Aspen CVD
OGP Smartscope 400 ZIP Controller and measurement unit out of order
ADE 9300 "Some parts are taken out. Only frame/body
has been kept"
Yamato DX 600 Oven
Blue M Oven
Ransohoff 2 stage Cell-U-Clear washer
Clausing Kondia Vertical Milling Machine with Proto Trax
Santec 3 Axis Proto Trax Milling Center
American HMT Lathe
Alliant Mill "with Proto Trax MX-2
"
Teradyne J 937 Tester
Teradyne A 585 Tester
XRL 1225 HP Laser System
Megatest GIII Tester
LTX / Credence ASL 1000 Tester "CPU PIII 450MHZ
RAM 512MB
VATE 4.62.12
VGA ATI Rage XL 2X 8MB [AGP]
NIC 3Com Etherlink 10/100 PCI TX (3C905B-TX) [PCI]
NOTE : Only the Empty Testhead , PC and Manipulator is available. The boards and power supply box have been removed. "
Multitest MT 8704 Handler
Multitest MT 8704 Handler
Multitest MT 8704 Handler
Sullair ES 6-7.5 XH/A Air Compressor
Lonati L 46-J Circular Knitting Machine
Astechnologies Simplex 310 D Heated Press
York Chiller Approx.11,000lbs of R-22
Onan Emergency Generator
Newport 1835 C Multi-Functional Optical Meter Reading is off
Ando AQ 6317 B Optical Spectrum Analyzer Sometimes the Wavelength reading is off
Systemation ST-485 Tape and Reel Machine "AVS 2000 Vision System
Available Changeover Kits:
1- TSSOP
2- Wide Body SOIC Kit
3- 28 Lead Plcc Kit
4- Narrow body SOIC Kit
5- 32 Lead Plcc Kit
6- 44 Lead Plcc Kit"
Conti Complett 235 Coating Machine
Langley EH 102 Elevator Lift
UniMac UW 35 PVQU 1 D Commerical Washer
Wascomat 9881130204 E Flatwork Ironer
Mighty Comet 2 SHG-A Knee Mill
Blue M OV-500 C-2 Oven
Chevalier FSG-3 A 818 Surface Grinding Less than 10 hours of runtime
Chevalier CGP-816 Cylindrical Grinding Less than 10 hours of runtime
LTX / Credence ASL 1000 Tester
Airco Inline Glass / Solar Coating System
CPA 9900 Inline 12" x 12" Sputtering System
CHA SE 600 Gold Evaporator
Leybold Turbo Pumping Station
Varian 936-61 Leak Detector
Applied Materials 8110 / 8115 Wafer Plasma Etcher
Euclid Coating Systems (ECS) Gravure Coating Machine
Photonetics Tunics-Pri Wavelength Turnable Laser Diode Source
Lab Shutdown
Teradyne Ultra Flex Boards BBAC (974-214-00)
Teradyne Ultra Flex Boards VHFAC (805-014-00)
HP / Agilent / Verigy 93000 Boards
TestMgdAssetManu TestMgdAssetModel
Aero-Go BX 64
Martin Yale PacMaster S 343-4 IS
Genrad 1658 RLC Databridge
Clarostat 250 Power Resistance Decade
Varian 936-40 Leak Detector
Delta Design 3900 CN Thermal Chambers
Martin Yale 959 Automatic Paper Folder
Newport FKP-STD Fiber Optic Project Kit
ESI 44 Laser Trimmers
Balzers BAK 760 Evaporator "Controller Type: BPU 431
Software Version: V04R26
MKS 270 Gas Controller
Balzers QMA 400 Gas Chemical Analyzer
Balzers IMG 300 / TPG 300 Pressure controller
Cryo on-board 400
Adixen ACP-40-G Foreline Pumps
1x mainframe
1x power distribution Unit
2x roughing pump
1x cryo 9600 compressor
1x EHV215, 1x ECS200, 1x EFS200,
1x electronics cabinet
1x waterbattery
1x Balzers RFS 305 RF generator + matchbox
1x loadarm calotte
3 Ph, 400V
"
Carousel
Philips EBPG 4 HRV E-Beam Lithography System
Disco DFG 83/6 Grinder "- 12 vacuum chucks
- One or two of the three spindles does not work.
- Automatic loader/unloader is disabled.
- The software upgrade to EProms
- Spare Parts, wheels and manuals"
Loctite 98760 Conveyer
Fisnar F 7900 Robot Glue Dispensers
Cascade S-300 Prober
Lot of Electric Test Equipment
Disco DAD 2 H / 6 T Dicing Saw
Nel System MSA 840 Mounter
GSI Lumonics M 310 Laser Trimmer
Esec 3100 Optima Wire Bonder QFN Package
PV Module
Optorun Gener 1300 Vacuum Coater
Shincron 1100 DS Coater
Ebara A 10 S Dry Pump
Ebara A 70 W Dry Pump
Ebara AA 20 Dry Pump
Lam 9600 Etcher
Ebara AA 40 W Dry Pump
Ebara AA 70 W Dry Pump
Ebara AA L 10 Dry Pump
Silicone Sealing Machine
Framing Machine
Curing Stage
Sun Simulator (IV Tester)
Hi-Pot Tester
Conveyors
Sigmatech UltraMap S-100
Micromanipulator 8860 / 8865 On air table
Laurier DS 3000 Pick and Place
Airco / Temescal BJD 1800 E-Beam System
Ismeca MPX 300 "Reel Equipment for QFN 4*4
with visual inspection"
Multitest MT 8589 Handler "Tri-Temp
Auto loader and manual unloader
DIL 300 package"
Rasco SO 2000 Handler "Tri-Temp
Package is SOT with Hall 1 contact
Traffic Light Is Missing"
Electroglas 2001 X Probers
Pfeiffer MVP 015-2 Diaphragm Membrane Pump
LTX / Credence TS 80 Tester
Lot of Electric Test Equipment
Applied Separations Spe-ed SFE 1000mL Supercritical
Brookfield Engineering Lab PFT 3115 Powder Flow Tester
Bruker D 2-Phaser Diffractometer System
Canadian Purcell 4 VX 3 B-5.5 RIX air-cooled three-stage compressor
Carl Zeiss EVO HD-MA 15 Scanning Electron Microscope (SEM)
Cole Parmer EW-28615-05 Rotary Evaporator System
Dionex Corp ICS 3000 EG
Dykman Electrical PA 7300-4400-N 1 TECO 400HP 585A 480VAC VFD
Eriez Manufacturing N 4/12 Agitator Magnetic Drum Separator 15" X 12"
Gasmet SAM-SYS-002 Portable Sampling System (PSS) with Oxygen Analyzer
Gasmet DX 4000 FTIR Gas Analyzer
Kruss DSA 25 E Expert Drop Shape Analysis System
Mettler Toledo T 50 Excllence Auto Titrate
Pacific Ozone 9 M 24 Ozone Generator 230V 540g/h/28.5#/day@360scfh O2 Stainless Steel Enclosure 230V 540g/h/28.5#/day@360scfh O2 Stainless Steel Enclosure
Perkin Elmer Flexar FX-10 UHPLC with SQ 300 MS
Shimadzu TOC-L TOC-LCSH ASI-L 40 mL, TNM-L
Sweco M-45 L Vibro-Energy Grinding Mill
TA Instruments Q 50 Thermogravimetric Analyzer with Mass Flow Controler
Thermo Scientific Nicolet 6700 Analytical MCT spectrometer Includes: Long Path Cell (7.2 meter with detected mounts)
Thermo Scientific Research Grade EBSD System for EDS/WDS
Thermo Scientific Research Grade EDS System
Thermo Scientific WDS System with UltaDry SDD
Varian Cary 100 BIO UV/Vis Spectrophotometer
Vender Scientific PM 400 Retsch Planetary Ball Mill
Thermo Scientific Nicolet 380 Infrared Spectrometer
Esec 3100 Optima Wire Bonder QFN Package
Jingsheng TDR 80 A-ZJS Full-automatic Crystal Growin
Tel P 8 XL Probers "VIP 4
TOPLOAD,
FLIP SACC,
WAPP
SHIVA Bridge
"
Tel P 8 XL Probers VIP 3
HP / Agilent / Verigy 93000 PS 1600 Tester "CTH / PS1600CH* 5 / CSDPS32*1 / PS9G*1 /UHC4*1 /Z800 workstation / Standard manipulator
"
Lot of Electric Test Equipment
Philips PD 7400 DCDM DCDM X-Ray Diffractometer "- PC, software, and manuals included
- ge (germanium) monochromator"
Matrix System 10 Plasma Stripper
Nextest Maverick II PT Testers
Alpha Metals Omega Meter 700
ASML 300 C Stepper
Tel Act 8 2x Coat, 2x Develop
Multitest 8305 L Handler "Room and Hot Temp
Manual loader and unloader
Package is DIL"
Ismeca "Reel of QFN 7*7 and 150 mil
With visual inspection (was later additional installed)
"
Hewlett-Packard / Agilent 6890 GC
Nisshinbo PVS 1222 Solar Simulator
Semitool Paragon LT-210 Plating Tool
Maximus 804 Microsystem
GTST-150-40-AW Thermal Shock Tester
Advantest T 5581 H Tester
Thermotron WP-1372-CH-40/40 Chamber
Erowa ERS-2044 Robot
Advantest T 5371 Tester Single Head
Cymer NL 7401 A Laser
Astex 80-S 09-UW
Advanced Spin System SV-702 Spin Rinse Dryer (SRD) with SCD-01 Controller
Plasmatherm / Unaxis Shuttleline ICP System "Win XP
2”- 7pcs Chamber Size
380V 50A"
IODE MODULE DZ540 N20 EUPEC
DIGITAL I/O MODULE 0917.0000 X LUSTDRIVE
W8F60020575
CLS KIT- PITCH BEARING 636-45074-1 S88
江海P.C-UC-9.S
GWR EMD-FL 0-300
ASLY WITH LIMIT SWTCH LANG 00107
M411.0219-01
1.25MW 高速轴制动器刹车盘
高速轴制动器刹车盘M S30s122058
航空障碍灯
LPU-0222-B GVA
RESISTOR 470KOHM 12W HVR50RE470KKHIPAS
SPEED MONITOR FR1 DD2003
G112M12/6-DH,HYDAC
Crowbarsteuerung 1200V-BOD LPG-0237 GVA
E50 R23-784N30 PK16 780µF
STAR LIFTKET WINCH W/ EXT BEAM S88
MD 550-PART 490 1563 BRAKES A/S
Pinion Shaft LP 370.0/3.03, Helical
1600W 1.46A 750欧
SURGE ARESTR VALMS230+FM 5752670 PHOENX
SURGESUPPRESSOR 3RT1926-1BB00 SIEMENS
SURGE SUPPRESSOR GHV2501902R0002 ABB
HYDRAULIC PUMP (ROTOR LOCK)-ENERPAC P 84
VARISTOR 24VDC 3TX7402-3G SIEMENS
CT 2500A CT10055 IKTECHNICS
CURRENT TRANSDUCER LTC 600SF/SP5 LEM
FAN HEATER 9000W
TB40 贺德克
PROX SNSR IGK3005-BPKG/M/US IGM 200
PROX SNSR PNP BES 515-360-S4-C
滑差柜配套电阻箱外壳
LIMIT SWITCH LTV OSISWITCH ZCKJ1+ZCKE066
NACELE FAN DQ 630-4 50 Hz 2.15 KW
ACB2500A 3WL12253DG324GA4ZC22K07S07 SIEME
G112M12/6-DH HYDAC
补偿电容抽屉组件
FRONT CONTACT-MPCB 1NO+1NC GV-AE11
AUXADDON1NO+1NCNHI-E11PKZO FRNT082882MOE
AUXILIARY SW 3RH1921-1LA11 SIEMENS
AUX CONTACT 1NO 3SB3403-0B SIEMENS
AUX ADDON FRONT GV3A01 SCHNEIDER
AUX ADDON 1NO+1NC S2H11 ABB
AUX CONTACT 1NO+1NC CAT NO:-LADN11
AUXILIARY SW 2NO+2NC 3RH1921-1HA22 SIEME
R28 98SHA 1a-38/1a-24(567893-0)
CONTACTOR 3RH1140-1BB40 SIEMENS
CONTACTOR 24VDC GJL1313001R0101 ABB
CONTACTOR 24V2P32A 3TC4417-0AB4 SIEMENS
CABLE FO MIL+CONT 100M LS708499 LAPP
苏司兰风机偏航电机 ZD350—S W8F51030135
REDUCTION ASSEMBLY 1:5.77 2T235340920
3RT1025-1BB44-3MA0 SIEMENS
MAIN SW+RDRIVE OT25E31SCAO22283R8630 ABB
MAIN SW+RDRIVE OT25E41SCA022352R7330 ABB
MCB 10A 2P 440VDC S282-UC-K10A ABB
3-MOL AF 132M/6C-11
DIL H2000 MOLLER EGTL-A03 PM1 2L87-100966-12
DILH 1400/22 MOLLER
W/CTRL SVNK013S070M32 IXYS
SUA 1500I APC( UPS SUA1500I(P.NOS26113-E400-L1)SIEMENS)
600 XP Rapid Thermal Process (RTP) "Windows XP
380V 80A"
Dage 4000 "- BS250 is 250g (push)
- WP100 is 100g (pull)"
Newport RP Reliance / SL Series 8'x4' Optical Table Breadboard "w/ Pneumatic legs and work frame/shelf
"
Melles Griot 8'x4' Optical Table Breadboard w/ Pneumatic legs and work frame/shelf
Newport RS 4000 / I2000 6'x3' Optical Table Breadboard w/ Pneumatic legs
Caliper 5'x3' Optical Breadboard
Newport 6'x4' Optical Table
Heraeus / Votsch VM 04/100 Climatic Chamber
Alcatel 601 E Etcher
Applied Materials P 5000 PECVD "Chambers:
• Chamber A: 4” CVD Silane oxide deposition process kit
• Chamber B: Empty
• Chamber C: Empty
• Chamber D: Empty
Process gasses:
• Nitride: SiH4 / N2 / NH3
• OxiNitride: SiH4 / N2 / NH3 N2O
• USG: SiH4 / N2O
• PSG: SiH4 / N2O / PH3 in Ar
P 5000 Mark II Silane Oxide system (4”). One common Silane Oxide deposition chamber
• Mainframe containing load lock chamber with cassette to cassette wafer handling, 20 slots VME controller with hard disk and floppy
disk storage, 28-line compatible on-board gas panel, bolt down load lock chamber lid, and load lock purge system.
Software:
• 16-bit microprocessor (Motorola 58010). Fully optoisolated inputs and ouputs. 50/32 analog inputs/outputs. 96/96 digital inputs/outputs
• Process programming: Guided step entry method. Maximum recipe size:100 steps
120 watt Solid state RF generator, process kit, gas distribution plate, MFC controller gas line
Electricity
• 208 VAC, 3 phase, 60 Hz
• 5 Wire WYE, 150A/100A (CVD ETCH)
• Transformers 380 VAC, 3P, 50Hz, 3 wire WYE
Chilled water
• Filtered to 120 @m, regulated to 60 PSI, 17 to 22°C"
Micromass 386 Mass Spectrometer
Weiss TS-120 Thermal Shock Chamber
Cymer NL 7401 A Laser
Mattson Aspen RTP
Mattson Aspen II Triode
Karl Suss MA 100 E Mask Aligner
Nikon i 10 Stepper
Oxford Plasmalab 800+ PECVD
Nikon i 8 Stepper
RheTech ST-240 Spin Rinse Dryer (SRD)
Northstar EM1 Emulator Final Test Handler
Pilot Line
JA Woollam M-44 NIR ES 130 Ellipsometer "with lamp housing unit and EC110 control module. The PCI cards, which interface with a PC, appear not to be working. The control module, polarizer drivers, and spectrometer (650 nm - 1100 nm) are otherwise operational. The height is adjustable over 25 mm, the angle is adjustable from near-normal incidence to 90º, and an x-y tilt stage is included
"
Semitool SAT 2140 S HF, NH4, DIW
Speedfam / Westech / Ipec 472 CMP Polishers
Accent CDS 200 CD SEM (Scanning Electron Microscope) Optical
AG Associates HEATPULSE 4100 Rapid Thermal Process (RTP)
Alcatel Alcatel Gir Etcher Dry Etch
Applied Materials Reflexion CMP System Oxide
Applied Materials Reflexion CMP System Oxide
Applied Materials Reflexion-FA CMP System Oxide
Applied Materials 7800 EPI System
Applied Materials 7800 EPI System
Applied Materials AMC 7811 atm. EPI System
Applied Materials AMC 7811 atm. EPI System
Applied Materials AMC 7811 atm. EPI System
Applied Materials AMC 7811 atm. EPI System
Applied Materials AMC 7811 atm. + lp. EPI System
Applied Materials AMC EPI reactor EPI System
Applied Materials AMC 7800 RPX EPI System
Applied Materials AMC 7811 EPI System
Applied Materials AMC 7821 EPI System
Applied Materials AMC 7821 EPI System
Applied Materials Centura 4.0 CVD System HT Polycide
Applied Materials Centura 4.0 CVD System HT Polycide
Applied Materials Centura 4.0 CVD System HT Polycide
Applied Materials Centura AP Ultima X CVD System HDP
Applied Materials Centura AP Ultima X CVD System HDP
Applied Materials Centura DLH CVD System SACVD BPSG
Applied Materials Centura DLH CVD System SACVD BPSG
Applied Materials Centura DxZ CVD System Nitride
Applied Materials Centura Ultima TE CVD System USG CVD
Applied Materials Centura Ultima X CVD System HDP
Applied Materials Centura Ultima X CVD System HDP
Applied Materials Centura Ultima X CVD System HDP
Applied Materials Centura Ultima X CVD System HDP
Applied Materials Centura Ultima X CVD System HDP
Applied Materials Centura Ultima X CVD System HDP
Applied Materials Centura Ultima X CVD System HDP
Applied Materials Centura Ultima X CVD System HDP
Applied Materials P 5000 CVD System 2CHx WCVD
Applied Materials P 5000 CVD System LTO CVD, TEOS
Applied Materials P 5000 CVD System LTO CVD, TEOS
Applied Materials P 5000 CVD System LTO CVD, TEOS
Applied Materials P 5000 CVD System TEOS
Applied Materials P 5000 CVD System TEOS
Applied Materials P 5000 CVD System TEOS
Applied Materials Producer SE CVD System ACL/DARC
Applied Materials Producer SE CVD System HARP-USG
Applied Materials Producer SE CVD System HARP-USG
Applied Materials Producer SE CVD System PE TEOS
Applied Materials Centura DPS Etcher Metal
Applied Materials Centura DPS Etcher Poly
Applied Materials Centura DPS Etcher Poly
Applied Materials Centura DPS Etcher Poly
Applied Materials Centura DPS+ Etcher Metal
Applied Materials Centura DPS+ Etcher Metal
Applied Materials Centura DPS+ Etcher Poly
Applied Materials Centura MXP Etcher Metal
Applied Materials Centura Super E Etcher Oxide Etch
Applied Materials Centura Super E Etcher Oxide Etch
Applied Materials DPS Extra Chamber Etcher DPS (AA SI RIE)
Applied Materials DPS 532 Etcher Metal
Applied Materials DPS 532 Etcher Metal
Applied Materials DPS 532 Etcher Metal
Applied Materials DPSII Etcher Metal
Applied Materials DPSII Etcher Poly
Applied Materials DPSII Etcher Poly
Applied Materials eMAX CT Etcher Oxide Etch
Applied Materials eMAX CT Etcher Oxide Etch
Applied Materials eMAX CT Etcher Oxide Etch
Applied Materials eMAX CT Plus Chamber Etcher Oxide Etch
Applied Materials Enabler Etcher Oxide Etch Back
Applied Materials Enabler Etcher Oxide Etch Back
Applied Materials MARIANA (Chamber)
Applied Materials P 5000 Etcher
Applied Materials P 5000 Etcher
Applied Materials P 5000 Etcher Metal
Applied Materials P 5000 Etcher Oxide
Applied Materials P 5000 Etcher Oxide
Applied Materials P 5000 Etcher Oxide
Applied Materials P 5000 Etcher Oxide
Applied Materials P 5000 Etcher Oxide
Applied Materials P 5000 Etcher Oxide
Applied Materials P 5000 Etcher Oxide
Applied Materials P 5000 Etcher Oxide
Applied Materials P 5000 Etcher Oxide
Applied Materials P 5000 Etcher Oxide
Applied Materials P 5000 Etcher Poly
Applied Materials P 5000 Etcher Poly
Applied Materials P 5000 Etcher Poly
Applied Materials P 5000 Etcher Poly
Applied Materials P 5000 Etcher W Etch
Applied Materials P 5000 Etcher W Etch
Applied Materials P 5000 Etcher W Etch
Applied Materials Producer GT Etcher
Applied Materials VIISTA 810 EHP Implanter Medium current
Applied Materials VIISTA 810 EHP Implanter Medium current
Applied Materials VIISTA 810 XP Implanter Medium current
Applied Materials COMPASS 200 Wafer Inspection System
Applied Materials COMPASS 300 Patterned Wafer Inspection System
Applied Materials COMPASS-PRO Wafer Inspection System
Applied Materials COMPLUS EV Wafer Inspection System
Applied Materials COMPLUS MP Wafer Inspection System
Applied Materials Orbot WF 720 Defect System
Applied Materials SEMVision G 4 Max Review Scanning Electron Microscope (SEM)
Applied Materials Endura 5500 PVD System MoCVD
Applied Materials Endura 5500 PVD System MoCVD
Applied Materials Endura 5500 PVD System
Applied Materials Endura 5500 PVD System
Applied Materials Endura 5500 PVD System
Applied Materials Endura 5500 PVD System
Applied Materials Endura CL PVD System MoCVD
Applied Materials Endura CL PVD System
Applied Materials Endura CL PVD System
Applied Materials Endura CL PVD System
Applied Materials Endura CL PVD System
Applied Materials Endura 2 PVD System
Applied Materials Endura 2 PVD System
Applied Materials Endura 2 PVD System
Applied Materials IMP Chamber PVD System
Applied Materials Centura Radiance Rapid Thermal Process (RTP)
Applied Materials Centura Radiance Rapid Thermal Process (RTP)
Applied Materials Centura RTP MOD 1 Rapid Thermal Process (RTP)
Applied Materials Centura RTP MOD 1 Rapid Thermal Process (RTP)
Applied Materials Centura RTP MOD 1 Rapid Thermal Process (RTP)
Applied Materials Centura RTP MOD 1 Rapid Thermal Process (RTP)
Applied Materials Centura RTP MOD 1 Rapid Thermal Process (RTP)
ASM Dragon 2300 PECVD System For Barrier
ASM Eagle 12 UV Cure System
ASM PXJ-200 PECVD System
ASML PAS 5500 / 1100 Scanner ArF
ASML PAS 2500 / 10 Stepper
ASML PAS 2500 / 10 Stepper
ASML PAS 2500 / 30 Stepper
ASML PAS 2500 / 35 Stepper
ASML PAS 2500 / 40 Stepper
ASML PAS 2500 / 40 Stepper
ASML PAS 2500 / 40 Stepper
ASML PAS 2500 / 40 Stepper
ASML PAS 2500 / 40 Stepper
ASML PAS 2500 / 40 Stepper
ASML PAS 2500 / 40 Stepper
ASML PAS 2500 / 40 Stepper
ASML PAS 2500 / 40 Stepper
ASML PAS 5000 / 55 A Stepper
ASML PAS 5000 / 55 A Stepper
ASML PAS 5500 / 100 D Stepper i-line
ASML PAS 5500 / 200 B Stepper i-line
ASML PAS 5500 / 200 B Stepper i-line
ASML PAS 5500 / 250 C Stepper i-line
ATMI Ultra 6000 Track System
ATMI Ultra 6000 Track System
ATMI Vector Ultra XSI Track System
Axcelis / Fusion Rapid Cure 320 FC Radiator UV Anneal Unit
Blue M DCC 606 EMP 550 Quartz Cleaning Oven
Branson Branson IPC Barrel Etcher Dry Etch
Branson Branson IPC Barrel Etcher Dry Etch
Branson Branson IPC Barrel Etcher Dry Etch
Branson Branson IPC Barrel Etcher Dry Etch
Branson IPC Etcher Dry Etch
Branson IPC Etcher Dry Etch
Branson IPC Etcher Dry Etch
Branson IPC Etcher Dry Etch
Branson IPC Etcher Dry Etch
Branson IPC Etcher Dry Etch
Branson IPC Etcher Dry Etch
Branson IPC Etcher Dry Etch
Branson IPC Etcher Dry Etch
Branson IPC Etcher Dry Etch
Branson IPC Etcher Dry Etch
Branson IPC Etcher Dry Etch
Branson IPC Etcher Dry Etch
Branson IPC Etcher Dry Etch
Branson IPC Etcher Dry Etch
Brooks Bright light 200 UV Inspection
Brooks PRI 7500 Pod Stocker
Canon MAS 1800 Asher PR Stripper
Canon MAS-801 HR Asher PR Stripper
Canon MAS-801 HR Asher PR Stripper
Canon APT 4800 CVD BPSG
Canon FPA-5000 ES 3 Scanner KrF
Canon FPA-5000 ES 3 Scanner KrF
Canon FPA-5500 iZ Stepper i-line
Canon FPA-5500 iZ+ Stepper i-line
Canon FPA-5500 iZ+ Stepper i-line
Canon MPA 600 SUPER Mask Aligner
Charm Engineering Charm 2000 Track System Final Cure
Ci Science TORUS-200 Etcher Bevel Etching
Cymer ELS-5400 Laser KrF
Cymer ELS-5410 Laser KrF
DAN SCOV 8594 HARD BAKE OVEN
Dainippon (DNS) AS 2000 [Only] CMP System Cu
Dainippon (DNS) AS 2000 [only] CMP System Cu
Dainippon (DNS) AS 2000 [only] CMP System Oxide
Dainippon (DNS) AS 2000 [only] CMP System Oxide
Dainippon (DNS) AS 2000 [only] CMP System Oxide
Dainippon (DNS) AS 2000 [only] CMP System Oxide
Dainippon (DNS) AS 2000 [only] CMP System W
Dainippon (DNS)DNS LA-820 Track System Anneal
Dainippon (DNS) LA-W 820-A Track System Anneal
Dainippon (DNS) SS-3000-A Track System 4 Front scrubber
Dainippon (DNS) SS-3000-A Track System 4 Front scrubber
Dainippon (DNS) SS-3000-A Track System 4 Front scrubber
Dainippon (DNS) SS-3000-A Track System 4 Front scrubber
Dainippon (DNS) SS-3000-A Track System 4 Front scrubber
Dainippon (DNS) SS-3000-A Track System 4 Front scrubber
Dainippon (DNS) SS-3000-A Track System 4 Front scrubber
Dainippon (DNS) SS-3000-AR Track System Double Side Scrubber
Dainippon (DNS)DNS SS-3000-AR Track System Double Side Scrubber
Dainippon (DNS) FC-3000 Wet Station
Dainippon (DNS) MP-3000 (AQUASpin) Wet Cleaning
Dong-A LCM Inspector Pattern Generator CAMELEON
EBARA EPO 222 A CMP System
EBARA EPO-223 CMP System
EBARA EPO-223 CMP System
EBARA EPO-223 CMP System
EBARA FREX 300 S CMP System W
EBARA FREX 300 S CMP System W
EBARA FREX 300 S CMP System W
EBARA FREX 300 S CMP System W
Edward STPA 2203 LVS Turbo Pump
Edward STPA 2203 LVS Turbo Pump
Edward STPA 2203 LVS Turbo Pump
FEI Strata 400 FE SEM (Scanning Electron Microscope)
FSI Mercury MP Wet Processing
FSI ZETA 300 G 3 WET System
FSI ZETA 300 G 3 WET System
FSI ZETA 300 G 3 WET System
FSI ZETA 300 G 3 WET System
FSI ZETA 300 G 3 WET System
Axcelis / Fusion Microlite 150 Etcher Dry Etch
Axcelis / Fusion M 150 UV cure
Axcelis / Fusion M 150 PC Track System
Axcelis / Fusion M 150 PC Track System
Axcelis / Fusion M 150 PC UV Cure System
Axcelis / Fusion M 150 PC UV Cure System
Axcelis / Fusion M 150 PC UV Cure System
Axcelis / Fusion M 150 PC UV Cure System
Axcelis / Fusion M 150 PCJ UV Cure System
Axcelis / Fusion Microlite Track System
Gasonics PEP 3510 A Asher
Gasonics PEP 3510 A Asher
Hirayama PC-304 R 7 PCT SYSTEM
Hitachi CG 4000 CD SEM (Scanning Electron Microscope)
Hitachi IS 2700 Defect Inspection
Hitachi RS 3000 Review Scanning Electron Microscope (SEM)
Hitachi RS 3000 T Review Scanning Electron Microscope (SEM)
Hitachi RS 4000 Review Scanning Electron Microscope (SEM)
Hitachi S 4700 FE SEM (Scanning Electron Microscope)
Hitachi S-5200 FE SEM (Scanning Electron Microscope) Broken SEM
Hitachi S 8640 CD SEM (Scanning Electron Microscope)
Hitachi S 8820 CD SEM (Scanning Electron Microscope)
Hitachi S 9200 S CD SEM (Scanning Electron Microscope)
Hitachi S 9200 S CD SEM (Scanning Electron Microscope)
Hitachi S 9200 S CD SEM (Scanning Electron Microscope)
Hitachi S 9220 CD SEM (Scanning Electron Microscope)
Hitachi S-9300 CD SEM (Scanning Electron Microscope)
Hitachi S 9300 T CD SEM (Scanning Electron Microscope)
Hitachi S 9360 CD SEM (Scanning Electron Microscope)
Hitachi S 9380 II CD SEM (Scanning Electron Microscope)
Hitachi S 9380 II CD SEM (Scanning Electron Microscope)
Hitachi S 9380 II CD SEM (Scanning Electron Microscope)
Hitachi VR 120 SD Resistivity Measurement
HMR BOE etch bench Wet Processing System
HORIBA PR-PD 2 Reticle/Mask Particle Detection System
HORIBA PR-PD 2 Reticle/Mask Particle Detection System
HUGLE CRD-1000 Auto Cassette Cleaner
HUGLE CRD-1000 Auto Cassette Cleaner
HYPERVISION CHIP UNZIP System
INSPEX EAGLE 202 Patterned Wafer Inspection System
INSPEX EAGLE 202 Patterned Wafer Inspection System
Kaijo RT-623 A Wet Station
Karl Suss MA 150 Mask Aligner
Karl Suss MA 150 Mask Aligner
Leica INS 3300 G 1 Optical Review System
Leica LDS 3300 M Macro Inspection System
KLA-Tencor 7700 Defect Inspection System
KLA-Tencor AIT I Defect Inspection System
KLA-Tencor AIT XP Dark Field Inspection System
KLA-Tencor AIT XP+ Defect Inspection System
KLA-Tencor AIT II Defect Inspection System
KLA-Tencor AIT-UV Inspection System
KLA-Tencor AIT-XP Dark Field Inspection System
KLA-Tencor AIT-XP+ Dark Field Inspection System
KLA-Tencor Archer 10 XT Overlay System
KLA-Tencor Archer AIM Overlay System
KLA-Tencor Archer AIM+ Overlay System
KLA-Tencor Archer AIM+ Overlay System
KLA-Tencor 2133 Inspection System
KLA-Tencor 2133 Inspection System
KLA-Tencor 2133 Inspection System
KLA-Tencor 2139 Inspection System
KLA-Tencor 2370-IS Bright field inspection System
KLA-Tencor 2810 Bright Field Inspection System
KLA-Tencor 5100 Inspection System
KLA-Tencor 5200 Inspection System
KLA-Tencor 5200 Inspection System
KLA-Tencor 5200 Overlay System
KLA-Tencor 5200 XP Inspection System
KLA-Tencor 5200 XP Overlay System
KLA-Tencor Omnimap RS 55 tc Resistivity Measurement System System
KLA-Tencor SP 1 Classic Inspection System
KLA-Tencor SP 1-TBI Inspection System
KLA-Tencor Sufscan 2.1 Inspection System
ADE AWIS-3110 Wafer Inspection System
ADE AWIS-3110 Wafer Inspection System
ADE AWIS-3110 Wafer Inspection System
Koelcombi APEX System
Kokusai DJ 1205 V Furnace D-Poly
Kokusai DJ 1205 V Furnace D-Poly
Kokusai DJ-1206 VN Furnace Nitride
Kokusai DJ-1206 VN Furnace Nitride
Kokusai DJ 1223 V Furnace Nitride
Kokusai DJ 1223 V Furnace Nitride
Kokusai DJ-1223 V Furnace D-poly
Kokusai DJ-1223 V Furnace Nitride
Kokusai DJ-1223 V Furnace Nitride
Kokusai DJ-1223 V Furnace Nitride
Komatsu G 20 K 2-1 Scanner KrF Laser
Komatsu G 20 K 2-1 Scanner KrF Laser
Komatsu G 20 K 4-1 Scanner KrF Laser
Komatsu G 20 K 4-1 Scanner KrF Laser
Komatsu G 20 K 4-1 Scanner KrF Laser
LAM 490 Etcher Dry Etch
LAM 490 Etcher Dry Etch
LAM 490 Etcher Dry Etch
LAM 490 Etcher Dry Etch
LAM 490 Etcher Dry Etch
LAM 2300 Exelan Etcher Oxide
LAM 2300 Versys Kiyo Etcher Metal
LAM 2300 Versys Poly Etcher Poly
LAM 2300 Versys Poly Etcher Poly
LAM 4420 i Poly Etcher Etcher Dry Etch
LAM 4520 i Etcher Dry Etch
LAM 490 /B Etcher Dry Etch
LAM Rainbow 4528 Etcher Oxide
LAM Rainbow 4528 Etcher Oxide
LAM TCP 9400 Etcher Poly Etcher
LAM TCP 9600 Etcher Al
LAM TCP 9600 Etcher Al
LAM TCP 9600 Etcher Dry Etch
LAM TCP 9600 Etcher Dry Etch
LAM TCP 9600 Etcher Etch
LAM TCP 9600 Etcher Etch
LAM TCP 9600 Etcher Etch
LAM TCP 9600 Etcher Etch
LAM TCP 9600 SE Etcher Al
LAM TCP 9600 SE Etcher Al
LAM TCP 9600 SE Etcher Al
LAM TCP 9600 SE Etcher Al
LAM DV Prime Wet station
LAM DV 38 DS Double Side Wet
LAM DV 38 DS Double Side Wet
LAM DV 38 DS Double Side Wet
LAM DV 38 F Single Wet Etcher
LAM DV 38 F Single Wet Etcher
LAM DV 38 F Single Wet Etcher
Leica Polyvar SC Microscope
Leitz ERGOLUX System
Leitz INM 100 + INS 10 System
Leitz MPV CD 2 AMC System
Leitz MPV CD 2 AMC System
Leitz MPV-CD System
LTK 200 Photomask Asher
Mattson AST 2800 E Rapid Thermal Process (RTP)
Mattson AST 2900 Rapid Thermal Process (RTP)
Mattson AST 2900 Rapid Thermal Process (RTP)
Mattson AST 2900 Rapid Thermal Process (RTP)
Mattson AST 2900 Rapid Thermal Process (RTP)
Mattson AST 2900 Rapid Thermal Process (RTP)
Mattson AST 3000 Rapid Thermal Process (RTP)
Mattson AST 3000 Rapid Thermal Process (RTP)
Mattson AST 3000 Rapid Thermal Process (RTP)
Mattson AST 3000 Rapid Thermal Process (RTP)
Mattson Helios Rapid Thermal System
Met One DE 712 AF-5 Surface Particle Counter
Miele Cleaner (Type G 7827) Wet Processing System
Montair Prefurnace clean Etcher
Montair Wafer etch Etcher
MRC Eclipse mark II PVD System
MRC Eclipse mark II PVD System
MSP 2110 Particle Sampler
Nanometrics Nanospec AFT 400 Film Thickness Measurement
Nanometrics SIPHER Defect System EPI SLIP AND DEFECT
Nanospec AFT 210 System
Nicolet ECO 1000 m System
Nikon Optiphot 200 D Microscope
Nikon Optiphot 66 Microscope
Nikon SMZ-U Microscope
Nikon SMZ-U Microscope
Nikon NSR-S 204 B Scanner KrF
Nikon NSR-S 204 B Scanner KrF
Nikon NSR-S 204 B Scanner KrF
Nikon NSR-S 204 B Scanner KrF
Nikon NSR-S 204 B Scanner KrF
Nikon NSR-S 205 C Scanner KrF
Nikon NSR-S 205 C Scanner KrF
Nikon NSR-S 205 C Scanner KrF
Nikon NSR-S 205 C Scanner KrF
Nikon NSR-S 205 C Scanner KrF
Nikon NSR-S 205 C Scanner KrF
Nikon NSR-S 306 C Scanner ArF
Nikon NSR-S 306 C Scanner ArF
Nikon NSR-S 306 C Scanner ArF
Nikon EX 14 C Stepper KrF
Nikon EX 14 C Stepper KrF
Nikon NSR-2005 i 10 C Stepper i-line
Nikon NSR-2005 i 10 C Stepper i-line
Nikon NSR-2005 i 9 C Stepper i-line
Nikon NSR-2005 i 9 C Stepper i-line
Nikon NSR-2005 i 9 C Stepper i-line
Nikon NSR-2005 i 9 C Stepper i-line
Nikon NSR-2005 i 9 C Stepper i-line
Nikon NSR-2005 i 9 C Stepper i-line
Nikon NSR-2205 i 10 C Stepper i-line
Nikon NSR-2205 i 12 D Stepper i-line
Nikon NSR-2205 i 14 E Stepper i-line
Nikon NSR-2205 i 14 E Stepper i-line
Nikon NSR-2205 i 14 E 2 Stepper i-line
Nikon NSR-2205 i 14 E 2 Stepper i-line
Nikon NSR-4425 i Stepper i-line
Nikon NSR-4425 i Stepper i-line
Novellus Concept Two Dual Speed Shrink CVD System
Novellus Concept Two Dual Speed Shrink CVD System HDP CVD
Novellus Concept Two Dual Speed Shrink CVD System HDP CVD
Novellus Concept Two Single Speed Shrink CVD System
Novellus Concept Two Triple Speed Shrink CVD System
Novellus Concept Two Triple Speed Shrink CVD System
Novellus Concept Two Triple Speed Shrink CVD System HDP CVD
Novellus Concept Three Single Speed CVD System
Novellus Concept Three Speed XT Triple CVD System
Novellus Concept One CVD System
Novellus Concept One CVD System
Novellus Concept One CVD System
Novellus Concept One CVD System
Novellus Concept One CVD System
Novellus Concept One CVD System
Novellus Concept One CVD System
Novellus Concept One CVD System
Novellus Concept One CVD System
Novellus Concept One CVD System
Novellus Concept One CVD System
Novellus Concept One CVD System
Novellus Concept One CVD System
Novellus Concept One CVD System
Novellus Concept One PECVD System
Novellus Concept Three Vector CVD System
Novellus Concept Three Vector CVD System
Novellus Concept Three Vector CVD System
Novellus Concept Three Vector CVD System
Novellus Concept Three Vector CVD System
Novellus Concept Three Vector CVD System
Novellus Concept Three Vector CVD System PESIN
Novellus Concept Two ALTUS Dual STD CVD System WCVD
Novellus Concept Two ALTUS Single Shrink CVD System WCVD (PNL)
Novellus Sabre XT WET System Cu ECP
OAI 358 Stepper Exposure Analyzer
OAI 358 Stepper Exposure Analyzer
OAI 358 Stepper Exposure Analyzer
Olympus BHMJL Microscope
Olympus BHMJL Microscope
Olympus BHMJL Microscope
ORBOT WF 720 System
Oxford PRS 900 System
Perklin Elmer 300 HT Microalign Mask Aligner
Perklin Elmer 300 HT Microalign Mask Aligner
Perklin Elmer 300 HT Microalign Mask Aligner
Plasmatherm A 360 Etcher Dry Etch
Plasmatherm A 360 Etcher Dry Etch
Plasmatherm Restanten Etcher Dry Etch
PSC DES-212-304 AVL Asher PR Stripper
PSC (YAC) DES-212-304 AVL Asher PR Stripper
PSC (YAC) DES-212-304 AVL Asher PR Stripper
PSK DAS 2000 Asher
PSK TERA 21 Asher PR Ashing
PSS.NICOMP AccuSizer 780 Slurry Particle Inspection
Ramco 8500 II Asher
Ramco 8500 II Asher
Ramco 8500 II Asher
Ramco 8500 ZX Asher
Rena Wetbanch Wet Processing System
Rena Wetbanch Wet Processing System
Rena Wetbanch Wet Processing System
Rena Wetbanch Wet Processing System
Rena Wetbench Dip Etch(WB 1) Wet Processing System
Rena Wetbench Vapor Etch(WB 02) Wet Processing System
Rigaku TXRF 3750 X-Ray Fluorescence System
Rite track 8600 Track System Developer
Rite track 8800 Track System Developer
Rite track 8800 Track System Developer
Rite track SVG 8800 Track System Coater
Rudolph 3 D I 8500 Wafer Inspection System
Rudolph AXI 930 Micro Defect Inspection System
Rudolph AXI-S Defect Inspection System
Rudolph AXI-S Macro Wafer Inspection System
Rudolph FE 7-D Focus Ellipsometer
Rudolph FE 4 Focus Ellipsometer
Rudolph FE 7 Focus Ellipsometer
Rudolph FE 7-D Focus Ellipsometer
Rudolph FEVII Ellipsometer
Rudolph FEVII Ellipsometer
Rudolph FEVII Ellipsometer
Rudolph FEVII Ellipsometer
Sanyo Gallenkamp UTS 2020 System
Seiko-Seiki STP-A 2203 Turbo Pump ASSY
Seiko-Seiki STP-A 2203 Turbo Pump ASSY
Semitel DB 0100 Bake Oven
Semitel EBO 100 Bake Oven
Semitel TBO 100 Bake Oven
Semitel Parts Cleaner Parts Cleaner
Semitel Parts Cleaner Parts Cleaner
Semitel Parts Cleaner Parts Cleaner
Semitel Parts Cleaner Parts Cleaner
Semitool Mini Raider MR 302 Wet Processing System
Semitool SST Wet Processing System
Semitool SST-F-421-280-F Spin Dryer
Semitool SST-F-421-280-F Spin Dryer
Semitool SST-F-421-280-FK Spin Dryer
Semitool SST-F-421-280-K Spin Dryer
Semitool SST-F-421-280-K Spin Dryer
Semitool WSST Wet Stripper
Semix SS 8002 Track System
Semix SS 8002 Track System
Semix Tazmo Track System
SEN NV-GSD-HE Implanter High Energy
SEN NV-GSD-HE Implanter High Energy
SEN NV-GSD-HE Implanter High Energy
SEN NV-GSDIII-LE Implanter High Current
Seojin SSM 5200 CAPACITANCY-VOLTAGE TESTER
SES BW 3000 i Wet Station
Shibaura ICE 2 Asher
Shibaura ICE 2 Asher Cu
Signatone S-1060 R-6 SND 3 L Thermal Probing System
Silvaco S 3245 A NOISE AMPLIFIER
Star 2000 Primer ATV System
Star 2000 Primer System
STL SPARROW CFA System
STL SPARROW Quench System
Sumitomo KC-200 A Cassette Cleaner
Sungjin Semitech F 1 BC 02 Ultrasonic Cleaner
Sungjin Semitech Ultra Sonic Wet Clean Station
Surftens Measurement System
SVG 8800 Track System
SVG 8800 Track System
SVG 8800 Track System
SVG 8800 Track System
SVG 8800 Track System
SVG 8800 Track System
SVG 8820 Track System
SVG Thermco 4000 Diffusion Furnace
SVG Thermco SVG 5204 Diffusion Furnace
SVG Thermco SVG 5204 Diffusion Furnace
SVG Thermco SVG 5204 Diffusion Furnace
SVG Thermco SVG 5204 Diffusion Furnace
Taeyangtech TYT-PC Wet Clean Station
Tazmo / Semix Semix SOG coater
Tazmo / Semix TZP Track System
Tel Unity ME-8555 DDD Etcher Oxide
Tel Alpha-303 i-H Furnace D-Poly
Tel Alpha-303 i-H Furnace D-Poly
Tel Alpha-303 i-H Furnace D-Poly
Tel Alpha-303 i-H Furnace LP-N2 Anneal
Tel Alpha-303 i-H Furnace LP-N2 Anneal
Tel Alpha-303 i-H Furnace MTO
Tel Alpha-303 i-H Furnace MTO
Tel Alpha-303 i-H Furnace MTO
Tel Alpha-303 i-H Furnace Nitride
Tel Alpha-303 i-H Furnace Nitride
Tel Alpha-303 i-H Furnace PI Bake
Tel Alpha-808 SC Furnace Nitride
Tel Alpha-808 SC Furnace Nitride
Tel Alpha-808 SCN Furnace D-Poly
Tel Alpha-808 SCN Furnace D-Poly
Tel Alpha-808 SCN Furnace D-Poly
Tel Alpha-808 SCN Furnace D-Poly
Tel Alpha-808 SCN Furnace Nitride
Tel Alpha-808 SCN Furnace Nitride
Tel Alpha-808 SCN Furnace Nitride
Tel Alpha-808 SCN Furnace Nitride
Tel Alpha-808 SCN Furnace Nitride
Tel Alpha-808 SD Furnace D-Poly
Tel ALPHA-808 SD Furnace Dry Oxide
Tel ALPHA-808 SD Furnace Dry Oxide
Tel ALPHA-808 SD Furnace Dry Oxide
Tel ALPHA-808 SD Furnace Dry Oxide
Tel ALPHA-808 SD Furnace Dry Oxide
Tel ALPHA-808 SD Furnace Dry Oxide
Tel ALPHA-808 SD Furnace Dry Oxide
Tel ALPHA-808 SD Furnace Dry Oxide
Tel ALPHA-808 SD Furnace Dry Oxide
Tel ALPHA-808 SD Furnace Dry Oxide
Tel ALPHA-808 SD Furnace Dry Oxide
Tel ALPHA-808 SD Furnace Dry Oxide
Tel ALPHA-808 SD Furnace Dry Oxide
Tel ALPHA-808 SD Furnace Dry Oxide
Tel ALPHA-808 SD Furnace Dry Oxide
Tel ALPHA-808 SD Furnace Pyro Oxide
Tel Alpha-8 S-C Furnace PAD/LINER/ISO
Tel Alpha-8 S-C Furnace PAD/LINER/ISO
Tel Alpha-8 S-C Furnace Poly
Tel Alpha-8 S-E Furnace D-Poly
Tel Alpha-8 S-E Furnace D-Poly
Tel Alpha-8 S-E Furnace D-Poly
Tel Alpha-8 S-E Furnace D-Poly
Tel Alpha-8 S-E Furnace D-Poly
Tel Alpha-8 S-E Furnace D-Poly
Tel Alpha-8 S-E Furnace D-Poly
Tel Alpha-8 S-E Furnace D-Poly
Tel Alpha-8 S-E Furnace D-Poly
Tel Alpha-8 S-E Furnace D-Poly
Tel Alpha-8 S-E Furnace D-Poly
Tel Alpha-8 S-E Furnace D-Poly
Tel Alpha-8 S-E Furnace D-Poly
Tel Alpha-8 S-E Furnace D-Poly
Tel Alpha-8 SE-E Furnace ALO / HFO
Tel Alpha-8 SE-E Furnace ALO / HFO
Tel Alpha-8 SE-E Furnace DGPD
Tel Alpha-8 SE-E Furnace DOPED Poly
Tel Alpha-8 SE-Z Furnace Nitride
Tel Alpha-8 SE-Z Furnace Nitride
Tel Alpha-8 S-Z Furnace PHOS ANN(Poly)
Tel Alpha-8 S-ZVN Furnace D-Poly
Tel FORMULA Furnace Nit
Tel FORMULA Furnace Nit
Tel FORMULA Furnace SiGe-POLY
Tel LITHIUS i+ Track System COT/DEV
Tel Mark 7 Track System 1x Coat, 2 Develop
Tel Mark 7 Track System 1x Coat, 2 Develop
Tel Mark 7 Track System 1x Coat, 2 Develop1Scr
Tel Mark 7 Track System 3 Coat, 1 Develop
Tel Mark 8 Track System 1x Coat, 2 Develop
Tel Mark 8 Track System 1x Coat, 2 Develop
Tel Mark 8 Track System 1x Coat, 2 Develop
Tel Mark 8 Track System 1x Coat, 2 Develop
Tel Mark 8 Track System 1x Coat, 2 Develop
Tel Mark 8 Track System 1x Coat, 2 Develop
Tel Mark 8 Track System 1x Coat, 2 Develop
Tel Mark 8 Track System 1x Coat, 2 Develop
Tel Mark 8 Track System 1x Coat, 2 Develop
Tel Mark 8 Track System 1x Coat, 2 Develop
Tel Mark 8 Track System 2 Coat, 1 Develop
Tel Mark 8 Track System 2 Coat, 1 Develop
Tel Mark 8 Track System 2 Coat, 1 Develop
Tel Mark 8 Track System 2 Coat, 2 Develop
Tel Mark 8 Track System 2 Coat, 2 Develop
Tel EXPEDIUS Wet Station
Tel EXPEDIUS Plus Wet Station
Tempress TS 6804 Diffusion Furnace
Tempress TS 6804 Diffusion Furnace
Tempress TS 6804 Diffusion Furnace
Tempress TS 6804 Diffusion Furnace
PVA / Tepla 300 Etcher Dry Etch
PVA / Tepla 300 Etcher Dry Etch
PVA / Tepla 300 Etcher Dry Etch
Therma-wave TP-500 Ion Dose Monitor
Thermco 10 k Diffusion Furnace
Thermco 10 k Diffusion Furnace
Ultratech 1000 Stepper
Ultratech 1000 Stepper
Ultratech 1000 Stepper
Ultratech Ultratech 1500 Stepper
Ultratech Ultratech 1500 Stepper
Ultratech Ultratech 1500 Stepper
Ultratech Ultratech 1500 Stepper
Ultratech UT 1500 Stepper
Ultratech UT 1500 Stepper
Ultratech UT 1500 Stepper
Ultratech UT 1500 Stepper
Ultratech UT 1500 Stepper
Ultratech UT 1500 Stepper
Ultratech UT 1500 Stepper
Ultratech UT 1500 Stepper
Ultratech UT 1500 Stepper
Ultratech UT 1500 Stepper
Ultratech UT 1500 Stepper
Ultratech UT 1500 Stepper
Ultratech UT 1500 Stepper
Ultratech UT 1500 Stepper
Ultratech UT 1500 Stepper
Ultratech UT 1500 Stepper
Ultratech UT 1500 Stepper
Ultratech UT 1500 Stepper
Ultratech UT 1500 Stepper
Ulvac RISE-200 Asher
Ulvac Ceraus ZX 1000 PVD System
Ulvac Ceraus ZX 1000 PVD System
Ulvac Ceraus ZX 1000 PVD System
Ulvac Ceraus ZX 1000 PVD System
Ulvac Ceraus ZX 1000 PVD System
Ulvac Ceraus ZX 1000 PVD System
Ulvac ENTRON EX PVD System TSV Bump UBM
Ulvac ENTRON EX W 300 PVD System
Ulvac ENTRON_S PVD System
Ulvac ENTRON_T PVD System
Ushio UMA-1002-HC 93 FS Stabilizer
Ushio UMA-1002-HC 93 FWL Stabilizer
Varian E 220 Implanter
Varian E 220 hp Implanter
Varian VIISta 80 Implanter High Current
Varian 3290 PVD System
Varian M 2 i PVD System
Varian XM 90 PVD System
Varian XM 90 PVD System
Varian XM 90 PVD System
Varian XM 90 PVD System
Veeco K 465 i MOCVD System
Veeco UVX 210 Atomic Force Profiler
Veeco UVX 310 Step Profiler
Yes 5 Oven
Yes 5 E Oven
Yes 5 E Oven
Advantest M 6541 A Handler
Advantest M 6541 A Handler
Advantest M 6541 AD Handler
Advantest M 6541 AD Handler
Advantest M 6541 AD Handler
Advantest M 6541 AD Handler
Advantest M 6541 AD Handler
Advantest M 6541 AD Handler
Advantest M 6541 AD Handler
Advantest M 6541 AD Handler
Advantest M 6541 AD Handler
Advantest M 6541 AD Handler
Advantest M 6541 AD Handler
Advantest M 6541 AD Handler
Advantest M 6751 AD Handler
Advantest M 6751 AD Handler
Advantest T 5335 P Pre Laser Tester
Advantest T 5371 Tester
Advantest T 5371 Tester
Advantest T 5371 Tester
Advantest T 5371 Tester
Advantest T 5371 Tester
Advantest T 5371 Tester
Advantest T 5371 Tester
Advantest T 5371 Tester
Advantest T 5371 Tester
Advantest T 5371 Tester
Advantest T 5371 Tester
Advantest T 5371 Tester
Advantest T 5371 Tester
Advantest T 5371 Tester
Advantest T 5371 Tester
Advantest T 5371 Tester
Advantest T 5371 Tester
Advantest T 5371 Tester
Advantest T 5371 Tester
Advantest T 5371 Tester
Advantest T 5371 Tester
Advantest T 5371 Tester
Advantest T 5371 Tester
Advantest T 5371 Tester
Advantest T 5371 Tester
Advantest T 5371 Tester
Advantest T 5371 Tester
Advantest T 5371 Tester
Advantest T 5371 Tester
Advantest T 5371 Tester
Advantest T 5371 Tester
Advantest T 5371 Tester
Advantest T 5371 Tester
Advantest T 5371 Tester
Advantest T 5371 Tester
Advantest T 5371 Tester
Advantest T 5371 Tester
Advantest T 5371 Tester
Advantest T 5371 Tester
Advantest T 5371 Tester
Advantest T 5371 Tester
Advantest T 5371 Tester
Advantest T 5371 Tester
Advantest T 5371 Tester
Advantest T 5371 Tester
Advantest T 5371 Tester
Advantest T 5371 Tester
Advantest T 5371 Tester
Advantest T 5371 Tester
Advantest T 5581 Tester
Advantest T 5581 Tester
Advantest T 5585 Memory Tester
Advantest T 5585 Memory Tester
Advantest T 5585 Memory Tester
Advantest T 5585 Memory Tester
Advantest T 5585 Memory Tester
Advantest T 5585 Memory Tester
Advantest T 5585 Memory Tester
Advantest T 5585 Memory Tester
Advantest T 5585 Memory Tester
Advantest T 5585 Memory Tester
Advantest T 5585 Memory Tester
Advantest T 5585 Memory Tester
Advantest T 5585 Memory tester
Advantest T 5585 Memory tester
Advantest T 5586 Memory Tester
Agilent 3478 A Digital Multimeter
Agilent 4145 B Parameter Analyzer
Agilent 4280 A Measurement
Agilent F 120 t Tester
Bruel & Kijael 2827-002 Vibration Meter
LTX / Credence IMS Electra Mixed Signal Test System
DIGITAL INSTRUMENT D 5000 SCANNING PROBE MICROSCOPE SYSTEM
GSI Lumonics M 450 Laser Repair System
GSI Lumonics M 450 Laser Repair System
GSI Lumonics M 450 Laser Repair System
GSI Lumonics M 450 Laser Repair System
GSI Lumonics M 450 Laser Repair System
GSI Lumonics M 450 Laser Repair System
GSI Lumonics M 550 Laser Repair System
Keithley 228 A Voltage/Current System
Keithley / Signatone SYSTEM 83 (2430) C-V characterization System
LEO LTA-700 LIFETIME TESTER
Mosaid MS 3490 Memory Tester
Oryx instrument 11000 EX ESD Tester(Latch-up Test System)
Oryx instrument Orion CDM ESD Tester
Oryx Technology CELESTRON TLP Tester
Tektronix TM 502 A Current-Probe Amplifier
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XL Prober
Tel P-12 XLM Prober
Tel P-12 XLM Prober
Teradyne CATALYST Tester
Teradyne CATALYST Tester
Voltech Ati LCR Meter(ATi)
Yang Electronics REL-6100 Probe Station
ASM AD 830 Die Bonder
ASM AD 830 Die Bonder
ASM AD 830 Die Bonder
ASM AD 8930 Die Bonder
ASM AD 8930 Die Bonder
ASM AD 8930 Die Bonder
ASM AD 8930 Die Bonder
ASM AD 8930 Die Bonder
ASM AD 8930 Die Bonder
ASM AD 8930 Die Bonder
ASM AD 8930 Die Bonder
ASM AD 8930 Die Bonder
ASM iHAWK Wire Bonder
ASM iHAWK Wire Bonder
ASM iHAWK Wire Bonder
Disco DFD 640 Dicing Saw
Disco DFD 640 Dicing Saw
Disco DFD 640 Dicing Saw
Disco DFD 640 Dicing Saw
Disco DFD 640 Dicing Saw
Disco DFD 640 Dicing Saw
Disco DFD 640 Dicing Saw
Disco DFD 640 Dicing Saw
Disco DFD 641 Dicing Saw
Disco DFD 641 Dicing Saw
Disco DFD 6450 Dicing Saw
Disco DFD 651 Dicing Saw
Disco DFD 651 Dicing Saw
Disco DFD 651 Dicing Saw
Disco DFD 651 Dicing Saw
Disco DFD 651 Dicing Saw
Disco DFD 651 Dicing Saw
Disco DFD 651 Dicing Saw
Disco DFD 651 Dicing Saw
Disco DFD 651 Dicing Saw
Disco DFD 651 Dicing Saw
Disco DFD 651 Dicing Saw
Disco DFD 651 Dicing Saw
Disco DFD 651 Dicing Saw
Disco DFG 841 Back Grinder
Disco DFG 8560 Back Grinder
Disco DFG 8560
Esec 2008 HS 3 Plus Die Bonder
Esec 2008 HS 3 PLUS Die Bonder
Esec 2008 HS 3 PLUS Die Bonder
Esec 2008 HS 3 PLUS Die Bonder
Esec 2008 HS 3 PLUS Die Bonder
Esec 2008 HS 3 PLUS Die Bonder
Esec 2008 HS 3 PLUS Die Bonder
Esec 2008 HS 3 PLUS Die Bonder
Esec 2008 HS 3 PLUS Die Bonder
Esec 2008 HS 3 PLUS Die Bonder
Esec 2008 HS 3 PLUS Die Bonder
Esec 2008 HS 3 PLUS Die Bonder
Esec 2008 HS 3 PLUS Die Bonder
Esec 2008 HS 3 PLUS Die Bonder
Esec 2008 HS 3 PLUS Die Bonder
Esec 2008 HS 3 PLUS Die Bonder
Esec 2008 HS 3 PLUS Die Bonder
Esec 2008 HS 3 PLUS Die Bonder
Esec 2008 XPIII Die Bonder
Hitachi CM 700 Die Bonder
Kaijo FB 700 Wire Bonder
Kaijo FB 700 Wire Bonder
Kaijo FB 700 Wire Bonder
Kaijo FB 700 Wire Bonder
Kaijo FB 700 Wire Bonder
Kaijo FB 700 Wire Bonder
LINTEC RAD 3500 F/ 8 Taper
Nitto / Denko DR 8500-II Taper
Nitto / Denko DR 8500-II Taper
Nitto / Denko DR 8500-II Taper
Nitto / Denko DR 8500-II Taper
Nitto / Denko DR 8500-II Taper
Nitto / Denko HR 8500-II Detaper
Nitto / Denko HR 8500-II Detaper
Nitto / Denko HR 8500-II Detaper
Nitto / Denko HR 8500 UV-II UV Detaper
PROTEC PHANTASM Dispenser
PROTEC PHANTASM Dispenser
Takatori DAM 812 MS Wafer Mounter
Accretech / TSK ACS 100 A Manual Spinner Cleaner
Accretech / TSK ACS 100 A Manual Spinner Cleaner
Accretech / TSK ACS 100 A Manual Spinner Cleaner
Accretech / TSK ACS 100 A Manual Spinner Cleaner
Accretech / TSK AWD 200 T Dicing Saw
Accretech / TSK PG 200 Back Grinder Polisher
Accretech / TSK PG 200 RM Back Grinder Polisher
Accretech / TSK PG 200 RM Back Grinder Polisher
Accretech / TSK PG 300 RM Back Grinder Polisher
Accretech / TSK U-FW-200 B Chiller
Asymtek X-1020 Dispenser
Asymtek X-1020 Underfill dispenser
Asymtek X-1020 Underfill dispenser
AKT AKT 1600 PECVD System
AKT AKT 1600 PECVD System
Orbotech LC-3090 Pattern inspection System
Tel CS 500 PEP Track System
Tel CS 500 PEP Track System
Toray TMT-E 47 / 1 Numbering exposure System
Toray TMT-E 47 / 1 Numbering exposure System
Ulvac SMD 450 B Sputtering System
Siemens SOMATOM Sensation 64 CT Scanner
Siemens Mammomat Inspiration (DR) Mammography System
Toshiba Aquilion 16 (TSX-101 A) CT Scanner
AMK AMAT 0 Chiller
AMK AMAT 0 Chiller
AMK AMAT 1 Chiller
AMK AMAT 1 Chiller
AMK AMAT 1 Chiller
AMK AMAT 1 Chiller
AMK AMAT 1 Chiller
AMK AMAT 1 Chiller
Anelva CAP-80 MK Cryo Pump (ILC-1051) (ILC-1051)
BAYVOLTEX RRS-1650 _ Chiller
BAYVOLTEX RRS-1650 _ Chiller
COSAM BCU-D 862 LT Chiller
COSAM BCU-D 862 LT Chiller
COSAM BCU-E 152-1 Chiller
COSAM BCU-E 252 RSE-COM Chiller
COSAM BCU-E 252 RSM 1-AMT Chiller
COSAM BCU-E 252 RSM-AMT Chiller
COSAM MTC-U 020 F-MH Chiller
DASAN DTCU-A 330 DN Chiller
EBARA 150 X 40 KOKUSAI Dry Pump
EBARA 150 X 40 UERP 6 M-U Dry Pump
EBARA 150 X 40 UERP 6 M-U Dry Pump
EBARA 150 X 40 UERP 6 M-U Dry Pump
EBARA 150 X 40 UERP 6 M-U Dry Pump
EBARA 150 X 40 UERP 6 M-U Dry Pump
EBARA 150 X 40 UERP 6 M-J Dry Pump
EBARA A 07 V Dry Pump
EBARA A 150 W-M Dry Pump
EBARA A 150 W-M Dry Pump
EBARA A 150 W-M Dry Pump
EBARA A 150 W-M Dry Pump
EBARA A 150 W-M Dry Pump
EBARA A 30 W Dry pump
EBARA A 30 W Dry pump
EBARA A 30 W Dry pump
EBARA A 30 W Dry pump
EBARA A 30 W Dry Pump
EBARA A 30 W Dry Pump
EBARA A 30 W Dry Pump
EBARA A 30 W Dry Pump
EBARA A 30 W Dry Pump
EBARA A 30 W Dry Pump
EBARA A 30 W Dry Pump
EBARA A 30 W Dry Pump
EBARA A 30 W Dry Pump
EBARA AA 10 Dry Pump
EBARA AA 10 Dry pump
EBARA AA 20 Dry Pump
EBARA AA 30 Dry Pump
EBARA AA 30 Dry Pump
EBARA AA 30 W Dry Pump
EBARA AA 40 WN Dry Pump
EBARA AA 40 WN Dry Pump
Ebara AAS 70 W Dry Pump
EBARA AAS 70 WN Dry Pump
EBARA AAS 70 WN Dry Pump
EBARA AAS 70 WN Dry Pump
Ebara A 07 V Pump
Ebara A 07 V Pump
EBARA A 30 W Dry Pump
EBARA A 30 W Dry Pump
EBARA A 30 W Dry Pump
EBARA A 30 W Dry Pump
Ebara A 30 W Pump
Ebara A 30 W Pump
Ebara A 30 W-N Pump
EDWARDS QDP 40 Dry Pump
EDWARDS QDP 40 / 250 Dry Pump
EDWARDS QDP 80 Dry Pump
EDWARDS QDP 80 Dry Pump
EDWARDS QDP 80 Dry Pump
EDWARDS QDP 80 Dry Pump
EDWARDS QDP 80 Dry Pump
EDWARDS QDP 80 Dry Pump
EDWARDS QDP 80 Dry Pump
EDWARDS QDP 80 Dry Pump
EDWARDS QDP 80 Dry Pump
Edwards QDP 80 / 250 Dry pump
FST FSTC-CS 0010 Chiller
FST GREEN 2000 _ Chiller
Kashiyama RDE 603 A-001 Dry Pump
Kashiyama RDE 603 A-005 Dry Pump
Kashiyama RDE 603 A-010 Dry Pump
Kashiyama SD 1200 V-12 Dry Pump
Kashiyama SD 609 A-001-60 Dry Pump
Kashiyama SD 609 A-001-60 Dry Pump
Kashiyama SD 60 VIIU-074-60 Dry Pump
LAM LAM_ Chiller
LASEMTECH BCU-B 952 LT_ Chiller
LASEMTECH BCU-E 110 RS-COM Chiller
LASEMTECH BCU-E 110 RS-COM Chiller
LASEMTECH BCU-E 110 RS-COM Chiller
LASEMTECH BCU-E 110 RS-COM Chiller
LASEMTECH BCU-E 110 RS-COM 1 _ Chiller
LASEMTECH BCU-E 152 _ Chiller
LASEMTECH BCU-E 252 RS_ Chiller
LASEMTECH BCU-T 932 LT Chiller
LASEMTECH WTC-U 020 F-MH 1 Chiller
LASEMTECK BCU-E 110 RS-COM 1 Chiller
NESLAB HX+ 300 W/C Chiller
NESLAB HX-150 Chiller
NESLAB HX-150 Chiller
NESLAB HX-151 GALDEN Chiller
NESLAB HX-151 GALDEN Chiller
NESLAB HX-151 GALDEN Chiller
NESLAB HX-151 GALDEN Chiller
NESLAB MERLIN M 25 Chiller
NESLAB STEEL HEAD 1 Chiller
NESLAB. HX+ 300 W/C Chiller
NOHA 2020 G Chiller
NOHA 2020 G Chiller
PTC PTCU-330 DN Chiller
PTC PTCU-330 DN Chiller
RASCO WTC-DD 112-ANL Chiller
RASCO WTC-DD 112-ANL Chiller
RASCO WTC-DD 112-ANL Chiller
RASCO WTC-DD 112-ANL Chiller
RASCO BCU-D 862-LT Chiller
RASCO BCU-D 862-LT Chiller
RASCO BCU-D 862-LT Chiller
RASCO BCU-E 152 Chiller
RASCO BCU-E 152 Chiller
RASCO BCU-S 912 K Chiller
RASCO WTC-DD 112-ANL Chiller
SMC INR-244-635 Chiller
SMC INR-244-635 Chiller
TAITEC SC-80 FH_ Chiller
TAITEC SC-80 FH_ Chiller
TeamKorea TK-HE 6 KS Chiller
TeamKorea TK-HE 6 KS Chiller
TeamKorea TK-HE 6 KS Chiller
TOYOTA T 1000 Dry Pump
TOYOTA T 1000 Dry Pump
TOYOTA T 100 L Dry Pump
Adtec AM-2000S_C P/N: 96289B
Adtec AM-2000S_C P/N: AM-2000S-P2-94071
Adtec AMV2000_APT P/N: AMV2000-APT-AM06081703
Applied Materials TOP RING, NM POLY, STEPPED, 300MM EMAX P/N: 0200-02301
Applied Materials LINER, LOWER 300MM DPS2 P/N: 0040-81155
Applied Materials AE Rf counter match P/N: 1110-00007
Applied Materials DOOR, RIGHT SIDE PANEL, 5.3 FI P/N: 0040-80683
Applied Materials Faceplate, 300mm, HO16 xGen P/N: 0021-14590
Applied Materials Door, SV blocker, single rod DPS II P/N: 0020-63668
Applied Materials Faceplate, LPCVD, 300mm, DCS-xZ, HD P/N: 0020-52485
Applied Materials Door Slit Valve DPS2 P/N: 0040-81165
Applied Materials Blocker Plate, Unif Dist POLYGEN TUG 300 P/N: 0021-08845
Applied Materials Blocker Plate, 300 mm Xgen P/N: 0021-14589
Applied Materials ASSEMBLY, WATER PLENUM, 300MM DPS2 P/N: 0010-05617
Applied Materials PROBE MOUNT ASSY SAPHIRE- PROBE FOR WAFE P/N: 0190-18430
Applied Materials Ultima, HDP, 30K PM Kit P/N: 0244-00028
Applied Materials INSULATOR, 300MM SOURCE ADAPTOR, CERAMI P/N: 0200-06872
Applied Materials Cover Rotation 300mm Radiance Chamber P/N: 0020-02908
Applied Materials Ultima, HDP, 110K PM Kit P/N: 0244-00029
Applied Materials TOOL FOR LID ASSEMBLY 300MM DPS II P/N: 0270-01877
Applied Materials Ultima, HDP, ESC PM Kit P/N: 0244-00010
Applied Materials Flap, Top, Secondary, Throttle Valve P/N: 0021-04312
Applied Materials O-ring, Kalrez, 16.995, 0.210cs P/N: 3700-04706
Applied Materials Bellows Assembly, Slit Door DPSII P/N: 0040-76767
Applied Materials Gas Insert Lid Assy. 300MM DPS2 P/N: 0200-04406
Applied Materials Electrical Assy DC Power Supply Drawer P/N: 0100-23802
Applied Materials Entegris valve tool kit P/N: 213-103-01
Applied Materials ETC HX FLOW SWITCH AMAT-1 GEMS P/N: 0190-00411
Applied Materials UPPER TELESCOPE, SHADOW RING, DPS II P/N: 0020-13663
Applied Materials 300mm CDA pressure switch P/N: 3300-03444
Applied Materials CDA Pressure Switch Assy, Producer P/N: 0090-02430
Applied Materials Entegris valve tool kit P/N: 213-102-01
Applied Materials C-SEAL, 3 Port Micro Seal MSA-028-311 r6 P/N: 3700-03274
Applied Materials Washer Al Lift Pin P/N: 0021-26571
Applied Materials SWLL,COOLDOWN PEDESTAL WAFER SUPPORT PI P/N: 0200-00582
Applied Materials RPS Blankoff O-ring HDP P/N: 3700-03038
Applied Materials O-ring, 2.359 ID 0.139 CSD Kalrez 8085 P/N: 3700-01682
Applied Materials Ultima, HDP, 30K MF PM P/N: 0244-00030
Applied Materials O-Ring ID .296 CSD .139 Kalrez 8085 P/N: 3700-04704
Applied Materials Throttle Valve Coupler P/N: 0190-00618
Applied Materials O-Ring ID 2.734 CSD .139 Kalrez 8085 P/N: 3700-04686
Applied Materials O-Ring ID 1.674 CSD .092 Kalrez 8085 P/N: 3700-04800
Applied Materials Screw, Cap SKT HD 10-32 x 1 1/2 Torx T27 P/N: 3691-01389
Applied Materials CAPTIVE SCREW, 300MM SLIT VALVE P/N: 0021-76418
Applied Materials Button, Spring Plunger, EP Window P/N: 0021-07076
Applied Materials Screw, Cap SKT HD 8-32 x 2 Torx T25 w FW P/N: 3691-01405
Applied Materials Screw, Cap SKT HD 10-32 x 5/8 Torx T27 P/N: 3691-01624
Applied Materials O-Ring ID 1.110 CSD .089 Kalrez 8085 P/N: 3700-04684
Applied Materials Beariing spacer, sigma P/N: 0021-07348
Applied Materials AMAT FI Service Lift Kit P/N: 0242-29971
Applied Materials SPECTROGRAPH, SD1024D-2-S, I/O, 24VDC P/N: 1400-00205R
Applied Materials SleeveSlitValve APF P/N: 0021-25781
Applied Materials Blocker APF 300mmProducer P/N: 0200-48891
Applied Materials Service Kit,300mm SIP TA, Falcon P/N: 0247-00393
Applied Materials Top Liner No Ledge 300mmAPF producer P/N: 0200-05623
Applied Materials ID-U1025E P/N: 13620, 13621, 13624, 13638, 15978
ASML SPARE PARTS
DAIHEN AMN-100_ P/N: MA9710-087
DAIHEN AMN-100_ P/N: MA9811-193
DAIHEN AMN-100_ P/N: MA9710-086
DAIHEN AMN-100_ P/N: MA9710-088
FUJI VFC506A P/N: 3242602Y179
Mattson Edge Grip Adapter Vers.3 Helios P/N: 3002233
Mattson O-ring 84 * 2 Vi500 P/N: 27300113
Mattson Filter, PFA 1/2inVCR MMGLFPF6101VM6/8 P/N: 37500017
Mattson Filter Micro Inline gas -, Wafergard II P/N: 37500003
Mattson Heaterjacket for Pall filter 230V / 42W P/N: 19201628
Mattson O-ring 26.7 * 1.78 Viton compound P/N: 37300039
Mattson O-ring 5.5 * 1.5 Vi500 P/N: 27300036
Mattson O-ring B+S-OR2000700-V80G1 P/N: 27300076
Mattson Washer 1/2inch nickel P/N: 37300026
Mattson Gasket u-sealing -, 4,9x8,6x1,0 NIRO P/N: 27300107
Mattson O-ring 189,87*5,33 Vi 500 P/N: 27300051
Mattson Washer 1/4inch nickel P/N: 37300014
Mattson Quartz Pins P/N: 58600091
Mattson O-ring 9,00*2,00 ChemrazSD550 75°Shore P/N: 27300137
Mattson Proximity switch, inductive Helios P/N: 18800094
Mattson Filters for Mattson RTP Blowers P/N: 27500011
Mattson Stopper, wafer, jaw right Helios P/N: 1003816
Mattson Stopper, wafer, jaw left Helios P/N: 1003815
Mattson O-ring 5.8 * 1.5 Vi563 P/N: 27300071
Mattson Fuse fine -, 5*20mm 1.0 A type T H P/N: 10800027
Mattson Knurled Head Screw Short (Bottom) P/N: 49501359
Mattson O-ring 13*2 Viton compound 500 P/N: 27300079
Mattson Fuse Neozed -, 20A DO2 P/N: 10800092
Mattson Fuse fine -, 5*20mm 3,15A type T P/N: 10800042
Mattson O-ring 255.0 * 2.0 P431,A P/N: 27300125
Mattson Gasket Z EAT CPU soft PVC, 485mm length P/N: 27300155
Mattson Fuse cap -, DC700V 25A P/N: 10800199
Mattson Ring center -, DN 16 KEY-FIELDS P/N: 38000056
Mattson Ring center -, DN 25 KEY-FIELDS P/N: 37300006
Mattson Fuse Neozed -, 63A DO2 P/N: 10800051
Mattson O-ring 4 * 2.5 EPDM P/N: 27300061
Mattson O-ring 12 * 2 Vi500 P/N: 27300029
Mattson Power rack fuse(square) NH00 100A P/N: 10800060
Mattson Gasket separate -, Pneumatics block P/N: 27300160
Mattson O-ring 9 * 2 Vi500 P/N: 27300052
Mattson fuse 10A IEC60/27-2/V (1 ea = 1 Fuse) P/N: 10800043
Mattson O-ring, 12*1,5 Vi500 P/N: 27300158
Mattson Sealing tape ptfe 3x1,5 P/N: 27300166
Mattson Sealing profile 10x20mm to get jam 1m/ea P/N: 27300163
Mattson Neck screws -, M2,5 slot, nickel plated P/N: 11300005
PEARL M-20A2LS_U2 P/N: RN050209
PEARL M-20A2LS_U2 P/N: 9733B1379
PEARL M-20A2LS_U2 P/N: 9933B1715
PEARL M-20A2LS_U2 P/N: 9633B0742
PEARL M-20A2LS_U2 P/N: 9733B1389
PEARL M-20A2LS_U2 P/N: 9733B1721
PEARL ZDK-916_2 BOT P/N: 9633B0885C
PEARL ZDK-916_2 BOT P/N: 9733B1721C
PEARL ZDK-916_2 BOT P/N: 9733B1389C
PEARL ZDK-916_2 BOT P/N: 9933B1715C
PEARL ZDK-916_2 BOT P/N: 9733B1379C
PEARL ZDK-916_2 BOT P/N: 9733B1375
PEARL ZDK-916_S-2 TOP P/N: 02B31B0905C
PEARL ZDK-916_S-2 TOP P/N: 9633B0864C
SemiQuarz Gas Reflection Quartz Plate P/N: 57200031
SemiQuarz Quartz Pin Ø=1,6, 17mm, Standard Tip P/N: 58500045
SemiQuarz Rotation Jet Brake (Helios) P/N: 58000022
SemiQuarz 300mm wafer pins 17mm lg. sharp 10° P/N: 58500053
SemiQuarz Top liner spacer pin (Helios) P/N: 58500057
SemiQuarz Bearing Si ring-tilt tray (Helios) P/N: 58500029
SemiQuarz Quartz Fulcrum Base (Helios) P/N: 58500059
SemiQuarz Pin bearing, Tray (Helios) P/N: 58500018
SEN GSD HE/LE 150mm ES kit
Tel SHIELD, DEPO Y-AL SE, GROOVE P/N: ES3D10-100910-12
Tel SHIELD. DEPO-UED (DT-40) P/N: ES3D10-100596-13
Tel SHIELD. DEPO-UED (DT-40) P/N: ES3D10-100596-13
Tel TMP..FT-1400W JISVG150 P/N: ES3D80-000080-11
Tel BOX,SHIELD BASEMATCHER NS.. P/N: ES3D10-201287-12
Tel BODY,UPPER ELECTRODE (420-TEMP).. P/N: ES3D10-100587-13
Tel PCB,I/O..TKB7031(IO SPIN MTR # Lithius P/N: CT5044-000062-12
Tel SPACER, GAP50 Y-AL, SE P/N: ES3D10-201706-21
Tel APC ASSY,VTEX P/N: ES2L87-000576-15
Tel CONTROL VALVE..GPF-03004 P/N: ES2L80-050092-11
Tel 40M/3.2M/DT-HI-POWER/MATCHER..MW2-60-0 P/N: ES3D80-000672-11
Tel WINDOW DEPO,CLP P/N: ES3D10-350344-12
Tel TMP..TMP-34043 LMC-T1 (VG250) P/N: ES3D80-000948-21
Tel CONTROLLER,TMP..FT-1400W P/N: ES3D80-000196-12
Tel PRESSURE CONTROLLER..R-04012-3-01 P/N: ES2L80-050093-31
Tel BLOCK,P GAS UPPER GAP50.. P/N: ES2L10-301485-11
Tel PIN LOCATE, EXHAUST P/N: ES3D10-400587-11
Tel PCV..GP100F P/N: ES2L80-050186-11
Tel PRESSURE CONTROLLER..R-02036-3-01 P/N: ES2L80-050093-31
Tel BODY,LL ARM TIBRG(C)..30HA012Z0 P/N: ES2L80-000160-13
Tel 90NM SCCM OXIDE DUAL GAS KIT P/N: ES3D96-151268-21
Tel BLOCK BALVE..FBL-20-NW25XNW16-1B4-DVR P/N: ES3D80-000240-11
Tel HOLDER LOCATING,T2 GAP50 P/N: ES3D10-303852-11
Tel COMPUTER,EMBEDDED..UA024/754Z P/N: ES050-002390-1
Tel BLOCK VALVE..FBL-NW25*NW16-1B4-DVF P/N: ES3D80-000147-11
Tel SENSOR,PRESSURE..BPG400 P/N: ES036-006618-1
Tel PCV..GP-100 P/N: ES2L80-000184-12
Tel NET, MANI LH, GND-B P/N: ES3D10-201094-13
Tel O-RING.. ARMOR CRYSTAL AS568A-393 A60 P/N: ES027-003819-1
Tel SCREW,LOCK PIN N P/N: ES3D10-401199-12
Tel SCREW,LOCK PIN N P/N: ES3D10-401199-12
Tel TUBE 1,UEL GAS LINE(OX)2.. P/N: ES2L10-300496-12
Tel BLOCK VALVE..FBL-20-NW16-2B3-D P/N: ES3D80-000241-11
Tel BOLT, CAP SCREW (M6*20) P/N: ES3D02-400155-11
Tel BOLT, CAP SCREW (M6*20) P/N: ES3D02-400155-11
Tel TYB631-1/FANR P/N: ES2L81-050061-12
Tel RING, SPACER INSULATOR N P/N: ES3D10-200717-13
Tel PF-AB LM EXH FAN P/N: ESBX81-070127-12
Tel TUBE 2,UEL GAS LINE P.. P/N: ES2L10-400451-11
Tel PLATE, STOPPER INSULATOR(C.) P/N: ES3D10-402706-11
Tel PIN,SUPPORT INSULATOR,FACE P/N: ES3D10-403978-12
Tel ETC300 TEL LL Buffer Oring KAL4079 P4BLK P/N: ES027-001438-1
Tel SIM,LOCK PIN P/N: ES3D10-401915-11
Tel FITTING PART..UJR-9.52RE-RG-O P/N: ES028-010314-1
Spectra Physics Ion Laser without power supply
Electroglas 4085 X Prober
KLA-Tencor Archer AIM+ Overlay Measurement System "- Originally Archer XT+, upgraded to Archer AIM+ (2008)
- 300mm Dual FIMs Front-End
(2) Asyst 300mm FOUP load-ports
25-slot FOUP handling capability
- PRI Wafer Handling Robot
- Single Blade End Effecter (300mm)
- Cassette/Wafer Scanning module (mounted on wrist assembly)
- Brooks 300mm Pre-aligner
- HEPA Filtered Mini-Envi
- Network Ready: RJ45 (10BaseT), BNC, & AUI connections
- Software (OS): Windows NT
- Software (App): Archer 5.60.05.30200 SP3
- User Interface:
- Dell 1905FP High-Res LCD Monitor
- Keyboard / Mouse (Trackball)
- Drive: CD-Rom
- Mitsubishi P91D Video Printer
- EMO Button (Key lock/release)
- Power (US): 208VAC, 12.5A, 2-Phase, 3-Wire, Freq 50/60Hz
- Power (US): 230VAC, 11.3A, 1-Phase, 3-Wire, Freq 50/60Hz"
Acer Supra-1020 Surface Grinder "Hydraulic Surface grinder 3 axis automatic.
Overall grinding length 630mm
Overall grinding width 281mm
Overall grinding height 397mm
Fully enclosed splash guard (corrosion damage , coolant leaks)
5hp spindle motor
Electromagnetic chuck
2 axis digital readout
Both grinding mode and plunge mode
Longitudinal limit switches are broken but can be repaired.
3 phase 230V"
Alpha Metals / Omega Meter / Ionagraph 500 A
Pace FT 2700 Rework Station
Arburg Allrounder 270 C 300 Injection Molding Machine
Test
Tekena TDRC-SA 2100 DS-ULT-STD Web Cleaners "Double-sided, Heavy Duty Web Cleaning System (S-wrap configuration) Semi-automatic cleaning of contamination storage rollers: Includes integral drive motor Storage roller cleaning fluid: IPA Maximum cleaning width: 2100mm (82.67"") Application line speed: Approx. 10ft/min
2 x 112mm OD, balanced cleaning rollers. Low weight to minimize added web tension
2 x 124mm OD, balanced, hi-capacity contamination storage rollers Full cover Guard: Acrylic/Aluminum Cabinet Control Box for line integration, pneumatic & electrical supplies Automatic operation from line ON/OFF signal with Manual override
Utilities: 110V/60Hz single phase, 70-100psi dry, clean air"
Tekena TDRC-A 2100 SS-ULT-STD Web Cleaners "Single-sided, Heavy Duty Web Cleaning System Fully Automatic, Traversing Cleaning Head with cloth roll for storage roller Storage roller cleaning fluid: IPA
1 x 5ltr capacity, stainless steel IPA tank with all associated pipework, regulators etc.
PLC Control System (Omron) with 5.4” touch screen control panel
1 x 112mm OD, balanced cleaning roller. Low weight to minimize added web tension
1 x 124mm OD, balanced, hi-capacity contamination storage roller All other specifications as Item 2 above
"
Varian Ion Implanters
Disco DAD 522 Dicing Saw
Dymax UV Cure System
Canon PLA 501 F Mask Aligner
Cu Plating Bench
ABM Mask Aligner
Ultra Pure Pump Station with Trebor Pumps
K&S 8020 Auto Gold Ball Bonder Parts Machine
Polaron Instruments E 5100 Scanning Electron Microscope (SEM) Coater
ASM
Esec
Kinergy
MRT 1000 Magnetic Annealing Furnace
Genesem AMPS-2000 Mold Machine
Vision VSO-2 CM-00 Substrate PreBake / BStageCure
Lynx NTM 210 BLBP Vacuum Loader
Linx NTM 441 OUM Unloader
Hitachi CM 700 X
Genesem GLCS-2100 Laser Cutting
Genesem GAMS-2000 Substrate LaserMark
LTX / Credence EXA 3000
Fico Mold Machine
Hitachi / Renesas CM 700
Electroglas Horizon 4085 X Wafer Prober
Esec 3100 Wire Bonder
Markem U-1471
Delta
Vistech
ST 959 Tape and Reel
MCT Handler 300 mil
MCT Handler 400 mil
Dek Horizon 265 Screen Printer
Dainippon (DNS) Compact CW-1500 Wafer Cleaning Dry input and output stations. Chemicals SC1/SC2/HF/O3 in DIW
Altatech Altasight Inspection "Handling is done through edge grip robot without any back side contact;
- Characterization is done via proprietary illumination system without laser or mercury (Hg), (2 screens for Front and Back side inspection)
- 2 cameras for pictures acquisitions (for Front and Back side inspection).
- 2 LCD screens for back and front inspection
- System for Edge inspection"
Peter Wolters Apollo Polisher "Brooks Fixload loadport x 3 each
Pot1 and Pot2 Polishing Platen
Staubli Robot1 Mini Environment
Staubli Robot2 Hydrophilis
Dual Polishing Head"
Peter Wolters Apollo Polisher "Brooks Fixload loadport x 3 each
Pot1 and Pot2 Polishing Platen
Staubli Robot1 Mini Environment
Staubli Robot2 Hydrophilis
Dual Polishing Head"
Peter Wolters AC 2000 Double Side Polisher "Double-sided polisher (12"" /5-workpiece carriers /15-wafers per run)
25-wafer cassette loader
15-wafer buffer slot station
Staubli robot TX-90
Rena wet-out (25-wafers)
High Pressure Conditioning /Maximator pump"
Speedfam EP 300 X Edge Polisher "Loaders X2
Notch aligner station
Notch polishing station
Edge polishing station
Cleaner station
Spin dryer station
Slurry recirculation tank
Vacuum pump"
PreTech Cleaner "Load Ports: 2 units, JEL Robot: 2 units,
Trolly Port: 2, PTFE Trolley: 8, SC1 Tank (Quartz): 2
DIO3 Tank (Quartz): 2, Rinse Tank (Quartz): 1, QDR Tank (Quartz): 1
Hot Water Tank (Quartz): 2, Fine Sonic: 5, Horiba: 2"
AOC Techno AOC Cleaner "Dry loader IN
Wet unloader OUT
4 quartz tanks for chemicals (plan for TMAH); heating up to 100°C; DIW spiking; filtration
2 rinse tanks, equipped with both QDR and overflow modes"
Okamoto VG 202 MKII-8 Precision Surface Grinding Machine "2 spindles - 1 chuck - centering station (no alignement) and spinner
4 stations"
Strasbaugh 6 DSSP Polisher 2 load stations, 2 load and unload stations, 2 polish tables, 2 polish heads/ 2 slurry lines and 2 slurry tanks.
Dainippon (DNS) SSW-80A Scrubber
KLA-Tencor 6200
Tektronix TDS 3054 Oscilloscope
Tektronix AWG 610 Arbitrary Waveform Generator
Tektronix AWG 710 Arbitrary Waveform Generator
LeCroy LC 884 Oscilloscope
Keysight M 9502 A AXIe Chassis
Keysight "U 4431 A MIPI M-PHY
" Interface Protocol Analyzer
Keysight "U 4432 A
" Flying Lead Probes
Aixtron G 4-HT Reactor "6x6""
Aixact Software-Version: 6.1.6.8
Number of MO: 12 possible, 7 active (TMGa, TMAl, TMIn, TEOS, CBr4, Cp2Mg, TEGa incl. MFC/PC etc.)
Dopant lines: Double dilution
Pumps:
Process Pump: Ebara A70W
Glovebox Pump: Varian Triscroll 300 Dor-Pump Varian Triscroll 300
Insitu: Laytec Epi TT
H2 - Purifier: Entegris 2,8l
N2 - Purifier: Entegris 2,8l
NH3 - Purifier: Entegris 2,8l
MFC - Manufacturer: Bronkhorst
Spare parts:
tension disc *D169.1xt15* 6 x 6""
cover segment, inner ring 6 x 6"", Ra6-9
supporting ring, complete D187.1 t15 single r
plate set 1 x 6, G4 55µm
cover segment outer w/o mark 6 x 6"" G4
cover segment outer with mark 6 x 6"" G4
disc, planet 6 x 6"", D520, t19, single rot.
ceiling, G4HT, QTZ, D560 x t2.4, 2 hole,unbe
6-er plate set 1X6"" E062.5205.90.-02
star 6x6"", RA 6-8 µm, quartz glass"
Branson Ingot Debonder
Branson Wafer Cleaner
Ribbon Blender
Hennecke He-WI-03
Veeco Dektak II A Profilometer
Disco DFD 6360 HC Machine
BPS Nextral Nextral 860 Reactive Ion Etch (RIE) 400V 3phase transformer Excluded
Sonoscan SS 01 Facts 2
MTI DZF 6020 HT 500 Vacuum oven
Instec mK 1000 Temperature Controllers
Instec FVC 5 Coolant Flow Controller
Centurion Qex Furnace
VWR 3370 Recirculator
Spex 8000 M Mixer
WWR 1175 P Recirculator
MTI DHG-9070 AS Forced Air Convection Oven
MTI DHG-9040 Forced Air Convection Oven
Sonoscan D 6000 "-Issue with the transducer
"
Kellenberger Kel-Varia Grinder "Tail Stock Screw Kellenberger
Tail Stock nut Qty: 6
Outer race for work table pivot
Needle bearing for work table pivot
ID Wheel Coolant Nozzle Ass'y - Design
ID Wheel Coolant Nozzle Ass'y
X-axis single side curtain bellows
Z-axis ""U"" shaped bellows
Wheel screw 2-1/4 diameter head
Grind Wheels
Wheel head (2 OD wheels - hydrostatic spindle) plus ID grind wheel attachment
"
Applied Materials Centura I Phase II "2x DPS R1
2x ASP+
WBLL, HP Robot"
Multitest MT 9308 Handler "SO-150 Device Package
"
Amstech VS 1000 Inspection
Creden Mechatronic ISP 3000
HP / Agilent / Verigy 83000
K&S 8060 Wire Bonder
Hewlett Packard (HP) / Agilent 6890 N Gas Chromatograph (GC) "- 5973 Mass Selective Detector
- 7683 Series Injector"
Hewlett Packard (HP) / Agilent 6890 Gas Chromatograph (GC) - 5973 Mass Selective Detector
Multitest MT 9320 Handler -SOICN 150 Mil
KLA-Tencor CRS 2000
Tel Precio Prober
Airco / Temescal Chamber
KLA-Tencor 2132
KLA-Tencor 2608
Unitek / Miyachi UB 25 Resistance Welding System
Vacuum Chamber
Lens Polishing Equipment
Agilent E 8257 D 520-1 EA-1 ED-1 EM-UNR
Agilent E 8257 D 520-1 EA-UNU 20GHz
Agilent E 8257 N (E 8257 D, E 8267 D) 40GHz
Keithley 2400
Keithley 2400 LV
Keithley 2420
Lot of Electric Test Equipment
Wet Etcher
Metrology Tool
Tektronix DSA 70804 C Scope
Tyco / Quad QSV-1 plus Pick and Place including feeders, offline setup computer station, feeder carts, and interchangeable feeder bases
Solar Swiss System (3S) 3621 Laminator
Meyer Burger NG Series Laminator
Vitronics Soltec 6721 GG Wave Solder
Conveyor Technologies FIFO-1.3 M-50-G/B-1719
Nordson / Asymtek 31634
Cambridge Nanotech AE 101 Eyelet Machine
Contact Systems CS-400 E
Newport Optical Table 4' x 8 ' x 1.5'
MBI Wafer Alignment System up to 8" diameter, 5 micrometer accuracy
KLA-Tencor EV-300 8" "Open cassette with Genmark single end
Effector robot,
cassette type Dual 8 open cassette,
Camera 1 Hight Mag and 2 Low Mag with EDX in Box"
Rudolph MetaPulse 200 X Thickness Measurement System
Xennia XenJet 4000 Ink Delivery System - It is setup for UV but there is no UV light source
Agilent VSMD 301 Leak Detector Including TS 620 Dry Scroll Pump
Airco / Temescal SCT-BJD 1800 Coating System "E-Gun,
Mark I Ion Source,
Optical and Quartz Monitor,
Cryo Pumped
"
Q 262 Tester
LTX / Credence Fusion HFI Tester
LTX / Credence Sapphire Tester
Aseco Handler
Meyer Burger 805 BS Band Saw
KLA-Tencor 5100
Applied Materials RF Sputter Chamber Material Used: Aluminum oxide
Leybold APS 1104 Evaporator
Hardinge HP 5, DSM 59 Lathe
Thermo Fisher IRIS Intrepid II XUV ICP System
Integrated Technologies PL 2202 Photo Resist Spray Coater
Balzers Diff 500 Diffusion Pump
Polycold PFC-660 ST Cryogenic Refrigeration Unit
Unitek / Miyachi LW 5 AG SHG 2013 "532 nm
Hours of Use or Pulse Count:20000 pulses & 200 hours
Parts and accessories:FX200/100GC FOCUSING ASSEMBLY // 200 MICRON FIBER, 5M, SI,// CCTV W/ CROSS HAIR GENERATOR // SPARES KIT
Additional information:NOTE: WAVELENGTH IS 532nm. // Green Welding Laser // model LW5AG // TYPICAL APPLICATIONS: Green laser beam in the visible spectrum is better absorbed by highly reflective materials enabling precision micro-welding of conductive materials like gold and copper alloys
Max Average Power:5W
Max Joules per Pulse (joules):4J
Max Peak Power:1500W
Pulse Width Range (msec):0.2-5.0 msec
Pulse Rate Range (Hz):1-30 pps (Hz)
Pulse Shaping:yes
Beam Delivery:multiple fibers
Cooling:Water
System Input Power II:208-240 VAC single phase"
Shimadzu GC-14 A Gas Chromatography
Erbium Doped Fiber Amplifiers
Pyradia LF 363635 Furnace Heavy Duty 2,300 deg. F
Cole-Parmer 05053-10 Stable Temp Vacuum Oven
General Battery 3 TF 18-680 36 Volt Battery Charger "230/480 volts, 18/9
amps, 60 HZ, 3 phase"
Plas-labs Controlled Atmosphere / Anaerobic Chamber
IST Vacuum Heater Furnace
Across International GC 3 Heavy Duty Stainless Steel Vacuum Glove Box 47" x 28" x 44"
MRL Magna SW Heater Element
Hammond (HPS) Sentinel Transformer 150 kVA
MRL Tube Furnace
Asset 1
Asset 2
Asset 3
Veeco K 465 MOCVD Reactor
Engris EKDA 600 Hyprez Double Sided Fine Grinding System
Cadence Palladium 3 "The system was configured as one large 256M gate system
Capacity: 256M Gates"
PVA / Tepla 650 SMT Underfill Machine "DOM is 4/2013.
220VAC, 12A, 50Hz, 1 Phase
"
Lapmaster EL 200 Lapping Machine "-Three Way Drive Planetary Type
-Fits 6 x 180 Teeth Gears
"
Ersa N-Wave 330 Wave Solder Machine
KLA-Tencor RS-75 TC Tabletop Resistivity Mapping System
Trichlorosilane (TCS) Distribution System
Spectra Physics Nd: YV O4 Laser Systems >3 watts output power and ~10 ns pulses (rep rate is ~10kHz - 100 kHz)
Grieve HD-243624-HT-ATM Box Furnace
APD HC-8 C Cryo Compressor Includes stainless steel braded connection hoses
VMR 1300 U Gravity Convection Oven "Interior Dimensions: 12” W x 12” D x 12” H
Exterior Dimensions 16” W x 17” D x 18.5” H
Temperature range: 40C to 220C"
ADT 7100 ProVectus Dicing Saw with the latest software, industrial PC, LED digital lighting and all mechanical upgrades are current
Lapmaster EL 200 Lapping Machine -Two Way Drive Type
Varian Cary 500 UV-VIS-NIR
Thermo Nicolet 6700 with external IR laser source
Fico MMS-12 M
F&K Delvotec 6400
HP / Agilent 8589 E RF Spectrum Analyzer
Semitool Spin Rinse Dryer (SRD)
Semitool Spin Rinse Dryer (SRD)
IR 820 Wafer Inspection System
IR 820 Wafer Inspection System
Semitool Spin Rinse Dryer (SRD) Capable for 6" and 8"
KLA-Tencor P 10 Profiler
KLA-Tencor P 15 Profiler
KLA-Tencor P 17 Profiler
Vacuum / Thermal Cycling Chamber
ESPEC LHU-113 Humidity Chamber
Orizio CMO 2 Circular Knitting Machine
Lot of Electric Test Equipment
Lot of TFT LCD Equipment
Takatori 812 SD Wire Saw
Camtek Falcon 620 Plus
Symatek MV 9600 Manual Wafer Thickness Prober
Isemca NT 116
K&S Maxum Plus Bonder
Disco DFL 7020 Laser Scriber
Disco DFL 7340 Dicing Laser Saw
Camtek Falcon 5 LED-S Automated Optical Inspection System
K&S Maxum Ultra Wire Bonder -48 Lead TSOP Package
K&S AT Premier Wire Bonder
K&S AT Premier Wire Bonder
Leica INS-3000
Applied Materials AMC-7810 Reactor
Crest Ultrasonics
Gast DAA-V 516-ED Vacuum Pump
Ocean Optics NQ 512 NIR Quest Spectrometer
Sikama Falcon 5C Reflow Oven
Seiko / Epson NS 6040 Handler
Gasonics Aura 1000 "Wafer Type- Semi Flat
Signal Tower- No
Ce Mark- Yes
Pump Chamber 1 - Alcatel Rsv 601 + 2063 - Not Included
Pump Chamber 2 - Alcatel R601B + 2063 - Not Included
Gas:
O2 (Chamber 1) 5 Slpm
N2 (Chamber 1) 500 Sccm
N2 (Chamber 1) 2 Slpmm
N2 (Chamber 1) 1 Slpmm
Power:
380 V / 50 Hz / 3 Ph "
Gasonics Aura 1000 "Wafer Type Semi Flat
Signal Tower No
Ce Mark (Europe) Yes
Pump Chamber 1 - Alcatel Rsv 601 + 2063 - Not Included
Pump Chamber 2 - Alcatel R601B + 2063 - Not Included
Gas
O2 (Chamber 1) 5 Slpm
N2 (Chamber 1) 500 Sccm
N2 (Chamber 1) 2 Slpmm
N2 (Chamber 1) 1 Slpmm
Power
380 V / 50 Hz / 3 Ph "
Gasonics Aura 1000 "Wafer Type Semi Flat
Signal Tower No
Ce Mark (Europe) Yes
Pump Chamber 1 - Alcatel Rsv 601 + 2063 - Not Included
Pump Chamber 2 - Alcatel R601B + 2063 - Not Included
Gas
O2 (Chamber 1) 5 Slpm
N2 (Chamber 1) 500 Sccm
N2 (Chamber 1) 2 Slpmm
N2 (Chamber 1) 1 Slpmm
Power
380 V / 50 Hz / 3 Ph "
K&S Maxum Ultra Ball Bonder
Mai Jiun LP 660 UV 2 T
Mai Jiun LP 770 XB
Mai Jiun LP 770 XB
Mai Jiun LP-770 BBDS
Mai Jiun R 25
ASM MCM 12 Die Bonder
Aztech BSR-4010
Nordson EFD Ultra TT Automatic Dispensing Tool
Technics Micro RIE Series 800 Reactive Ion Etch (RIE)
Lindberg / Blue M GO 1340 P 3 A Gravity Oven
Memmert 100-800 Oven
Aixtron Crius 31 x 2" CCS MOCVD Reactor
Strasbaugh 6 BL Polisher 26"
Royce MP 300 Auto Placer
Speedfam Grinder 24"
Blue M POM 7-16 BI-E/F Oven
Lehighton 1510 RS Measuring Tool
Sunic 8940 T Sputter Tool "Customized for sputtering different materials on 200mm x 200mm glass substrates with two sputter chambers.
One chamber is for magnetron sputtering and one facing target sputtering."
Nikon NSR 2005 i10 C Stepper
Dainippon (DNS) SVG 80
ASM SF 50 PECVD System 1987
K&S Maxum Ultra Wire Bonder
Lam 9600 Metal Etch
Lam 9600 CFE Metal Etch
SSEC M 3302
Karl Suss MA 6
KLA-Tencor P-15 Profiler
Quesant Q-350 CI AMF Q-Scope
City Crown Auto Flow Lens Turner
Htschudin HTG 300 Rounder
Karl Suss MA 56 Mask Aligner
Florod LFA Laser Cutter
Panasonic HW Wire Bonder
Applied Materials Solion + Ion Implanter
Varian VSPD 030 Leak Detector
Semitool 880 Spin Rinse Dryer (SRD)
Karl Suss MA 56 Mask Alligner
Veeco E 400 MOCVD Reactor "Water requirement - 14GPM at 18℃
Electricity requirement - 3ψ380V 150A
Gas requirement - PH2:60PSIG[4.14BARS] PN2:30PSIG[2.07BARS] N2
House Feed:20PSIG[1.38BARS] N2 or CDA:80~100PSIG[6.92BARS]
Exhaust requirement- Exhaust1:1000CFM[1699CMH] Exhaust2:500CFM[549.5CMH]
Maximum yield- 2"" *43pcs 3"" *19pcs 4"" *12pcs 6"" *5pcs
Hydride Source Line:
Normal *6
Dopant *2
MO Source Line:
Normal *7
Dopant *2
Computer:
EPIVIEW *1
"
KLA-Tencor Candela CS 2
Semilab WT-2500 Lifetime Tester
Agilent 7004 A BERT and Pattern Generator and Detector Measurement System
Eddy Sys-28 A E-Beam Evaporator
Veeco E 400 MOCVD Reactor "Water requirement - 14GPM at 18℃
Electricity requirement - 3ψ380V 150A
Gas requirement - PH2:60PSIG[4.14BARS] PN2:30PSIG[2.07BARS] N2
House Feed:20PSIG[1.38BARS] N2 or CDA:80~100PSIG[6.92BARS]
Exhaust requirement- Exhaust1:1000CFM[1699CMH] Exhaust2:500CFM[549.5CMH]
Maximum yield- 2"" *43pcs 3"" *19pcs 4"" *12pcs 6"" *5pcs
Hydride Source Line:
Normal *6
Dopant *2
MO Source Line:
Normal *7
Dopant *2
Computer:
EPIVIEW *1"
Veeco E 400 MOCVD Reactor "Water requirement - 14GPM at 18℃
Electricity requirement - 3ψ380V 150A
Gas requirement - PH2:60PSIG[4.14BARS] PN2:30PSIG[2.07BARS] N2
House Feed:20PSIG[1.38BARS] N2 or CDA:80~100PSIG[6.92BARS]
Exhaust requirement- Exhaust1:1000CFM[1699CMH] Exhaust2:500CFM[549.5CMH]
Maximum yield- 2"" *43pcs 3"" *19pcs 4"" *12pcs 6"" *5pcs
Hydride Source Line:
Normal *6
Dopant *2
MO Source Line:
Normal *7
Dopant *2
Computer:
EPIVIEW *1"
Karl Suss PM 8 Probe Station "3 Objectives: 5X, 20X, 50X and moveable stage
Stage Size: 11"" x 8"",
Stage movement: 8"" x 9"",
Brightfield, Reflected Light,
Halogen illuminator,
Trinocular head,
High precision submicron PM8 prober base,
Mitutoyo long working distance microscope "
Fancort F-1 B/3 A Lead Former
Okuma Lathe
Gasonics 3510
Oerlikon / Balzers LLS EVO II Sputtering System
Oerlikon / Balzers LLS EVO II Sputtering System
Signatone S-250-6 Probe Station
Moore Diamond Turning Machine
Bridgeport
York Y K Q 2 Q 1 H 1 Chiller
Applied Materials Centura 3x DLH Chambers
Sonoscan D 6000 CSAM
Kohler GGMB-6211507 Back Up Generator
Ando AQ 6317 B Optical Spectrum Analyzer 600 to 1750 nm
Browne and Sharpe GAGE 2000 CMM "Software used: Reflex version 2.4 or higher
Measurement Capacity: 18’X, 20”Y, 14”Z
"
Denton SD-10
Sloan
Sloan 1800 Bell Jar
Perkin Elmer Spectrum 100 Filter FT-IR
LTX / Credence Synchromaster II Tester
Spark A 540 Tester
Thermal Product Solutions (TPS) Tenney T 30 S 2.0 Oven
Lantech Q 1000 Stretch Wrapper
Emplex MPS 6340 Band Sealer
VWR DL 53 DRY-line Convection Oven
Interface Associate Inc MBS-140 AF Marker Band
Oxford Plasmalab Etcher
Nanofinder 30
MDC CVS 10 Power Supply
Telemark 820 Optical Monitoring System
Simco Aerostat 43455 "ESD System
" 120 vac/400 MA
Custom UV Curing Laminator
Ando AQ 6317 Optical Spectrum Analyzer
Blanchard 11
Blanchard 18
Plasma Technology 80 Reactive Ion Etch (RIE)
Coherent Innova 300 Yag Laser
Wyko NT 3300 Optical Surface Profilometer
Eagle ETS 364 Tester "Ikarus ESMO Manipulator
1. THIB-PRI
2. QTMU 0-3
4. DPU-16 0 0-15
5. CBIT-64
6. MCU-66MHz
7. ISOCOM 64
04. SPU100
05. SPU100
06. SPU100
07. SPU100
09. APU10 0-7
11. APU10 8-15
12. SPU100
13. SPU100
14. SPU100
15. SPU100
17. APU10 16-23
19. APU10 24-31
22. SPU100
23. SPU100
24. SPU100
25. SPU100"
Eagle ETS 364 Tester "Ikarus ESMO Manipulator
1. THIB-PRI
2. QTMU 0-3
4. DPU-16 0 0-15
5. CBIT-64
6. MCU-66MHz
7. ISOCOM 64
06. SPU100
07. SPU100
09. APU10 0-7
11. APU10 8-15
12. SPU100
13. SPU100
14. SPU100
15. SPU100
17. APU10 16-23
19. APU10 24-31
22. SPU100
23. SPU100
25. SPU100
"
Eagle ETS 364 Tester "Ikarus ESMO Manipulator
1. THIB-PRI
2. QTMU 0-3
4. DPU-16 0 0-15
5. CBIT-64
6. MCU-66MHz
7. ISOCOM 64
04. SPU100
05. SPU100
06. SPU100
07. SPU100
09. APU10 0-7
11. APU10 8-15
12. SPU100
13. SPU100
14. SPU100
15. SPU100
17. APU10 16-23
19. APU10 24-31
22. SPU100
23. SPU100
24. SPU100
25. SPU100"
Hoeing Jobin Yvon XploRA Raman Microscope
250-mm triple-grating monochromator
Olympus BX 41 "Microscope
"
Raman DeltaNU Advantage 200 Spectrometer
"Amit
" NT Omega Scope
Ador iDUS Deep Cooled Spec CcD
"Colboit
" Blue 473 mm Stabilizer
"Colboit
" Samba 532 nm Stabilizer
Kaeser Air Compressor
Kaeser DNS 231 Air Compressor 135,000 hours
KLA-Tencor Sp 1-TBi "Laser oscillator need to be replaced.
Cause of exhaustion of gas"
Speedline / Electrovert Microcel S 2 9 Gallon process chamber (16” diameter) which can process the maximum fixture/substrate of 13.5’ (34.3 cm) diagonal
Agilent 86116 C Electrical Moduale
Vitronics 6622 CC Solder Machine "- L to R
- Leadfree
- Preheater Config: Calrod - Forced Convection- Lamps
- Finger Cleaner
- Chip and Main Wave
- Yaskawa mini J7
- Software Rev: 12.01.00.00"
Sloan SL 1800 Coating System
Thermco MB-80 Mini Brute Furnace
Ultratech UT 1500 Stepper
ADE Nanomapper "- 4 Port Open Handler
- Robot is there but needs to be repaired / replaced
- Monitor Screen is not OEM
"
Bio-Rad DL 8010 Deep Level Transient Spectrome
Balaman BMS 150 Block Handling
Brinkman 682 Automatic Titrater
Toyota L&F VY 60 / 61 Box Creating Machine
Daitron NM 300 TT-A
Speedline Bravo 8 Reflow Oven
Electroglas 1034 X Prober
STS Multiplex ICP
Ametek DR 823 BB 72 Industrial Blower
Karl Suss CBC 200 Chamber Bond Cluster System
Canon MPA 600 FA Mirror Projection Aligner "Single Auto-Feeder and Cassette to Cassette
Currently set up to 6"" wafers with 7"" masks, Constant Temperature Controller, and IUC-M3S UV Meter
The Alignment light has been upgraded to LED lights instead of the OEM Halogen one, this helped the wafer alignment process
The Automatic Laser Alignment may or may not be functional, it has never been since purchased (never tested)
"
Seiko SMI 3200 FocIon Beam (FIB)
Teca TPX 350 Pad Marking Machine
AIS 600
Binder Controlled Humidity Oven
TA Instruments Q 2000 DSC
Tektronics Oscilloscope
Agilent Spectrum Analyzer
CTI 8200 Compressor
Brew JF 937 B
Cincinnati Sub-Zero (CSZ) 2-8-1 H/AC Temp Cycle Chamber
Despatch LND Oven
Despatch LND1-42 Oven
GCA Vacuum Industries 8820 Oven
Cincom E 32
Tantec Cable Treater
Agilent 8662 Signal Generator
Racal Dana 9087 Signal Generator
Agilent E 4411 B Spectrum Analyzer
Agilent 3577 A Network Analyzer
Marconi 2019 A Signal Generator
Agilent 87510 A Grain-Phase Analyzer
Fluke 6080 A / AN Signal Generator
PTS 160 Signal Generator
Laurier DS 9000 - 2 Heads
Atlas Copco SF 4 Air Compressor System
NOMURA NN-20J CNC Automatic Lathe "2 Full C Axis
Live Tooling, Ø 20MM Bar capacity
Independent “Y” axis sub-spindle
12’ LNS Super Hydro Bar
4-port high pressure coolant (2000 PSI) with a mist buster"
Encon N 66 V 1-60 C Evaporator
Lot of Electric Test Equipment
General Air Products ACHCH 400-S TS 1 Cold / Hot Water System
Semilab WT-2500 Lifetime Tester
Spitfire SP-360/5-420 P Double-Sided Polisher "- Machine precision grinds both surfaces simultaneously
- Has been for glass but can also be for metals
- Pneumatic pressure controls that raise and lower grinding plates
- Variable speed control for top and bottom plates
- Clockwise and anti-clockwise rotation
- 16” diameter work piece rings(5 rings)
- 15” max work piece per ring
- Inner and outer gear
- Footprint 84” x 64”
"
Spitfire SP-360/5-420 L Double-Sided Grinder (Lapping) System "- Machine precision grinds both surfaces simultaneously
- Has been for glass but can also be for metals
- Pneumatic pressure controls that raise and lower grinding plates
- Variable speed control for top and bottom plates
- Clockwise and anti-clockwise rotation
- 16” diameter work piece rings(5 rings)
- 15” max work piece per ring
- Inner and outer gear
- Footprint 94” x 64”
"
Tel Alpha-8 S Pyro Furnace
Applied Materials SEMVision G 3 Defect Review
Applied Materials VeritySEM 2 Critical Dimension (CD) Measurement "CDSEM
- Software version: 14.3.2
- Loading configuration: 3 LOAD PORT
- System Configuration:
- EWH with FFU ( 3 Load port , Robot )
- Main body
- Console with additional IP PC
- Electrostatic Chuck"
Philips PD 7405/18 DCD Pro, XRD Wafer Mapper
Nilpeter F 3000
Hitachi S 530 Scanning Electron Microscope
Donaldson VS 3000
Ashby Cross GP 80 Adhesive Mixer
Fujikura FSM-100 M Fusion Splicer
Burleigh WA-1100-EI Wavelength Meter
Thermotron SE-1415
Thermotron SM-8-2800
Thermal Product Solutions (TPS) TL-02-70-F "TPS SPEC are:
1. Inner size: 500 X 600 X 700 mm
2. Temperature rate: -70°C ~ +150°C
3. Temperature Uniformity: <= -/+ 2°C
4. Temperature Control Tolerance: <= -/+ 0.5°C
5. Voltage: 380V; 50Hz
6. Power: 8.5kw"
Air Control 4 F-31-8 Wet Station
Mister Presses P 2-60
DoAll 2013-V Band Saw 154” band length, 230V/3 phase
Applied Materials VeraSEM CD SEM (Scanning Electron Microscope)
Chromalox 2030 2284 Environmental Chamber
Compressor
Dryer
Strasbaugh 7 AA Back Grinder
Giant Force Instrument Enterprise GTST-050-40-AW "Range: 60~150/0~-40℃
Refrigerant: R-404A R-23
Power: AC 220 V
Phase: 3¢ ; 60 Hz
Amps: 75 A"
CHA Bell Jar Thermal Evaporator
Stoelting Cleaner Washer
Shenkeda S 2 3-IUTBA Flex / PCBA Bonding Machine
FA Systems Automation TMD 27711 Test Handler
Lot of Electric Test Equipment
KLA-Tencor Quantox
Nextest Maverick GT-II Tester
Tel P 8 XL Prober Tri Temp
Accretech / TSK UF 300 A Prober
K&S Maxum Plus Bonder
Speedfam / Westech / Ipec 372 M Polisher
Advanced Power Systems (APS) AR 12-200/D-F ARC Power Supply
Advanced Power Systems (APS) HS-7v2 B-40/100 BIAS Power Supply
"Delta Elektronika BV
" SM 7020-D Power Supply Controller
Pfeiffer TCP-5000 Electronic Drive Unit for TPH 2200
Pfeiffer TPH 2200 Turbomolecular Pump
Pfeiffer WKP 1000 A/AD Roots Pump without motor
MRL Single Tube Semiconductor Furnace 3 Zone
Viron International VHS 3036-FRP-Z Air Scrubber 208-440 volt 3 Phase, Sized for the 3000 CFM
PVA Tepla / Technics MIM TLA 20 Ion Mill
Dainippon (DNS) SK 200 W DUV Coater / Developer 2x Coat, 3x Develop, R to L
Balzers / Evatec LLS EVO Sputtering System "V10 R20 WWIF - Version: 1.8.0.0.
GemBox - Version: 1.9.0.0.
Win 7 Upgrade (Retrofit) am 9.7.2013 Raid System
MFC: UNIT 200sccm AR 20sccm N@
RF Etching Device
RGA Pfeiffer
AE Pinnacle 12 KW MN: 3152415-119A
PFG 1600RF
Edwards IQDP 80 + QMB 500
Polycold 552HC
2 x CTI Cryo Pumps 8 F On Board
CTI 9600 Compressor
Grey Room Operation Panel"
Balzers / Evatec BAK 600
Balzers / Evatec LLS EVO Spare Parts "(QTY: 11) Anoden Frame/LLS EVO
(QTY: 4) Cover Top/LLS
(QTY: 8) Cover Bottom/LLS EVO
(QTY: 2) Solenoid valve for LLS EVO
(QTY: 1) Segment cage for LLS complete
(QTY: 6) Side covering set MC
(QTY: 10) Shutter Housing/LLS EVO
(QTY: 6) Shutter Shield/LLS EVO
(QTY: 7) Thermocouple PT100 Meissner New
(QTY: 8) Target covering set MC
(QTY: 4) covering set LC
(QTY: 5) covering set MC Boden
(QTY: 2) water valve complete flow line
"
New Wave Research (ESI) AccuScribe SS 40 Laser Scriber with Jasper Laser
Olympus (Nanometrics) Microscope
Solvent Sink (Custom Made)
Electroglas 2001 X Prober
Keithley S 900 A Tester
Ultra-Kool 1812-LVS Liquid Vapor Degreaser with ALS, Auto Lift system
Olympus Tokyo Microscope
Polycold
Dainippon (DNS) SK 200 W Coater / Developers 2x Coat, 3x Develop, R to L
Dainippon (DNS) SK-200 W DUV "- ASML L Shape IFB, Left side Indexer
- Parts Machine"
Yag 300 W Laser Beam Welding Machine
Hettich Rotina 420
Heraeus Megafuge 1.0
Laminar CAT 130 Flow Hood
Drytek Quad Reactive Ion Etch (RIE)
Mazak AJV-25/404 Vertical CNC
Mazak VQC-15/40 Vertical CNC
Haas VF 3 Vertical CNC
Drytek Quad 481 Reactive Ion Etch (RIE)
ASM APS 900
Photo Litho Machine
Cooled Air Chiller
Sludge Dryer Machine
Ultratech UT 1500 Wafer Stepper
Class 10,000 Cleanroom "1250 square foot
- ISO 7"
Class 1,000 Cleanroom "- 1550 square foot
- ISO 6"
Lot of Electric Test Equipment
Trane CGAF C 504 AEA 1 Chiller
Hamilton SafeAire Large Fume Hood
Newport VH 3660 W-OPT Laser Welder Precision Alignment and Laser Weld Platform (3-Beam) "Including the following:
- 3 Micos VT-80 Linear Stages, Z-Travel
- 3 Micos VT-80 Linear Stages, Y-Travel
- 3 Micos DT-80 Rotaray Stages, Theta-Travel
- 9 Micos Pollux Box Motor Controllers
- 3-Jaw Pneumatic Chuck
- 3 Watec WAT-137LH Cameras
- 3 Camera/YAG Integrated Focus Optics (No YAG Source)
- Newport Breadboard on Air Table
- Micos Breadboard
- Enclosure with Door Interlocks"
Benchmark Alpha Seam Sealer
Hamilton SafeAire Small Fume Hood
ESI 44
MTI STX-202 A Desktop precision wire saw with touch screen control
Master View Plus CS-88 A KVM Switch
Hewlett Packard Proliant DL 140 G3 Server
Supermicro X8 DTN + Storage
Hewlett Packard StorageWorks MSA 20 Storage
CheckPoint Safe@Office500 Firewall
Meyer Burger BS-801 Band Saw 440V
Meyer Burger BS-801 Band Saw 440V
Meyer Burger BS-805 Band Saw 440V
Sinto EM-ML F-Face Brush Unit 220V
Sinto EM-ML R-Face Brush Unit 220V
Sinto EM-ML F-Face Brush Unit 220V
Sinto EM-ML F-Face Brush Unit 220V
NTC CRS-302 Slurry Re-cycle System 220V 8000L+8000L
NTC CRS-302 Slurry Re-cycle System 220V 8000L+8000L
Axcelis / Fusion UV Lamp
Crown / Simplimatic 36" Conveyor
Philips PA 131302 GEM Emerald X Pick-n-Place & Accessories
SMT Opti-Print 2020 SMT Screen Printer
Universal Shielding RFI / EMI Shielded Enclosure 12" x 20" x 8"
EMC Global Technologies Cyberclean 100 WTS Washer
GPD Tape & Reel Machine
Hastest HOT-900 YUCF Temp Oven
Semitool 880
Axcelis / Fusion PCU 200
Kinefac TD-21 CNC Lathe
CNC Manuel Milling Machine
Chiller
Motors
Pumps
Biodiesel Processing Equipment
ESI 9800
ESI 9820
Dage 5000
ESI 44
ESI 44
ESI 44
ESI 44
ESI 44
Thermal Technologies TTL-5.5-0-24-3 B-J 6204 Anneal Furnace
Leica EBPG-4 HRV E-Beam Lithography System
Hitachi HL 700 D E-Beam Lithography System
Shinkawa UTC 2000 Wire Bonder
EO Technic LMC 3200 Laser Saw
Weiss WK-2500 Thermal Humidity Chamber
Polycold PFC-660 ST Cryogenic Refrigeration Unit
Schumacher (Air Products) 223346
Schumacher (Air Products) 216790
Schumacher (Air Products) 218215
Applied Materials KC 100-12 K Exhaust Gas Scrubber
American Industrial Microwave Power Supply
CHA SR-10 Power Supply
Envirotronics Endurance C 340
CHA Industries Chamber
Cabinets
Microtech Marangoni Dryer
Agilent / Varian 700 ICP-OES " 1. Does it come with a chiller? has chiller
2. Does it come with an autosampler? not have
3. Does it come with a computer? If so, what is the operating system? What is the software version? have a computer, MS system"
Polywatt Slurry Blender
Genmark Robot
Waters 515 HPLC Pump
Waters 717 Autosampler
Blue M Oven
Varian Cary 500 UV-VIS-NIR Spectrophotometer "- VW specular reflectance attachment (standard reflection measurement)
- VN specular reflectance attachment (low %R measurement)
- Variable specular reflectance attachment (motorized)
- Transmittance attachments/sample holders
- Motorized rear beam attenuator
- Static rear beam attenuators
- WL range 300-3000nm
"
Airco / Temescal FCE-3200 Evaporator "Single Pocket E-Beam Gun with 10kV Power Supply (for dual guns in the past),
CTI CT16 Cryo Pump with a 9600 Compressor,
Edwards 2-stage Dry Pump
"
Brady BBP 33 Label Printers
Metro SMT Component Reel Shelving
EVG 40
Optek DPL 24 Laminator
Camtek Falcon 620 Plus Inspection System
Karl Suss Alta Spray Coater
Optorun OTFC 1300 Coater
EVG IQ Aligner
ESI 5330
Hacker VICO 520 Pick and Place
Custom Systems and Controls (CSC) SDFN 5.11
Seiko / Epson G 6 Robot
Agilent 6611 C Power Supply
IAI Corporation IX-NNN 6020 Controller Model: IX-NNN6020-5L-T1 (IAI X-SEL Controller IX), IAI Controller: Model XSEL-KK-NNN6020-ET-P1EE-3-2
Gardner Denver Air Compressor 40 HP
Lot of Electronic Test Equipment
Loctite Posi-Link
Agilent 85107 B
Beckman Coulter DU 800 Spectrophotometer With Dell PC
Florod LMT Laser Mask Trimmer
Lourdes 2100 x Punch Press
Nikon 6 C Profile Projector
Strasbaugh 10 H Cutoff Saw
Agilent 2645 A
Leitz 060-642.001 Optical Comparator
G&N MPS R-700 S Grinder
Varian 3190 Sputter System
Varian 3180 Sputter System
Electroglas 2001 X Prober
Clausing / Kondia FV-1 CNC
Sun-Tec ST-TMS-SA
Photonetics Tunic Wavelength Turnable Laser Diode Source
NetTest Walic
Photonetics Walic
Photonetics Tunic-PRI Wavelength Turnable Laser Diode Source
LTX / Credence Fusion HFI Tester - (QTY: 4) RF 6400 4 port bi-dir RF Brick P/N: 858-1054-00
LTX / Credence Fusion HFI Tester - (QTY: 4) RF 6400 4 port bi-dir RF Brick P/N: 858-1054-00
Arrow Pneumatics Inc. A-200-4 Compressed Air Dryer
PAC 30 PL Plastic Bag Sealer
Freeport SGS-1020 AHD Precision Surface Grinder
STA - Warm Y 50 S Optical Pitch Large Melting Pot
Newport Anti-Vibration Isolation Bench Table with 4 XL-A Legs
Newport Air Suspension Table 4'x3'
Veeco XRF-4200 X-Ray Measurement System
LAM TCP 9600 Etcher
KLA-Tencor Omnimap RS 30 Resistance Sheet Measurement
E+H MX 203 Wafer Geometry Gauge
AG Associates Heatpuls 8108 Rapid Thermal Anneal (RTA)
Karl Suss BA 6 Bong Aligner
Karl Suss SB 6 e Bonder
Arias Spin Rinse Dryer (SRD) "- Wet Etch Oxide
- Resist
- 2 tanks "
Ramgraber Wet Etch (nitride etch; resist removal)
Ramgraber Wet Clean Solvent (lift off)
Schiller MFR 300 Dispenser
H-Square QBL 150 Flat anf Notch Aligner
Alcatel 610 PVD Sputtering (Ta, Ta205, HfO2)
Strasbaugh nTrepid CMP (silicon oxide, Poly-si, a-Si)
Matheson Tri-gas (3) cylinder cabinet
Almatec PMP 2 A 0038 Diaphragm Pump
Barnstead Thermolyne 501-A 2 PMC Hot Plate
Barnstead Thermolyne 500 C-2 Stirrer 220 V 60/60 Hz
Lambda Physik EMG 101 MSC Vacuum Pump
Filtra LF Panel HEPA Filter 110 V Blower
Blue M MW-1130 A-1 Contstant Temperature Agitator
Haas SL 20 "Servo on time = 1200:57
Motion on time = 8492:00
Spindle on time = 8352:15"
STS MPX ICP Pro
STS ASE ICP
Kardex Shuttle-XP-250-1250*610 Pasternoster
Siemens Siplace HF "- Twinhead
- Two Hover-Davis DDF1 direct die feeders (for flip-chip placement)
- Needs one of the heads replaced due to wear"
Asymtek X-1020
Micro Control Company (MCC) ABES-IV Logic and Memory Burn-In System "- Automatic burn-in / Environmental testing
- Tests 128 pins simultaneously
- Up to 128 digital i/o channels per burn-in board
- Programmable power for devices under test
- Capacity for up to 8 burn-in boards (2 zones x 4 slots/zone)
- Removable dividers 2 zones x 4 slots/zone (Only Three driver included per Zone)
- Large burn-in board capacity (20.25x21.5"")
- 12 vector formats per pin cycle
- Real-time error log
- Facility requirements:
208V 3Phase 60Hz 125A plus cooling water
- Software installed PC and operating manual included"
Tabai AC Humidity Chamber
Verifier CDM Semiconductor Analysis Test System
Sonoscan C-Sam 300 DX
Benchman MX Mill
FEI XL 830-860
Strasbaugh 7 AA
AJA International Orion 8
ASM COE 139 Curing System
K&S 984-6+ Wafer Dicing Saw
SSEC 3300 ML Trillenium Photomask Cleaner
Veeco RF 350 Ion Beam Etch
Karl Suss MJB 3 Mask Aligner
SVG Dual Track Develop System
Futek Furnace
Airco / Temescal VES 2550
Veeco / Dektak 8000
ECI Technology Quali-Dose Chemical Dosing System
Semitool PSC-101 Spin Rinse Dryer
SSEC Evergreen Series II Photoresist Wafer Etcher
Perkin Elmer 400 ICP Spectrometer
HP / Agilent 5890 Gas Chromatograph
Despatch D 40 B Curing Oven
Waters HPLC Wafer Sampling System
Retsch ZM 200 Ultra Centrifuge
Mettler Toledo HR 83 P
Retsch AS 200
Perkin Elmer Spectrum 1000 FT-IR
PHI GS 21 H-H 8-X 4 B Precision Pneumatic Press
Lam 9600 Metal Etcher "- 208 V
- 3 Phase
- 80 A"
Canon FPA 3000 iW Fine Pattern Aligner
DNS 4-SC 80 BW AVE Coater
Asyst CS-7100 S 4 Axis Robot "- 4 cassette stations
- 208 VAC
- 3 Phase
- 50/60 Hz"
4 Port Cassette Stage to handle gen 4.5 flat panel glass
Gatan 600 Dual Ion Mill
RESys Aqua-Loop DI Water Generator
Struers CitoPress-10 Cold Mounting
Struers TegraDoser-5 Dispenses Polishing Slurry
Struers TegraForce-5
Struers Uniforce Sample Mounter/ Leveler
OAI 800 Mask Aligner
Matrix 105
Yes PB 6-2 High Vacuum Bake Oven
March AP 1000
CHA SE 400 RAP
Karl Suss RC 8
KLA-Tencor OP 5240
Accretech / TSK UF 3000 Prober "- Nickel Chuck
- Tri-Temp"
Accretech / TSK UF 3000 Prober "- Nickel Chuck
- -45 to +150 C"
Accretech / TSK UF 3000 "- Nickel Chuck (Hot)
- Ambient and Hot"
CHA Mark 50 Evaporator
CVC SC- 4500 Evaporator "Includes automatic control of pump down cycle, 3.6 KVA SCR filament control, heavy duty
tap switches, 18” x 18” Pyrex belljar, substrate drive motor,
GIC 410 Ion Gauge Control
CVC Multi Coolant Baffle
and CVC Goldline 6”
Diffusion Pump,
Sargent Welch 1397
Mechanical Pump
Neslab HX 75 Air to Water Chiller
CVC PBA 100 Package expanded Diffusion Pump (PMVS 100 6” Expanded pump) w/ Multi-coolant trap ( BC- 61D) PBA 100
208 VAC, 50 Amp, Single Phase "
Airco / Temescal CV 8
KLA-Tencor Quantox XP
Advantage M 1-1.5A-21HFX Chiller
Novastar Wave Solder Machine
Fuji GP-551 E Screen Printer
Innolas ILS 700 P
Optical Tables
Disco DAD 2H/5 Dicing Saw
Accretech / TSK UF 3000 EX Prober - Nickel Chuck
Therma-wave OP 5240
Therma-wave OP 5230 i
Therma-wave 3260 i
KLA-Tencor UV 1250
KLA-Tencor UV 1280
KLA-Tencor F 5 X
Therma-wave OP 5340
KLA-Tencor Quantox
KLA-Tencor FLX 2320
Asyst DP 4200 SI
Gasonics PEP Iridia LT Asher
FSI Mercury MP
CHA Mark 50 Evaporator
Haas VF-2 CNC Vertical Mill
APD HC-85
Leybold / Inficon IC 4 Plus "- 90-132VAC
- 175VA
- 50/60Hz"
APD Marathon Compressor
Lot of Lab Equipment
TMElectronics Worker Integra Leak Detector
Edwards QDP 80 Vacuum Pump QMB 250 blower
Kensington WFH 4 D Robot
Nanometrics XYZ axis stage
HP / Agilent / Verigy 83000 Tester
Lot of Electric Test Equipment
Bruker Multimode AFM 125 micron squared scanner and accessories for scanning in liquid
Novellus Concept One PECVD
Varian 3190 Metal Sputter
Varian 3180
CHA 88085 Gold Evaporator
M&W RPC 2/28 W-RNB Recirculator - Flowrite
Box Voltex Chiller
Movin Cool Class Plus 26 / Cool 3 Chiller
Yes 15 Vapor Prime Oven
Branson / IPC P 3075 Plasma Asher
Branson / IPC PM 21018 Plasma Asher
Branson / IPC 2000 Plasma Asher
Branson / IPC PM-11020 Plasma Asher
TOK TSE-306 W Plasma Asher
Bruce 7607 Furnace Interface Mod
Bruce 7351C Furnace Processors, Controllers, Scanners, flowmeters, fans and other components
Wafer Mark 345 Laserscribe
Irvine Optical Ultrastation 3 Inspection Station
Irvine Optical Ultrastation 3 B Inspection Station
Irvine Optical Ultrastation 150 Inspection Station
Nanospec 210 Inspection Station
Veeco Dektak II A
Rudolph Auto EL Automatic Ellipsometer "- 155 Volts
- 50/60 Hz
- 130 W"
Nanometric CD 50
PMS SAS-3600-XP
HP / Agilent 4062
BK Precission Oscilloscope
Ultron UH 101
SPV Station 1010
Alcatel ASM 110 Turbo
Axcelis / Fusion 150 PC UV Bake
Blue M OV-490 A 2 Oven
Labline 3499 M-3 Oven
HP / Agilent 8558 B Spectrum Analyzer
Praxair Ultrapurge 100 Gas Cabinet
Hankison International DH-115
PowerEx 5 HP
Polycold 550 ST Chiller
Polycold 500 XC Chiller
Fluoroware HTC 8020
Electroglas 2001 CX Prober
KLA-Tencor Candela CS 2
Semitool S 870 Spin Rinse Dryer
Karl Suss MA 6 with VM-1220 U
Xactics e 1 Mod
CHA Mark 50 Evaporator
Neeltran 3SCIA4640033 Rectifier "Input: 480V +/-5%, 3 Phase, 60 Hertz, 33 Amps.
Output: 0-33 Amps @ 540-640VDC floating output.
Output Ripple: 5% at rated output and low line.
Efficiency: Min. 94.0 % at rated output.
"
Hitachi S-5200 175000
GSI Lumonics W 678 Laser Trimmer
Spire PVL 1837 Solar Module Laminator Machine
OCLI Metamode Sputtering System
Amicra ADB 2000
Hendrick SZ III Panel Saw
100-14 z 7 Knee Mill
48" Chamber "- Heat Lamps
- E-Gun
- 2 Cryo Pumps"
Beckman Coulter J-6 B Centrifuge
Blanchard EML 3
K&S 780 Wafer Saw
Camalot 3700
Amplifier Research 150 L Amplifier Amplifier 10 kHz – 220 MHz, 200W
Kato Engineering A 270040000 Steam Turbine Generators
Haskris WW1 Fluid to Fluid Heat Exchanger
SMTech 100 MV Screen Printer
Newport 1931-C Optical Power Meter
K&S 982 Dicing Saw
Bayvex Circulation Chiller
Trane Chiller
DPSS Lasers "Samurai UV Laser Marking
System"
Applied Materials Etcher
Rofin Starmark Laser Marker
Trio-Tech G 203 A Bubble Leak Detector
Digilab Contact Printer with PC Control
Sciion Contact Nano Contact Printer with PC Control
Heidolph Rotary Evaporator
Lissy Robot with Cabinet and Balance and PC
Agilent DC Test Platform
Agilent F 330 Test Platform
Vereq Spin Rinse Dry (SRD)
Applied Materials 8330 Metal Etcher "- 18 Wafer Batch
- Lower end tore out/Cathode motor not working/Chamber cleaned to some extent/Was powered up and pumping before turn off"
Applied Materials 8125 Etcher
Mattson Rapid Thermal Process (RTP) Anneal
Applied Materials 8115 Etcher
Applied Materials P 5000 Dielectric Deposition
Santa Clara Plastics (SCP) Bench
Speedfam CMP
Despatch Oven
Varian E 500 Implanter
MRC Metal Deposition
FSI Diffusion Clean
KLA-Tencor AIT Metrology
Lam 4500 Etcher
Lam 4520 Etcher
Novellus Tungsten Metal Deposition
Bruce Vertical Furnace
Bruce Horizontal Furnace Stack
YUP Bench
Universal GSM 2
Zygo Zaris
KLA-Tencor 8100 XP
Varian Viista 810
Applied Materials Producer SE BPSG Chamber
Beamworks 100 Selective Solder Machine
Electroglas 1034 XA 6 Wafer Prober
Sikama International Ultra Profile 2000 Reflow Oven
Microtec MT-2217
Bridgeport F-X 362-00-70
Labline Instruments 3478 Oven
Vi Technology SPI 3D Solder Paste Inspection
Juki KE-2020 Chip Placer
Juki TR 6 DE MTC (MATRIX TRAY CHANGER)
Leap AH-480 Baking
Shinn Uel SU-4336 Deflux
PVA / Tepla GIGA 690 Plasma
Fico AMS-W 40-306 Molding
Chinee CNIN-2 P-2 D Oven
Tongtai TRM-122-CCD Routing
Linco ILS-610 H Sputter
Asymtek S-920 N Underfill
GPM ILM 290 Laser Marking
E&R LM-101 LT Laser Trench
E&R CS-101 A Auto Brush
Asymtek SL-940 E Ink Coating
USI P 350-2-280 Ink Coating
E&R LM-101 AL Laser Trim
E&R LM-201 LC Laser Cutting
Intelume SCM-A 320 Laser Cutting
Yangfa YF-4050 HP Water Cleaner
Shin Uel SU 6118 6 Tank Auto Cleaner
Titan LEO-6180 T 6 Tank Auto Cleaner
Shin Uel SU-4040 Ink Removing Soak
Mydata MY 600 Jet Printer "- Mass: 2000 kg
- Voltage: 3 x 200/210/220/230/240/250V
- 50/60Hz
- Power: 3 x 2.2kVA"
Siacin SCLD-LF
Titan De-Film Machine
LitePoint IQflex
LitePoint IQ2010
Shielding Box
Scienscope View-X X-Ray
MC Electronics (MCE) TES 8653 D IC Tray Handler
Japan LSI (JLSI) IC Tray Handler
Japan LSI (JLSI) IPS-8655 IC Tray Handler
MCT 3608 E 3 DIP Handler
MCT 3616.3 DTS DIP Handler
MCT 3608 E 3 DIP Handler
ATM ATS-600 DIP Lead Straightner
Thermonics Temperature Forcing System
Tenney Burn-In Oven
EJ Systems Burn-In Oven
Aehr Test Systems Burn-In Oven
Alphasem AG SL 9006 (M) Die Bonder
Micro Tool & Machine X-Shear Core Cutting Machine
Disco DAD 341 Dicing Saw
Bridgeport 5-5-09-443 Mill
Thermal Dynamics PAK 2 XT Plasma Cutter
Micro-Vu 500 HP Optical Comparator
Devilbiss 445 Air Compressor with Baldor Motor
Esec 2006 HR/X Die Bonder
Esec 3008 Wire Bonder
Esec 2007 HS Die Bonder
Esec 2007 HS Die Bonder
ASM AB 339 Eagle Gold Wire Ball Bonder
ASM AB 339 Eagle Gold Wire Ball Bonder Machine
ASM AD 889 Die Bonder 8" High Speed Automatic
Esec 3008 Wirebonder
ASM AD 889 Die Bonder 8" High Speed Automatic
ASM AD 889 Die Bonder 8" High Speed Automatic
LT 139 / DT 139 In-Line Wirebond Transporter
ASM AB 339 Gold Wire Ball Bonder
ASM AB 339 Gold Wire Ball Bonder
ASM CO 139 Snap Cure Oven
ASM TIB 139 Twin Input Buffer
COE 139 H Standalone Snap Cure Oven
ASM IBE 139
ASM TIB 139 Twin Input Buffer
ASM TLB 139 Final Assembly
KNGY Precision Degator Customize Mechanical Machine
KNGY Sohed Precision Tool System Customize Mechanical Machine
Esec 2007 HS Die Bonder
ASM AD 889
ASM IBE 139 Input Buffer Machine
ASM COE 139 Snap Cure Oven
ASM Eagle 60 Gold Wire Ball-Bonder
ASM Eagle 60 Gold Wire Ball-Bonder
ASM LBE 139 Final Assembly
HP / Agilent 8720 B Network Analyzer
Agilent 8720 C Network Analyzer
ASM AD 838 R
Asymtek S-920 N Underfill
Asymtek SL-940 E Ink Coating
Blanchard 20 AD-36
Baccini Italy I-Print + I-Cure: Line Loader Module
Baccini Italy I-Print + I-Cure:Printing Machine
Baccini Italy I-Print + I-Cure: Automated Optical Inspection (AOI) Module
Baccini Italy I-Print + I-Cure: Loading Unit for I-Cure Drying Oven Cassettes
Baccini Italy I-Print + I-Cure: I-Cure Drying Curing Oven with Inert Atmosphere
Baccini Italy I-Print + I-Cure: Unloading Unit for I-Cure Drying Oven Cassettes
Baccini Italy I-Print + I-Cure: Line Unloader Module
Baccini Italy I-Print + I-Cure: Line Loader Module
Baccini Italy CTM: Line loader Module
Baccini Italy CTM: Printing Machine
Baccini Italy CTM: Automated Optical Inspection (AOI) Module
Baccini Italy CTM: Thermal Oven Module
Baccini Italy CTM: Line Unloader Module
Rena CTOXE: Main Tool
Rena CTOXE: Electrical Cabinet
Rena CTOXE: Blower Cabinet
Altech CTOXE: Automation
Altech Roll Proct
Esec 3008 Wire Bonder
Esec 3088 Wire Bonder
Esec 2007 HS Die Bonder
Waters Delta 600 HPLC System
Leybold Helios Coating Machine
IVI Box Coater
Balzers 710 - with Polycold
Framing Table
Flasher
Hipot Station
Flipper
MBJ 128 C EL Table
Glass Washers
Lam 3622
Siemens HF 3 Pick and Place Machine "- 1 HEAD - 12 Segments
- 1 HEAD - 6 Segments
- 1 IC HEAD with 2 Spindles
(Fiducial camera need to be replaces)"
STC 22 Saw "(235/208v,20amp
single ph), (440v 3
ph)"
"Sidai Precision
Industrial" Parts AC 200v,200w 3ph
Rogers & Clark RC-B 25 Generator 440v, 3ph, 60hz
Rogers & Clark RC-B 25 Generator 440v, 3ph, 60hz
Strasbaugh Polisher Single side, 67"H x 31"W x 51"D
KO Lee 5618 HG Grinder 208v, 17amp
Technics Planar Etch II Plasma Cleaner
Sheldon 1430 Vacuum Oven 120v, 9amp, 60hz
Speed Air 3 JR 77 Compressor 208/17amp, 5hp
Tousimis Automegasamdri 915 B Critical CO2 Release
Rudolph Auto EL Ellispometer
Kayex CG 6000 Crystal Grower
Neslab "HX-750 Air
CoolED" Chiller "208/230V. 3PH,
80hZ"
Neslab Chiller "208/230V. 3PH,
32amps"
Cincinnati Tool Master Miller 220/440, 75"H x 54"W x 49"D
Accretech / TSK "S-LM
116 GC" Saw 220v, 60Hz
Yes LP III HMDS Vapor Primer Oven
Gelbar PG-7 A "Centerless Form
Grinder" 48"H X W 28"W x 36"D
PR Hoffman PR 1 Lapper Polisher 110V
STC 22" Slicing Saw
Planetary Lapper
Mega Sonic Machine
Bevel Machine
Air Compressor
Airflow Hoods
Dover Grinder
Thirty Ton Press
Dover Grinder Parts
300 CFM Compressor
Balzers BAE 301 Freeze Fracture Unit
Plasmatherm 720 Chlorine Etcher
Fujikura FSM-30 R
Fujikura FSM-60 R
Lot of Electric Test Equipment
Hydraulic Spotting Press
HP / Agilent E 4432 B Signal Generator
Rohde & Schwarz SME 03
STC L 155 Saw 22"
Rogers & Clark RC B 25 Generator
Sweco Grinding De-Burring Shaker
Westck Spin Drier
Peter Wolters AL-1 Lapping Machine
Dover Grinder
Caterpillar Forklift
Oven
Coating Machine
Baking Machine
Lot of Electric Test Equipment
Chiller
Roll to Roll Sputtering System
Idex / Pulsafeeder Hose Pump 26gmp/min, 200 psi
Idex / Pulsafeeder Metering Pump
Chemical Metering Pumps
HPE SDS Polyacrylamide Gel Electrophoresis Technology - Tower and Gels
Sony SRX-T 110 4 K SXRD Data Projector equipped with three SXRD devic- es / 230v, 50/60 hertz
Revco Liquid CO2 Control Unit
Ion Torrent One Touch Template Amplification and Enrichment System
Ion Torrent One Touch 2 Template Amplification and Enrichment System
Bruker Easy nLC Nanoflow HPLC System 1D
HEPA Fan Filter Housing Bag In/Bag Out
BioAutomation MerMade 192 DNA/RNA Synthesizer
BioAutomation MerMade 12 Oligonucleotide Synthesizer
Applied Biosystems SOLiD 4 System Automated Sequencer
Robo Q-Pic Expression X 92 Colony Picking System
GS FLX+ Genome Sequencer
Applied Biosystems SOLiD EZ Bead System
Cleavage X-Cleave 96
Laminar Air Flow Hood with Stand
Bruker Proteineer fc II LC-MALDI Fraction Collector
KKT Kraus Air Cooled Chiller
Reel O Matic Winch
Illumina Genome Analyzer IIX Genome Analyzer with Paired End Module
Applied Biosystems SOLiD 5500 XL Genetic Analyzer "- 50/60 Hz
- 200-240 VAC
- 2500VA"
Life Technologies Ion Proton Sequencer
Life Technologies Ion Torrent PGM Personal Genome Machine up to 2Gb of sequencing data
Carbolite HVT 15 / 50 / 450 Vaccum Tube Furnace Ceramic Tube Fitted with Stainless Steel End Seals
Diagenode Metallic Soundproof Box
MultiFlo Dispenser
Mini-Blend Injection Machine
Schneider A 333001 Silent Maste Air Compressor
Peruffo P 65 DV Air Compressor
Brandel 200 A Cell Harvester
Agilent G 2505 C DNA Microarray Scanner
BioAutomation MerMade 12 Oligonucleotide Synthesizer
Retsch PP 40 Pellet Press
Sony HVR-1500 Tape Recorder
Gp Tami VT 0265-31 Water Tank
Aqua Solutions RODI-C-12 BL Water Purification System
Bruel & Kjaer V 455, M 5 Permanent Magnet Shaker
Yueqing Zhongming TDGC 2 J-5 Regulating Transformer
KLA-Tencor Candela CS-10 Surface Analyzer "- Volts AC: 230
- Amps: 5
- Freq: 50/60 Hz
- Max A/C: 10 K"
CTC Analytics PAL HTC-xt PAK System
Amersham Biosciences 810-UNV Light Box Image Eraser
Thar SFC FMD 10 Fluid Delivery Module
Burrell Scientific 75 Benchtop Shaker Wrist Action
Tecan Group Hydroflex Microplate Washer
Beckman Coulter ProteomeLab XL-A Ultracentrifuge
HiSeq 2000 Sequencing System
Agilent 3100 Offgel Protein Fractionator
Molecular Devices High-trou-ION Works Quattro Electrophysiological Screening
Quanser 3 DOF Helicopter System
Quanser 2 DOF Planar Robot
Leeman Labs Hydra AF Gold Automated Mercury Analyzer
Quanser 2 DOF Serial Flexible Joint
Quanser Universal Power Module
Cognitive CXT 4-1300 Label Printer "4.1"" Print Width, 300dpi, 6MB Flash, 6ips, 90-260VAC, Serial/Parallel, USB, USB-A (Host), 2 Line LCD / 4
Menu Directional"
Metrologic MS 1690 Barcode Reader Hand Held
HP Pro 8000 Officejet Printer
HP K 5400 Officejet Printer
HP 2050 Deskjet Printer
Thermo Fisher Scientific ALPS 50 V Benchtop Pipetting System
Symbol Barcode Scan Gun 100 scans per second
GE Healthcare Typhoon 9410 Variable Mode Scanner Image
Bio-Rad 583 Gel Dryer
Brookhaven Instruments BI 200 SM Goniometer
Constant System T 5 / 40 / EE / GA Cell Disruptor
KSV Instruments BAM 300 Brewster Angle Microscopy
Bio-Rad Hydrotech Vacuum Pump
Newstar 34-1000 VA Automatic Voltage Regulator
Linkam Scientific Instruments T 95-PE System Controller
EHEIM Professional 3 1200 XLT -2180 Water Circulation Pump
Hamamatsu A 3472-07 AC Adapter
GE Healthcare 810 UNV Image Eraser
Proton Hogen / 54-0102-0003 Hydrogen Generator
Meyer Burger DS 265 Multi-Wire Saw
Lab Bench
Lab Rack
Veeco / Digital Instruments AFM Microscope with tapping mode heads
Lindberg Blue M
Progressive Stamping Press
Arburg Round Table Molding Machine
International Equipment Company (IEC) Model K Centrifuge
Peter Wolters P 300
Tel Act 12 "– Application of adhesion promotors (e.g. HMDS)
– Spin coating (automated and manual dispense)
– Film thickness uniformity (< 1 nm, 3‐sigma)
– Coating of top‐ and bottom coats
– High precision hotplates (temperature uniformity < 0.2°C)
– Multiple temperature steps up to 250°C
– Multiple develop options (TMAH, TMBH)"
Exitech MS 13 EUV Micro Exposure Tool
Zygo
Panasonic Robot for Welding
Hydraulic Power Unit
JEC P 3502 ES Bi Debug Station
Test Meters for Fiber Optics
LeCroy CP 150 Current Probe
LeCroy AP 015 Current Probe
Tektronix P 5102 High Voltage Probe 100 MHz
Fluke 45 Dual Display Multimeter
Agilent 34401 A Digital Multimeter
Agilent E 3632 A Power Supply
Miscellaneous Lot of Equipment
Ekra X 5 Screen Printer
Mattson Aspen II 2x ICP Chambers
Haas VF 1
Industrial Sized Oven
Agilent 8722 C Network Analyzer Has a phase lock error
Agilent 8720 C Network Analyzer Test Port Overload Warning
Agilent 8720 C Network Analyzer Not Working Properly
Agilent 8720 C Network Analyzer Bad display and fan
Agilent 8510 C Network Analyzer In working condition
Agilent 8510 B Network Analyzer Works from 2.5 GHz to 40 GHz
VJ Electronix SRT Micra SMT
Foilmaster KU-3 Hot Stamp Machine
Wei Chen Industrial KSY-015 H Punching Machine Hydraulic High Speed
Unitek Bonding Machine with accessories
Han's Laser Science & Technology 20 W Fiber Laser Marker
Dou Yee Enterprises (Thailand) SM 300 DS-3 A-01-SMARTRAC Glob Top Robot
Trimech Pinotech TM-101 PR-MK III Pulse Heating FCB Machine
Royal Intertrade CNC Engraving Machine 3-Axis
Punch Window Machine
Punch Window Machine
Bonding Machine with accessories
Roll Slitting and Rewinding Machine
Auto Assembly Keyfob Machine
AJA International ATC Orion 5 UHV Sputtering System Sputter Gun
Quantachrome SI-MP-6 Quadrasorb SI
Quantachrome ASIQA 3 F 200-4 Autosorb IQ
Quantachrome FVD-2 Flovac Degasser
Kasper Row and Column Microscope Rebuilt by Myriad
Shimadzu AA-6701 Atomic Absorption Flame Emission Spectrophotometer
Thermal Technology 1000-3560-FP 20 Vacuum Furnace
Solartron SI 1260 Impedance/Gain-Phase Analyzer
Mettler Toledo XS 204 Balance with Calibration Weights
Shimadzu TMA-50 Thermomechanical Analyzer
HP / Agilent 8543 UV-VIS Spectrophotometer
Carbolite TZF 15 / 89 / 610 Tube Furnace
Shimadzu GC-14 A Gas Chromatograph
Brookfield RCDV-II+ Viscometer
Olympic 3018 E Kiln
Harrick PDC-32 G Plasma Cleaner/Sterilizer
Shimadzu TOC-5000 A Total Organic Carbon Analyzer
Asys AES 03 Line Loader with Platform Direction – L>R
Asys AMS 03 D Magazine Unloader Direction – L>R
Asys TRM 03-S Conveyor Direction – L>R
Dek Horizon 03 iX Screen Printer Flow – Left > Right
Orbotech Symbion P-36 Solder Paste AOI System
Philips ACM Machine
Seho MWM 3250 Selective Solder Nitrogen Ready
Siemens Siplace 80 F 4 Placement Machine with Tray Changer
Siemens Siplace HS 50 Placement Machine Head Type – DLM1
SMT 1.7 TC Reflow Oven Flow – L>R, 3 Zone
Speedline MPM Accela Printer Direction – L>R
Takaya APT-8400 CE Flying Probe Tester Standard Configuration/Manual Camera
Vitronics Soltec 6622 CC Wave Solder Machine Chipwave – 500mm, Mainwave – 500mm Standard, Pre-Heater configuration (Bottom), Calrod/Convection/Calrod, Pre-Heater configuration (Top) – None / L Type – Heavy Duty Fingers
Vitronics Soltec 6622 CC Wave Solder Machine Lead Free
Karl Suss FC 150 Flip Chip Bonder
Sheffield D-8 CMM
Polaris C-100 LD Conveyor
Thermo Scientific NanoDrop 3300 Fluorospectrometer
Presto Lift
K&S 8028 Wire Bonder
Quincy Labs 20 AF Lab Oven
Finetech Fineplacer Flip Chip Bonder - Power: -/62
MJB LM Rework Station
Westbond 70 PTM
Westbond 7400 A-26-28-44 B-45
Westbond 7700 AA-25 A-45
Allied High Tech PN 70-30010 Low Speed cut-off Saw
Beuhler 47-1750-160 Polisher
Dage 22 A Micro Tester
Buehler IsoMet Saw Low Speed
Sikama Falcon 5 x 3 Reflow Oven
Festo Flat Panel Display glass substrate transfer robot - Gen 4.5 glass plate transfer
Adtec AX-400
Datacon 2200 EVO Dispense Pick and Place "- Die Handling System
- Upgrade Heated Bond Head
- Epoxy and flux application system
- DC6408 Slide flux
- Substrate Transport System
- Input/Output System
- Wafer Table w/o stretcher
- Wafer lift including wafer changer
- Gel-Pak Holder 2"" for auto cahnge (8"")
- Flip Chip Station
- Auto tool changer unit, 7 slot
- Single-Chip ejector unit
- Eject tool base
- Needle Kit
- Dispenser - high performance D-Style Pump
- Calibration Tool Kit
- SECS/GEM Capability including wafer mapping and wafer scanner
- ID Integrated Dispenser
- ALPS EL Host Computer
- Die Handling System
- Epoxy and Flux Application System"
Semiconductor Equipment Corp (SEC) 4000 HGMS Hot Gas Die Bonder
Quintel Q 4000 Mask Aligner "- IR Backside Align
- Lamp needs to be replaced"
Canon PLA-600 F Proximity Mask Aligner
Asymtek A-618 C Dispenser
F&K Delvotec G4-6400 - Its head slammed into the platen
Lot of Electric Test Equipment
Nikon Automated Wafer Inspection Station "- NWL 200 Wafer Loader (2012 Vintage)
- Eclipse L 200 Microscope
- Topside, Macro, backside inspection modes
- Can be configured for 150mm or 200mm wafers. Includes shuttle stage and alignment plate"
Philips / Panalytical X'Pert Pro
Siemens HS 50 Pick and Place Machine - 4 HEAD - 12 Segments
Siemens HS 50 Pick and Place Machine - 4 HEAD - 12 Segments
Siemens HS 50 Pick and Place Machine - 4 HEAD - 12 Segments
Siemens F5 HM Pick and Place Machine "- 1 HEAD - 6 Segments
- 1 IC HEAD with 1 Spindle"
TRI TR 7100 AOI System
Siemens Siplace Line
Quincy 25 HP Compressor
MRC 943 Sputtering System
Fico AMS-36-M 2 Auto Mold
Tegal 901 e
Tegal 903 e
Tegal 915 e
7.5 kw Dust Collector
Taylor Hobson Form Tally Surf PGI 120 L Profiler
Hass Temperature Test Chamber
Curing Oven
Miscellaneous Lot of Equipment
Lam Rainbow 4520
Royce 552 Die Shear and Bond Test Machine
ASM AS 899 IS Automatic Tester / Sorter "- Dual Linear Bond Hears
- Fast Pattern Recognition
- PC Based Control System
- Hi-PEC Motion control, wiht AC Servo Motors for the workholder bin table
- Package Handling System
- Rotary Testing Stage
- Eagle Vision System
- Click & Fit Bin Block Assembly
- Test Station"
Princeton Applied Research (PAR) HR-8 Lock-In Amplifier
Datapulse 110 B Pulse Generator
Datapulse 101 Pulse Generator
MKS Residual Gas Analyzer
Motion Control Component Breakdown
Allen-Bradley Kinetix 6000 Components "- Power Supply/servo drive - 2094-AC16-M03-S
- Servo Drive - 2094 AM03-S
- Slot Filter - 2094 PRF
- Slot Filter - 2094 PRF
- Line Interface Module - 2094AL75S
"
Allen-Bradley 1756-A 7 B 7 Slot Chassis
Allen-Bradley 1756-PA 72 / C LAC Power supply
Allen-Bradley ControlLogix 5561 Ethernet Device Driver
Allen-Bradley EtherNet/IP Network System
Allen-Bradley MPL-A 4540 F-MJ 72AA Rotary Servo Motor
Perkin Elmer TGA 7 / TAC 7 Thermogravimetric Analyzer / DX Thermal Analysis Controller Refrigerated cooler. computer, and software . Scan rate: 0.1° to 500°C/min in increments of 0.1°C/min, Temperature range: -60°C to 725°C
DeHaart EL-20 Screen Printer
Trilogy Systems T 4 Ds 84-6 ADKS Linear Motor
CR Technology CRX-1000 X-Ray Machine
Applied Materials SEM Vision CX Review Station, SEM Defect
Hitachi M 712 XT Etcher
Nikon Precision S 204 Scanner "- 8"" to 12"" conversion kit
- 248nm (0.68NA)"
Lot of Electric Test Equipment
Marx Transformers 90 KVA Transformer
Techarmonic Cascade Gas Scrubber
Blue M Oven Integrated Stacked System / Double Oven
Ivy Semiconductor Engineering Wet Etch Bench Automatic
Carver 15-NE 15 Ton Press Heat Press / Mold Press, Autofour
Philips PZ Ruby Ellipsometer Main Body
New Wave Research 2150 Automatic Laser Scriber
C&D Semiconductor P 8000 Coater & Developer Track Tool Advanced Linear Coat & Developer Track System
C&D Semiconductor Computer Monitor & Pressure Tanks plus More Pieces Parts and Misc Equipment for the P 8000 Coat & Developer System
Hartzell A 42-4-14-F 100 F 6 F CM 7 Fan
Pentamaster Technology LED Semi-Auto Testing Tool Mega, High Power
Aixtron Cruis MOCVD "- 31x2""
- N2 has been ran through the tool"
Affinity Power Cab / Trap / Boxes
STI Excellite 9003 M Wafer Plating Tool / Sink
Royce 552 Die Shear and Bond Pull Testing Machine
Neslab CFT 75 A 2 Chiller for X Ray Machine
Aixtron Spare Parts - Shower Head, Heaters, Liners Susceptors & More
Carver Press On Stand 2 Heated Platens, 15 Ton, Autofour Press
Pentamaster Technology Engineering PM 1800 Handler/Sorter Gravity Feed Handler capable of orientation, marking, dim and open/short test together.
Firstnano ET 6000 Furnace
Busch Cobra BA 100 Vacuum Pump
Ebara A 70 W Dry Pump Goes with Aixtron Tool
New Wave Research (ESI) Accuscribe Titan Laser Scriber Tool
Aqueous CLR Ultra Sonice Stencil Washer
ASM MS 100 Wafer Mapping DIE Sorter
Royce 552 Die Shear and Bond Pull Testing Machine
Sensing Instruments GMS-1800 B Light & Color Sensing Tool Rotating Luminaire, Goniophotometer
Beta Squared / Perkin Elmer M 641 Micralign Projection Mask Aligner HTR+ machine
March Plasma PX 500 Plasma Cleaning Tool
ASM LPT 08 LED Packaging System Automatic, with Tape and Reel Handling
Muhlbauer TAL 500 Die Handling Unit
TOWA FFT 1030 Compression Molding System
AMI MSP-9156 P Screen Printer
Samil TO-220 Trim System
Samil TO-220 Form/Singulation System
Samil TO-220 LG Trim/Form Module
Samil TO-220 LG Singulation Module
Fico Mold Code 1350 1538 Mold Tool
Fico Mold Tool
Fico 1112 Mold Tool
Pellet Hopper
Despatch Cure Oven
Alphasem E 8003 Easy Line
Alphasem E 8003 Easy Line
Fico MMS-12-M Manual Moulding
Sessco Technologies M 28 Test Handler
Digilab / Agilent FTS 7000 PEM-IRRAS attachment
Axcelis / Fusion M 200 PCU Photo Stabilizer "Fusion System sticker on photo had the following info:
- Model 1200
- Part 203691
- SN: 196"
Plasmatherm 720 Chlorine Etcher
Applied Materials Mirra Mesa Polisher
Mikron M 7500 Infared Camera
Barnstead Thermolyne LN 2 Dewers
Rasco SO 1000 T Handler "- Tri-Temp
- QSOP Kit"
Veeco Spector Ion Beam Deposition System "- Currently Coating Nb, Ta, Hf, SiO2, Si and Al2O3
- Running band pass filters and high reflection mirrors
- Two guns: 16cm and 12cm regular Veeco Ion Tech ion sources
- Three targets: 14in diameter, Nb, SiO2 and SiO2
- This has a high speed motor on the system. But we have another original Veeco Ion Tech made planetary system that we can include with additional cost
- The system configured with an optical monitor for Telecommunication application. Wavelength coverage is 1520-1600nm. We have only been using the system for general optics coating, we haven’t this IR optical monitor for a long time. So the system does have all the IR optical monitor but we are not using it.
- The system has been as power and time mode, therefore, system does not have a Quartz control but we can add one with additional cost.
- Roughing pump, either Leybold 65C, or Edward for mechanical pump, and cryopump, CTI 400 cryogenics
- We can include a load lock chamber with additional cost"
Yunnan Machine Tool Works CY 6266B X 1500 Lathe
Veeco Spector Ion Beam Deposition System "- Currently Coating Nb, Ta, Hf, SiO2, Si and Al2O3
- Running band pass filters and high reflection mirrors
- Two guns: 16cm and 12cm regular Veeco Ion Tech ion sources
- Three targets: 14in diameter, Nb, SiO2 and SiO2
- This has a high speed motor on the system. But we have another original Veeco Ion Tech made planetary system that we can include with additional cost
- The system configured with an optical monitor for Telecommunication application. Wavelength coverage is 1520-1600nm. We have only been using the system for general optics coating, we haven’t this IR optical monitor for a long time. So the system does have all the IR optical monitor but we are not using it.
- The system has been as power and time mode, therefore, system does not have a Quartz control but we can add one with additional cost.
- Roughing pump, either Leybold 65C, or Edward for mechanical pump, and cryopump, CTI 400 cryogenics
- We can include a load lock chamber with additional cost"
Aetrium 5050 T Handler
Aetrium 5050 T Handler
Aetrium 55 V 6
Aseco S 130 Handler
Deltaflex Handler
Deltaflex Handler
Micromanipulator
Micromanipulator
Micromanipulator "- w/ laser
- Instead of a chuck it has some kind of interface board"
Sessco
So-Low U40-13 Freezer
Sym-Tek 300 HC 55
Tektronix HFS 9009 Stimulus System
Teradyne J 937 Tester
Satisloh T 04 Parts Washer
Solvent Wet Bench
Electronic Visions (EVG)
McBain ZIII Wafer Inspection System
Oxford Ionfab 300 Plus
TopoMetrix Accurex II AFM
March Plasmod
Technics 500-II Barrel Ashing System
Satisloh SP 200 F Coater
Balzers BAK 640 Coater
Satisloh 725 Coater
HKS KP 60 Twin Z Centrifuge
HKS KM 50 Centrifuge
Teco TP 2 V 90-302
GLF Germany Tinting unit 1012
Memmert UFP 500
Euro Chiller GCA 009
MEI SRL MonoSphera RX-DD Edger
Veeco / Weco 86 x lab VI Edger
Satisloh Edger ES 4 Edger
Nidek Lex 1000 Edger
Satisloh V PRO
Cutter HSC Master
Satisloh V 95
SCL CD 400 Hard Coating
Satisloh Duo-Flex Polisher
Satisloh Torox 200 Polisher
Cutter CCP 102 Polisher
Satisloh Toro X Polisher
Sullair TS 20-200 H Air Compressor 200HP
Sullair TS 20-200 H Air Compressor 200 HP
Sullair LS 20-100 H Air Compressor 100 HP
Sullair LS 20-100 H Air Compressor 100 HP
Sullair 10-40 Air Compressor 40 HP
Sullair 10-40 Air Compressor 40 HP
Pneumatech AD-500 Air Dryer
Pneumatech PES-2100 Air Dryer
Airtec TW-1000 Air Dryer
Airtec SPC 500-A 4 Air Dryer
Airtec SCM-165 Air Dryer
Airtec CT-165 Air Dryer
Airtec CT-2500 Air Dryer
Sullair VS-10-15 /A Vacuum Pump (Final Test)
Sullair VS-10-15 /A Vacuum Pump (Engineering)
Thermotron F-40 CHMV-25-25-2 Chamber "- I.D. 40""W X 38""D X 44""H
- O.D. 82""W X 112""D X 95""H
- Temperature Range: -65 to +170 Deg. C
- Humidity Range: 20% to 95%
- Water flow: Minimum 28 Gallons per minute @ 75F
- Watlow Model F-4 WITH RS-232 communication port
- Programmer, Over and Under Temperature Protection
- Environmentally Friendly Refrigerants, Stainless Steel Interior
- 2 QTY X 6"" Dia. Side Ports, Door Notch,
Viewing Window, SOLID FLOOR….WITH DRAIN
Power: 460V, 3Ph, 150 Amps"
Oxford ICP PECVD
Heller 1500
Dek 260
Quad IV C 90
Parker Engineering Vertical Light Soaks
Gas System Torch Booster
Grenzebach Offline Particle Inspection System
"Advantest, Agilent, Credence, HP, Keithley, Mosaid, RVSI, Schlumberger, Sentry, Teradyne,
Verigy, Versatest" Component Tester Spare Parts
Advantest T 2000 Tester
Advantest T 3324 VLSI Parts Machine
Advantest T 3324 VLSI Parts Machine
Advantest T 3326 VLSI Tester
Advantest T 5335 P Memory Tester
Advantest T 5335 Parts Machine
Advantest T 5335 Memory Tester
Advantest T 3347 VLSI Parts Machine
Advantest T 3347 Memory Tester
Advantest T 5365 P Parts Machine
Advantest T 5371 Memory Tester
Advantest T 5381 Memory Tester
Advantest T 5382 A Memory Tester
Advantest T 5581 H Memory Tester
Advantest T 5585 Tester
Advantest T 6683 SOC Test System
HP / Agilent 4062 UX Parts Machine
HP / Agilent 4062 UX Parametric Tester
HP / Agilent / Verigy 82000 Component Tester D100, 100 Mhz
HP / Agilent / Verigy 82000 Component Tester D200, 200 Mhz
HP / Agilent / Verigy 82000 Component Tester D100, 100Mhz
HP / Agilent / Verigy 82000 Component Tester D100 w/APG opt
HP / Agilent / Verigy 83000 Component Tester VLSI Tester
HP / Agilent / Verigy 83000 Component Tester E2811, 256 pins
HP / Agilent / Verigy 83000 F 120 T Component Tester VLSI 48 pins
HP / Agilent / Verigy 83000 F 330 T Component Tester VLSI 224 pins
HP / Agilent / Verigy 83000 660 I Component Tester VLSI 96 pins
HP / Agilent / Verigy 83000 F 330 T Component Tester 80 Mhz, 400 pins
HP / Agilent / Verigy 83000 F 330 T Component Tester 120Mhz, 480 pins
HP / Agilent / Verigy 83000 F 330 T Component Tester 330Mhz, 224 pins
HP / Agilent / Verigy 83000 F 330 T Component Tester 120Mhz, 336 pins
HP / Agilent / Verigy 83000 F 330 T Component Tester 120Mhz, 256 pins
HP / Agilent / Verigy 84000 A 120 T RF Spares Tester
HP / Agilent / Verigy 94000 Parts Machine
HP / Agilent / Verigy 93000 P 1000 SOC Test System
HP / Agilent / Verigy 93000 C 400 e SOC Test System
HP / Agilent / Verigy 93000 C 400 e SOC Test System
HP / Agilent / Verigy 93000 PS 3600 SOC Test System
HP / Agilent / Verigy 93000 P 600 SOC Test System
HP / Agilent V 4400 Parts Machine
HP / Agilent V 4436 Memory Tester
LTX / Credence ASL 1000 In Test Manipulator
LTX / Credence ASL 1000 Linear Mixed Signal
LTX / Credence ASL 3000 RF Parts Machine
LTX / Credence Duo Mixed Signal
LTX / Credence Duo Mixed Signal
LTX / Credence Cal Station Tester For Quartet and Duo
LTX / Credence Duo XP Mixed Signal Tester
LTX / Credence Duo XP Mixed Signal Tester
LTX / Credence Duo SX Mixed Signal Tester
LTX / Credence Duo SX Mixed Signal Tester
LTX / Credence LTX Fusion MX Mixed Signal Tester
LTX / Credence LTX Fusion CX Mixed Signal Tester
LTX / Credence Octet 200 Mixed Signal Tester
LTX / Credence Personal Kalos Component Tester
LTX / Credence Quartet Mixed Signal Tester
LTX / Credence Quartet Mixed Signal Tester
LTX / Credence STS 8256 Mixed Signal Tester
LTX / Credence Vista Vision Mixed Signal Tester
Delta Design ETC 2000 Tester
Eagle 5 XP Tester
EPRO 142 AX EPROM Tester
Hilevel ETS 300 Digital Test System
Hilevel ETS 300 Digital Test System
Inovys Ocelot SOS Tester
Inovys Ocelot SOS Tester
Jetlight 9290 EEPROM Chip Eraser
Mosaid MS 4155 Parts Machine
Mosaid MS 4155 Memory Tester
Mosaid MS 4205 EX Bench Tester
Mosaid MS 3480 Memory Tester
Mosaid MS 3495 Memory Tester
Mosaid MS 4205 EX Memory Tester
Sentry / Schlumberger S 21 / S 20 Tester 20 Mhz Digital
Sentry / Schlumberger S 10 Tester 10 Mhz Digital
Sentry / Schlumberger Sentinel Digital Tester
Sentry / Schlumberger ITS 9000 KX Tester VLSI 664 pins
Sentry / Schlumberger ITS 9000 KX Tester VLSI 376 pins
Sentry / Fairchild / Schlumberger S 1650 Test System Digital IC
Sentry / Fairchild / Schlumberger S 790 Parts Machine
Teradyne Catalyst D 200 Tester 384 pins
Teradyne Catalyst RF RF Test System
Teradyne Flex Memory Tester
Teradyne MicroFlex Memory Tester
Teradyne Ultra Flex Tester
Teradyne A 567 Mixed Signal Tester
Teradyne A 575 Parts Machine
Teradyne A 575 Parts Machine
Teradyne A 585 Parts Machine
Teradyne J 750 Digital Test System
Teradyne J 937 Memory Test 50 Mhz
Teradyne J 937 Memory Test 100 Mhz
Teradyne J 971 Logic Tester 100Mhz
Teradyne J 993 Memory Tester
Teradyne J 995 Memory Tester
Teradyne J 997 Memory Tester
Teradyne Tiger Tester System and Spares
Versatest VT 2104 Memory Tester
Advantest M 6761 AD Dynamic Test Handler
Aetrium 5050 S Handler Ambient only, 150 mil SOIC
Aseco S 130 Handler Single site, ambient, hot
Aseco S 200 Handler
Aseco S 170 D Handler Dual site, ambient, hot, 173mil TSSOP
Aseco S 170 Handler Ambient, hot, 7mm FPBGA
Daymarc 3287 Handler Tri-Temp, 300 mil, SOJ kit
Daymarc 717 Handler Single Site, Tri-Temp, TSSOP
Daymarc 717 Handler 150 mil SOIC, Ambient
MCT 3608 E-6 Handler 600Mil DIP
MCT 3608 C-3 Handler Extended Back
MCT 3608 CCA-3 Handler Parts Machine
MCT 4610 Handler Single Site, Tri-Temp 32 PLCC
MCT 4610 Handler Dual site, Tri-temp, 32 PLCC
MCT 4610 Handler Single Site 20 & 28 PLCC
MCT 3608 E Handler Ambient ,Hot, 300 mil SOIC kit
MCT 3608 E Handler Ambient,Hot, 300 mil SOIC kit
MCT 3608 E-3 Handler
MCT 3608 EL Handler
MCT 3608 E-6 Handler
MCT 3608 E-3 Handler
Multitest 8589 Handler Trip temp
Multitest 8305 L Handler Single Site, Ambient,600MilDIP
QMT 1100 Taping Machine
Synax 1201 Handler Single Site, Ambient and Hot
Synax 121 H Handler Single Site, Ambient and Hot
Synax SX 141 Handler Single Site, Ambient ,Hot,Pick and Place
Synax 1601 Handler Quad Site Tri Temp
Convac Solvent and Chemical Cabinet
Fuji Impulse FG-400 E-SG Wafer Cassette Vacuum Bag
SVG 8126 PC Coater
Markem LFA 200 DX Auto Marking System
Markem 452 T/W Marking System
Precision Tech LC 2800 Lead Conditioning System
Schlumberger IDS 2000 Parts Machine
Kensington Scope
Schlumberger IDS P 2000 Failure Analysis System
Schlumberger Focus Ion Beam System
Genrad 2282 Board Tester
Genrad 2284 Board Tester
Genrad 2284 E Board Tester
Genrad 2286 I Board Tester
Genrad 2287 A Board Tester
HP / Agilent 3070 Board Tester
Teradyne 8851 Board Tester
Teradyne Z 1820 Board Tester
Teradyne Z 1840 Board Tester
Teradyne Z 1880-1 Board Tester
Teradyne Z 1880-2 Board Tester
Teradyne Z 1884 Board Tester
Teradyne Z 1888 Board Tester
Teradyne Z 1890 Board Tester
Teradyne L 210 Board Tester
Teradyne L 321 Board Tester
Teradyne L 353 Board Tester
Teradyne L 393 Board Tester
Teradyne 8852 In Circuit Tester
Zehntel Z 1860 Board Tester
Electrolgas 4080 x Wafer Prober
KLA-Tencor 1007 Wafer Prober
KLA-Tencor 1011 Wafer Prober
RapidTran II Wafer Transfer
Semics Opus II Wafer Prober
Tel P 8 E Wafer Prober
Tel P 8 Wafer Prober
Tel P 8 Parts Machine
Tel P 8 LC Wafer Prober
Tel P 8 XL Wafer Prober
Tel P 8 XL Wafer Prober
Tel P 8 XL Wafer Prober
Tel P 8 XL Wafer Prober
Tel P 8 XL Wafer Prober
Tel P 8 XL Wafer Prober
Tel P 12 XLn Wafer Prober
Tel P 12 XL Wafer Prober
Tel 20 SR 6 inch Prober
Tel PXLn+ Wafer Prober
Accretech / TSK APM 90 A Wafer Prober
Accretech / TSK FP 200 A Wafer Prober
Accretech / TSK UF 200 Wafer Prober Various Configurations
Electroglas, Intest, KLA-Tencor, Lytron, Teradyne, Tel, Accretech / TSK Prober Spare Parts
Agilent, Factron, Fairchild, Genrad, HP, Schlumberger, Teradyne Board Tester Spare Parts
Aetrium, Aseco, Delta, Multitest, Seiko, Symtek Handler Spare Parts
Advantest, HP, Agilent, Versatest, Credence Eagle, Genrad, HP, Agilent, Versatest, LTX-Credence, Megatest Sentry, Schlumberger, Teradyne Power Supplies
HP, Agilent, Elgar, PTS, Racal, Tektronix, Wavecrest Test and Measurement Inventory
Leica Spare Parts
Satisloh 850 AR Coater "- for Mineral Glass Tinting
- Photo-Spectrometer is Faulty
- Top doesn’t close well. Either the rubber and/or motor needs to be replaced"
Leybold AR Coater
Logitech 1 DP 41 Wafer Substrate Polisher "- Volts: 480
- Hz: 50/60
- Phase: 3
- Amps: 12
- Largest Load Amperes: 6"
Cascade FWT Autoloader
GJM-S 2 Milling Machine
14018500000000 Ultrasonic Cleaning Machine
TM-R533F, 380V Sandblasting Machine
Chemical Safety Technology (CSTI) CST-55/2-ACD-R Dispense System "-Voltage: 120VAC
- Amps: 10
- Phase: sgl
- Hz: 50/60"
Applied Materials SEMVision Scanning Electron Microscope (SEM)
Applied Materials Producer CVD Deposition Tool "- 3x Chambers
- Dielectric layers (on Co layers)
Crates:
1 of 3 - Maintool
2 of 3 - Accessories
3 of 3 - Chiller, Powerbox"
Applied Materials Centura 5200 eMxP Plasma Etcher "- 3x chambers
- Without SMIF loaders and heat exchanges
Crates:
1 of 11 - Maintool
2 of 11 - Power Rack
3 of 11 - Loadport
4 of 11 - Neslep
5 of 11 - Neslep
6 of 11 - Mainbreaker Rack
7 of 11 - Generator Rack
8 of 11 - Accessories
9 of 11 - Accessories
10 of 11 - Accessories
11 of 11 - Accessories"
Applied Materials Centura 5200 IPS Plasma Etcher "- 4x chambers
- Without SMIF loaders
Crates:
1 of 13 - Maintool
2 of 13 - Loadports
3 of 13 - Power Rack
4 of 13 - Cover, E.T.C.
5 of 13 - Monitor Rack
6 of 13 - Generator Rack D
7 of 13 - Generator Rack C
8 of 13 - Generator Rack A
9 of 13 - Generator Rack B
10 of 13 - Bay Voltex
11 of 13 - Bay Voltex
12 of 13 - Accessories
13 of 13 - Accessories"
Applied Materials Centura 5200 IPS Plasma Etcher "- 4x chambers
- Without SMIF loader
Crates:
1 of 14 - Maintool
2 of 14 - Loadports
3 of 14 - Power Box
4 of 14 - Chamber D+Gaspanel
5 of 14 - Monitor Rack
6 of 14 - Generator Rack D
7 of 14 - Generator Rack C
8 of 14 - Generator Rack B
9 of 14 - Generator Rack A
10 of 14 - Accessories
11 of 14 - Accessories
12 of 14 - Bay Voltex
13 of 14 - Bay Voltex
14 of 14 - Accessories"
Applied Materials "Centura 5200
" Plasma Etcher "- 4x chambers
- Without SMIF loaders"
Applied Materials Centura 5200 Plasma Etcher "- 4x chambers
- Without SMIF Loaders"
Applied Materials Centura 5200 Plasma Etcher "- 4x chambers
- Without SMIF loaders"
Applied Materials Centura 5200 Plasma Etcher "- 4x chambers
- Without SMIF loaders"
Applied Materials Producer CVD Deposition Tool "- 3x chambers
- Silicon nitride (on Cu layers)
Crates:
1 of 3 - Mainframe
2 of 3 - Chiller
3 of 3 - Accessories"
Applied Materials Producer CVD Deposition Tool "- 3x chambers
- Silicon Nitride (on Cu layers)
Crates:
1 of 3 - Maintool
2 of 3 - Neslab
3 of 3 - Accessories"
Applied Materials Producer CVD Deposition Tool "- 3x chambers
- Silicon Nitride (on Cu layers)
Crates:
1 of 3 - Maintool
2 of 3 - Accessories
3 of 3 - Accessories"
Applied Materials Producer CVD Deposition Tool "- 3x chambers
- Silicon Nitride (on Cu layers)
Crates:
1 of 4 - Maintool
2 of 4 - Chiller + Pipes
3 of 4 - Accessories
4 of 4 - Accessories"
KLA-Tencor 8100 / CD CD Measurement "Without trolley (cart) for computer
- Assembled in Cleanroom"
KLA-Tencor 8100 / CD CD Measurement Without trolley (cart) for computer
KLA-Tencor 8100 Scanning Electron Microscope (SEM) "- Linear dimensions
- Without trolley (cart) for computer"
KLA-Tencor 8100 Scanning Electron Microscope (SEM) "- Linear dimensions
- Without trolley (cart) for computer"
KLA-Tencor AIT Patterned Wafer Inspection System "Crates:
1 of 2 - Maintool
2 of 2 - Accessories"
KLA-Tencor AIT Patterned Wafer Inspection System "Crates:
1 of 2 - Maintool
2 of 2 - Accessories"
Novellus Innova PVD Tool
Novellus Innova PVD Tool
Teradyne J 972 Test System "Functional, automatic
Crates:
1 of 5 - Mainframe
2 of 5 - Aux - Frame
3 of 5 - Chiller Frame
4 of 5 - Boards
5 of 5 - Accessories"
Teradyne J 973 Test System "Functional automatic
512 Channels AP
512 Channels of Wide 9200MHz) ADS Fanout
2 x 50 A GVS
64M LVM
128 Channels of 256K VMO
200MHz MTO
SPO 128M x48
Crates:
1 of 5 - Mainframe
2 of 5 - Aux - Frame
3 of 5 - Chiller Frame
4 of 5 - DCS + Boards
5 of 5 - Accessories"
Teradyne J 973 Test System "Functional automatic
512 Channels AP
512 Channels of Wide 9200MHz) ADS Fanout
2 x 50 A GVS
64M LVM
128 Channels of 256K VMO
200MHz MTO
SPO 128M x48
Crates:
1 of 5 - Mainframe
2 of 5 - Aux - Frame
3 of 5 - DCS-Board
4 of 5 - Accessories
5 of 5 - Chiller-Frame"
Teradyne J 973 Test System "Functional automatic
512 Channels AP
512 Channels of Wide 9200MHz) ADS Fanout
2 x 50 A GVS
64M LVM
128 Channels of 256K VMO
200MHz MTO
SPO 128M x48"
Teradyne J 973 Test System "Functional automatic
512 Channels AP
512 Channels of Wide 9200MHz) ADS Fanout
2 x 50 A GVS
64M LVM
128 Channels of 256K VMO
200MHz MTO
SPO 128M x48"
Teradyne J 973 Test System "Functional automatic
512 Channels AP
512 Channels of Wide 9200MHz) ADS Fanout
2 x 50 A GVS
64M LVM
128 Channels of 256K VMO
200MHz MTO
SPO 128M x48"
Teradyne J 973 Test System "Functional automatic
512 Channels AP
512 Channels of Wide 9200MHz) ADS Fanout
2 x 50 A GVS
64M LVM
128 Channels of 256K VMO
200MHz MTO
SPO 128M x48"
Teradyne J 973 Test System "Functional automatic
512 Channels AP
512 Channels of Wide 9200MHz) ADS Fanout
2 x 50 A GVS
64M LVM
128 Channels of 256K VMO
200MHz MTO
SPO 128M x48"
Teradyne J 973 Test System "Functional automatic
512 Channels AP
512 Channels of Wide 9200MHz) ADS Fanout
2 x 50 A GVS
64M LVM
128 Channels of 256K VMO
200MHz MTO
SPO 128M x48"
Nexx Systems Cirrus 300 CVD Deposition with ECR source "- Low Temperature CVD Using ECR Technology
- High vacuum pump - Balzers TMH 1001 corrosive service Turbo
- Chiller - Neslab HX 75
- PQ2000 Series permanent magnet low profile ECR source.
- ASTeX AX2110 microwave power supply with remote magnetron head
- 20 inch outer diameter reactor chamber with Viton seals
- Six (6) MKS Instruments 2179A Kalrez sealed mass flow controllers, expandable to seven
- Operating air pressure 80PSI (551,624N/sq m)
Dimensions - W 81.000 in (205.7 cm) / Depth 41.000 in (104.1 cm) / Height 85.000 in (215.9 cm)
"
Oxford Plasmalab 100 PECVD TEOS with Load Lock "- Power requirements 208 V 25.6 A 50/60 Hz 3 Phase
- Loadlock Pump: Alcatel APT 80 Turbo, ACT 200T Controller
- RF Generator: AE LF5 & AE RFX 600A
- Advanced Energy LF-5--RF Generator
- Advanced Energy RFX 600A--RF Generator
- Process Gases
CF4 80%, O2 20%--500 SCCM---MKS 1179A
Ar 90%, PH3 10%--50 SCCM---MKS 1479A
Ar 90%, GeH4 10%--50 SCCM---MKS 1479A
Ar 90%, BeH6 10%--50 SCCM---MKS 1479A
N2 95%, SiH4 5%--1 SLM---MKS 1479A
NH3 100%--100 SCCM---MKS 1479A
N2O 100%--3 SLM---MKS 1179A
N2O 100%--200 SCCM MKS 1179A
N2 100%--2 SLM MKS 1179A
O2 100%--500 SCCM MKS 1179A
- System will be fully functional and source inspection to be performed at our facility with inert gases
- Refurbishment to include but not limited to the following;
Cleaning and recalibration of MFCs
Inplace wet cleaning. As option chamber and associated harware can be sent high purity cleaning"
Plasmatherm 790 PECVD "- Refurbished PECVD System with 11"" Electrode
-Single Chamber
- Generator: RFPP 5S
- Process gasses: SF6, Ammonia, Nitrogen
- Power Requirements: 208 V 60 Hz 3 Phase
- Dimensions: Width 24.000 in (61.0 cm) / Depth 40.000 in (101.6 cm) / Height 69.000 in (175.3 cm) / Weight 604 lb (274 kg)
- Resistive heated lower electrode up to 350 C watlow temperature controller
- Cooling/heating circuit in chamber walls
- MKS throttle valve pressure control
- VAT Al body gate valve assembly--ISO 100
- 2 torr manometer
- Windows 98 Operating System
- Process Gases--All MFCs will be cleaned and calibrated to NIST standards:
2-N2 500sccm
2-N2 1000sccm
1-SF6 50sccm
1-Ammonia 20sccm
- Operating manuals and related documentation included.
- Vacuum pump and chiller are not included.
"
Applied Materials P 5000 MARK II Hybrid System - Etch Chambers / PECVD Nitride Chamber "- Includes Modular Remote Frame
- Two MxP Etch Chambers, One PECVD Nitride Chamber. Phase III handling system. 28-line onboard gas panel.
- Integrated endpoint system with 2 monochromators.
- Currently configured for 100mm wafers
- System is fully configurable to your specifications
- Chamber 1: MxP Etch chamber configured for 100mm clamped Oxide process.
*Leybold Mag lev Turbo pump
*Phase IV RF match
*Heated gate valve/throttle valve
*ENI OEM 12 RF Generator
Can be configured from 100-200mm.<
- Chamber 2: MxP Etch chamber configured for 100mm clamped Poly process.
*Leybold Mag lev Turbo pump
*Phase IV RF match
*Heated gate valve/throttle valve
*ENI OEM 12 RF Generator.
Can be configured from 100-200mm.
- Chamber 3: no description offered
- Chamber 4: Standard Lamp Heated (100-150mm capable) Configured for 100mm Nitride Process.
*Includes DPA and DPA generator
*Phase IV RF match
*ENI OEM 12 RF Generator Can be configured from 100-150mm."
Applied Materials P 5000 MARK II Oxide Etch 3 Chambers
Plasmatherm VLR 700 VLR-PM 1-ICRB-PM PECVD "- Single Chamber
- Mixed Frequency Deposition
(MFD) Both High Frequency (13.56 MHz) and Low Frequency (50-460 kHz)
- RF power delivered both electrodes
- Nitride Depostion
- Water Cooled
- Chamber 1: 13.5"" Electrode
Gas Delivery Six Channel; (2)2000 sccm, (2)1000 sccm, (1)200 sccm, (1)20 sccm
- Accessories: Leybold Pump Package
Neslab HX 75 Chiller
- Computer #1 dual boot VxWorks Wind River Systems 5.3.1 BSP version 1.1/1 and
Windows 3.11 System Monitor VLR v.1.10
- Computer #2 NT4, Versaworks 2.5"
Carbone of America G-III Silicon Carbide 200 mm Disc Susceptor "- Part number 017893-001
- Susceptors sealed in plastic
- 5 slot
- Center bore: 82 mm
- Dimensions: Width 23.750 in (60.3 cm)
/ Height 0.750 in (1.9 cm)
/ Weight 21 lb (10 kg)
"
Materials Technology 02-01808 Barrel Susceptor "- EPI 3 1/4"" Barrel Susceptor
- 3.25 Barrel
- For 3"" Diameter Wafers
- 27 Slot , 3 per side
Dimensions: Width 9.250 in (23.5 cm) Height 16.750 in (42.5 cm) Weight 7 lb (3 kg)
"
FEI 200 XP TMP Focus Ion Beam System "- Prelens Ion Column, 50mm Stage, 2 GIS, CDEM, Turbo Pumped
- Prelens Ion Column
- 50mm Four Axis Stage
- CDEM (Continous Dynode Electron Multiplier) Seconday Detector
(3) Gas Injection Systems (GIS).
Pt Deposition, IEE(insulator enhanced etch), SCM (selective cabon milling)
NB: GIS do not contain precursor materials
- Charge Neutralization System
- Turbo Pumped Vacuum System
"
Anatech IG 50 Filamentless Ion Source "- One gas input, no gas controls
- Stable beam currents from 2 mA at 25 eV in Argon to 35 mA at 1200 eV in Oxygen respectively Model IS 300 Controller with cable
- Controller dimensions: 19""W x 13""D x 5.5""H
- Dimensions: Width 5.000 in (12.7 cm) Height 6.000 in (15.2 cm) Weight 25 lb (11 kg)"
Lumonics LWCO 2-20 Lightwriter CO2 Laser "- Power output 100 Watts
- Water Cooled
- Wave length: 10.6 um
- Pulse duration: CW
- Power requirements: 115 V 10.0 A 50/60 Hz 1 Phase"
Hughes 3222 H Helium-Neon Laser "- Power Supply: Laser Drive, Inc. Model 301LFBRH1
- Accessories: Rated Power Output: 5 Milliwatt
- Power requirements: 115 V 3.0 A 60 Hz 1 Phase
- Weight: 2 lb (1 kg)"
Melles Griot 06 DAL 203 Alignment Laser Diode "- 635 nm
- Dimensions: Width 1.250 in (3.2 cm) Height 4.000 in (10.2 cm) Weight 1 lb (0 kg)"
Polytec PL-606 Helium-Neon Laser "- Power Requirements: 110 V 60 Hz 1 Phase
- Dimensions: Width 15.000 in (38.1 cm) Depth 1.750 in (4.4 cm) Weight 2 lb (1 kg)"
GSIL JK 501 YAG LASER "- Power Output: 500 Watts
- Accessories: Graphic User Interface:
*Automation Direct Model PS12-050D touchscreen
*Dell Computer
*Lumonics Version 1A5B software
*APC uninterruptible power supply
*All this within a standalone podium
- Class 4 laser
- Wavelength: 1064nm
- Maximum power consumption: 21kva
-Supply rating: 19kw
- Ouput Specifications:
- Average laser power: 500w
- Maximum modulated power: 2000w
- Beam quality: 25mm.mrad
- Modulated frequency: 100-500hz
- Shutter response time: <50ms
- Power requirements: 480 V 60 Hz 3 Phase
- Dimensions: Width 66.000 in (167.6 cm) Depth 37.000 in (94.0 cm) Height 50.000 in (127.0 cm) Weight 1,084 lb (492 kg)"
GSIL JK 501 YAG Laser "- Power Output: 500 Watts
- Water Cooled
- Accessories: Graphic User Interface:
*Automation Direct Model PS12-050D touchscreen
*Dell Computer
*Lumonics Version 1B3 software
*APC uninterruptible power supply
*All this within a standalone podium
- Class 4 laser
- Wavelength: 1064nm
- Maximum power consumption: 21kva
- Supply rating: 19kw
- Ouput Specifications:
- Average laser power: 500w
- Maximum modulated power: 2000w
- Beam quality: 25mm.mrad
- Modulated frequency: 100-500hz
- Shutter response time: <50ms
- Power requirements: 480 V 60 Hz 3 Phase
- Dimensions: Width 66.000 in (167.6 cm) Depth 37.000 in (94.0 cm) Height 50.000 in (127.0 cm) Weight 1,084 lb (492 kg)"
GSIL JK 702 Pulsed YAG-laser System "- Rated output: 250 Watts
- Water Cooled
- System includes JK702 Laser, power supply,
and Control Panel.
- Mean Power: 0 - 250W
- Pulse Energy: 0.1 - 35J
- Pulse Width: 0.5 - 20 mS
- Repetition Rate: 0.2 - 500Hz
- Power requirements: 220/240 V 27.0 A 25.0 A(2) 60 Hz 3 Phase
- Dimensions: Width 57.000 in (144.8 cm) Depth 27.000 in (68.6 cm) Height 71.000 in (180.3 cm) Weight 1,400 lb (635 kg)"
Unitek / Miyachi LW 300 A-2 E High Speed Laser Welder "- Wavelength: 1064 nm Pulse power @ 10mS: 50J
- Peak power: 6.0kW
- Pulse width range: 0.25 to 99.9mS
- Repetition rate: 500 Hz
- Pulse shaping feature: Yes
- Aiming laser: Diode
- Number of outputs: Up to 4
- Energy share outputs: One group of up to 4, or two groups of 2
- Time share outputs: Up to 4
- Fiber core diameter(SI or GI fibers): 0.3, 0.4, 0.6, 0.8, 1.0mm
- Datacom: 8/7 data, 2/1 stops bits, even/odd parity, RS485/422
- Line current: 43A
-Cooling method: Water to water
Power requirements: 220 V 50/60 Hz 3 Phase
- Dimensions: Width 20.900 in (53.1 cm) Depth 56.000 in (142.2 cm) Height 46.100 in (117.1 cm) Weight 882 lb (400 kg)"
New Wave Research Polaris II YAG Laser "- Water Cooled
- Installed filters for 532nm only.
- Rep rate: 20Hz
- Power requirement: 100-240 V 4.0 A 2.0 A(2) 50/60 Hz 1 Phase
- Weight: 48 lb (22 kg)
"
Test Equity 3007 C Temperature Chamber Very clean, low hours, fully functional
Espec EHS-211 M Highly Accelerated Stress Testing Chamber "- Power: 200v
- Source: 50/60hz
- Weight: 190kg"
Advanced Laser Diode EIG 1000 A Picosecond Injection Laser (PiLas) "- Laser head: Model PIL 090G
- Wavelength: 905nm
- Tolerance: +- 15nm
- Spectral width:< 10 nm
- Pulse width: <50(ps)(2)
- Peak power in collimated beam >300 (mW)(1)
- Power requirements: 115/ 230 V 2.0 A 4.0 A(2) 50/60 Hz 1 Phase
- Dimensions: Width 9.250 in (23.5 cm) Depth 13.000 in (33.0 cm) Height 3.750 in (9.5 cm)"
Burleigh SA plus-800-14 Laser Spectral Analyzer "- Accessories: DA-100 Detector Amplifier /
RG-91 Ramp Generator
- Free spectral range: 8 GHz
- Wavelength: 1425 to 1675 nm
- Mirrow reflectivity: 99.7%
- Input aperture: 1mm
- PZT scan distance: 1.2 micron/1000V
- More info available
- Power requirements: 85-264 V 1 Phase"
Coherent Innova 100 Argon Ion Laser "- Power Output: 18 Watts
- Water Cooled
- Power Supply:
Model Number: 100-18
Includes rebuilt laser tube.
- Power requirements: 480 V 100.0 A 50/60 Hz 3 Phase"
Coherent Innova 90 Argon Ion Laser "- Water Cooled
- Wavelength operation: 351.1nm - 528.7nm
- Laser Head: Model I-90
- Power Supply: Innova 90-6
- Optional remanufactured plasmatube with one year warranty add 5,000 to listed price
Power requirements: 208 V 45.0 A 60 Hz 3 Phase
- Dimensions: Width 56.000 in (142.2 cm) Depth 6.000 in (15.2 cm) Height 6.000 in (15.2 cm) Weight 238 lb (108 kg)"
Coherent Innova 90-A Argon Ion Laser "- Water Cooled
- Wavelength operation: 351.1nm - 528.7nm
- Laser Head: Model Innova 90
- Power Supply: Innova 90-A
- Remote Control Module: I-90
- Optional remanufactured plasmatube with one year warranty add 5,000 to listed price
- Power requirements: 208 V 45.0 A 60 Hz 3 Phase
- Dimensions: Width 56.000 in (142.2 cm) Depth 6.000 in (15.2 cm) Height 6.000 in (15.2 cm) Weight 238 lb (108 kg)"
Coherent Innova FReD 300 Argon Ion Laser "- Water cooled
- Power requirements: 208 V 60.0 A 50/60 Hz 3 Phase
- Dimensions: Width 66.000 in (167.6 cm) Depth 6.000 in (15.2 cm) Height 7.000 in (17.8 cm) Weight 232 lb (105 kg)"
Con Optics M 311 A Light Modulation System "- E.O. amplifer--Model 302
- Modulator--Model M 311A
- Aperature: 1 mm; wavelength: 514 nm
- Sensitivity: 4.4 mr/kV
- Transmission: 91%
- Size: Dia. 50mm / Length: 219mm
- Power requirements: 115 V 2.0 A 50/60 Hz
- Dimensions: Width 19.000 in (48.3 cm) Depth 12.500 in (31.8 cm) Height 5.000 in (12.7 cm) Weight 27 lb (12 kg)
"
Espec EHS-221 M Highly Accelerated Stress Testing Chamber "- Power: 208v
- Source: 50/60hz
- Weight: 230kg"
Teradyne J 750 EX Tester "2x HSD200
1x DPS
1X CTO
XW600 Workstation
Intest Manipulator"
Lam 490 B Auto Etcher "- Edwards QDP 40
- ENI OEM-6
- 208 Vac
- 60 Hz"
KLA-Tencor FLX-2908 Thin Film Measurement System "- Dual Wavelength Scanning
- Room Temperature to 900 C
- Scan length programmable up to 200mm
- Wafer sizes: 75, 100, 125, 150, 200mm
- PC with following minimum configuration
- 133 MHz Pentium Processor
- 16 MB RAM
- 2.0GB hard drive
- SVGA monitor
- SECS/GEM Interface User Manual, Cleanroom
- Additional User Manual, Cleanroom
- Signal Light Tower
- SECS/GEM Interface with 2 manual sets
- 208-240V, 50/60 Hz
- 150mm and 200mm Certified Wafer Pair"
Akrion Gama Wet, Solvent Dryer ST28, PSR, QDR
Shibarua CDE-80 N Chemical Drying Etcher Single chamber, microwave based, Oxide/Hole
Tegal 981 Etcher Single chamber silicon nitride
Hitachi 8820 CD SEM (Scanning Electron Microscope)
KLA-Tencor AMRAY 4200 Scanning Electron Microscope (SEM)
SOPRA SE 200 Inspection System
ASML PAS 5500 / 1100 B Lithography Scanner 193 nm
Tel Unity M 85 TD Oxide Etch
Semitool SST 772 Spray Solvent Tool "- 7 Tanks, 3 Separate Drains
- Tank #1: ST-28 Clean
- Tank #2: ST-28 Dirty
- Tank #3: ST-28 Dirty
- Tank #4: PSR Clean
- Tank #5: PSR Dirty
- Tank #6: PSR Dirty
- Tank #7: None
- Manual load, 25 Wafers Load Size
- 208 Volt, 3 phase, 5 wire"
Blue-M CC-05 C Airflow Oven 250°, N2, Water cooling, mechanical convection horizontal
Disco DFG 82 IF Wafer Grinding 2 Spindles
LTX / Credence Kalos HEX Memory Tester 8 sites, 48 I/O per site
LTX / Credence Kalos HEX Memory Tester 8 sites, 48 I/O per site
Teradyne A 580 Tester Unix, mix signal
LTX / Credence Quartet One Tester 192 Channels
Tel P 8 XL Wafer Prober "- SACC, Air Cool Chuck
- Ni Hi Voltage Hot Chuck
- WAPP Probe Card Cleaning Plate & Brush
- GPIB Board
- Semi Automatic Card Changer
- VIP3A CPU Board
- PTPA Accuracy +/- 4.0µm
- PTPA Z Accuracy +/- 5.0µm
- Ink Dot Inspection
- Probe Mark Inspection
- Indexer Slide Loader
- Wafer Prealigner
- Wafer Table
- Interface for Credence Quartet tester
- Weight: 870kg
- Rated Power Input: AC 220, 50/60Hz
- Rated Max Motor: 200VAC, 50Hz"
HP / Agilent 2000 Tester
Accent Optical Caliper Q 300 Overlay Measurement System "- Robotic pick and place wafer transport with two FOUPs
- Optics module with variable magnification
- High Resolution X,Y,Z & Theta Stage sortware controlled incorporating DSF Autofocus
- Programmable Bandwidth Illumination system
- Automated Pattern Recognition
- Vibration Isolation Table
- Fully I300I && GEM/MSEM compliant
- GUI and Analysis package running under Lenux
- File sharing by LAN
- Facilities required: NPS-208/3ph/60hz @ 20 amps, Facilities connect 3-phase, 5 wire star (3 phases, neu, gnd) rigid isolation base required with 200 mm pass through hole; requires twist-lock outlet and cord cap
Bulk Gases -
Compressed Air - 0.1 scfm @ 84 psi, thru 1/4"" push fit, rear connection. Provide regulator, isolation valve, and guage.
Process Vacuum - 2.1 scfm @ 800 mbar, thru 5/16"" push fit, rear connection. Provide isolation valve and guage"
Lasertec 2 PG 20 Mask Inspection
Accretech / TSK UF 300 A Automatic
Accretech / TSK Win-Win 50 / 1600 Bright Field Inspection System "- 0.1,0.125,0.175,0.25 um pixel sizes, and 0.6um alignment
- HBO lamps
- Resolution 100nm"
Advantest T 5571 P Parametric Wafer Tester
Advantest T 5771 Test Equipment
Hewlett Packard (HP) / Agilent 8510 Sparm Test System "- Consist of the following models: 8510C / 8510XF / 83651B / 83621B / E7352L / E7352L
- 100 GHz
- Includes two frequency converting test heads"
HP / Agilent V 3300 Versa Tester for FZTAT (Memory portion) "- 64 I/O channels per site
- APG Size: 256k
- Vector RAM depth: 32k bits"
Allied MultiPrep Polisher 8" single palten
Applied Materials P 5000-Mark II CVD System "- Process temperature: 400*C
- Incoming Power: 208 VAC"
Applied Materials P 5000-Mark II CVD System
Applied Materials Centura 5300 HDP Oxide Etch
Applied Materials P 5000 Passivation PECVD System
Applied Materials Quantum X Plus Ion Implanter - Converted to 12" from 8"
Applied Materials NanoSEM 3 D CD SEM (Scanning Electron Microscope) "- Currently configured for 300mm wafers
- CE Marked
- Install Type: Stand Alone
- Cassette Interface:
• (3) 300mm FOUP
• Roll-Around Ergo-Station w/Touch-Screen
• Status Lamp
Options:
• Slope Reconstruction
• CH Analysis
• Profile Grade
• Discrete Inspection
• Defect Review
• ARAMS (ES8)
Power Requirements: V 120/208, 8A, Single Phase / 3-Phase, 5-Wire, Freq 50/60Hz"
Applied Materials NanoSEM 3 D CD SEM (Scanning Electron Microscope) "- CE Marked
- Install Type: Stand Alone
- Cassette Interface:
• (3) 300mm FOUP
• Roll-Around Ergo-Station w/Touch-Screen
• Status Lamp
Options:
• Slope Reconstruction
• CH Analysis
• Profile Grade
• Discrete Inspection
• Defect Review
• ARAMS (ES8)
-Power Requirements: V 120/208, 8A, Single Phase / 3-Phase, 5-Wire, Freq 50/60Hz"
Applied Materials P 5000 Etch-Depostion
Applied Materials Quantum X Plus + Ion Implanter "- Species: Ar, AsH3, PH3, BF3, N2, Xe, GeF4
- SW Rev 2.13
- Bottom Entry Utilities
- Low Backside Particle End Effector
- Quantum X Plus Ultralife Source with Integral G1/G2 Electrode
- 3rd/4th Cryo Pump - 2nd compressor on QX+
- Species: Ar, AsH3, PH3, BF3, N2, Xe, GeF4
- NOTE: Vacuum Robot damaged! Tool is ideal for Spare Parts."
Applied Materials XR 80 High Current Implanter "- Voltage: 208V
- Phase: 3 phase + neutral
- Frequency: 50/60 Hz
- Max. con. power: 58 kVA
- Max. con. running current: 160 Amp
- Circuit breaker: 250 Amp
- Single Largest Load: 90A
- Interrupt Capacity: 65000 Amps"
Applied Materials Centura Enabler Etch "- 4 Chamber
- Gases: C4F6, CO,CH2F2,H2,N2,O2, Ar, CF4,CHF3, CO2, NH3"
Applied Materials Oxide Etch system "- 4 Chamber
- Dry Etch
- Bx-, Cx-, E1 layers
- 32nm BD/SICOH Etch"
Keithley 2430 A Source Meter
Applied Materials Centura AP, AdvantEdge G 5 Bitline Etch "- 40nm
- Gases: BCl3, Cl2, CHF3, O2, N2, Ar, CF4, SF6, He, SiCl4, NF3"
Applied Materials Centura Carina Chamber Etch "- Chamber Materials: ADVANCED CERAMIC
- Lid Materials: AG 1000
- Process Ring: QUARTZ SINGLE RING
- Plasma Exposed Chamber Oring: KALREZ
- Cathode Temperature Range: 130 TO 250C
- Carina Etch Swap Kit: 1
- Chamber Viewport: STANDARD VIEWPORT
- Endpoint Type: EyeD IEP
- CCM Cover: NO"
Applied Materials DPS II POLY Etch "- IPUP Type: Alcatel A100L
- Gas Panel Type: Standard
- VHP Robot: Dual blade
- MF PC Type: CPCI"
Applied Materials DPS II POLY Etch "- IPUP Type: Alcatel A100L
- Gas Panel Type: Standard
- VHP Robot: Dual blade
- MF PC Type: CPCI"
Applied Materials DT HART Etch "- Bias Gen.: 1.8~2.17MHz, max 5000W
- Source Gen: 60 MHz, max 2760W
- Turbo pump: ALCATEL 1600M"
Applied Materials OPUS Etch "- Chamber model: ChA
- Bias Gen.: AE APEX 1513 13.56MHz, max 1500W
- Source Gen.: AE APEX 3013 13.56MHz, max 3000W
- Source Match: AE 13.56 mhz,6kv navigat
- Turbo Pump: Edwards STP-XA2703CV"
Applied Materials SEMVision CX Scanning Electron Microscope "- Set size: 200 mm
- Wafer Overhead (In pipeline mode): 90 s
- Defect throughput (single wafer): 500 DPH (Option for 600 DPH )
- Wafer throughput (50 defects/wafer): 8 wafers/hr
- Wafer breakage: More then 10000 runs"
Applied Materials Uvision 200 Bright Field Inspection
Airco / Temescal CV-10 Electron Beam Power Supply - with spare parts
Neslab CFT-75 Refrigerated Recirculator
ASM A 412 LPCVD As Dpoed Poly / TiN - Chemistry TiCl4, NH3, NF3, Cl2, SiH4, AsH4
Veeco / Commonwealth Mark II Ion Controller
ASM A 412 LPCVD As Dpoed Poly / TiN - Chemistry TiCl4, NH3, NF3, Cl2, SiH4, AsH4
ASM A 412 Low Pressure Chemical Vapor Deposition (LPCVD) Furnace "- Twin LPCVD SiN Reactors
- LPCVD SiN & SiN
- Vertical"
ASM A 412 LPCVD Furnace "Dual Furnace LPCVD Reactor
- Reactor A is LPCVD SiN (DCS) DF-NDP-12
- Reactor B is LPCVD SiN (DCS) DF-NDP-14"
ASM A 412 LPCVD Furnace "- SiN & TEOS
Dual reactor LPCVD.
- Reactor A is LPCVD TEOS Oxide deposition
- Reactor B is LPCVD Silicon Nitride"
ASM A 412 LPCVD Furnace "Dual reactor LPCVD.
- Reactor A is LPCVD Silicon Nitride
- Reactor B is Phos Doped Poly silicon"
ASML 100 D Lithography
Granite Inspection Table
Craftsman 925481 Router Table
Seiko / Epson NS 6040 Handler
Atcor Ultra-6221 Box Washer "- Automated Wash, Rinse, and Dry System
- High Production Throughput Rate up to 8 x Cycles Per Hour
- High FLow Spray Cleaning and Rinsing
- Advanced Seimense MicroPLC Control - - - System with TouchScreen GUI
- Station 1: One (1) Ultrasonic Immersion Wash w/Heating Filtration, Surfactant Sispense and complete recirculation
- Station 2: One (1) Final Spray Rinse with Fresh Ambient DI Water
- Station 3: One (1) dedicated CDA Synamic Drying Process Chamber
*Two Stage CDA Dynamic Drying for (i) High Velocity and (Iii) Low FLow Evaporative Mode
*Polypropylene DI Flowpath
*Centrifugal Booster Pumps
* Point-of-use Filtration for DI Water and Air
*Inner Chamber Roller Transport System
*Standard machine One-Piece Construction
*Four (4) Anti-Static Bars - two (2) each Enter/Exit"
Autoclean ISG-2000 Ultrasonic Cleaner
Axcelis / Fusion Compact II Oven - C4 processing
Axcelis / Fusion 200 PCU Deep UV Photostabilizer "- Software version 3.27
SMIF System:
- Left Indexer: ASYST ARM 2200-V111S P/N 9700-2768-01
- Right Indexer: ASYST ARM 2200-V131S P/N 9700-2768-02
Handler System: Robot Model: UNI
- P/N: 322702
- ROBOT S/N: 7C769-E
"
Axcelis / Fusion 200 PCU Photoresist Stablizer
Axcelis / Fusion 200 PCU Photostabilizer "Handler System: Robot Model - UNI
- P/N: 322703
- ROBOT S/N: 9G1055T
Process Chms: Chamber Model M200
- P/N: 203692
- S/N: 6C484AY"
Axcelis / Fusion 200 PCU Deep UV Photostabilizer "SMIF System:
- Left Indexer: ASYST ARM 2200-V111S P/N 9700-2768-01
- Right Indexer: ASYST ARM 2200-V131S P/N 9700-2768-02
Handler System: Robot Model MCU/PCU/ACU
- P/N: 249021
- ROBOT S/N: 5C0264K
Process Chms: Chamber Model: UNI
- P/N: 203692
- S/N: 7H683BD"
Axcelis / Fusion 200 PCU Photoresist Stabilizing System UV-Cure "Fixed Asset No.: PEA732
- Software version: Ver3.27"
Axcelis / Varian Optima HDxT Ion Implanter "Roughing Pumps:
- Edwards IGX100L
- Edwards iGX100M
- Edwards iGX600M
Cryo Pumps:
- Qty (2) Brooks: 320FE
- Qty (1) Brooks: 250FE
Turbo Pump
- TP1: Edwards STP-XA2703CV
- TP2: Edwards STP-A1303CV
Chillers:
- Affinity J Chiller Model: GWN-ZRMK-BE55CBS6
- Affinity F-Series Model: FWA-032K-DD19CBD4
Cryo Compressors: Qty (2) Brooks: IS-1000"
Axcelis / Varian NV 8250 Ion Implanter "- Medium Current
- Software version: 4.3.2
- Frequency: 50/60Hz
- Input voltage: 208 VAC
- Power: 36 kVA
- Input Breaker: 100 A"
Axcelis / Varian NV 8250 Ion Implanter "- Medium Current
- Software version: 4.3.2
- CIM: V9.555"
Axcelis / Varian NV 8250 HT Ion Implanter "- Medium Current
- Software version: 4.3.2
- Input voltage: 208 VAC
- Frequency: 50/60Hz
- Input breaker: 100 A
- AMP Rating - Largest Load: 88 A"
Binder Precision WTB BINDER 1805330000202 Precision Oven
Blue M DDC-206 CY Oven
Branson / IPC 5210 DTH Ultrasonic Cleaner "- Tank capacity 9.5 liters
- Technical specifications: 230 V, 50-60 Hz, 469 W
- Temperature: up to 60ºC
- Housing (D)x(H)x(W): 40 x 36 x 39 cm
- Interior (D)x(H)x(W): 26 x 15 x 32 cm"
BTU TCAS 181-8-81 E 36 Controlled Atmosphere Furnace "Controlled atmosphere belt furnace with temperature range up to 400°C and with various process atmospheres including hydrogen and nitrogen. Inline controlled atmosphere furnace the following applications:
- Flux-free Hydrogen wafer bump reflow
- Heat-treating
Features:
400°C maximum
temperature rating
• Air/Nitrogen/Hydrogen
capable
• FEC (fully enclosed coil)
heaters
formed into ceramic
insulation panels
• Water cooling
• Gas tight muffle
• Cross belt temperature of
±2°C for belt
• Independent overtemperature
control in each zone
• WINCON™ Multi-Language
Control Software
• Closed loop belt speed control
• Atmosphere safety NFPA
86C compliant"
Buehler Ecomet-3000 Grinder / Polisher
Buehler Ecomet-3000 Polisher
Buehler Ecomet-3000 Polisher
Control Air D-9-L-SM-UM-MOD 184 Air Lift Spare Parts "Spare Parts - CFM 1800, CFM OMNI, Diaphragm Air Cylinders
New Old Stock, Original Packaging Lot of 20
- D-9-L-SM-UM LIFT,MODEL#184 TEST PSI.=100 PSI,DECAY = 0 PSI/ # OF CYCLES =5"
Ci Science Torus 300 K Etch "- Load port: Right MFG RP-2100
- Load module: Ci science torus 300
- Robot(LM): TAZMO SW0008
- Process module: Ci science torus 300
- Load lock module: Ci science torus 300
- Gas box: Ci science torus 300
- RF generator(13.56MHZ): AE AFG-1251
- AC power box: Ci science torus 300"
Cressington 208 HR Sputter Coater
Cymer ELS 5405 Litho Laser Laser software version: ELS-5400 v4.2
Cymer ELS 5410 Laser for Nikon Laser Software Version: ELS-5410 v5.1
Daitron EMTEC CVP-80 Edge Grinder "- Current: Max 20 [A]
- Voltage: AC 240 3P [V]
- Frequency: 50/60 Hz
- Weight: 650 kg"
Delta Design Turbo Flex IC Test Handler
Delta Design Turbo Flex IC Test Handler "- Output: 90 SCFH
- Input: 90 SCFH
- Storage: 190 SCFH"
Digital Instrument MANO-SCOPE 3 A
Dainippon (DNS) SS-W 80 A Backside Wafer Scrubber
Dainippon (DNS) SPW 813 A "- Software: MS DOS
- CIM: Semi-Auto"
Dainippon (DNS) SPW 813 A "- Software: MS DOS
- CIM Semi-Auto
- Handler System: Robot"
Recif SPP 200 S Single Wafer Sorter "- Software: WIN NT
- CIM: Offline"
E-Sun Pod Cleaner
Ebara Electroplate UFP-300 A Wafer Electroplating Tool "- Ni Cu PbSn
- Lead Tin"
Ebara Electroplate UFP-200 / 300 A Wafer Electroplating Tool "- with 3 chemistry capability
- PbSn, Lead Tin
"
Electroglas 2001 X Manual Wafer Prober
Electroglas 2001 X Manual Wafer Prober
Electroglas 2001 X Manual Wafer Prober
Electroglas 2001 X Manual Wafer Prober
Electroglas 2001 X Manual Wafer Prober
Electroglas 2001 X Manual Wafer Prober
Electroglas 2001 X Manual Wafer Prober
Electroglas 2001 X Manual Wafer Prober
Electroglas 2001 X Manual Wafer Prober
Electroglas 2001 X Manual Wafer Prober
Electroglas 2001 X Manual Wafer Prober
Electroglas 2001 X Manual Wafer Prober
Electroglas 2001 X Manual Wafer Prober
Electroglas 2001 X Manual Wafer Prober
Electroglas 3001 X Manual Wafer Prober
Electroglas 2001 X Manual Wafer Prober
Electroglas 4090 Wafer Prober Volts: 115VAC
Electroglas 4090 Wafer Prober
Electroglas 4090 u Wafer Prober
Electroglas 4090 u Wafer Prober
Electroglas 4090 u Wafer Prober
Electroglas SVTR Manual Wafer Prober
Entegris HCT 8020 SMIF Pod Box Washer Voltage 3 phase: 208VAC 60Hz
Feedmatic Vacuum Sealer
FEI VectraVision Circuit Edit
FEI Micrion Vecta 986 Circuit Edit FocIon Beam (FIB) "- Upgraded with latest 40kv version of the Next Gen Ga+ ion column and the WDR (working distance reduction) collar & mezzanine changes 2013
- Original beam scan / detector system replaced with IET (Image Engine Transplant) 2009.
- Imaging & scan supplemented by Fibics, Inc. FIB-ASSIST (blue box) 2009.
- Unix operating system / computer replaced with latest version of Microsurgery for Linux (now PC based).
- Upgraded Hamamatsu / Olympus InGaAs IR imaging system 2004.
*WARNING* The ITAR restricted camera cannot ship outside of the United States!"
Robt Fork Car
Fisher Scientific FED 720 Oven
FSI Orion Surface Preparation System
FSI Mercury MP Wafer Cleaner
GeMe Tec Elymat III Electrolytical Metal Analysis Tool "- Voltage: 110VAC
- Current 3A
- Measurement Precision -Diffusion length: 5% over 10 repeated measurements
- Measurement Accuracy -Diffusion length: 10% compared with similar techniques"
GeMe Tec WSPS 53 Gas Analyzer
GTX Marketing Wet Bench
Hamilton Safeaire Fume Hood
Harmotec WPO-67-B Wafer Packing
Hitachi BIST - BT 1064 Sort Tester "- Software version: Windows 2000 (SP4)
- CIM: Yes
- Weight: 125*1kg"
Hitachi 4500 Scanning Electron Microscope (SEM) "- Turbo pump controller and power rack
- Auto transformer
- Hitachi rotary vacuum pump "
Hitachi S-8820 CD SEM (Scanning Electron Microscope) "- Software version: Unix 09.25
- CIM: Online
- Handler System: UTC-820Z Robot
- Oil pump
- Electronic rack
- Transformer"
Hitachi AS 5000 Microscope
Hitachi Microanalysis System Microanalysis System
Hitachi S-7800 CD SEM (Scanning Electron Microscope) "- Software version: OS: Unix
- Power supply unit
- HV power supply unit
- Chiller (EDX)"
Hitachi Z-5700 Spectrometer "- Focal length/dispersion : 400 mm, 1.3 nm/mm
- Photometer: double-beam
- Grating: 1800 lines/mm, blazed at 200 nm"
Hermes Microvision (HMI) eScan 320 E-Beam Defect Inspection and Review System "- Integrated front-end
- Dual Pod 300mm FOUP
- 2- High quality flat panel displays
- GUI/Image computer XEON Dual CPU 3.2GHZ, 2GB Memory
- Host computer Pentium IV 3.2 GHZ, 2GB Memory
- DBDB (Detection, baseon Design Base) function
- E-chuck wafer holder
- Active Damping
- TFE electron beam emission source sub-system
- Electron=optice column sub-system
- HV power sub-system
- Programmable wafer biasing & charge balance control.
- SE and BSE high speed detection "
HSEB Axiospect 300 Stereo Microscope
Hugle UPC-12100 Cleaner System "Quantity
- (3) Load Port
- (1) Robot
- (6) Foup Buffer Area
- (2) Chamber"
Hypersonic Chipheraser 1630 EPROM Eraser
IDE AWP 200 Automated Wafer Packer / Unpacker System
Inspex TPC 8520 D0 Monitoring "- Software version: DOS
- Cooling System
- Wafer Loader - Robot
- Control Module: 486 microprocessor / Robot controller / Laser source"
Inspex TPC 8520 Wafer Inspection
Inspex TPC 8520 / 9000 Wafer Inspection
Inspex TPC 8520 Wafer Inspection System
Inspex TPC 9000 Wafer Inspection System
Inspex TPC 8520 Wafer Surface Defect Inspection Tool
Inspex TPC 8520 / 9000 Wafer Inspection System
Invensys PowerWare 9315-80 Single Module UPS 80kVA/64kW, 480 VAC input / 480 VAC three phase wye output
Irvine Optical UL 208 Wafer Mapper
Jackson Automation Implant Exhaust Box
Jackson Automation Ion Implant Parts Clean Bench
Jeol JEM-2500 SE Microscope
Jeol JEM-2500 SE Microscope "- Accel. Voltage: 200kV
- HRTEM Resolution: 0.24 nm
- STEM Resolution: 0.2 nm
- SEI Resolution: 1.0 nm"
Jeol JWS 7555 S Microscope
Jeol JWS-7515 Scanning Electron Microscope (SEM)
Jusun IMS Gas Analyzer
KLA-Tencor AIT XUV Laser Scanning Wafer Inspection Resolution: 130 nm
KLA-Tencor CRS 1010 Review Station Review Station
KLA-Tencor 2132 Bright Field Inspection
KLA-Tencor 2552 Analysis Station Analysis Station Data Processing "- Software version: DOS
- CIM: Offline
- Voltage: 120V 1 phase
- Amps: 20A
- Hz: 50/60"
KLA-Tencor AIT I Darkfield Defect Inspection "- AIT 2 Software Version: 3.3.2.813
- Operation System: WINNT ver. 4.0 Build 1381"
KLA-Tencor AIT Surfscan Darkfield Defect Inspection Tool "- Software version: WIN NT
- SMIF System: Asyst 200"
KLA-Tencor 2132 Bright Field Inspection
KLA-Tencor CRS 1010 Defect Review Input power: 230VAC
KLA-Tencor ES 31 E-beam Inspection "- Hz 50/60
- Volts 208
- 24 Amps"
KLA-Tencor eS 810 E-Beam Inspection
KLA-Tencor eS 810 Two 300mm FOUP load ports. Vendor maintained throughout.
KLA-Tencor LMS IPRO 5 Photomask Registration and CD Metrology System "- 6 by 0.25 inch photomask and EUV masks
- Static Positional Repeatability 3s (short therm: 0.5 nm
- Dynamic positional repeatability 99.7% limit (long term): 0.8 nm
- Positional accuracy 99/7% limit (long term): 1.0 nm
- Pattern Centrality Measurement capability
- Illumination Source Wavelength: Continuous Wave Laser Source. 266 nm
- Objective Lens: 80x, NA 0.80, 6.9 mm working distance
- Stage Laser Positioning System: 0.15 nm (Lamda/4096)
- Wavelength compensation: by ETALON
- Field of View: 22.5 microns by 22.5 microns
- Handing: RSB 200 SMIF Input/Output
- Mask Stocker capacity: 2 x 4 6025 reticles"
KLA-Tencor OP-2600 Interferometer "Tool was acquired in a line buy purchase.
No configuration information available.
Will need buyer inspection."
KLA-Tencor 2138 Defect Inspection Station "- Software version: WIN NT
- CIM: Offline"
KLA-Tencor RS 55 Metrology Tool for RsMap Measurement "- CIM: with network port for measurement data transfer to CIM
- with Computer, Keyboad, Monitor and Voltage regulator"
KLA-Tencor Ultrapointe CRS 1010-S
KLA-Tencor Ultrapointe CRS 1010-S Confocal Review Station
KLA-Tencor Ultrapointe CRS 1010-S Confocal Review Station
KLA-Tencor Ultrapointe CRS 1010-S
KLA-Tencor Ultrapointe CRS 1010-S
Kokusai DJ-1236 VN-DF LPCVD TiN "-Cartridge Heater - D4EX22250, RHC2 Heater
-Number of control zone, 5 zones
-Heater element material - KANTHAL APM
-Maximum heating temperature range - 1050 degC (in Furnace)
-Flat zone length - 800mm (±2.0 degC at 800 degC)
-Main Controller(OU) - D4EX38403(KDSC-2007CONT), Made by HKDE
-Core2Duo 2.16GHz, 2.0GB, 80GB (RAID1), 100BASE-TX 3Ch, USB2.0
-Main Operation Unit - TM150-HKT05
-Sub Operation Unit - D4EX40577
-Bottle Heater (For Ta Source) - E-0456-17, Tokyo Gikken
-Bottle Heater (For Ta Source) - E-0456-17, Made by Tokyo Gikken
-Bubbling UNIT (For Ti Source) - D4EX31240, Made by Schumacher
Bottle (For Ti Source) - BK1200URK, Made by Schumacher
-N2 Purge Load Lock System
-O2 Monitor / Detector - SH-305(RX-501052), Made by ENEGY SUPPORT
-FOUP Opener N2 Purge SYSTEM - Made by HITACHI KOKUSAI"
Kokusai Electric DJ 853 V Vertical Low Pressure Chemical Vapor Deposition (LPCVD) Furnace - Si3N4
Lam TCP 9400 Poly Etcher "- Software version: 1.6.012A
- Chamber config type: TCP 9400SE-II"
Lam 9408 SE Poly Etcher "- Software version: 1.6.012A
- AC Power Box
- RF Rack"
Lam Rainbow 4428 Poly/Nitride Etcher
Lam Rainbow 4428 XL Poly/Nitride Etcher "- Software version: E1.5.1
- RF generator 1250W
- Turbo pump & controller"
Lam TCP 9408 SE Poly Etch
Lam TCP 9408 SE Poly Etch "- Software version: 1.6.012A
- AC Power Box
- RF Rack"
Lam TCP 9408 SE Poly Etch "- Software version: 1.6.1
- Edwards 40/80 TCU
- Ebara Pump"
Lam TCP 9408 SE Poly Etcher Software version: 1.6.1
Lam Rainbow 4428 XL Poly Nitride Etcher Software Version: E1.5-released
Lam Rainbow 4428 XL Nitride Etch "- Software version E1.5.1
- RF generator 1250W
- Turbo pump & controller"
Lam Rainbow 4428 XL Nitride Etch "- Software version E1.5.1
- Integrated SMIF
- RF generator 1250W
- Turbo pump & controller"
Lam TCP 9608 Metal Etch System
Lam TCP 9608 Metal Etch "- Software version: E1.5-Released
- Loadlock
- RF generator 1250W
- Manual WVDS"
Lam TCP 9608 Aluminum Etcher "- Software version: E1.5-released
- Handler System: Wafer notch alignment with spatula
- Loadlock
- RF generator 1250W
- Manual WVDS controller"
Lam TCP 9608 SE Aluminum Etching System "- Software version: E1.5-released
- Handler System: Wafer notch alignment with spatula
- Loadlock
- RF generator 1250W
- Manual WVDS controller"
Lam TCP 9608 SE Plasma Metal Etcher
Lasertec 2 PG 20 Mask Inspection "- Width: 780 / Height: 1800 / Depth: 1010
- Weight(kg): 300
- 15"" TFT monitor
- Client PC: Nexcom Peak 715 series or equivalent
- PC Rack MRP914: 800x1600x900
- Printer: HP DJ-3845 or equivalent
- Software version: Windows2PG (WIN2000)"
Mattson SHS 2800 Rapid Thermal Anneal (RTA)
Mattson TiW Etch Tool Wet Etch tool "- had two heated TiW Etch tanks, with a quick dump rinse and hot run rinse per tank
- two nitrogen dryers
- automated to take wafers out of FOUPs and load them into etch racks which were then each moved through a series of tanks"
Mega Kinetics Megapure 6001 HC Chemical Delivery System for Electo Plate / Etch tools
Micro Control Abes III (10 X) Burn-in Oven
Micro Control Abes IV Burn-in Oven
Micro Control WRP 64 Burn-in Oven
Micromanipulator 9000-VIT Micromanipulator
MKS Instruments AX 8559 ASTeX Ozone Generator "- 200-208V
- 50/60 Hz
- SCCR 50000A"
MKS Instruments Liquozone PrimO 3 Ozone Generator
Mosaid MS 4205 Memory Tester "- Voltage: 200-240
- Volts: 50/60Hz
- Rated current: 22 FLA, AIC 10000"
Mosaid MS 4205 Manual Memory Tester
Mosaid MS 4205 Manual Memory Tester "- 200/400MHz
- 16x16y addressing
- 36 data Wafer probe manipulators available, and chillers Multiple units available"
Multiprobe APF II Atomic Force Probe (AFP) "- 5 Probe Heads
- 3060 MPIII AFP Core 05/06/09 - 1ea
- 3020 MPII Head Group04/27/09 - 5ea
- 2715 MPIII Digital Control and Power Box04/27/09 - 1ea
- 218 AEK Enclosure Group04/27/09 - 1ea
- 1790 Scanning Capacitance04/27/09 - 1ea
- 3015 SemiAuto,Smpl/Optc04/27/09 - 1ea"
Multiprobe MP 1 Atomic Force Probe (AFP) "Comes with :
- Electronic rack with controllers for heads + PCs
- Probing enclosure unit with optical microscope+3 probe heads
Upgrades Include:
- Semiautomatic stage
- Optics & probe head control
- 4th probe head"
NCB Network NF 200 Furnace
Negevtech NT 3100 Bright Field Inspection
Nikon NSR 2205 EX 14 C Lithography "- Software version: MSCV Ver.E3.42, OCSV Ver.E3.42, Maint_V Ver4.02-EX5100
- Full load current: 86A
- Voltage: 200/208V
- 50/60Hz
- AIC: 50kA"
Nikon NSR 2205 EX 14 C Lithography "- Software version: MSCV Ver.E3.42, OCSV Ver.E3.42, Maint_V Ver4.02-EX5100
- Volts: 200/208
- 50/60Hz"
Nikon NSR-2205 EX 14 C Step & Scan Stepper
Nikon S 610 C Lithography
Nitto / Denko HMT 840 Manual Defoiler tool
Branson S 8500 Ultrasonic Cleaning
Nicchu ZHBNF-155 Aluminum Die Cast Shot Blast Machine
STS MESC Multiplex ICP Process Chamber
STS MESC Multiplex ICP "- ENI ACG-10B RF Generator
- ENI-AGP3 RF Generator
- Carousel Loadlock
- Dry Pumping System: Edwards IQ System IQDP 80
- TMP System: Leybold Vacuum MAG 900
- Gasses:
GAS1=C4F8
GAS2=SF6
GAS3=O2
GAS4=AR
VENT=N2"
STS MESC Multiplex ICP Surface Tech
Gaertner L 116 D Ellipsometer
Novellus Inova PVD PVD Cu Barrier-Seed System
Perkin Elmer Pyris 1 DSC Scanning Calorimeter
Pillar Uniblock Classic 500 KVA UPS Universal Power Supply "Includes:
- Piller model MOTS800A Manual Overlap Transfer Switch, serial # 3141MOTS
- Piller Battery Charger, serial # 455BC40 and DC Disconnect Switch
configured for 480 VAC input / 480 VAC three phase power, and rated for 500 kVA (400 kW"
Premtek International 9920 Semi-Auto Probe Station
Applied Materials Producer GT CVD "- FX Robot Blade: Ceramic
- Loadlock Slit Valve: Kalrez 9100"
Qualitau EM system-X PC Board Test "Modular Integrated Reliability Analyzer (Rack 1 )
- 4 x MIRA EML Module
- 2 x Oven
- 1 x HP34401A Multimeter"
Qualitau EM system-Z PC Board Test
Qualitau HCT system PC Board Test
Qualitau EM system-Y PC Board Test
Raytek RXW-1226 SFI Automatic Wafer Edge Defect Inspection System "Prototype EZ Option
- Top & back side EZ camera
- Non-zoom, fixed position
EZ System Upgrade
- Top side EZ camera upgrade
- Auto zoom
- Joystick control"
Revera Veraflex HKMG Measurement System "- Input poser: 208/230 VAC, 30A
- 50/60 Hz"
Revera RVX 5000 Film Thickness and Compositional Metrology Base System "- with Automated operation, Asyst Plus Portal IFE
- 2x200mm or 2x300mm open cassette capability
- GEM/SECS
- Chiller
- Roughing pump"
Rigaku 3272 Diffractometer "- 200V
- 35A
- 50Hz"
Rion KS-40 AF FOUP Dimension/Particle Metrology Laser diode: max rated output: 200 mW
Rorze RV 201-F 05-202 CE-1 Wafer Load Port "- Rated voltage: 24 DC
- Full-load current: 5A
- Mass: 71Kg
- Short-circuit rating: 5A"
Rorze RV 201-F 05-202 CE-1 Wafer Load Port "- Rated voltage: 24V DC
- Full-load current: 5A
- Mass: 71Kg
- Short-circuit rating: 5A"
Rudolph S 300 Inspection System "- Two cassette plates for open 200 and 300 mm cassettes
- High speed random access robot with proprietary high throughput Queued Loading software
- High accuracy X, Y stage
- Single-spin virtual flat/notch finder
- Clean room compatible mirror-finish stainless steel cabinetry for through-wall or ballroom system installation"
Rudolph SpectraLaser 200 XL Spectral Ellipsometer "- Electrical ratings: 4900va
- 50/60 Hz
- Max single load: 5 amps at 220vac
- Main breaker: 10,000 AIC RMS"
Rudolph Macro Defect WV 320 YVS SERVER YVS Server
Rudolph Waferview 320 Macro Defect "- 2 loadport with FOUP capable (Brooks)
- RFID type
- Robot: Brooks
- Resolution: above 25um
- Special Function: backside inspection"
Sankyo SWH 80 Wet Salicide Strip Bench
Sankyo SWH 80 WET Oxide Etch Bench Automated
Scientek Technology Stacis 2100
Scientech SP-W 813 Oxide Etch
Scientek Technology MSP 2300 XP Particle Deposition "- Manual wafer load of 150, 200 & 300mm wafers
- NIST traceable, sizing accuracy, 80nm to 1um
- DMA for high resolution, size accuracy, 80nm 1 1um"
Semicaps SOM 3000 Scanning Optical Microscope
Semilab Epimet 2 Thin Film Epi Measurement System
Semitool R 310 FMC 2 Semitool Raider Electroetch "- Full load current: 30 amps
- Frequency: 60Hz
- Largest motor or load: 20 amps
- Short circuit interrupt: 65,000 amps"
Semitool Raider GT ECD Copper Plating Tool "- Raider 12 chamber FM4910 cabinet
- Delta Tau automation and control center
- Enhanced ULPA mini environment with ionization system
- Tool operation, data logging & GEM SECS 300 software
- 3 FOUP load / unload ports & Buffer Station"
SEMSYSCO Galaxy Batch Resist Strip Tool "- 2 x CRD-Chambers (Chemistry Rinse & Dry) Chambers
- 2 x SRD-Chambers (Spin, Rinse & Dry) Chambers
- Staeubli Robot
- 6 x FOUP Loadports"
SEZ 201 Backside Etcher
SII XV 300 DB Particle Measurement System
Sokudo RF-300 A Resist C/D
Sokudo RF-300 A Photoresist
Sopra EP 12 Dielectric Porosity Measurement System
Speedfam Auriga Oxide CMP
Speedfam Auriga Oxide CMP
Karl Suss BA 300-MIT Automated Inspection Tool Automated inspection tool inspects glass plates 13" x 14" molds do determine filling
Karl Suss HVMMFT, C 4 Mold Fill Tool - 208 volts
Karl Suss HVMMFT Automatic Injection Molded Solder Mold Filling tool "- Supply Voltage: 208VAC
- 50/60Hz
- 3P5W
- Main breaker: 80A/10kA IAC
- Largest load: 3P 208VAC motor, 18 FLA"
Silicon Valley Group Micrascan II+ Wafer Stepper
Silicon Valley Group AVP 8000 AP Vertical Furnace "- Software version: AVP 2.7.1
- Asyst LPT 2200
- Remote control"
Aviza AVP 8000 AP Vertical Furnace Cu Anneal
Aviza AVP 8000 AP Vertical Furnace Padox/Sacri-Ox
Aviza AVP 8000 AP Vertical Furnace Padox/Sacri-Ox
Aviza AVP 8000 AP Vertical Furnace LPCVD Poly (Plain Poly)
Aviza AVP 8000 AP Vertical Furnace LPCVD Plain Poly
Aviza AVP 8000 AP Vertical Furnace LPCVD TEOS
Aviza AVP 8000 AP Vertical Furnace LPCVD Nitride-Pad/SPCR (Gen Nit)
Aviza AVP 8000 AP Vertical Furnace LPCVD Nitride-Pad/SPCR (Gen Nit)
Aviza AVP 8000 AP Vertical Furnace LPCVD Nitride-ONO
Aviza AVP 8000 AP Vertical Furnace LPCVD Nitride-Pad/SPCR (Gen Nit)
Aviza / Watkins Johnson / SVG WJ TEOS 1500 Injector "- Wafer Transport System - Manipulator
- Wafer Transport System - Return Shutter"
Aviza / Watkins Johnson / SVG WJ TEOS 999 APCVD system "- Version 11.4 Wafer Transport System in lieu of version 9.1 for
WJ-TEOS999 System"
Aviza / Watkins Johnson / SVG WJ TEOS 999 APCVD TEOS:Ozone APCVD TEOS:Ozone
SVG 90 SE Photoresist
SVG 90 SE Polyimide
Tamadenshi MFD-K Data Processing
Tamadenshi MFD-K Data Processing - Missing parts
Tamadenshi MFD-K Data Processing
TCL M5 100 Accessories
Tec-sem Practor-300 2 T Wafer Handling "- Max wafer capacity: 788
- 2 Tec-Cell towers
- 4 Tec-Cell module per tower"
Tee Hai Chemical Accusizer 780 / APS Particle Sizer
Tel 78 S Automatice Wafer Prober
Tel ACT 12 SOD Stand Alone Coater 2SCT, 4 loadport, 4 CPL, 2 LHP, 2HHP, 2DLB
Tel Alpha 8 S Vertical Furnace "- Process wafer QT'y: 150 PC
- Cassette Slot Q'ty: 25Slot
- Cassette Stage Number: 21"
Tel Alpha 8 S-Z Vertical Furnace LINE BUY TOOL ___ NO CONFIGURATION INFORMATION AVAILABLE
Tel Alpha 8 S Vertical Furnace LINE BUY TOOL ___ NO CONFIGURATION INFORMATION AVAILABLE
Tel Alpha 8 S Vertical Furnace LINE BUY TOOL ___ NO CONFIGURATION INFORMATION AVAILABLE
Tel Alpha 8 S Vertical Furnace "Handler System:
- Wafer handing robot
- Boat elevator
- Cassette loader
Process Chms: WMM-40-101 Mid Temp
SMIF System: built in open cassette"
Tel Alpha 8 S Vertical Furnace - Densification "- SMIF System: Asys integrated SMIF
- Handler System:
*Wafer handling robot
*Boat elevator
*Cassette holder
- Process Chms: WMM-40-101 MID Temp Heater
- 50/60Hz"
Tel Alpha 8 S Vertical Furnace, LPCVD Nitride "- SMIF System: built in open cassette
- Handler System:
*Wafer handling robot
*Boat elevator
*Cassette loader
- Process Chms: WMM-40-101 Mid Temp"
Tel Alpha 8 S Vertical Furnace, LPCVD Nitride
Tel Alpha 8 S Vertical Furnace, Polysilicon
Tel Alpha 8 S SOG Cure Furnace
Tel Alpha 8 S Vertical Furnace "- Power AC: 208V
- Max full-load current: 98.8 A
- AIC: 50 KA
- Frequency: 50/60 Hz
Heater: VMM-40-101 29.1 KVA"
Tel Expedius
Tel Lithius Stand alone Lithius Stand Alone
Tel Mark 8 Photoresist Coater "- 2C/2D right to left track
- Layout diagram is attached in the Declaration Form
- Software version: 2.41
- CIM: linked
- Main body:
*Main body process block
*Main body interface block
*T&H controller
*Chemical cabinet"
Tel Mark 8 Photoresist Coater "- 2C/3D
- Software version: 1.29
- Main System:
*Main body
*Power supply/AC rack
*Chemical box1
*Chemical box2
*T&H"
Tel P-12 XLn+ Auto Wafer Prober "- Dimensions: L(CM): 205 / W(CM): 230 / H(CM): 171
- N/W(KGS): 1500.0
- G/W(KGS): 2278.0"
Tel TE 8500 PE Oxide Etch
Tel Unity II 85 DD Oxide Etch, Contact Etch
Tel 78 S Probe
Tel 8500 PE RATC Oxide Etcher
Tel Alpha 8 S Vertical Furnace, Doped Poly Doped Poly
Tel Alpha 8 S LPCVD TEOS Vertical Furnace, LPCVD TEOS
Tel Alpha 8 S Vertical Furnace, SacOx/Gate Oxidation "- Software version: TS4000
- CIM: Yes
- Handler System:
*Wafer handling robot
*Boat elevator
*Cassette loader
- Process Chms: WMM-40-101 Mid Temp"
Tel Expedius Clean / Strip
Tel Trias CVD
Tel 8500 PE Etch
Tel 8500 PE Etch
Tel 8500 PE Oxide Etch Tool
Tel 8500 PE Etch
Tel 8500 PE Etch
Tel 8500 PE Etch
Tel 8500 PE Etch
Tel TE 8500 Etching System "- Chiller SMC
- RF generator
- Turbo pump"
Tel Telius SP 304 poly Etch "- RF generator(60MHZ): Oxide generator 60MHZ/5KW AGA-50B2
- RF generator(2MHZ): Oxide generator 2MHZ/5KW WGA-50E"
Tel TSP 308888 SSSS Deep Trench Etch system "- 4 chambers with range of 900 to 1600 RF hours
- Process Gases: HBr,SiF4,SF6,O2,Ar,N2
- Power Input: 200VAC
- 50/60 Hz"
Life Technologies Personal Genome Machine (PGM)
Life Technologies Ion Chef System
Tel Lithius I-Line Photoresist "- P*4/2C5D, for coating and developing wafer
- "
Tel Mark 8 iLine Track coater "- 2C/2D
- Photoresist
- Software version: 2.45"
Tel 78 S Probe
Tel Telius 308 S SCCM DT Deep trench Si etch process chamber - Chamber only
Tel Trias CVD "Chamber Parts:
- Slot antenna
- Susceptor heater
- Quartz liner
- Susceptor cover
- Baffle cover
- Capacitance Manometer
- Gate valve"
Tel Trias UVRF/High-k CVD/ SPA-N/ LPA Process Modules "- Trias platform
- UVRF module
- High-K CVD module
- SPA-N module
- LPA module"
Temptronics TP 04000 A-2 B 21-2 Thermal Induction System
Accretech / TSK X 1412 Prober Inking System "- Pneumatic controller, standard:
*Model 350-0002
*Power 30W
*Input voltage at 50/60Hz"
Plasmatherm / Unaxis Clusterline 300 PVD, UBM sputter Tool "- 5 chamber
- 1 x Degas, 1 x PVE Arctic 3 x PVD clampless
- Dual Server/Arctic Softetch with clampless PVD upgd"
Unaxis-Nextral NE 860 HDP Etcher for De-Layer "-50/60 Hz
- 13 K VA
- 93cm(L) X 130cm(B) X 165cm(H)"
Universal Plastics Solvent Bench Solvent Bench
Ushio UMA-2003-H 120 F Resist Bake UV Cure "Components:
- Main body
- Robot
- Chamber"
EMI Vocus MSD Cart Chemical Slurry Mobile Delivery Cart for supporting CMP Tools 300mm
Aviza / Watkins Johnson / SVG TEOS 1500 APCVD system "- Software version: 5.48
- CIM: linked
- Main System: WJ-TEOS1500 T-1.0 APCVD system
- 50/60 Hz
- 208 VAC
- 70 AMPS full load current
- 30 AMP largest load"
Aviza / Watkins Johnson / SVG TEOS 1500 CVD "- Software version: 5.48
- CIM: linked
Accessories:
*CRS (chemical refill system)
*Ozone generator
*Ecosys
*Blower"
Yokogawa MT 6060 Probe "- Max operating frequency: 100MHz / 200MHz (DDR)
- Max number of parallel DUTs: 512DUTs/system
- T data memory (TDM): 64KWx36bit"
Zeiss Axiotron 2 Optical Inspection Microscope
Zeiss Axiotron Optical Inspection Microscope
Zeiss Axiotron II Inspection Microscope
Zeiss Axiotron II Inspection Microscope
Zeiss Axiotron II Inspection Microscope
Zeiss Axiotron II Optical Inspection Microscope
Zeiss Axiotron 2 Microscope
Schlumberger S 9000 GX
HP / Agilent / Verigy 83000
LTX / Credence DX 90 Tester
Aseco 170 Handler
Strasbaugh CMP Tool
Scienscope X-Scope 2000 "Real time imaging
Board Size: Min. 2” x 2” Max. 24” x 18”"
Kearney & Treaker Mill
Black Diamond Drill Sharpener
Yamaha SCARA Robot
GCA 6300 Auto Stepper
Supertec G 38 P-60 NC 15 x 24 Universal Cylindrical Grinder
Kent KGS 84-AHD 800mm x 400mm Horizontal Spindle Surface Grinder
Sterrad 100 NX Low Temperature Sterilizer
Rasco SO 1000 T Handler
Labline Squaroid Duo-Vac Oven
Signatone S-250
Lindgren RF Enclosures T/T
Peak ALM-Mini Ambient IC Test Handler
Centorr 3710 Vacuum Furnace
Waterjet
DoAll D 900 Diamond Band Saw
Esec E 3006 FX Gold Ball Wire Bonder
ASM MCM 12 Die and Capacitor Attacher
ASM MCM 12 Die and Capacitor Attacher
ASM MCM 12 Die and Capacitor Attacher
ASM MCM 12 Die and Capacitor Attacher
ASM 889 Die Attach Machine
ASM Eagle 60 AP Gold Ball Wire Bonder
Lintec RAD 2500 M DAF Wafer Mount Tool - Static Eliminator, Ionizer Present
ASM Line "- Unwinder (Input)
- ASM AD 838 R (Reel to Reel High Speed Auto Die)
- ASM APS 900 (Gang Press Machine)
- Winder (Output)
"
Veeco RF 350 S Ion Beam Etcher "Voltage A.C.: 400 Vac
HZ: 50
F.L. Amps: 100
A.I.C. Amps: 10000
Motor H.P.: 5
Process: IBE & CAIBE
Load Station: 6""
End Point Detection: Optical Emission & Scanview
Turbo Kammer: MAG2000
RF-Generator: RFPP, RF20M 2kW Plus 1 Spare
Gas Lines: Ar, N2, He
1 Spare Grid
Manuals"
ILX Lightwave LRS-9420122 Laser Diode Burn In Oven
Veeco / Sloan Dektak Profilers
March Plasmod Plasma System
Veeco RF 350 Ion Beam Milling Machine
Veeco Millatron Ion Beam Milling Machine
Hybond 616 Bonders
Ultrasonic 616-01 Bonders
Lot of Electric Test Equipment
Camtek Condor 202 M Automated Optical Inspection
Quincy Lab 20 GC Lab Oven
Quincy Lab 10 GC Lab Oven
Quincy Lab 10 GC Lab Oven
Quincy Lab 10 GC Lab Oven
Quincy Lab 30 GC Lab Oven
Thermal Scientific FB 1415 M Lab Muffle Furnace
Cryofab CMSH-60 Dewar
Oxford ES-50 Dewar
Gardner Denver RNC 150 A1 Compressed Air Dryer
Rudolph AutoEL III Ellipsometer
Advantage Engineering SK-1035 HEP-41 D1-300 Water Temperature Controller
K&S 4124 Wire Bonder
Semiconductor Equipment Corp (SEC) 830 Pick and Place System "- 1.5 amps
- 110 volts
- 50/60Hz"
Opto WMSS 2000 LED Chip Tester
Leybold D 60 AC Vacuum Pump
Grundfos CRN 64-2 Industrial Pump
GE Osmonics E 4-4400-DLX Reverse Osmosis Water System
Marlo MGT-60-1 SGL - TC Water Softener System
Keller VARIO-T Exhaust Dry Separator Unit
Johnson Matthey / Saes HP-480 V-Purge Hydrogen Gas Purifiers
Custom Test Bench
BTU TFF 142-7-126 A 48 Tunnel Kiln
Mastech HY 3005 F-3 DC Power Supply
Miscellaneous Lot of Equipment
ASML AT-1150 C Scanner - 193nm ARF
Decap Machine
Forster Welding Table with Accessories
CO2 Laser Cutting Machine 300w and 200w
Mada TP 80 EX
Applied Materials Producer SE SACVD SACVD (Chemical Vapor Deposition) 3x SACVD Chambers
Applied Materials Producer SE APF PECVD (Chemical Vapor Deposition) 3x Dual Chamber process: N3, CDA, C3H6, O2, AR, He
Satisloh 600 AR Coater "- Does it have coating rings? – Yes
- Diameter of rings? - 65mm or 70mm mainly but 75mm and 60 mm are also available
- Did the machine only do Mineral glass tinting? Did it also do plastic? – Both material is possible"
Satisloh 100 AR Coater - The cover doesn’t go up and down so the motor needs to be replaced.
Satisloh 660 AR Coater - The cover doesn’t go up and down so the motor needs to be replaced.
Agilent 4284 A
Agilent 8714 C
Tektronix 2235 Oscilloscope 100MHz
Tektronix 485 Oscilloscope 350MHz
Tektronix 2430 Oscilloscope 150MHz
K&S 1488 L Turbo Wire Bonder
Newport 4000 Laser Welder System "- Unitek Miyachi LW 10
- DI resin canister was just replaced
- Hard Disk in the Controller has gone bad"
Stanford Research SR 620 Universal Counter, 11-Digit
Ultrasonic T 40
Satisloh T 10
Zeiss H 8
Siemens HS 50 Pick & Place Machine
Shimadzu Spectrophotometer
Perkin Elmer Spectrophotometer
Siemens 80 S 23 Pick & Place Machine
Heller 1809 EXL Reflow Oven
Heller 1912-EXL Reflow Oven
Heller 1912-EXL Reflow Oven
Dek FP Platform PPI Screen printer
Asymtek S 920 Dispencing machine
Vitronics Soltec 6622 CC Wave Soldering Machine
Vitronics Soltec 6622 CC Wave Soldering Machine
Vitronics Soltec 6622 CC Wave Soldering Machine
EVG 101 "-Fully automated, but requires manual loading.
-Autoclean capabilities
-Up to 6"" wafers
-uL resist pump
-EBR dispenser
-Full teflon body"
Dynamotion / ATI 204 BB Board Router / Driller
Heidelberg DWL-66
EVG 520 Bonder
Kurt J Lesker PVD 75 Sputter
Sloan E-Beam Evaporator
TorrVac E-Beam Evaporator
Meyer Burger DS 271
Meyer Burger DW 288
Rigaku DPGS X-Ray Goniometer
Electroglas Prober
规格型号
IODE MODULE DZ540 N20 EUPEC
DIGITAL I/O MODULE 0917.0000 X LUSTDRIVE
W8F60020575
CLS KIT- PITCH BEARING 636-45074-1 S88
江海P.C-UC-9.S
GWR EMD-FL 0-300
ASLY WITH LIMIT SWTCH LANG 00107
M411.0219-01
1.25MW 高速轴制动器刹车盘
高速轴制动器刹车盘M S30s122058
航空障碍灯
LPU-0222-B GVA
RESISTOR 470KOHM 12W HVR50RE470KKHIPAS
SPEED MONITOR FR1 DD2003
G112M12/6-DH,HYDAC
Crowbarsteuerung 1200V-BOD LPG-0237 GVA
E50 R23-784N30 PK16 780µF
STAR LIFTKET WINCH W/ EXT BEAM S88
MD 550-PART 490 1563 BRAKES A/S
Pinion Shaft LP 370.0/3.03, Helical
1600W 1.46A 750欧
SURGE ARESTR VALMS230+FM 5752670 PHOENX
SURGESUPPRESSOR 3RT1926-1BB00 SIEMENS
SURGE SUPPRESSOR GHV2501902R0002 ABB
HYDRAULIC PUMP (ROTOR LOCK)-ENERPAC P 84
VARISTOR 24VDC 3TX7402-3G SIEMENS
CT 2500A CT10055 IKTECHNICS
CURRENT TRANSDUCER LTC 600SF/SP5 LEM
FAN HEATER 9000W
TB40 贺德克
PROX SNSR IGK3005-BPKG/M/US IGM 200
PROX SNSR PNP BES 515-360-S4-C
滑差柜配套电阻箱外壳
LIMIT SWITCH LTV OSISWITCH ZCKJ1+ZCKE066
NACELE FAN DQ 630-4 50 Hz 2.15 KW
ACB2500A 3WL12253DG324GA4ZC22K07S07 SIEME
G112M12/6-DH HYDAC
补偿电容抽屉组件
FRONT CONTACT-MPCB 1NO+1NC GV-AE11
AUXADDON1NO+1NCNHI-E11PKZO FRNT082882MOE
AUXILIARY SW 3RH1921-1LA11 SIEMENS
AUX CONTACT 1NO 3SB3403-0B SIEMENS
AUX ADDON FRONT GV3A01 SCHNEIDER
AUX ADDON 1NO+1NC S2H11 ABB
AUX CONTACT 1NO+1NC CAT NO:-LADN11
AUXILIARY SW 2NO+2NC 3RH1921-1HA22 SIEME
R28 98SHA 1a-38/1a-24(567893-0)
CONTACTOR 3RH1140-1BB40 SIEMENS
CONTACTOR 24VDC GJL1313001R0101 ABB
CONTACTOR 24V2P32A 3TC4417-0AB4 SIEMENS
CABLE FO MIL+CONT 100M LS708499 LAPP
苏司兰风机偏航电机 ZD350—S W8F51030135
REDUCTION ASSEMBLY 1:5.77 2T235340920
3RT1025-1BB44-3MA0 SIEMENS
MAIN SW+RDRIVE OT25E31SCAO22283R8630 ABB
MAIN SW+RDRIVE OT25E41SCA022352R7330 ABB
MCB 10A 2P 440VDC S282-UC-K10A ABB
3-MOL AF 132M/6C-11
DIL H2000 MOLLER
DILH 1400/22 MOLLER
W/CTRL SVNK013S070M32 IXYS
SUA 1500I APC( UPS SUA1500I(P.NOS26113-E400-L1)SIEMENS)
DT50-M111EA DT50-M110EA
OSS-PCIe-HIB25-X1
2 ADAPTER
10 SLEEVE
13 UPPER PRESSURE HOLDER WITH CONNECTIONS
14-F-N PUMP BODY F,N TYPE
20-A MECHANICAL SEAL
DK32/K1/S/AEX VRBL-AR
21 O’ RING KIT Lot of Electric Test Equipment
Lam TCP 9408 SE
Lam TCP 9400 SE
Lam TCP 9408 SE Poly
Lam 9600 FEFG
Lam TCP 9600 SE
Adv Micro Product WL 200 Wafer Backside Inspection
Novellus CVD TiN Single Prism
IPEC 472 Polisher
Trion Phantom II Reactive Ion Etch (RIE) "4 Gasses: SF6, He CHF3, CF4
RFX 600 A RF Generator
- Automatic tuning with 13.5 MHz, 600 Watt
(1) E 2 M 28 PFPE Edwards Rotary Pump and (2) Pfeifer Turbo Pump (TPH 261 PC)
Computer can't start, faulty power supply (IO board problem)"
IMS XTS 60 E / 256 Tester
Hypervision PTF 1 Portable Microscope
Logitech 15 1 MOD 1-1 Wire Saw
Fritsch Pulverisette 2 Ball Powder Mill
Fritsch Pulverisette 5 Ball Powder Mill
VWR DRY-Line DL 53 Drying Oven
Micrometrics AccuPyc II 1340 Gas Pycnometer
Malvern Mastersizer 3000 Laser Diffraction System
Optimum Maschinen Germany GmbH BF 20 Vario Pedestal Drill and Milling Machine
Crystal EF-6000-CP Lifter for Sintering Furnace
Crystal EF-6000-CP Lifter for Sintering Furnace
Milestone ETHOS UP Microwave Digestion System
Stanstead Fluid Power FPG7 260 / 620 Cold Isostaic Press DK32/K1/S/AEX VRBL-AR
Crystal FZ-T-10000-H-IH-ES-PO-PC Inclined Mirror Furnace
Crystal FZ-T-10000-H-IH-ES-PO-PC Inclined Mirror Furnace
Crystal FZ-T-10000-H-IH-ES-PO-PC Inclined Mirror Furnace
Arnold Gruppe Glass Drilling and Reaming Machine (564/01) Pedestal Drill
Haver & Boecker Haver EML 200 Digital Plus T Test Sieve Shaker
Carbolite Gero GmbH HTRV-A 70-500 / 17 Sintering Furnace
Nabertherm GmbH LH 216 / 14 Chamber Furnace "- Large
- 30-3000C"CYCLO DRIVE FOR AQC3 ROTARY VALVE
MODEL/TYPE: XLD15-3, 25 RPM, MAKE:
TRENNVERSTÄRKER TV-PT1000.2xOUT
TRENNVERSTÄRKER TV-U2 .. . ..
ENCODER, 2048, HAZ AREA
编码器,2048,危险区域 N10843
SOLENOID 螺线管 H10151
AIR CONDITIONER 空调
208/230-1PH-60HZ 36000BTU
220-1PH-50HZ-29880BTU
FRIEDRICH 弗里德里希 E30-1006-030
INPUT ANALOG 4 CH, 4-20mA, POINT I/O
输入模拟 4 通道,4-20mA,点 I/O N10923
FIBER OPTIC, NEMA 4X, WALL MOUNT, W/DUPLEX
光纤,NEMA 4X,壁挂式,W/DUPLEX E15759
OUTPUT, DIGITAL, 4 RELAY
输出,数字,4 继电器 N10641
INPUT, ANALOG 8 CH CURRENT POINT I/O
输入,模拟 8 通道电流点 I/O N10613
COMPACTLOGIX PROGRAMMABLE CONTROLLER COMPACTLOGIX 可编程控制器 N10942
INPUT, DIGITAL, 24VDC, 8CH , POINT I/O
输入, 数字, 24VDC, 8CH , POINT I/O N10612
VFD, DRIVE, 690 VAC, 15 HP, 20 AMP
变频器驱动690 VAC,15 HP,20 AMP E12885
VLV, HYD, DIR, 2 POS, 4 WAY, D03 H03-1024-010
VLV, HYD, DIR, 3 POS, 4 WAY, 24V, D03 H10059
VLV, HYD, DIR, 2 POS, 4 WAY, 24V, D03 H10142
VLV, HYD, DIR, 3 POS, 4 WAY, 24V, D03 H10053
TRANSMITTER, PRESS, 4-20mA, 3000PSI
变送器,压力机,4-20mA,3000PSI N10350
CABLE, 4 C #16 AWG, EXANE, 600V
电缆,4 C #16 AWG,EXANE,600V E03-1023-010
CIRCUIT BKR, 100A, 3P, 25 KIC, UV
电路 BKR,100A,3P,25 KIC,UV E10551
CIRCUIT BREAKER, 70A, H-FRAME
断路器,70A,H 型 E14238
INVERTER MODULE逆变器模块
Model: MVD300-4602, 型号:MVD300-4602,
Continuous AC Current: 300/400A,
连续交流电流:300/400A,
3 PH AC Voltage: 0-690V, Frequency: 0-200Hz (Output)
三相交流电压:0-690V,频率:0-200Hz(输出)
DC Current: 346/461A (approx.)
直流电流:346/461A(大约)
DC withstand Voltage: 1200V 直流耐压:1200V E15210
RTD,100 OHM, PLATINUM, 3WIRE, 2 ½ in
RTD,100 欧姆,铂金,3 线,2 ½ 英寸 E11088
Flex Analog Input Cat. No. 1794-IE8
Flex 模拟输入目录 编号 1794-IE8 N21-3002-010
OUTPUT, DIGITAL, 24V, 8 CH, POINT I/O
输出,数字,24V,8 通道,点 I/O N10647
OUTPUT, DIGITAL, 8PT, 24VDC, FLEX I/O
输出,数字,8PT,24VDC,FLEX I/O N21-3001-010
INPUT, DIGITAL, 16PT, 24VDC, FLEX I/O
输入,数字,16PT,24VDC,FLEX I/O N21-3000-010
ETAP,DLR, COPPER PORT, 2 FIBER PORTS
ETAP、DLR、铜端口、2 个光纤端口 N10880
ETAP, DLR, 2 COPPER PORTS, 1 FIBER PORT
ETAP、DLR、2 个铜端口、1 个光纤端口 N10879
PRESSURE SWITCH 压力开关 N10161
FUSE, DC BUS, SEMICONDUCT, 1300V/630A
保险丝,直流母线,半导体,1300V/630A E15676
FUSE, AC BUS, SEMICONDUCT, 650V/1600A
保险丝,交流总线,半导体,650V/1600A E15677
HPU TRANSMITTER/ELEMENT, TEMPERATURE
HPU 变送器/元件,温度 E11416
ASSY, CABLE, FIBER OPTIC, 6 CH RECEPTACLE
组件,电缆,光纤,6 通道插座 AY19271-3
ASSY,CABLE,FIBER OPTIC,6 CH RECEPTACLE
组件,电缆,光纤,6 通道插座 AY19271-30
ASSY,CABLE,FIBER OPTIC,6 CH,PLUGGED
组件,电缆,光纤,6 通道插头 AY19270-180
ASSY, CABLE, FIBER OPTIC, 6 CH PLUGGED
组件,电缆,光纤,6 通道插头
AY19270-230
ASSY, CABLE, FIBER OPTIC, 6 CH PLUGGED
组件,电缆,光纤,6 通道插头
AY19270-80
ASSY, CABLE, FIBER OPTIC, 6 CH PLUGGED
组件,电缆,光纤,6 通道插头
AY19270-110
CABLE, 4 C #8 AWG, PEND,POLYRAD XT,600V
电缆 E10196
CABLE, 10 C #12 AWG, PERFECT-A-FLEX,600V
电缆,10 C #12 AWG,PERFECT-A-FLEX,600V E11302
CABLE, 4 C #8 AWG, 600V, GENERIC
电缆,4 C #8 AWG,600V,通用 E03-1019-010
CABLE, 10 C #12 AWG, 600V, GENERIC
电缆,10 C #12 AWG,600V,通用 E11302
CABLE, 10 C #16 AWG, 600V, GENERIC
电缆,10 C #16 AWG,600V,通用 E03-1034-010
CABLE, 4 TP 20 AWG, SHLD
电缆,4 TP 20 AWG,SHLD E14168
CABLE, 7 C #10 AWG, 600V, TYFL
电缆,7 C #10 AWG,600V,TYFL E11059
ASSY, CABLE, FIBER OPTIC, 6 CH PLUG/RECPT
组件、电缆、光纤、6 通道插头/接收器 AY19773-30
ENCODER OPTICAL ISOLATOR MODULE, 5V OUT编码器光隔离器模块,5V 输出 N10922
RTD, DYNAMIC BRAKE RESISTOR
RTD,动态制动电阻器 E13224
DYNAMIC BRAKE, 800AMP, 575VAC/940VDC
动态制动,800AMP,575VAC/940VDC E15682
MICROSWITCH, INDICATOR, INVERTER, 630A
微动开关,指示灯,逆变器,630A E15299
MICROSWITCH, RECTIFIER FUSE
微动开关,整流器保险丝 E15301
CANBUS, BUS COUPLER,24 VDC,2 x 5 POS.
CANBUS,总线耦合器,24 VDC,2 x 5 POS。 E15173
INLINE ANALOG OUTPUT TERMINAL BLOCK
在线模拟输出端子块 E15709
RELAY, SAFETY, 2A/2B, CONTACTS,24VDC
继电器,安全,2A/2B,触点,24VDC E15688
FUSE,600V,10AMP,CL CC,TDEL,REJ,MIDGET
保险丝,600V,10AMP,CL CC,TDEL,REJ,MIDGET E105789
KIT, PCB, I/O Model: MVC3002-4001A MV3000 Delta I/O Panel
套件、PCB、I/O 型号:MVC3002-4001A MV3000 Delta I/O 面板 AY21504
POWER SUPPLY, INVERTER, 575-690V, MV3000
电源,逆变器,575-690V,MV3000 E15209
INTERFACE, ETHERNET, MV3000, MODIFIED
接口,以太网,MV3000,修改 E18233
SERVICE LOOP, AC POWER, 5 IN, 500T,77 FT
服务回路,交流电源,5 英寸,500 吨,77 英尺 AY19252-2-1
SERVICE LOOP,CONTROL,4 IN,76 FT,FIBER
服务回路,控制,4 英寸,76 英尺,光纤 AY19251-2
QINGDAO WEIKUNXIAN IMPORTED
Nabertherm GmbH LH 60 / 14 Chamber Furnace "- Medium
- 30-3000C"
HTM Reetz GmbH LORA 1700-50-1300-1 Sintering Furnace - Max continuous operating temperature 1600 C
HTM Reetz GmbH LOSIC 1600-80-600-3 Horizontal Tube Furnace - Max continuous operating temperature 1500 C
Mettler Toledo NewClassic MF MS 1602 SE / 01 Balance
Satorius Lab Instruments GmbH SECURA 513-1 S Powder Balance
Quantachrome Instruments Sieving Riffler Rotary Sample Splitter Sieving Reiffler
Stuart STR 4 Rotator Drive Mixer
Multiwire Laboratories / Seifert Analytical MWL 120 / X-Ran PSU Camera System
Micronic LRS 230-IT Laser Lithography Tool "- Was fully operational before the laser reached end of life
- Volts: 400
- AC-DC: AC
- AMPS: 10
- Hertz: 50/60"
Micronic MP 80 Laser Lithography Tool - Was fully operational before the laser reached end of life
Lasertec MD 2000 Mask Inspection Tool - Can inspect up to 9" Masks
Thermal Product Solutions (TPS) DCC-206 Oven
Flatbed Mounted Chiller
Gaertner L 115 A Ellipsometer
Ekra X 5 Screen Printer "- It needs a hard disk (or just a hard disk image for it)
- Weight: 720kg
- Power Rating: 230V
- 50/60 Hz
- External fuse: 24v DC
- Air pressure: 6 bar"
BBP
Excellon 2000 Drill
ASML PAS 2500/40 (UV 400) Stepper "- Supply Voltage: 220 Vac +/- 10%
- Power (input: 2kVA
- Freq: 50/60Hz"
Advantest Q 8163 Optical Polarization Scrambler
Magne-Tron Instruments 800 Four Point Probe Resistivity System
Ultra T IRL 400 In-Line CO2 Reionizer "- Voltage: 115 Vac
- Frequency: 60Hz
- Current: 5 Amp
- Single Phase"
Airco / Temescal VES 2550 Thermal Evaporator 2 Gun System 4 pocket 1 pocket
AG Associates Heatpulse 410 Rapid Thermal Process (RTP)
Anatech MP 600 Plasma Etch System "- With Edwards IH80 Dry Pump
- Electrical: 115v, 60Hz
- Water: 60psi
- Gas: 20psi
"
Tegal Plasma 903 e Plasma Etcher With RF Generator
Unitek / Miyachi Micropull V Wire Bond Pull Tester Semiautomatic
VWR Sheldon 1410 Vacuum Oven "- Volts: 120
- Phase; Single
- Watts: 550
- Wire: two
- Hz: 60"
Machine Vision Calibration Plate
RFPP RF 5 S RF Power Products Generator "- 500 Watts @ 13.56 Mhz
- 208 Vac
- 50/60 Hz
- 10 Amps"
MKS 600 Series Pressure Controller - Type 651CD2S1N
Edwards IH-80 Dry Pump - Weight: 240kg
Edwards IH-80 (HEAC) Dry Pump - Weight: 240 kg
Edwards IH 600 Dry Pump - Weight: 435 kg
Micro Automation 150 Wafer Mounting Station
Leybold D 65 BCS Vacuum Pump
MKS Instruments 647 C Multi Gas Controller
CTI-Cryogenics Cry-Torr 8 High Vacuum Pump Cryopump and Adsorber
Leybold D-30 A Rotary Vane Pump
ENI Power Systems OEM-25 Solid State Power Generator
De Haart Screen Printer "- Manual
- With Fixtures 18x20"
Dynatex DXE Wafer and Substrate Expander
Veeco Dektak 3 Stylus Profilometer
Lot of Electric Test Equipment
Hitachi M-501 A Metal Etcher - Parts missing
Hitachi M-602 Metal Etcher - Parts missing
Hitachi M-308 Metal Etcher - Parts missing
Hitachi M-308 Metal Etcher - Parts missing
Tel 808 SC SiN DEP Vertical Furnace - Missing 50% of parts
Tel 808 SC Poly-Doped LPCVD Vertical Furnace - Missing parts: power supply, loader cable, cap rotate motor, MV, all gas inlet gasline, gauge
Tel 808 SC Poly-Doped LPCVD Vertical Furnace - Missing 50% of parts
Tel 808 SC Poly-Doped LPCVD Vertical Furnace - Missing 50% of parts
Tel 808 SD Anneal Vertical Furnace - Complete Tool
Hitachi S-8840 CDSEM - Complete tool
Tel MB 2 WSI CVD - Parts missing
Tel TE-8401 Poly Etch "- Parts missing
- AC200V
- 3 Phase
- 50/60Hz"
Nikon NSR 2205 EX 12 B KrF Stepper - Complete tool
Nikon NSR 2205 EX 12 B KrF Stepper - Complete tool
Dainippon (DNS) SC-200 W-AV Resist Coater - Complete tool
Nissin Exceed 2000 IMP "- 50% parts missing
- Medium current"
Canon - Anelva ILD-4100 SR Oxide Etcher - Parts missing
Canon - Anelva ILD-4100 SR Oxide Etcher - Parts missing
Canon - Anelva ILD-4100 SR Oxide Etcher - Parts missing
Canon - Anelva ILD-4100 SR Oxide Etcher - Parts missing
Canon - Anelva ILD-4100 SDII Oxide Etcher "- Parts missing
- Software: AMN-30B / Date: 2000"
Canon - Anelva ILD-4100 SDII Oxide Etcher - Parts missing
Tel TE-8500 P ESC Oxide Etcher - Parts missing
Cannon MAS-8200 Asher - Parts missing
TYK Slurry Supply - Condition unknown
TYK Slurry Supply - Condition unknown
TYK Slurry Supply - Condition unknown
Tel Unity-IEM Oxide Etcher - Parts missing
Tel Unity-IEM Oxide Etcher - Parts missing
Tel Unity-IEM Oxide Etcher - Parts missing
SVG AVP 8000 Field Oxide Vertical Furnace Parts missing: robot, PC , gas detector ,water flow meter
TYK Slurry Supply - Condition unknown
TYK Slurry Supply - Condition unknown
TYK Slurry Supply
KLA-Tencor eS 20 XP Inspection Scanning Electron Microscope (SEM) - Complete tool
Shibaura CDE-80 NH(W)(9710 B-13) Zero Oxide Etch - Parts missing
TKK MAC-92 MV 1 Overlay "- AC100V
- 1.5k VA
- 50/60Hz"
Canon MAS-8000 Asher - Parts missing
Canon MAS-8000 Asher - Parts missing
Canon MAS-8000 Asher - Parts missing
Canon MAS-8000 Asher - Parts missing
Canon MAS-8000 Asher - Parts missing
Canon MAS-8000 Asher - Parts missing
Canon MAS-8000 Asher - Parts missing
Canon MAS-8000 Asher - Parts missing
Canon MAS-8000 Asher - Parts missing
SVG AVP 8000 Teos Dep Vertical Furnace - Parts missing
SVG AVP 8000 VG SiN Dep Vertical Furnace Parts Missing; Missing robot, T/C line, SSR, MV, manifold, tape heater, some PCB board, PC
SVG AVP 8000 Anneal Vertical Furnace "- Mid Temperature
- Parts missing: missing robot
- Type: Oxide
- 35.3 kw power
- 14000 AIC
- 90 amps
- 380 V
- 50 Hz"
Strausbaugh / DNS 6 DS-SP / AS-2000 CMP - Condition: 6DS-SP good and AS-2000 has parts missing
Strausbaugh / DNS 6 DS-SP / SP-W 813-AS CMP "- Parts missing
- 208V
- 3 Phase
- Frequency: 60
- Full Load Amp: 60
- Motor amp: 36"
TKK MAC-92 MV 1 Overlay
Kaijo RT-1030 T-C PR Strip - Complete tool
TKK MAC-110 MV 1 Overlay "- Complete tool
- AC 100V
- 3k VA
- 60 Hz"
TKK MAC-92 MV 1 Overlay
TKK MAC-92 MV 1 Overlay
TKK MAC-92 MV 1 Overlay
Therma-wave OP-2600 Thickness Measurement System "- Missing Parts
- Single Phase
- 2 Amp Max
- 110 Volts
- 50/60Hz"
Thermo Fisher Ion Torrent Personal Genome Machine (PGM)
Thermo Fisher Ion One Touch ES
Agilent 33120 A Function / Wave Form Generator
Edwards QDP-80 Pump / Blower Package
Lot of Electric Test Equipment
Yuasa SR-8040 A In-Line Developer
Yuasa SR-8040 A In-Line Developer
Yuasa SR-8040 A In-Line Developer
Yuasa SR-8040 A In-Line Developer
Yuasa SR-8040 A In-Line Developer
FSI Excalibur Pre-Clean - SMIF broken,shutdown long time
Dainippon (DNS) FL-820 L Pre-treatment
Dainippon (DNS) SR-8040 A D-Spin "- Mass 1500kg
- Phase 1
- 50/60Hz"
Seiko / Epson Sxi 200 P Scanning Electron Microscope (SEM)
Seiko / Epson Sxi 200 P K-A 113000022 Scanning Electron Microscope (SEM)
Leica Leitz MIS 200 Review Station - Parts missing
Nano Q 2001 Overlay - 50% parts are missing
Sato Vac DY-1020 P Oven
Espec VOS 40 Oven
Espec VOS 40 Oven
Espec VAC-300 Oven
Faith International Rapitran II Wafer Transfer System
Yatai Semiconductor SSU-120 B Local Supply Unit
Yatai Semiconductor SSU-120 B Local Slurry Supply Unit
Yatai Semiconductor SSU-120 B Local Slurry Supply Unit
Yatai Semiconductor SSU-120 B Local Supply Unit
Yatai Semiconductor SSU-120 B Local Supply Unit
Plasmatherm 790 Series Dry Etching - For Oxide or Nitride
Nidek IM-15 Optistation-AEI
ASM Eagle-10 DARC "- Phase: 3P/1P
- 200 Volt
- 100 Vac
- 60 Hz"
ASM Eagle 10 PETEOS "- Parts missing
- 200 V
- 100 Vac
- Current: 150 / 20 A"
Canon - Anelva I-1060 SV 2+1 PVD Sputter "- 200V
- 150 A"
Canon - Anelva I-1060 SV 2+1 PVD Sputter
KE Vertex-III(F) Anneal Vertical Furnace - 50% parts missing
KLA-Tencor SFS-6220 330345 Particle Counter
Thermotron SM-8-3800 Thermal Chamber With Recorder
Joel SM-Z0 4004 T Cross Section Polisher
Bruker Discovery D 8 Diffractometer "- 200V
- 50/60 Hz
- 6,5 kVA"
Nanometrics Nanospec 9200 Film Thickness Measurement System "- Vacuum Requirement: 685 mm
- Weight: 750 lbs
- 115 VAC
- 1 Phase
- 50/60 Hz"
Veeco MC 50 Helium Leak Detector
Olympus OLS 3500
Gaertner L 116 D Ellipsometer
Air Technology System VFS 120 CU Vertical Floor Mounted Air Conditioning System "- Vertical floor system
- 187,330 BTU @ 75°F and high coolant flow
- Up flow air pattern
- 15 Kw heater
- Microprocessor controller Model A-Tech-20
- Coolant inlet/outlet: 1.375"" O.D. copper sweat
- Air outlet: 24"" x 42""
- Condensate pump
- Requires remote water chiller
- Plenum not included
- 460 V
- 30.0 A
- 60 Hz
- 3 Phase"
Environmental Stress MRTP 11 C 1.5 Mechanically Refrigerated Thermal Platform "- Thermal Platform -11"" x 11""
- 230 V
- 25.0 A
- 60 Hz
- 1 Phase
- Air Cooled"
Himmerwerk Sinus 6 Induction Heater "- 6 kW
- 200 kHz
Accessories:
- Heat station: Model SINA 122
- Induction heating coils are not included
Other Info:
- Water cooled: 4-5 Liter/Min, 50 psi
- 480 V
- 16.0 A
- 50/60 Hz
- 3 Phase"
Matsui America MC III-130 / 40 HX Mold Temperature Controller "- Programmable
- 460 V
- 30.0 A
- 60 Hz
- 3 Phase
Accessories:
- Reverse phase alarm
- Pump overload
- Medium level-drop
- Faulty temperature sensor
- Over heat
- Highest & lowest alarm"
RDO HFI 5-135 / 400-3 Induction Heater "Output:
- 135-400 KHz 5Kw
- 500V-RMS 10KVA
Power:
- 208/240 V
- 14.5 A
- 50/60 Hz
- 3 Phase"
Yokogawa WT 3000 Precision Power Analyzer "- Basic accuracy: 0.01% of reading
- Basic power accuracy: 0.02% of reading
- 30A current input element
- Good readability: The large, 8.4-inch LCD and the range indicator LEDs
- Simultaneous measurement with 2 units (8 power input elements)
- Store function: 50 ms data storing interval
- Interface: GP-IB, Ethernet, RS-232 and USB
- Advanced computation function: Waveform computation, FFT analysis, waveform sampling data saving."
Voltech PM 6000 Multi-Phase Power Analyzer "- Up to six channels configurable as required, for example AC input plus 5 DC outputs or three-phase input and output.
- Basic accuracy: 0.02% of reading
- Accurate on all waveforms.
- Accurate at low power factors. (<0.01)
- Bandwidth: 10 MHz
- Samples continuously, without gaps at 5 MSPS so there is no missing data during integration or low-power standby measurements.
- Sampling at 40 MHz (5 MHz minimum) for error-free results
- Outstanding rejection of common-mode signals for accuracy in all applications
- A bright color display and intuitive menu system for ease of use
- Connectivity: RS232, Printer, Ethernet"
Yokogawa WT 1800 Digital Power Analyzer "- Reduction of low power-factor error to 0.1% of apparent power (2/3 of previous model)
- Wide voltage and current range allowing direct input
- 0.1 Hz low-speed signal power measurement and max. 50 ms high-speed data collection
- Particular voltage and current range selectable
- Support for Energy Conservation Technologies and Sustainable Energy Development"
Yokogawa WT 500 Precision Power Analyzer "- Simultaneous measurement of voltage, current, power, and harmonics
- High-speed data updating (100 ms)
- Display of numerical values, waveforms and trends
- Measurement of bought and sold watt hours
- Easy setup and operation
- Basic Power Accuracy: 0.1% of reading + 0.1% of range
- Measurement power bandwidth: DC, 0.5 Hz to 100 kHz
- Input Elements: 1, 2, 3
- Voltage Range (Crest Factor = 3): 15/30/60/100/150/300/600/1000(V)
- Current range (Crest factor=3) - Direct Input: 0.5/1/2/5/10/20/40 [A]
- Current range (Crest factor=3) - External Sensor Input: 50 m/100 m/200 m/500 m/1/2/5/10 [V] (opt.)
- Guaranteed accuracy range for voltage and current ranges: 1% to 110%
- Main Measurement Parameters include: Voltage, current, active power, reactive power, apparent power, power factor, phase angle, peak voltage, peak current, crest factor
- *Additional specs on website"
Zimmer Electronics LMG 450 Multi Channel Power Analyzer "- Accuracy - 0.1 Hz - 20kHz, 0.1%
- Bandwidth - DC, 0.1 Hz - 20 kHz
- Fully isolated measuring inputs to 600V between inputs or ground. Direct measurement ranges up to 600V (1600V peak) and 20A (960A peak for the measurement of inrush currents)
- Current clamps and transducers are available ranging from 1,2A - 1000A as part of the standard product line
- Optional RS-232 and IEEE488.2 ports for data output or remote control. PCMCIA memory card or 3.5"" floppy disk drive options available (please speak with your sales representative about availablility)
- Parallel printer interface port for direct printout of graphs, tables, etc. without the need of a PC
- Designed per EN6l000-4-7 for pre-compliance harmonics measurements to EN61000-3-2. Designed per EN 61000-4-15 compliance flicker measurements to EN61000-3-3
- CE-Marked for export
- Current, voltage, and power harmonics analysis up to the 99th harmonic, ranging from 0.1Hz to 1kHz
- Measuring ranges are auto, manual, or remote controlled"
Voltech IEC 555 Reference Impedance Network Designed to allow full compliance testing to EN61000-3-3 (voltage fluctuations) for single-phase loads
Voltech PM 3000 ACE Precision Power Analyzer "- Intuitive front-panel or Windows software operation
- High 0.05% basic accuracy
- Wide bandwidth measurements, DC and 0.1Hz to 1MHz
- Measures W, V, A, VA, Var, power factor, Cos, Vpk, Apk, crest factors, frequency and inrush current
- Harmonics of V, A (incl. phase) and W to the 99th. THD
- Integrator for W-hr, VA-hr, A-hr, VA-hr, average and target PF
- Crest factors up to 20
- Accurate on distorted waveforms and at low power factors
- VPAS PC software for set-up, data storage and handling
- All interfaces fitted as standard
- All instruments supplied with test leads, user manual and certificate of calibration and conformance traceable to international standards
- Range of accessories includes current clamps and transformers, PS1000 switch for inrush measurements and Ballast CT for electronic ballast testing"
Yokogawa WT 1806 Power Analyzer "Options:
-EX6 - External current sensor input for WT1806
-B5 - Built-in printer
-G5 - Harmonic Measurement or G6 - Simltaneous Dual Harmonic Measurement
-DT - Delta Computation
-FQ - Add-on Frequency Measurement
-V1 - RGB output
-DA - 20-channel DA Outputs
-MTR - Motor Evaluation Function or AUX - Auxiliary Sensor Inputs"
Yokogawa WT 200-C 1 Digital Power Meter "- Basic power accuracy: 0.1%
- DC measurement, 0.5 Hz to 100 kHz power frequency range
- Compact design (half-rack size)
- Line filter function
- High-speed data update (as fast as 10 readings per second)
- Harmonic measurement function available
- User calibration capability"
Yokogawa WT-210 Digital Power Meter "- Single Input
- Low power measurement
- Harmonic measurement
- Compact design
- Line filter function
- User calibration capacility
- Maximum input with assured accuracy: 26 A
- Basic accuracy: 0.1%
- DC measurement: 0.5 Hz to 100 kHz frequency range
- Compact design (half-rack size)
- 5 mA range for very low current measurements
- Line filter function
- High-speed data update (as fast as 10 readings per second)"
Yokogawa WT 230 Digital Power Meter "- Maximum input with assured accuracy: 26 A
- Basic accuracy: 0.1%
- DC measurement: 0.5 Hz to 100 kHz frequency range
- Compact design (half-rack size)
- 5 mA range for very low current measurements (model WT210 only)
- Line filter function
- High-speed data update (as fast as 10 readings per second)
- Harmonic measurement function available
- User calibration capability
- Large-current measurement capability using external sensor input
- Easily Acquire and Manage Power Measurement Data from Your PC"
AEMC PowerPad III 8435 Power Quality Analyzer "- Three phase
- Measurement of TRMS voltages up to 1000Vrms AD/DC for two-, three-, four- or five-wire systems
- Measurement of TRMS currents up to 6500Arms (sensor dependent)
- Direct measurement of neutral current and voltage
- Frequency measurement (40 to 70Hz systems)
- Records and displays trend data as fast as once per second for one month up to 25 variables
- Captures up to 210 transient occurrences on all V and A inputs
- Measures harmonics (referenced to the fundamental or RMS value) for voltage, current or power up to the 50th harmonic
- Recording, time stamping and characterization of disturbance (swells, sages and interruptions, exceedence of power and harmonic thresholds)
- 2GB SD Card for Trend Recording memory; Alarm, Snapshot, Transient and Inrush stored in internal flash memory
- Measures energy VAh, VARh & Wh"
Fluke Norma 4000, N 4 K Power Analyzer "- High Precision
- Three Phase
- 1 to 3 power phases
- 5.7” / 144 mm color display
- Harmonic analysis
- Scope mode
- Vector diagram display
- Recorder function
- Fluke NormaView PC software
- Expandable 4 MB RAM data memory"
Fluke Norma 5000, N 5 K Power Analyzer "- High Precision
- Number of phases: 3,4 or 6
- Bandwidth: dc to 3 MHz or dc to 10 MHz depending on input module
- Basic Accuracy: 0.2%, 0.1% or 0.03% depending on input modules
- Voltage Input Range: 0.3 to 1000 V
- Current Input Range: 0.03 mA - 20 A depending on input modules
- Interface: RS232"
Infratek 106 A Power Analyzer "- Large, bright display for 10 or 40 values
- DC-1MHz, 1.5mA-40A, 0.3V-1000V
- 0.1% and 0.05% accuracy
- Scope function, Bar charts, Harmonics 1-99
- IEEE-488, RS232, Analog outputs / inputs
- Windows Operating Software"
Agilent 8999 B RF Peak Power Analyzer "- 160 MHz video bandwidth
- 100 MSa/ sec continuous sampling with >1500 readings/ sec
- Frequency range of 50 MHz to 40 GHz (sensor dependent)
- Fast System Rise/ Fall time of 5nsec
- 15 measurement parameters: Rise time, fall time, pulse width, duty cycle, PRI, PRF, Peak, average, peak-to-average ratio, CCDF and etc.
- Automated delay measurement, automated droop measurement, pulse spacing measurement
- Multi-pulse analysis (with option 8990B-1FP or N6903A)
- Power-added efficiency (PAE) measurement"
Agilent N 6705 A DC Power Analyzer "- 600 W
- Easy to use R&D tool for sourcing and measuring DC voltage and current into the DUT
- Integrates capabilities of up to 4 Power Supplies, DMM, Scope, Arb and Data Logger
- Access all capabilities without programming; either use the front panel controls or the 14585A Control and Analysis Software
- Intuitive, dedicated physical controls for common functions
- Large color graphics display for easy viewing of complex data
- Connections and controls color-coded to the display for fast and error-free setup
- Programmable via standard GPIB, LAN and USB; LXI Class C Compliant"
Newtons4th PPA 3500 Series 1 to 6 Phase Power Analyzers "- High Speed Power Measurement - 5ms Datalog Interval
- 1MHz Wideband Frequency Response
- High Accuracy
- DFT Real Time No Gap Analysis
- Up to 6 Phase (8 Wire) Analysis"
Rx Monitoring Services Cx Power Quality Monitor "- Clock: Leap Year, 24-Hour, with time zone info
- Real-time Clock Accuracy: +/- 1 sec / day max.
- Internal Memory: Minimum 512Mbytes high speed NAND Flash
- Wireless 802.11g Integration (Ad-hoc mode)
- 10/100Mbit Ethernet; FTP, SMTP, HTT P, HTT PS, SSL, SSH.
- USB Mass storage class support
- Remote access though secure remote Ethernet tunneling
- Max number of events: Only limited by internal memory
- Power Requirements: 100V-240V ACrms +/-10% 47-63 Hz 10Watt s 24Vdc 0.5Arms Center Barrel positive
- UPS standby time: Programmable Max time 10 Minute"
Tektronix PA 1000 Power Analyzer "- 1 MHz, 1 MS/s
- Bright color graphics display makes instrument setup and data readout easy
- Dual internal current shunts maximize accuracy for high- and lowcurrent measurements
- Application-specific test modes simplify instrument setup and reduce the likelihood of user error
- Easy data export to USB flash drive or remote PC software, for reporting and analysis
- PWRVIEW PC software provides fully automated compliance testing to IEC 62301 requirements
- Many standard features such as GPIB, USB, Ethernet and harmonic analysis eliminate costly upgrade options"
Tektronix PA 4000 Power Analyzer, DC "- 1 to 4 Input Modules allows several configurations to match application
- High measurement accuracy of 0.04% (basic voltage & current accuracy) for demanding test requirements
- Dual internal current shunts for each module maximize accuracy for high- and low-current measurements
- Unique Spiral ShuntTM design maintains stability over variations in current, temperature, etc. (patent applied for)
- Proprietary frequency detection algorithms ensure rock-solid frequency tracking even on noisy waveforms
- Application-specific test modes simplify instrument setup and reduce the likelihood of user error
- Easy data export to USB flash drive or remote PC software, for reporting and/or remote control"
Voltech CT 1000 Current Transformer "- Clamp-On
- Frequency: 45Hz - 1kHz
- Max Input Current: 1000A continuous / 2000A for 1 hour"
Voltech PM 1000 AC Power Analyzer "- Easy to use-fully autoranging for voltage, current and frequency
- Accurate measurements of real power (W) and apparent power (VA) even with distorted waveforms
- Displays real power factor
- True RMS measurement of voltage (2V to 700V AC) and current (20mA to 20A AC)
- Computes the crest factor (Pk/RMS) of input voltage and load current
- Harmonic analysis of current and voltage waveforms for checking conformance to specifications Wide frequency range - from DC to 20KHz
- Determines peak inrush current of motors, transformers, power supplies and similar loads"
Voltech PM 3000 Universal Power Analyzer "- Range (Auto or Manual): 0.5V to 2000Vpk
- Frequency Range: DC and 0.1Hz to 200Hz
- Max Input: Continuous - 2000Vpk / 1 second - 5000Vpk"
Voltech PM 3000 A Universal Power Analyzer "- 0.05% basic accuracy
- Universal application: single-phase, three-phase, and DC rectifier measurements
- Harmonic analysis of voltage current and power on each phase and neutral to the 99th harmonic
- Accurate with distorted waveforms and poor power factors
- Built-in integrator for measuring energy maximum demand or averaged values
- Exceptionally wide input ranges on voltage (0.2 to 2000Vpk) and current (10mA to 200 Apk)
- Measures short term events, such as start-up current and burst power
- Accepts inputs directly or from external shunts, current transformers and voltage transformers
- Provides eight programmable outputs for chart recorders or scopes
- Wide frequency range: -DC to 1MHz
- Full system capability with IEEE488.2, RS232 and Centronics interfaces as standard"
Xitron 2503 AH Series Power Analyzer "- 18-bit, 500 kHz sampling speed provides 0.05% basic accuracy
- Ultrafast FFT’s per channel produce measurements in 10 ms
- 3000 V Peak, 50 Amp Peak internal shunt and Hall effect CT’s
- Pre-configured for ballast, motor, power supply and appliance tests
- Real-time, ultra-fast, harmonic analysis
- Application specific configurations
- External CT and PT capability ratio: 0.000001 - 1000000 to 1, for A/V, A/A or V/V
- Frequency Measurement: 500 µHz to 500 kHz, 0.01% of reading
- Measurement Period: User defined from 1 mSec to 27.8 hours
- Watt, VA & VAR Accuracy highest of V Amp error or Amp V error yields max. error for either Watts, VA, or VAR
- Accumulation accuracy WHr, VAHr, AHr up to 9999.9 GWHr/GVAHr
- Timing Accuracy: 0.01% + 10 mSec. start/stop error"
Xitron 2553 Power Analyzer "- Three Phase
- 20 mHz - 80 mHz
- Up to 1500 volts peak, 40 amps peak internally & up to 10,000 amps with the use of External Current Transducers
- DC and 20 mHz - 80 kHz Frequency Range
- Graphics Display shows numerical results, waveforms, bar graphs & history plots
- 16-bit A-D takes up to 220k samples/second
- Simple 6-key user interface
- PCL/Text Printer output,IEEE488 interface included
- 1ø2W, 1ø3W, 3ø3W and 3ø4W configurable using the same wiring"
Xitron 2801 Advanced Power Analyzer "- Up to 2000V peak and 150A peak measurable internally (external CT capable)
- Base accuracy <0.08%. Current and voltage accuracies specifi ed to less than 1mArms and 1Vrms respectively (<0.2%)
- Measures and displays volts, current, power, frequency, harmonics (to the 100th), THD, PF, CF, K-Factor, Triplens, inrush, distortion, glitches, and much more
- Provides PASS/FAIL tests to user limits Integrated line switch and inrush waveforms
- Source or load measurements with wiring loss and voltage burden compensation
- DC charge and discharge measurements
- Frequency Range: DC and 20mHz – 200kHz
- Graphics display shows numerical results, waveforms, bar graphs, startup & history charts with zoom & scroll features
- 16-bit A/D converters at 235ksps
- 12 User-configurable digital I/O
- USB Flash drive support for data logging"
Xitron 2802 Power Analyzer "- Two Channel
- Base accuracy <0.08%. Current and voltage accuracies specified to less than 1 mArms and 1 Vrms respectively (<0.2%)
- 2000 Vpk and 150 Apk measurable internally (external CT capable)
- Integrated line switch and inrush waveforms
- Graphics display shows numerical results, waveforms, bar graphs, startup & history charts with zoom & scroll features
- Wiring loss and voltage burden compensation
- DC charge and discharge measurements
- Frequency Range: DC and 20 mHz – 200 kHz
- Communications ports include USB, GPIB, and RS-232 standard, plus optional Ethernet"
Yokogawa WT 1803 Power Analyzer "- 0.1 Hz low-speed signal power measurement and max. 50 ms high-speed data collection
- direct input voltage range from 1.5 V to 1000 V (12 ranges) and a direct input current range from 10 mA to 5 A (9 ranges) or from 1 A to 50 A (6 ranges)
- a power-factor error (0.1%)"
Yokogawa WT 300 Digital Power Meter "- Basic accuracy: 0.1% of Reading
- DC measurement: 0.5 Hz to 100 kHz frequency range
- Measures all AC and DC parameters
- Compact design (half-rack size)
- Standard USB, and GPIB or RS232 Interfaces
- Low current measurements down to 50 micro-Amps (WT310 only)
- High current measurements up to 40 Amps RMS (WT310HC only)
- High-speed data update (up to 10 readings per second)
- Simultaneous Normal and Harmonic measurements
- Optional Ethernet interface
- WTViewerFreePlus Software included
- Split Phase and Three Phase measurements with the WT300 series"
Yokogawa WT 310 Digital Power Meter "- Basic accuracy: 0.1% of Reading
- DC measurement: 0.5 Hz to 100 kHz frequency range
- Measures all AC and DC parameters
- Compact design (half-rack size)
- Standard USB, and GPIB or RS232 Interfaces
- Low current measurements down to 50 micro-Amps (WT310 only)
- High current measurements up to 40 Amps RMS (WT310HC only)
- High-speed data update (up to 10 readings per second)
- Simultaneous Normal and Harmonic measurements
- Optional Ethernet interface
- WTViewerFreePlus Software included
- Single Phase measurements with the WT310 series
- Split Phase and Three Phase measurements with the WT300 series"
Yokogawa WT 310 HC Digital Sampling Power Analyzer "- Basic Accuracy of 0.1% of Reading
- Current Range: 1- 40A
- Voltage: 15-600 Volts RMS
- DC, 0.5 Hz to 100 kHz Frequency Range
- Standard USB, and GPIB or RS232 Interfaces
- Ideal for Production, Testing, Evaluation and R&D."
Yokogawa WT 330 Digital Power Meter "- Basic accuracy: 0.1% of Reading
- DC measurement: 0.5 Hz to 100 kHz frequency range
- Measures all AC and DC parameters
- Compact design (half-rack size)
- Standard USB, and GPIB or RS232 Interfaces
- Low current measurements down to 50 micro-Amps (WT310 only)
- High current measurements up to 40 Amps RMS (WT310HC only)
- High-speed data update (up to 10 readings per second)
- Simultaneous Normal and Harmonic measurements
- Optional Ethernet interface
- WTViewerFreePlus Software included
- Split Phase and Three Phase measurements with the WT300 series
"
Yokogawa WT 332 Digital Power Meter "- 100 kHz, 2 Channel
- Rated Supply Voltage: 100 Vac to 240 Vac
- Permitted Supply Range Voltage: 90 VAC to 264 VAC
- Rated Supply Frequency: 50/60 Hz
- Permitted Supply Voltage Frequency Range: 48 Hz to 63 Hz
- Maximum Power Consumption: 70VA
- Battery Backup: Setup parameters are backed up with a lithium battery
"
Yokogawa WT 333 Digital Power Meter "- 100 kHz, 3 Channel
- Rated Supply Voltage: 100 VAC to 240 VAC
- Permitted Supply Range Voltage: 90 VAC to 264 VAC
- Rated Supply Frequency: 50/60 Hz
- Permitted Supply Voltage Frequency Range: 48 Hz to 63 Hz
- Maximum Power Consumption: 70 VA
"
Zimmer Electronics CE-Test 61 k Test System "- Test system for CE Mark IEC/EN 62301
- Modular structure, 1 to 3 phases
- Power sources up to 5kVA per phase
- Existing customer owned power sources may be used, even for systems wich are discontinued in availability and support by their manufacturer e.g. HP/Agilent and others
- Inputs to register additional process values"
Zimmer Electronics LMG 500-4 AC Power Analyzer "- High dynamics in level control, ranges from 3V to 1000V/3200Vpeak, 20mA to 32A/120Apeak in direct measurement only by a single pair of sockets each for voltage as well as for current input
- 3 MSample/s, absolutely gapless sampling with evaluation of all sampling values
- Capturing transients and fast signal changes by event triggering which is always active in the background of the ""normal mode""
- Harmonics and interharmonics up to 50 kHz internal and up to 1 MHz with an external PC
- Flicker measurement, interactions between network and load
- Modular with 1 to 8 power measuring channels
- Ergonomic operation shell for easy, intuitive use of the power meter
- Real-time evaluation of the measurements in numeric tables and diagrams
- Interfaces with high data transfer rate (IEEE488.2, RS232, USB, Ethernet)
"
ZES Zimmer LMG 610 Precision Power Analyzer "- Harmonics and interharmonics up to 2000. order, as required by IEC 61000-4-7
- With optional I/O card speed/torque inputs freely configurable for all signal types (analogue, frequency as RS422,TTL or HTL) via menu
- Flexible scripting tool for custom applications
- Simultaneous measurement of V, I, P values and harmonics, presentation in tabular or graphical form
- Signal filters freely configurable by frequency, type and characteristics
- Synchronization to different frequencies
"
ZES Zimmer LMG 640 Power Analyzer "- 1 to 4 Channel
- Harmonics and interharmonics up to 2000. order, as required by IEC 61000-4-7
- With optional I/O card speed/torque inputs freely configurable for all signal types (analogue, frequency as RS422,TTL or HTL) via menu
- Flexible scripting tool for custom applications
- Simultaneous measurement of V, I, P values and harmonics, presentation in tabular or graphical form
- Signal filters freely configurable by frequency, type and characteristics
- Synchronization to up to 4 different frequencies simultaneously
"
ZES Zimmer LMG 670 Power Analyzer "- 1 to 7 Channel
- Harmonics and interharmonics up to 2000. order, as required by IEC 61000-4-7
- With optional I/O card speed/torque inputs freely configurable for all signal types (analogue, frequency as RS422,TTL or HTL) via menu
- Flexible scripting tool for custom applications
- Simultaneous measurement of V, I, P values and harmonics, presentation in tabular or graphical form
- Signal filters freely configurable by frequency, type and characteristics
"
Zimmer Electronics LMG 95 Power Analyzer "- Phases 1
- Frequency DC - 50 kHz
- Basic accuracy 0.03%
- Voltage ranges 6 - 600V
- Current ranges 150 mA - 20 A
- Interfaces IEEE 488, RS 232, USB Printer, Process signals"
Newport 1835-C Multi Function Optical Meter "Accessories: CE Label
- Single Channel
- IEEE
- Sensor head is not included
- 120/240 V
- 50/60 Hz
- 1 Phase
- Weight: 8 lb (4 kg)"
PK Technology FK II Fiber Optic Cleaver "- Electronically-tuned ultrasonic blade
- Unique low torsion fiber clamping
- Laboratory precise, field rugged
- Axial tension adjusts for fiber diameter
- Battery powered: 9V
- Battery life: 1000 Cleaves
- Cladding diameter: 80-200 microns"
Tamarack Scientific 2032 Arc Lamp Power Supply "- Output 8KW
- 115/230 V
- 30.0 A(2)
- 50/60 Hz
- 3 Phase"
UTD S 370 Optometer / 265 Sensor "- Single Channel
- UTD Model 265 Sensor with rubber light shield
- Standard calibrations: nit (cd/m2); footlamberts
- Photometric filter accuracy: <1.0%
- CIE V Function: < f1' +/- 3%
- Sensor active area(cm2): 0.34
- Dynamic range: 1.0E-03 - 1.0E+05 cd/m2
- Typical response: 1.1E-09 A/cd/m2 @ 0 nm
- Features: high accuracy photometric filter(f'1 <3%)
- 115 V
- 50/60 Hz
- 1 Phase"
Hoffman TSP-410 Night Sky Meter "- 12 Volt Power supply
- Four measuring ranges:
Range A: 1999 mLUX Full range
Range B: 1.999 mLUX Full range
Range C: 19.99 mLUX Full range
Range A: 1.999 mLUX Full range"
Melles Griot 06 DLD 203 Diode Laser Driver "- Up to 300mA low noise current output
- 16W integrated TEC controller
- 5V diode compliance
- Up to 1MHz analog modulation
- IEEE 488.2 interface
- 100-240 V
- 2.0 A
- 50/65 Hz
- 1 Phase"
Fujikura HJS-01 Hot Jacket Stripper Requires: 12Vdc, 1.2A power supply. Not included
Hewlett Packard 11713 A Attenuator / Switch Driver "- HP-IB control of up to 10, 24 volt solenoid-activated switch or attenuator sections
- Control up to 10 relays
- 2 plug in drive cables"
Hewlett Packard 8495 G Programmable Attenuator "Two attenuators
- 8495G/70 db opt. .002
- 8495G/ 11 db opt. .002
Range: 70 dB"
ILX Lightwave 3900 Modular Laser Diode Controller "Accessories:
- Model LDC 3900 Mainframe
- Three model 39020 Current sorce modules
Other information:
- 4 independent, isolated channels for multiple laser and TEC control
- Wide variety of laser controller modules available with up to 2A laser current and integrated 12W TE controller
- Single output current source modules available from 200mA to 8A
- 32 W (8 V, 4 A)TEC modules
- Low-noise, high-stability current source modules operate in constant current or constant power modes with direct modulation to 500KHz
- Single channel 32W TEC module operates in constant temperature or constant resistance mode
- TEC modules accept thermistor, IC and RTD temperature sensors
- GPIB/IEEE-488 Interface
- 50/60 Hz
- 120/240 V
- 1 Phase"
Newport Orion Single Mode Fiber Aligner "Accessories:
- Controller Model # Orion-CM
- Motorized X-Y-Z Stage model #562
- Dither module
- Intergrating sphere model #818-IS-1
- 120/240 V
- 50/60 Hz
- 1 Phase"
Sagitta Gemini-Pi Automated Polishing and Inspection System "- Configurable multi-station design
- Integrated optical image metrology station
- Multi-part polishing technology
- Fully unattended batch processing
- Process recipe management system
- Variable applications on the same platform
- (3)300mm polisihing stations
- (1) Wash/dry station
- (2) Ultrasonic stations
- (1) Inspection station
- 115/230 V
- 50/60 Hz
- 1 Phase"
Hoffman LS-65-8 C Integrating Sphere "- Diameter: 6.000 in (15.2 cm)
- Light Source: Halogen
- Accessories: Controller/Power Supply Model LS-65-8C HO
- 115/230 V
- 3.0 A
- 50/60 Hz
- 1 Phase"
Labsphere HMS-4011 Halfmoon Light Measurement System "System Includes:
- 40 inch HalfMoon Sphere Model: HM-400-SF
- Preset Power Supply Model: LPS-100-0833
- CCD Array Spectrometer Model: CDS 1100
- Standard Lamp Model: FFS-100-1000 P/N AS-02768-200 Approximate Luminous Flux: 1000 lm
- 100 W Absorption Correction Lamp, AUX-100 P/N AS-02737-100
- Dell computer with the 19"" monitor, Windows XP, Light Matrix software ver 1.0.8.0"
Labsphere LMS-100 Lamp Measurement Integrating Sphere "- Max lamp ratings: 100 Watt
- Max luminous flux: 2000 Lm
- Max length: 5""
- Spectral range: 300-2400 nm
- Diameter: 10.000 in (25.4 cm)"
Labsphere LPS-045-H Lamp Power Supply "- Halogen Lamp Power Supply
- Output rating: 45 Watt
- Ramp up time: 2 min
- Compatible lamps: 6,10,20,30 and 45 Watts
- 115 V
- 50/60 Hz
- 1 Phase"
Labsphere Integrating Sphere "- Diameter: 6.000 in (15.2 cm)
- Output Ports: 2
- Accessories: support base (6""x6"")
- Size of outputs: (1) 1/2"", (1) 1"""
Labsphere "SC-5000 Designed " Sphere System Control "- IEEE / RS232C
- 105-125 V
- 50/60 Hz
- 1 Phase"
Labsphere SSI-030 Satellite Sphere Illuminator "- Diameter: 3.000 in (7.6 cm)
- Accessories: Iris and lamp socket
- Input port: 1""
- Attachment port: 1"""
Labsphere Spectralon Intergrating Sphere "- Three ports: 0.5"" Dia.
- Port location: 0 Deg,90Deg,and 180 Deg
- Sphere material: Spectralon
- Diameter: 3.000 in (7.6 cm)"
Newport 819-IS-4 Integrating Sphere "- Diameter: 4.000 in (10.2 cm)
- Number of Output Ports: 4
- Size of Outports: 1 in (2.54 cm)
- Three 1"" ports / One .5"" port"
Newport 819-IS-2 Integrating Sphere "- Diameter: 2.000 in (5.1 cm)
- Number of Output Ports: 4
- Size of Ouputs: 0.50 in (1.27 cm)
- Weight: 2 lb (1 kg)"
Labsphere US-060-SF Uniform Source Integrating Sphere "- Diameter: 6.000 in (15.2 cm)
- Size of Outputs: 2in (5.08 cm)"
GSI Lumonics XY Mark Laser Marking System "- Accessories: Lumonics Xymark Extractor Model EX50C Air Cleaning System
- 230 V
- 10.0 A
- 50 Hz
- 1 Phase"
GSI Lumonics XY Mark Laser Marking System "- 230 V
- 10.0 A
- 50 Hz
- 1 Phase"
Keyence MD-F 3000 W Fiber Laser Marker "- Accessories: Keyence SR-D100H High resolution 2D code reader
- 190-250 V
- 50/60 Hz
- 1 Phase"
GSIL JK 501 Continuous Wave Nd: YAG Laser "- Rated Power Output: 500 Watts
- Water Cooled
- Class 4 laser
- Wavelength: 1064nm
- Maximum power consumption: 21kva
- Supply rating: 19kw
- Ouput Specifications:
- Average laser power: 500w
- Maximum modulated power: 2000w
- Beam quality: 25mm.mrad
- Modulated frequency: 100-500hz
- Shutter response time: <50ms"
GSIL JK 501 Continuous Wave Nd: YAG Laser "- Rated Power Output: 500 Watts
- Class 4 laser
- Wavelength: 1064nm
- Maximum power consumption: 21kva
- Supply rating: 19kw
- Ouput Specifications:
- Average laser power: 500w
- Maximum modulated power: 2000w
- Beam quality: 25mm.mrad
- Modulated frequency: 100-500hz
- Shutter response time: <50ms"
GSIL JK 702 Pulsed YAG-laser System "- Rated Power Output: 250 Watts
- Water Cooled
- System includes JK702 Laser, power supply, and Control Panel.
- Mean Power: 0 - 250W
- Pulse Energy: 0.1 - 35J
- Pulse Width: 0.5 - 20 mS
- Repetition Rate: 0.2 - 500Hz"
Miyachi Unitek LW 300 A-2 E Industrial High Speed Laser Welder "- Rated Power Output: 300 Watts
- Wavelength: 1064 nm Pulse power @ 10mS: 50J
- Peak power: 6.0kW
- Pulse width range: 0.25 to 99.9mS
- Repetition rate: 500 Hz
- Pulse shaping feature: Yes
- Aiming laser: Diode
- Number of outputs: Up to 4
- Energy share outputs: One group of up to 4, or two groups of 2
- Time share outputs: Up to 4
- Fiber core diameter(SI or GI fibers): 0.3, 0.4, 0.6, 0.8, 1.0mm
- Datacom: 8/7 data, 2/1 stops bits, even/odd parity, RS485/422
- Line current: 43A
- Cooling method: Water to water"
New-Wave Research Polaris II Compact Flash-Lamp Pumped Nd:Yag Laser "- Water Cooled
- Installed filters for 532nm only
- Rep rate: 20Hz
- Weight: 48 lb (22 kg)
- 100-240 V
- 4.0 A
- 2.0 A (2)
- 50/60 Hz
- 1 Phase"
Advanced Laser Diode EIG 1000 A Picosecond Injection Laser (PiLas) "- Laser head: Model PIL 090G
- Wavelength: 905nm
- Tolerance: +- 15nm
- Spectral width:< 10 nm
- Pulse width: <50(ps)(2)
- Peak power in collimated beam >300 (mW)(1)
- 115/230 V
- 2.0 A
- 4.0 A(2)
- 50/60 Hz
- 1 Phase"
Arroyo Instruments TEC Mount 264 and TECSource 5300 Mount and Temperature Contoller "- Accessories:15-Pin Cable
Temperature Controller:
- Model- 5300-04-15 TECSource
- Custom power: 4 Amps 15 Volts
- Conections- RS-232 and USB"
Arroyo Instruments TEC Mount 284 and TECSource 5300 Mount and Temperature Contoller "- High Power
Accessories:
- MB-284 Mounting Base and Posts
- 15-Pin Cable
Temperature Controller:
- Model- 5300-04-15 TECSource
- Custom power: 4 Amps 15 Volts
- Conections- RS-232 and USB
"
Burleigh SA Plus-800-14 Laser Spectral Analyzer "Accessories:
- DA-100 Detector Amplifier
- RG-91 Ramp Generator
Other Information:
- Free spectral range: 8 GHz
- Wavelength: 1425 to 1675 nm
- Mirrow reflectivity: 99.7%
- Input aperture: 1mm
- PZT scan distance: 1.2 micron/1000V
- 1 Phase
- Power Reqs: 85-264 V"
Coherent Innova 100 Argon Ion Laser "- Rated Power Output: 18 Watts
- Water Cooled
- Interface: RS 232
- 480 V
- 100.0 A
- 50/60 Hz
- 3 Phase"
Coherent Innova I-90 Argon Ion Laser "- Rated Power Output: 6 Watts
- Water Cooled
- Wavelength operation: 351.1nm - 528.7nm
- Laser Head: Model I-90
- Power Supply: Innova 90-6
- 208 V
- 45.0 A
- 60 Hz
- 3 Phase"
Coherent Innova 90-A Argon Ion Laser "- Rated Power Output: 5 Watts
- Water Cooled
- Wavelength operation: 351.1nm - 528.7nm
- Laser Head: Model Innova 90
- Power Supply: Innova 90-A
- Remote Control Module: I-90
- 208 V
- 45.0 A
- 60 Hz
- 3 Phase"
Coherent Innova FReD 300 Argon Ion Laser "- Water Cooled
- IEEE 488-GPIB
- Accessories: Remote Control
- RS232/422
- 208 V
- 60.0 A
- 50/60 Hz
- 3 Phase"
Con Optics M 311 A Light Modulation System "- E.O. amplifer--Model 302
- Modulator--Model M 311A
- Aperature: 1 mm; wavelength: 514 nm
- Sensitivity: 4.4 mr/kV
- Transmission: 91%
- Size: Dia. 50mm / Length: 219mm
- 115 V
- 2.0 A
- 50/60 Hz"
Hinds PEM 90 Photoelastic Modulator II/ZS37 "- 120/240 V
- 1.0 A
- 50/60 Hz
- 1 Phase"
Melles Griot 05 LGR 171 GreNe Laser "- 0.2 mW; 0.75 beam diameter
- Power supply not available
- Max output 5mW"
Molectron EPM 2000 Dual Channel Joule/Power Meter "Accessories:
- Two detectors
- Model #J3S-10
- Calibration at 1064 nm
Other info:
- 85-264 V
- 1.0 A
- 47-63 Hz
- 1 Phase"
Spectra-Physics 165-03 Argon Ion Laser "- Rated Power Output: 5 Watts
- Water Cooled
- 208 V
- 35.0 A
- 60 Hz
- 3 Phase
- Weight: 216 lb (98 kg)"
Spectra-Physics 2080-KV Krypton Ion Laser "- Rated Power Output: 2 Watts
- Water Cooled
- 480 V
- 73.0 A
- 50/60 Hz
- 3 Phase"
Speedfam 9 B Double Sided Polisher
Lot of Electric Test Equipment
Lot of Electric Test Equipment
Haake F-3 Controller Bath/Circulator "- 220 V
- 50 Hz
- 1 Phase
- Tank Size: 495 mm wide, x 150 mm long x 150 mm deep
- Capacity/Liquid: 4.50 L (1.19 gal)
- Max Flow: 4 GPM (15.20 l/m)
- Forced Air Cooled"
Haake Phoenix P 2 Controller Heating Bath Circulator "- 115 V
- 11.5 A
- 60 Hz
- 1 Phase
- Water Cooled
- Programmable
Accessories:
- RS-232C
- Multi-Function Connection
- Water cooling loop
- Socket for Pt-100 sensor
- 3 speed pump: Hi/Med./Low
- 6 Languages, German, English, French, Italian, Spanish, Japanese
- Ramp Functions"
Hart Scientific 5003 Bath / Circulator Precise Constant Temperature Recirculation Bath "- 104-127 V
- 15.0 A
- 60 Hz
- 1 Phase
- Forced Air Cooled
- Capacity/Liquid: 27L (7.13 gal)
- Max Flow: 4 GPM (15.20 l/m)"
Lauda-Brinkmann RCS 20-D Refrigerating Bath/Circulator "- Forced Air Cooled
- 115 V
- 15.0 A
- 60 Hz
- 1 Phase"
Lauda-Brinkmann RM 20 B Bath/Circulator "- Tank cover not included
- 115 V
- 11.3 A
- 60 Hz
- 1 Phase
- Forced Air Cooled
- Capacity/Liquid: 20L (5.28 gal)"
Neslab EX-211-MP Heating Bath with Refrigeration "- Programmable
- Forced Air Cooled
- Max Flow: 4 GPM (15.20 l/m)
- 115 V
- 9.0 A
- 60 Hz
- 1 Phase"
Neslab RTE-111 Refrigerated Bath/Circulator "- with Analog Setpoint
- Forced Air Cooled
- Max Flow: 4 GPM (15.20 l/m)
- Capacity/Liquid: 7.19 L (1.90 gal)
- 115 V
- 16.0 A
- 60 Hz
- 1 Phase"
Neslab RTE-111 Refrigerated Bath/Circulator "- with Digital Setpoint
- 500 Watt
- Forced Air Cooled
- Max Flow: 4 GPM (15.20 l/m)
- Capacity/Liquid: 7.19 L (1.90 gal)
- 115 V
- 12.0 A
- 60 Hz
- 1 Phase"
Neslab RTE-211 Refrigerated Bath/Circulator "- 500 Watt
- Forced Air Cooled
- Max Flow: 4 GPM (15.20 l/m)
- Capacity/Liquid: 12.30 L (3.25 gal)
- 115 V
- 12.0 A
- 60 Hz
- 1 Phase"
Neslab RTE-7 Digital Plus Refrigerated Bath "- 230 V
- 50 Hz
- 12.0 A
- 1 Phase
- Programmable
- Forced Air Cooled
- Max Flow: 4 GPM (15.20 l/m)
- Capacity/Liquid: 7.20 L (1.90 gal)"
American Scientific YB 131 Heated Bath "- Agitator impeller
- 60 min timer
- Stainless steel interior
- 115 V
- 50/60 Hz
- 1 Phase"
Haake D 8 with P 14 Heating Open Bath "- Water Cooled
- 100* C
- 220 V
- 10.0 A
- 50/60 Hz
- 1 Phase"
Hart Scientific 7030 Precision Calibration Bath "- Temperature setability: ±0.002°C
- Temperature resetability: ±0.002°C
- Accuracy: ±1°C
- Typical short and long term stability: ±0.01°C
- Test well: 2.6"" Dia. x 11.3"" Deep with 1/4"" mecury trap on bottom
- Single stage air cooled compressor
- Refrigerant R507
- 115 V
- 17.0 A
- 60 Hz
- 1 Phase"
Tamson TC-45 Viscometer Bath "- 10"" x 10"" opening
- Lid accomodates(7) 50mm glass capillary viscometers
- Meets ASTM D445 specification
- 230* C
- 115 V
- 17.0 A
- 60 Hz
- 1 Phase"
Advanced Thermal Sciences MP 20 N-GL Cascade Refrigeration Chiller "- Programmable
- Refrigerant: SUVA 95/R-508B and R507
- Fluid:ZT-70 or H-100
- Hours on the chiller are 2,290
- 208 V
- 35.0 A
- 50/60 Hz
- 3 Phase"
Affinity FWA-032 K-DD 19 CBD 4 Circulator "- Water Cooled
- Controller Manufacturer/Model: FUJI PXZ-4
Accessories:
- Auto fill
- EMO
- Suva R-407C Refrigerant
- 1"" - Outlet, 1"" - Return
- 30"" x 28"" x 2.75"" Drip pan
- 200-230 V
- 35.0 A
- 50/60 Hz
- 3 Phase"
Affinity FWA-060 K-BE 41 CBD 4 Circulator/ Di water /Refurbish "- 208/230 V
- 40.0 A
- 50/60 Hz
- 3 Phase
- Water Cooled
- Programmable
- Max Flow: 3 GPM (11.40 l/m)
Accessories:
- Auto Fill
- New Strainer
- New DI Cartridge
- Refrigerant R-407C-14lb"
Affinity FWA-060 L-BE 13 BD 4 Circulator "- Water Cooled
- Cooling Capacity: 22,870 W (78,001 BTU/hr)
Accessories:
- Auto refill
- External strainer
- 25-pin connector
- High & low flow and temperature alarms
- Low level alarm"
Applied Thermal Control KT-1 Range Recirculator Chiller "- Forced Air Cooled
- Cooling Capacity: 1,000 W (3,411 BTU/hr)
- 208/230 V
- 7.0 A
- 50/60 Hz
- 1 Phase
Accessories:
- Power switch adjustable for:
- 208/220 VAC, 60 Hz
- 230 VAC, 50 Hz"
ArctiChill PACRPV 0200 S 4 Circulator "- Forced Air Cooled
- Cooling Capacity: 64,592 W (220,300 BTU/hr)
- Max Flow: 90 GPM (342.05 l/m)
- 460 V
- 45.4 A
- 60 Hz
- 3 Phase"
Bay Voltex HT-3500-WC-SX-SS-RC Closed Loop Heating/Cooling Circulator "- 1000 Watt
- Water Cooled
- Local or remote control
- Connections: 1/2"" NPT
- Refrigerant SUVA R-404/HP-62
- 2000-watt heater
- Remote Connector Amphenol 97-3102-24-28s with unit
- 208/230 V
- 20.0 A
- 50/60 Hz
- 1 Phase"
Coolwell SE-082 W Circulator "- Water Cooled
- Capacity/Liquid: 7.57 L (2gal)
- Rotary compressor, Omega Model CN9000A controller
- 208/230 V
- 8.0 A
- 60 Hz
- 1 Phase"
Edwards Engineering CF-150 A Flow Through Circulator "- 5278 Watt
- Forced Air Cooled
- Compressor Horse Power 1.50 HP
- Accessories: Refrigerant Suva R-409A.
- 208 V
- 30.0 A
- 60 Hz
- 1 Phase"
Freeze FCAC-0001 Circulator "- 208 V
- 15.0 A
- 60 Hz
- 3 Phase
- 3518 Watt
- Forced Air Cooled"
GC Industries Ice Wagon DH 40 ACLT Circulator "- 208/230 V
- 30.3 A
- 60 Hz
- 3 Phase
- Forced Air Cooled
- Stainless Steel pump and Tank
- Control in F or C
- Double insulated
- Hot gas bypass system
- Brazed copper piping throughout
- 1""-inlet and outlet"
Mydax 2 H 14 A-SS Circulator DI-water "- 4100 Watt
- 208/240 V
- 30.0 A
- 60 Hz
- 3 Phase"
Neslab System 5000 Deionized Water Circulator/Water to Water Heat Exchanger "- 208 V
- 60 Hz
- 3 Phase
- 150,000 Watt
- Programmable
- Water Cooled
- Cooling Capacity: 150,000 W (511,596 BTU/hr)"
Affinity EWA-NACA-049 CB Water to Water Heat Exchanger "- 115 V
- 15.0 A
- 60 Hz
- 1 Phase
- Water Cooled
- Cooling Capacity: 20,000 W (68,213 BTU/hr)"
Affinity EWA 04 AL-CE 87-CBCO Circulator/Di Water/Refurbish "- 3.0 A
- 50/60 Hz
- 3 Phase
- 35,000 Watt
- Water Cooled
- Cooling Capacity: 35,000 W (119,372 BTU/hr)
- 380-volts-50hz 3-amp
- 460/277-volts 60-hz 3-amp"
Bay Voltex HRE 200-E 1-H 2-F 1-D 3 Water to Water Heat Exchanger "- 120 V
- 60 Hz
- 1 Phase
- 5000 Watt
- Water Cooled
- Cooling capacity: 20,000 W (68,213 BTU/hr)"
Neslab SYS HTX-90 Heat Exchanger for Galden HT-135 Recirculating Fluid "- 208/230 V
- 14.0 A
- 50/60 Hz
- 3 Phase
- 4000 Watt
- Water Cooled
- Programmable"
Electroglas 4090 Probers
Neslab EX-200 Bath Circulator "- 850 Watt
- Water Cooled
- 115 V
- 7.5 A
- 60 Hz
- 1 Phase"
Sterling 942 TCU Sterl-Tronic Micro-Max Heating Circulator "- 460 V
- 10.0 A
- 60 Hz
- 3 Phase
- External cooling
- Heater: 4000 Watts
- Love Digital Setpoint Controller"
STS Multiplex ASE Deep Reactive Ion Etcher (DRIE) "- Process Type: Polymers
- Windows 2000
- Vacuum Load Lock with single wafer loader
- MESC Process Module
- ICP 240BF Source
- 1 KWatt (13.56 MHz) RF Supply and Matching Unit (For ICP Source)
- Mechanical Wafer Clamping with He Backside Cooling
- 300/30 Watt (13.56 MHz) RF Supply and Maching Unit (For lower Electrode)
- Electrode Temperature Control (+5 to +40 C)
-On-Board mini Gas Box (max 8 lines)
- Gases include:
SF6, C4F8, CHF3, Ar, CF4, O2, CO2, He [backside cooling]
- Approximately 200 Hours
- 208V, 60 Hz"
Haake EK-20 Immersion Cooler "- Forced Cool Air
- Cooling Capacity: 300 W (1,023 BTU/hr)
- 115 V
- 15.0 A
- 60 Hz
- 1 Phase"
Blue M 11-SCMT-182418-25 A-G-SPL Box Furnace "- Capacity/Volume Box: 5.69 cu ft (0.16 cu m)
- 1,371°C
- 480 V
- 60.0 A
- 60 Hz
- 3 Phase"
Blue M 2015 C 3 Box Furnace "- 1093°C
- 240 V
- 21.0 A
- 60 Hz
- 1 Phase"
Blue M 2015 C 3 X Box Furnace with Base Storage Cabinet "- Air Cooled
- 1093* C
- 240 V
- 21.0 A
- 60 Hz
- 1 Phase"
CM Furnaces Furnace "- 1700* C
- Air Cooled
- Programmable
- 208/240 V
- 30.0 A
- 27.0 A(2)
- 1 Phase"
CM Furnaces 1720 S-D Gas Sealed Box Furnace "- Front Loading
- Top and Bottom Holes
- 1700 * C
- Programmable
- Water Cooled
- 240 V
- 50.0 A
- 60 Hz
- 1 Phase
- Weight: 374 lb (170 kg)"
Lindberg 51524 Box Furnace "- 1700* C
- Programmable
- Forced Air Cooled
- 208/240 V
- 30.0 A
- 50/60 Hz
- 1 Phase"
Zircar Zirconia Hot Spot 110 -- FAA 04 Box Furnace "- 1,700 ºC (3,092 ºF, 1,973 ºK)
- Programmable
- Working Size (Ware Space): 3.5″ wide X 4″ high X 3.5″ deep
- Overall Size (Door Open): 20″ wide X 19″ deep X 27″ high
- Molybdenum Disilicide Heating Elements
- RKS Model: REX-P48 Programmable Temperature Controller Holds 2 Programs of up to 8 Steps Each
- RKC Model: CB100L Overtemp Controller
- 110 V
- 15.0 A
- 60 Hz
- 1 Phase"
Applied Test 3210 Split Hinge Tube Furnace "- Maximum Temperature: 1,200 ºC (2,192 ºF, 1,473 ºK)
- 240 Volt 5 Amp per zone
- 3.75"" Dia. X 12"" Hot Zone
- Refractory Dia. 2.75""
- 240 V
- 15.0 A
- 60 Hz
- 1 Phase"
Applied Test 3210 Split Hinge Tube Furnace "- Maximum Temperature: 1,100 ºC (2,012 ºF, 1,373 ºK)
- Three Zones
- Hot Zone: 12""
- Refractory Dia.: 3""
- 230 Volt 4.8 Amp Per Zone
- 50/60 Hz
- 1 Phase"
Lindberg 54877 Split Hinge Tube Furnace "- Programmable
- Air Cooled
- Maximum Temperature: 1,200 ºC (2,192 ºF, 1,473 ºK)
- 240 V
- 60 Hz
- 1 Phase"
Lindberg 54977 M Split Hinge Tube Furnace "- Programmable
- Maximum Temperature: 1,200 ºC (2,192 ºF, 1,473 ºK)
- 22500 watts
- Hot zone: 36""
- Refractory diameter: 9""
- Eurotherm Model 818P4 Programmable - - Controller; part number 103352 software version 4.20
- Accepts 4 stored separate programs of 8 ramp/level combinations with the ability to loop 999 times
- Two Eurotherm Model 847 Slave Controllers; part number 10147
- Controller dimensions: 17""W x 23""D x 17""H"
Lindberg MARK II Tube Furnace "- 1300* C
- Refractory: ID 3.5""
- Hot zone: 22""
- 208 V
- 30.0 A
- 60 Hz
- 3 Phase"
Mellen 1-226-3- 2 1 / 2 X 18 Tube Furnace "- Maximum Temperature:1,100 ºC (2,012 ºF, 1,373 ºK)
- 110/120 V
- 24.0 A
- 60 Hz
- 1 Phase"