GENERAL ELECTRIC THED136100WL THED136100WL
GENERAL ELECTRIC THED136100 THED136100
General Electric THED136100 Breaker 100 Amp 3 Pole 600 V With Current Limiter
GENERAL ELECTRIC THED136090WL THED136090WL
GENERAL ELECTRIC THED136070WL THED136070WL
GENERAL ELECTRIC THED136070 THED136070
GENERAL ELECTRIC THED136050WL THED136050WL
General Electric THED136050 -
GENERAL ELECTRIC THED136045 THED136045
General Electric THED136045 ul 3p 45a 600v Circuit Breaker
GENERAL ELECTRIC THED136025 CIRCUIT BREAKER * *
GENERAL ELECTRIC THED136020WL THED136020WL
GENERAL ELECTRIC THED136020 THED136020
GENERAL ELECTRIC THED136020 THED136020
GENERAL ELECTRIC THED136020 Molded Case Circuit Breaker
GENERAL ELECTRIC THED136020 CIRCUIT BREAKER * *
GENERAL ELECTRIC THED136020 CIRCUIT BREAKER * OUT OF BOX*
GENERAL ELECTRIC THED136015 THED136015
GENERAL ELECTRIC THED136015 3 POLE 15 AMP 600 VOLT BOLT IN STYLE BREAKER
General Electric THED124100 -
General Electric THED124060 Circuit Breaker
GENERAL ELECTRIC THED124040WL THED124040WL
GENERAL ELECTRIC THED124040WL THED124040WL
GENERAL ELECTRIC THED124030 THED124030
GENERAL ELECTRIC THED124020WL THED124020WL
GENERAL ELECTRIC THED124020 THED124020
GENERAL ELECTRIC THED124015 **NIB**
General Electric THED114015 Circuit Breaker - Reconditioned
General Electric THED113030 -
General Electric THED113015 -
GENERAL ELECTRIC THC3263 THC3263
General Electric THAVX21D **GENUINE**
General Electric THAUX64D GE Auxiliary Contact
GENERAL ELECTRIC THAUX61S THAUX61S
General Electric THAUX3 Auxiliary Switch
GENERAL ELECTRIC THAUX21D THAUX21D
General Electric TH4324 240V 200 Amp Safety Switch
GENERAL ELECTRIC TH4323 TH4323
GENERAL ELECTRIC TH4322R TH4322R
General Electric TH4322R 60 Amp, 240 Volt, 3 Pole, Nema 3 R Disconnect DS-103
GENERAL ELECTRIC TH4322 TH4322
GENERAL ELECTRIC TH4321R TH4321R
GENERAL ELECTRIC TH3363 HEAVY DUTY SAFETY SWITCH *FREE SHIPPING*
GENERAL ELECTRIC TH3362 TH3362
General Electric TH3362 Safety Switch -
General Electric TH3362 NSNP **GENUINE** GE
GENERAL ELECTRIC TH3361R TH3361R
General Electric TH3361R NSNP **GENUINE**
GENERAL ELECTRIC TH3361 SAFETY SWITCH 30AMP 3POLE 600VA
General Electric TH3361 Safety Switch 30 Amps Model 10 - No Box
GENERAL ELECTRIC TH3222 TH3222
General Electric TH3222 **GENUINE**
GENERAL ELECTRIC TGN3323R TGN3323R
GENERAL ELECTRIC TGN3321R SAFETY SWITCH 30 AMP
GENERAL ELECTRIC TG4324 SAFETY SWITCH * *
General Electric TG4323 Safety Switch 100 Amp 240 Volt
GENERAL ELECTRIC TG4323 TG4323
GENERAL ELECTRIC TG3223R TG3223R
GENERAL ELECTRIC TFKMOMA1 MOTOR OPERATOR
GENERAL ELECTRIC TFKMOMA1 MOTOR OPERATOR
GENERAL ELECTRIC TFKMOMA1 MOTOR OPERATOR
STM32F103C8T6 STMicroelectronics
STM32F407VET6 STMicroelectronics
ATMEGA328P-AU MICROCHIP
STM8S003F3P6 STMicroelectronics
STM32F030C8T6 STMicroelectronics
STM32F103RCT6 STMicroelectronics
STM8S003F3P6TR STMicroelectronics
STM32F103VCT6 STMicroelectronics
STM32F405RGT6 STMicroelectronics
ATMEGA2560-16AU MICROCHIP
TPS7A6650QDGNRQ1 TI
TCA6424ARGJR TI
TPS61194PWPRQ1 TI
TPS51200DRCR TI
PIC18F67K22-I/PT MICROCHIP
ATMEGA128A-AU MICROCHIP
PIC16F1947-I/PT MICROCHIP
ADM2483BRWZ AD
ADUM1201ARZ AD
AD7606BSTZ AD
ADM3251EARWZ AD
AD8542ARZ AD
AD8605ARTZ AD
ADS1256IDBR AD
IPB120P04P4-04 INFINEON
IRFB4227PBF INFINEON
TPS92692QPWPRQ1 TI
TPS5430DDAR TI
TPS92611QDGNRQ1 TI
ADM2587EBRWZ AD
TLE9262BQX INFINEON
MMBT3904 ON
GENERAL ELECTRIC TFKMOMA1 MOTOR OPERATOR
GENERAL ELECTRIC TFKAS2AB2L AUXILIARY SWITCH NIB
GENERAL ELECTRIC TFK236T225 CIRCUIT BREAKER * IN A BOX*
General Electric TFK236F000 150 Amp Breaker 600V GE TFK236 F000 150A TFK
GENERAL ELECTRIC TFK236100 TFK236100
GENERAL ELECTRIC TFK226T200 TFK226T200
General Electric TFK226T175 Circuit Breaker - Reconditioned
GENERAL ELECTRIC TFK226F000 TFK226F000
General Electric TFJ236225 Breaker (3 pole, 225amp, 600v)
General Electric TFJ236225 Breaker (3 pole, 225 amp, 600V)
GENERAL ELECTRIC TFJ236225 225A CIRCUIT BREAKER 225 AMP
GENERAL ELECTRIC TFJ236175 175A CIRCUIT BREAKER
GENERAL ELECTRIC TFJ226Y225 TFJ226Y225
General Electric TFJ226175 Industrial 600VAC 175A 2-Pole Switch Circuit Breaker
GENERAL ELECTRIC TFJ224150WL TFJ224150WL
General Electric TF225J Breaker / Enclosure, 150 Amp Ajustable, 2 Pole, 480 Volt
GENERAL ELECTRIC TF136M1070 TF136M1070
GENERAL ELECTRIC TF136070 P 70A 600V BOLT IN BREAKER 1 YEAR WARRANTY #B6
GENERAL ELECTRIC TF136020 CIRCUIT BREAKER * IN A BOX*
GENERAL ELECTRIC TF126015 TF126015
General Electric TEY390 90A 3-Pole 480V Circuit Breaker
General Electric TEY390 90 AMP 3 Pole Circuit Breaker Type TEY MO2
General Electric TEY370 70A 480V Circuit Breaker
GENERAL ELECTRIC TEY370 70 AMP 480/277 VOLT 3 POLE CIRCUIT BREAKER --SES
General Electric TEY360 Circuit Breaker - Reconditioned
General Electric TEY350 Circuit Breaker - Reconditioned
General Electric TEY340 Circuit Breaker - Reconditioned
General Electric TEY335 Circuit Breaker - Reconditioned
GENERAL ELECTRIC TEY330 TEY330
General Electric TEY330 Circuit Breaker - Reconditioned
General Electric TEY320 Circuit Breaker - Reconditioned
General Electric TEY315 Circuit Breaker - Reconditioned
General Electric TEY3100 100A 3-Pole 480V/277V Circuit Breaker GE
General Electric TEY3100 100A 3-Pole 480V Circuit Breaker
GENERAL ELECTRIC TEY290 2 POLE 90 AMP 277/480 BOLT ON
GENERAL ELECTRIC TEY270 2 POLE 70 AMP 277/480 BOLT ON
General Electric Test Block 6 Pole (6422120G4) in box
GENERAL ELECTRIC TEML136007WL 7AMP CIRCUIT BREAKER 600V
General Electric TEL136100WL 100A 3 Pole 600V Breaker
GENERAL ELECTRIC TEL136020WL 20AMP CIRCUIT BREAKER 600V
General Electric TEL136015WL Circuit Breaker 15A 600V
GENERAL ELECTRIC TEL136015WL 15AMP CIRCUIT BREAKER 600V
GENERAL ELECTRIC TEFHM2 TEFHM2
GENERAL ELECTRIC TEF136Y100 600 VAC 100 Amp 3 Pole CIRCUIT BREAKER
GENERAL ELECTRIC TEF136M2050 600 VAC 50 Amp 3 Pole CIRCUIT BREAKER
GENERAL ELECTRIC TEF136M1050 600 VAC 50 Amp 3 Pole CIRCUIT BREAKER
General Electric TEF136M1050 50A 3-Pole 600V Circuit Breaker *WARRANTY*
GENERAL ELECTRIC TEF136M1025 600 VAC 25 Amp 3 Pole CIRCUIT BREAKER
General Electric TEF136M1025 25A 3-Pole 600V Circuit Breaker *WARRANTY*
GENERAL ELECTRIC TEF136M1010 600 VAC 10 Amp 3 Pole CIRCUIT BREAKER
General Electric TEF136M1010 10A 3-Pole 600V Circuit Breaker *WARRANTY*
General Electric TEF136M1003 3A 3-Pole 600V Circuit Breaker *WARRANTY*
GENERAL ELECTRIC TEF136C5070 600 VAC 70 Amp 3 Pole CIRCUIT BREAKER
GENERAL ELECTRIC TEF136C5030 600 VAC 30 Amp 3 Pole CIRCUIT BREAKER
GENERAL ELECTRIC TEF136C5020 600 VAC 20 Amp 3 Pole CIRCUIT BREAKER
GENERAL ELECTRIC TEF136050 600 VAC 50 Amp 3 Pole CIRCUIT BREAKER
GENERAL ELECTRIC TEF136015 600 VAC 15 Amp 3 Pole CIRCUIT BREAKER
GENERAL ELECTRIC TEF134C5100 TEF134C5100
GENERAL ELECTRIC TEF134C5100 480 VAC 100 Amp 3 Pole CIRCUIT BREAKER
GENERAL ELECTRIC TEF134C5070 480 VAC 70 Amp 3 Pole CIRCUIT BREAKER
GENERAL ELECTRIC TEDL36060 TEDL36060
GENERAL ELECTRIC TEDAS2AB2L TEDAS2AB2L
GENERAL ELECTRIC TED1K TED1K
GENERAL ELECTRIC TED160 TED160
GENERAL ELECTRIC TED136YT150 3POLE. 150AMP. W/AUX. SWITCH YC-173
GENERAL ELECTRIC TED136YT100 3 POLE 100 AMP 600VAC 250VDC MODLDED CASE SWITCH
GENERAL ELECTRIC TED136Y100 600 VAC 100 Amp 3 Pole CIRCUIT BREAKER
GENERAL ELECTRIC TED136150 600 VAC 150 Amp 3 Pole CIRCUIT BREAKER Industrial
General Electric TED136125 Circuit Breaker 125A 3 Pole -
General Electric TED136125 125 Amp 3 Pole Circuit Breaker (CIR2151)
General Electric TED136125 125 Amp 3 Pole Circuit Breaker -
General Electric TED136100 Circuit Breaker - Reconditioned
General Electric TED136090 Circuit Breaker - Reconditioned
GENERAL ELECTRIC TED136070 Circuit Breaker, 70A, 3P, 600VAC, Lug
General Electric TED136070 Circuit Breaker - Reconditioned
GENERAL ELECTRIC TED136070 600 VAC 70 Amp 3 Pole CIRCUIT BREAKER
GENERAL ELECTRIC TED136060 600 VAC 60 Amp 3 Pole CIRCUIT BREAKER
General Electric TED136060 -
General Electric TED136050 Circuit Breaker - Reconditioned
General Electric TED136050 -
General Electric TED136045 Circuit Breaker - Reconditioned
GENERAL ELECTRIC TED136045 600 VAC 45 Amp 3 Pole CIRCUIT BREAKER
General Electric TED136040 Circuit Breaker - Reconditioned
GENERAL ELECTRIC TED136030WL CIRCUIT BREAKER * IN A BOX*
General Electric TED136030 Circuit Breaker 3 Pole 30 Amp 600V W/ Current Limiter
General Electric TED136030 Circuit Breaker - Reconditioned
GENERAL ELECTRIC TED136025WL TED136025WL
GENERAL ELECTRIC TED136020WL TED136020WL
GENERAL ELECTRIC TED136020WL TED136020WL
General Electric TED136020 Circuit Breaker - Reconditioned
General Electric TED136015 Circuit Breaker - Reconditioned
GENERAL ELECTRIC TED134YT100 480 VAC 100 Amp 3 Pole CIRCUIT BREAKER
GENERAL ELECTRIC TED134Y100 3 POLE 100 AMP 480 VOLT BOLT IN OLD STYLE BREAKER
GENERAL ELECTRIC TED134C5070 CIRCUIT BREAKER 3 POLE 70 AMP 480 VOLT TED-134C5070
GENERAL ELECTRIC TED134C5070 480 VAC 70 Amp 3 Pole CIRCUIT BREAKER
GENERAL ELECTRIC TED134100WL 480 VAC 100 Amp 3 Pole CIRCUIT BREAKER
GENERAL ELECTRIC TED134100 MOLDED CASE CIRCUIT BREAKER * IN A BOX*
General Electric TED134100 Circuit Breaker - Reconditioned
GENERAL ELECTRIC TED134100 3 POLE 100 AMP 480 VOLT BOLT IN OLD STYLE BREAKER
General Electric TED134090 Circuit Breaker - Reconditioned
GENERAL ELECTRIC TED134090 3 POLE 90 AMP 480 VOLT BOLT IN STYLE BREAKER
General Electric TED134080 Circuit Breaker - Reconditioned
GENERAL ELECTRIC TED134080 480 VAC 80 Amp 3 Pole CIRCUIT BREAKER
GENERAL ELECTRIC TED134070 CIRCUIT BREAKER * IN A BOX*
General Electric TED134070 3p 70a 480v Circuit Breaker 1-yr Warranty
GENERAL ELECTRIC TED134070 3 POLE 70 AMP 480 VOLT BOLT IN STYLE BREAKER
General Electric TED134070 -
GENERAL ELECTRIC TED134045 CIRCUIT BREAKER 45 AMP 3 POLE 480 VAC
GENERAL ELECTRIC TED134040WL TED134040WL
GENERAL ELECTRIC TED134040WL CIRCUIT BREAKER * IN A BOX*
GENERAL ELECTRIC TED134035 3 POLE 35 AMP 480 VOLT BOLT IN STYLE BREAKER
GENERAL ELECTRIC TED134030WL TED134030WL
GENERAL ELECTRIC TED134030 TED134030
General Electric TED134030 30 AMP 480AC 250VDC 3 Pole Surplus
GENERAL ELECTRIC TED134025WL TED134025WL
GENERAL ELECTRIC TED134025WL CIRCUIT BREAKER 15A 3P 480V * IN A BOX*
GENERAL ELECTRIC TED134015 15AMP CIRCUIT BREAKER 480V
GENERAL ELECTRIC TED126030 TED126030
GENERAL ELECTRIC TED126020WL CIRCUIT BREAKER * IN A BOX*
GENERAL ELECTRIC TED124100 CIRCUIT BREAKER * OUT OF BOX*
General Electric TED124030 Circuit Breaker
General Electric TED124030 30 AMP 2 pole Circuit Breaker
GENERAL ELECTRIC TED124020WL TED124020WL
General Electric TED124010WL Circuit Breaker
GENERAL ELECTRIC TED Breaker 3 Pole 60 Amp TED134060WL
General Electric TED 34015W1 15 Amp 480 V 3 Pole Circuit Breaker
General Electric TED 160 Circuit Breaker
General Electric TECL36150 Circuit Breaker - Perfect Working Condition
GENERAL ELECTRIC TECL36100 TECL36100
GENERAL ELECTRIC TECL36030 TECL36030
General Electric TECL36030 30A 3-Pole 600V Circuit Breaker Limiter
GENERAL ELECTRIC TEC36100 TEC36100
GENERAL ELECTRIC TEC36050 TEC36050
GENERAL ELECTRIC TEC36050 MOTOR CIRCUIT PROTECTOR / BREAKER 50A 3P 600V NOS
GENERAL ELECTRIC TEC36050 CIRCUIT BREAKER W/ TECL36050 CURRENT LIMITER * *
GENERAL ELECTRIC TEC36030 600 VAC 30 Amp 3 Pole CIRCUIT BREAKER
GENERAL ELECTRIC TEC36030 3 POLE 30 AMP 600 VOLT BOLT IN STYLE BREAKER
GENERAL ELECTRIC TEC36015A2 600 VAC 15 Amp 3 Pole CIRCUIT BREAKER
GENERAL ELECTRIC TEC36015 600 VAC 15 Amp 3 Pole CIRCUIT BREAKER
GENERAL ELECTRIC TEC36015 3 POLE 15 AMP 600 VOLT BOLT IN STYLE BREAKER
GENERAL ELECTRIC TEC360100 100 AMP 600 VOLT 3 POLE MCP CIRCUIT BREAKER --SES
GENERAL ELECTRIC TEC36007XL CIRCUIT BREAKER 600 AC
GENERAL ELECTRIC TEC36003 3AMP 3POLE 600V RECONDITIONED READY TO USE GARANT
GENERAL ELECTRIC TEC36003 3 POLE 3 AMP 600 VOLT BOLT IN STYLE BREAKER
GENERAL ELECTRIC TEB132Y100 3 POLE 100A BREAKER W/ AUX SWITCH YC-168
General Electric TEB132100 Circuit Breaker - Reconditioned
General Electric TEB132090 Circuit Breaker - Reconditioned
GENERAL ELECTRIC TEB132080WL TEB132080WL
General Electric TEB132080 Circuit Breaker - Reconditioned
GENERAL ELECTRIC TEB132070 TEB132070
General Electric TEB132070 Circuit Breaker - Reconditioned
GENERAL ELECTRIC TEB132050WL TEB132050WL
General Electric TEB132030 Circuit Breaker 240 VAC 30A 60 HZ
GENERAL ELECTRIC TEB124030WL TEB124030WL
GENERAL ELECTRIC TEB122100WL INDUSTRIAL CIRCUIT BREAKER 100A 2 POLE 240 VAC NIB
GENERAL ELECTRIC TEB122070WL TEB122070WL
GENERAL ELECTRIC TEB122040 / 40AMP/ 2 POLE/ 240VAC/ CIRCUIT BREAKER
General Electric TEB122030WL Circuit Breaker
GENERAL ELECTRIC TEB122020ST1 IN ENCLOSURE NO BOX 20A 2P 240V BREAKER #A12
General Electric TE32100 3 Pole 100 Amp E Frame 240V Circuit Breaker
GENERAL ELECTRIC TE32020 240 VAC 20 Amp 3 Pole CIRCUIT BREAKER
General Electric TE31M050MD 120 VAC 50 AMP Mine Duty Circuit Breaker
GENERAL ELECTRIC TE22Y100 240 VAC 100 Amp 2 Pole CIRCUIT BREAKER non-auto
General Electric TE22100 100A 2-Pole 240V Circuit Breaker *WARRANTY*
GENERAL ELECTRIC TE22070 240 VAC 70 Amp 2 Pole CIRCUIT BREAKER
general electric TE13PD1 TED breaker hardware
GENERAL ELECTRIC TE132020WL TE132020WL
GENERAL ELECTRIC TE122C5015 15 A 240 VAC 2 Pole CIRCUIT BREAKER
GENERAL ELECTRIC TE11070 TE11070
General Electric TE100R 100a 600v Type 3R Enclosure 1-yr WARRANTY
GENERAL ELECTRIC TE100J MODEL 8 TYPE 12 ELECTRICAL CIRCUIT BREAKER ENCLOSURE
GENERAL ELECTRIC TE100D TE100J MODEL 2 ELECTRICAL CIRCUIT BREAKER ENCLOSURE
GENERAL ELECTRIC TDV1 TDV1
GENERAL ELECTRIC TDOM1B TDOM1B
GENERAL ELECTRIC TCLK365 TCLK365
General Electric TCAL125 Lug Kit (Set of 3) For SK Frame
General Electric TCAL125 -
GENERAL ELECTRIC TC23311 TC23311
GENERAL ELECTRIC TC081A TC081A
GENERAL ELECTRIC TB13060AW TB13060AW
General Electric TAJ32FMD 125A MDA Mine Duty Circuit Breaker
GENERAL ELECTRIC SWITCH 16SB1-FB3J94STTV4V IN BOX
GENERAL ELECTRIC SWITCH 16SB1ED5A30SST16R IN BOX
General Electric Switch (THMS31) Working Condition
General Electric Surge Suppressor 0144D2156G001 !
General Electric Surface Roughness Scale 8665947G1
General Electric STDA3 **GENUINE** GE
General Electric Statotrol Motor Full Wave 5BCD56EB223 3S***050A562A2
GENERAL ELECTRIC STATOTROL II 6VFWB2150A3
GENERAL ELECTRIC STARTER SIZE 3 CR306E0** * *
GENERAL ELECTRIC STARTER CR7006D, 101HE, COIL: 440V, 60 CY/380V, 50 CY
GENERAL ELECTRIC STARTER CR309C0**ADEC W/ CR305X100C, CR305X100E CONTACTS
General Electric Starter CR-208E204FAA Size 3 123F3578
General Electric Starter Coil 55-530249G004 Ser. A 480 V
General Electric Starter Coil 55-501463G004 Ser. A 480 V
GENERAL ELECTRIC STARTER COIL 15D7G002
GENERAL ELECTRIC STANDARD ROUGHNESS COMPARISON SPECIMENS 8651831G2
GENERAL ELECTRIC SRPE30A20 PLUG *SEALED*
GENERAL ELECTRIC SRPE30A20 SRPE30A20
GENERAL ELECTRIC SRBA120A10A * *
General Electric Spectra Series ADS36030HS 30 Amp 600V 20Hp Fusible Switch
General Electric Spectra RMS Industrial Circuit Breaker. Model: SEDA36AT0100
General Electric Spectra RMS EM250 Circuit Breaker w/ Remote Switch ... R-68
GENERAL ELECTRIC SPECTRA RMS 30 AMP 3 POLE CIRCUIT BREAKER SELA36AI0030 .. VC-52
GENERAL ELECTRIC SPECTRA RMS 250 AMP BREAKER # SFLA36AT0250
General Electric Spec-Setter Safety Switch, 100A, THN3363, NIB
GENERAL ELECTRIC SLR3BGK2RAV SLR3BGK2RAV
GENERAL ELECTRIC SLR3AGD1RVL SLR3AGD1RVL
General Electric SLR1ADD1A **GENUINE**
GENERAL ELECTRIC SLB1ADMO SLB1ADMO
GENERAL ELECTRIC SLAK2 SLAK2
GENERAL ELECTRIC SLAD1 SLAD1
General Electric SKR1 Integral Handle Mechanism -
General Electric SKOM2 **GENUINE**
General Electric Size 3 Contactor CR305E002 120 Volt
General Electric Size 2 Motor Starter, CR206D000AQA, 120V Coil, , WARRANTY
General Electric Size 2 Motor Starter CR206D000A0A #57297
General Electric Size 0 Combination, CR308B1**AAA , non- Fusible, 600V 18A,
General Electric Size 0 Combination, CR308B1**2PAAAAA , non- Fusible, 600V 18A
General Electric Single Phase Transformer 9T51B0188 .500 KVA, 277/240 V
GENERAL ELECTRIC SHUNT BREAKER TKMA8364800 800 A AMP 3 P POLE 600 VAC
GENERAL ELECTRIC SHUNT BREAKER TKM836F000 3P 800 A AMP 800 A TRIP
GENERAL ELECTRIC SHUNT 50-140034SFAA 50140034SFAA 500A 500 A AMP 100MV
GENERAL ELECTRIC SGS1K SGS1K
GENERAL ELECTRIC SFK1N SFK1N
GENERAL ELECTRIC SFK1L SFK1L
GENERAL ELECTRIC SFK1G SFK1G
GENERAL ELECTRIC SFK1E SFK1E
GENERAL ELECTRIC SFK1C SFK1C
GENERAL ELECTRIC SFHA36AT0250 250A CIRCUIT BREAKER SPECTRA RMS
GENERAL ELECTRIC SERIES THREE CONTROLLER IC630PWR300A
General Electric Series Six Programmable Controller 60 IC600CP21OA
General Electric Series One Junior PLC IC609SJR100C
GENERAL ELECTRIC SERIES A COIL ~ PART 15D22G003 230 – 240V – 60 HZ~220V- 50 HZ
GENERAL ELECTRIC SEMIKRON SEMI CONDUCTOR MODULE 104X125DC048 SKKT 250/12GJ19
General Electric Selsyn Motor 2JDA66PA1 115V 1200RPM
General Electric SELA36AT060 Circuit Breaker 60 Amps 3 Pole 600VAC
GENERAL ELECTRIC SELA36AT0100 CIRCUIT BREAKER * *
GENERAL ELECTRIC SELA36AT0030-30A SELA36AT003030A
GENERAL ELECTRIC SELA36AT0030-25A SELA36AT003025A
GENERAL ELECTRIC SELA36AT0030-20A SELA36AT003020A
General Electric SELA36AI10030 30A 3-Pole 600V Circuit Breaker
GENERAL ELECTRIC SELA36AI0030 SPECTRUM RMS MAG-BREAK CIRCUIT BREAKER 30AMP
GENERAL ELECTRIC SELA36AI0007 SELA36AI0007
GENERAL ELECTRIC SELA36A0030 CURRENT LIMITING CIRCUIT BREAKER
General Electric SELA24AT0060 60A 2-Pole 480V Circuit Breaker *1 YEAR WARRANTY*
GENERAL ELECTRIC SEHA36AT0100 SEHA36AT0100
GENERAL ELECTRIC SEHA36AT0030 SEHA36AT0030
General Electric SEHA24AT0100 100A 2-Pole 480V Circuit Breaker *1 YEAR WARRANTY*
General Electric SEHA24AT0060 60A 2-Pole 480V Circuit Breaker *1 YEAR WARRANTY*
General Electric SEHA24AT0060 60 AMP 2 Pole 40 AMP Rating Plug
General Electric SEHA24AT0030 30A 2-Pole 480V Circuit Breaker *1 YEAR WARRANTY*
General Electric SEDA36AT0100 Circuit Breaker - Reconditioned
General Electric SEDA36AT0100 100A 3-Pole 600V Circuit Breaker *1 YEAR WARRANTY*
General Electric SEDA36AT0060 60A 3-Pole 600V Circuit Breaker *1 YEAR WARRANTY*
GENERAL ELECTRIC SEDA36AT0030 SEDA36AT0030
General Electric SEDA36AT0030 Circuit Breaker - Reconditioned
GENERAL ELECTRIC SEDA36AN0100 SEDA36AN0100
General Electric Security, SymNet1-5RC, IP Encoder/Decoder, Desk/Wall Mount, NTS
GENERAL ELECTRIC SECMODL02 SPECTRA ECM CONTROL KEYPAD--SA
GENERAL ELECTRIC SECMOD3 SECMOD3
GENERAL ELECTRIC SECMOD2 SECMOD2
GENERAL ELECTRIC SCOM1EF SE150/SF250N CABLE OPERATOR, *
GENERAL ELECTRIC SBMD5A03S5S1P1 SBMD5A03S5S1P1
GENERAL ELECTRIC SBM-D3A00S1A2P1 SBMD3A00S1A2P1
GENERAL ELECTRIC SBM-B5A07S1A1P1 SBMB5A07S1A1P1
GENERAL ELECTRIC SBM-B2A23S2A3P1 SBMB2A23S2A3P1
GENERAL ELECTRIC SBM-B2A11N2C3N1 SBMB2A11N2C3N1
GENERAL ELECTRIC SBM-B2A11N2C1N1 SBMB2A11N2C1N1
GENERAL ELECTRIC SBM-216A1381 SBM216A1381
General Electric SBM216A1381 NSNP **GENUINE** SBM 216A1381
GENERAL ELECTRIC SBM10CP254 SBM10CP254
GENERAL ELECTRIC SBM-10CB687 SBM10CB687
GENERAL ELECTRIC SBM-10CA729 SBM10CA729
GENERAL ELECTRIC SBM-10CA723 SBM10CA723
GENERAL ELECTRIC SBM-10BN775 SBM10BN775
GENERAL ELECTRIC SBM-10BN773 SBM10BN773
General Electric SBM Rotary Switch 10AA024 -
General Electric SBM Rotary Cam-Operated Device 10AC560 -
General Electric SB9116A585G1X2 NSNP **GENUINE**
GENERAL ELECTRIC SB1-DC300SSS2P SB1DC300SSS2P
GENERAL ELECTRIC SB-1-6075695-G1 SB16075695G1
GENERAL ELECTRIC SB-1 CONTROL SWITCH 16SB1DA325SSM2K
GENERAL ELECTRIC SAUXPAB2 SAUXPAB2
GENERAL ELECTRIC SAUXPAB2 SAUXPAB2
General Electric SAUXPAB2 **GENUINE**
GENERAL ELECTRIC SAUXPAB1 SAUXPAB1
GENERAL ELECTRIC SAUXGAB2 SAUXGAB2
General Electric Safety Switch, TG4324R - with fuses - Free Shipping
General Electric Safety Switch TH3223R 100 amp model 10 240 volt
GENERAL ELECTRIC SAFETY SWITCH MODEL 7, 60A, 600VAC THN3362J
GENERAL ELECTRIC SAFETY SWITCH 60 AMP 600 VAC NP1578000C
General Electric Safety Switch 100 amp 240V 2 Pole
GENERAL ELECTRIC SACTUATOR SACTUATOR
GENERAL ELECTRIC SABAP1 SABAP1
GENERAL ELECTRIC S400ML5AC4M-5GEK S400ML5AC4M5GEK
General Electric RTN3D 90-120A überstromrelais
General Electric RTN2LM **GENUINE** RTN 2M
General Electric RTN2L **GENUINE** RTN 2L
General Electric RTN2J **GENUINE** RTN 2J
GENERAL ELECTRIC RTN2H RTN2H
GENERAL ELECTRIC RTN2G RTN2G
GENERAL ELECTRIC RTN1J RTN1J
General Electric RTN1H **GENUINE**
GENERAL ELECTRIC RTN1C RTN1C
General Electric RTN1C **GENUINE** GE
General Electric RTN1B **GENUINE**
GENERAL ELECTRIC RTA3PF RTA3PF
General Electric RT-693 GE G717 Circuit Switch (Pack of 6) - No Box
GENERAL ELECTRIC RT32E MANUAL/AUTO OVERLOAD RELAY
GENERAL ELECTRIC RT2M **NIB**
General Electric RT2J NSNP **GENUINE** RT 2J
GENERAL ELECTRIC RT2J RT2J
General Electric RT2J **GENUINE** RT 2J
GENERAL ELECTRIC RT2H RT2H
General Electric RT2E **GENUINE** RT 2E
General Electric RT22J **GENUINE** RT2 2J
General Electric RT22H **GENUINE** RT 22H
GENERAL ELECTRIC RT1P RT1P
GENERAL ELECTRIC RT1P RT1P
GENERAL ELECTRIC RT1N REF 113710 OVERLOAD RELAY 8-12A(Lots of 4)
GENERAL ELECTRIC RT1N RT1N
GENERAL ELECTRIC RT1K RT1K
GENERAL ELECTRIC RT1K RT1K
GENERAL ELECTRIC RT1H RT1H
GENERAL ELECTRIC RT1H RT1H
GENERAL ELECTRIC RT1H RT1H
GENERAL ELECTRIC RT1G RT1G
GENERAL ELECTRIC RT12S RT12S
GENERAL ELECTRIC RSENUS1LV RSENUS1LV
General Electric RS01NCD **GENUINE** RS01 NCD
General Electric RRF78EZN Remote Control Relay Frame
General Electric RRF78EZN Remote Control Relay Frame
General Electric RR9P Relay With Isolated Pilot
GENERAL ELECTRIC RR8EZ RR 8EZ REMOTE CONTROL RELAY FREE PRIORITY SHIPPING
General Electric Rotary Switch Type SB1 16SB1DB5C30SSM2P
GENERAL ELECTRIC RL4RD040TD RL4RD040TD
GENERAL ELECTRIC RL4RD031TD RL4RD031TD
General Electric RL4RD022TD neral Electric Relay Rl4RD022TD MOD1
GENERAL ELECTRIC RL4RD022TB RL4RD022TB
GENERAL ELECTRIC RL4RD022TB RL4RD022TB
GENERAL ELECTRIC RL4RD022RK AUXILIARY RELAY COIL 120V 2NO-2NC
GENERAL ELECTRIC RL4RA022TY RL4RA022TY
GENERAL ELECTRIC RL4RA022TN RL4RA022TN
GENERAL ELECTRIC RL4RA022RJ RL4RA022RJ
General Electric RL4RA022RJ **GENUINE**
General Electric Rh-3S Space Humidity Sensor Bib
General Electric Reversing Contactor CL04D310M
GENERAL ELECTRIC RESISTORS SET OF 6 SPIRAL
GENERAL ELECTRIC RELAY SWITCH 16SB10148A2458G1X4 IN BOX
GENERAL ELECTRIC RELAY PART # CR120B08022 " OLD STOCK "
GENERAL ELECTRIC RELAY PART # CR120B03122 " OLD STOCK "
GENERAL ELECTRIC RELAY MODULE CR245X100A * *
GENERAL ELECTRIC RELAY MODULE CR245F101A SER. A * *
GENERAL ELECTRIC RELAY MODULE CR245A213A * *
GENERAL ELECTRIC RELAY MODULE CR245A102A SER. A * *
GENERAL ELECTRIC RELAY CR2810A 15AG
GENERAL ELECTRIC RELAY CR281 0 A1 4BL, 600V, A-C MAX, 10 AMP OPEN
General Electric Relay 12HGA17C52, 125V, Cycles DC, Type HGA, Bulletin GEF-2623
General Electric Relay 12HGA11S70
GENERAL ELECTRIC RELAY 12HEA61A223X2
General Electric Relay (CR120B00023) 600 V Max, 200 Volts @ 60 Hz., Surplus
General Electric Relay (CR120A02222AA) Coil 120 VAC @ 60 Hertz, Surplus
General Electric RDIA1-5ACD Stromw?chter , Current Detector Relay RDIA15ACD
General Electric R215DB08SA3NA Proximity Switch -
General Electric QMW Switch 3 Poles M-30
General Electric QMW Switch 3 Poles M-30
General Electric QMR/565B714G2 Disconnect Switch 3 Pole MR30 ! WOW !
General Electric Q269C258G1 **GENUINE**
General Electric Q100CL/MC/2V Q100CLMC2V Quartzline Lamp Set Of 4
General Electric PWSJE102 Switch (Pack of 3)
General Electric PWMC4 Drive Board 44A398795-G03
GENERAL ELECTRIC PWC162A5084G3 PWC162A5084G3
GENERAL ELECTRIC PWB194A3308G1 PWB194A3308G1
GENERAL ELECTRIC PWB194A3308G1 PWB194A3308G1
General Electric Pulse Transformer Board 193X383ACG01
GENERAL ELECTRIC PROCARE PROCARE
GENERAL ELECTRIC PRESSURE SWITCH CR127A range 135-1000PSI NOS 443m
General Electric Powermark Plus Load Center Breaker Panel
General Electric Power Supply 517L426 GR.3
General Electric Polyphase Watthour Meter 701X27G1 120V 60Hz
General Electric POC
GENERAL ELECTRIC PMHC135A1 PMHC135A1
GENERAL ELECTRIC PLCT3G01 CURRENT TRANSFORMER
General Electric Pilot Switch (CR2960-SY-103-A) Surplus
General Electric PF1S07S5A16X6DB Light Fixtue -
GENERAL ELECTRIC VHF COMB. PC2H3A08 PORTABLE DTMF KEYPAD ANT. BATT.
General Electric PC Board, 44A719314-G01, , WARRANTY
GENERAL ELECTRIC PC BOARD 44B399210-001, 44B398895-003/11, 8 3/4" OAL, 6 3/4" W
General Electric Panelboard 20A Breakers Item#: 2593
GENERAL ELECTRIC PANEL MOUNT SWITCH (ADS22030HS) " "
GENERAL ELECTRIC PANEL METER 800-0-800 YE/103122AHSN * OUT OF BOX*
GENERAL ELECTRIC PANEL METER 180113FAZZ * OUT OF BOX *
General Electric Panel board Tub, type 1 enclosure AB43B A-Series II,
GENERAL ELECTRIC P9XZ P9XZ
GENERAL ELECTRIC P9XMN4T P9XMN4T
GENERAL ELECTRIC P9MPLRSDN12NCDL P9MPLRSDN12NCDL
GENERAL ELECTRIC P9MPLBSDN30NHNL P9MPLBSDN30NHNL
GENERAL ELECTRIC P9MPLBSDN12NCJL P9MPLBSDN12NCJL
GENERAL ELECTRIC P9MPLBSDN12NCJL **NIB**
GENERAL ELECTRIC P9MMN2F P9MMN2F
GENERAL ELECTRIC P9CZ P9CZ
GENERAL ELECTRIC P9CMN2F P9CMN2F
GENERAL ELECTRIC P9CEC4RN95 P9CEC4RN95
GENERAL ELECTRIC ONE 7 INPUT AND MONITOR LIGHT MODULE RELAY CR245A117A * *
General Electric Oil Cutout Fuse Links (9F57CAA006) Pack of qty. 2,
General Electric Oil Cutout Fuse Link (9F57CAA100) , Free Priority Shipping
General Electric Oil Cutout Fuse Link (9F57CAA065) , Free Priority Shipping
General Electric Oil Cutout Fuse Link (9F57CAA010) Surplus
GENERAL ELECTRIC NP1578000F SAFETY SWITCH * OUT OF BOX*
GENERAL ELECTRIC NP104X905BA482 NP104X905BA482
General Electric NP 1578001C Heavy Duty Safety Switch 100Amp 600V #E299
GENERAL ELECTRIC NON-ADJUSTABLE RELAY CR245F200A * *
GENERAL ELECTRIC NLAB * *
GENERAL ELECTRIC NL-716-6855 NL7166855
GENERAL ELECTRIC NEMA1XC1D NEMA1XC1D
General Electric Nema12/3R Lighting Contactor 30A 463L30ACA21AL 24V Coil
General Electric Nema Size 1, CR3060**,
General Electric NEMA B600 LS Contact -
General Electric N.C. Termination Card G35-019, Rev. C, , WARRANTY
General Electric MXR100/C/U/MED 100 Watt Lamp
General Electric MVT400/VBU MVT400VBU I-Line Multi-Vapor Lamp
GENERAL ELECTRIC MVR1500/HBU MVR1500HBU
General Electric MTDVTAJ Electronic Relay
GENERAL ELECTRIC MTCAN MTCAN
GENERAL ELECTRIC MRDV6 MRDV6
General Electric Mounting for Integral Handle (TKVR1B) Old surplus
GENERAL ELECTRIC MOTORS HC45SL460 * IN A BOX*
GENERAL ELECTRIC Motors 230/460v 5K43MG2814
GENERAL ELECTRIC MOTOR STARTER, CR305C0, NEMA SIZE 1, 600V VOLT, 30-27A AMP
General Electric Motor Starter GPS1BSAH Neu
GENERAL ELECTRIC MOTOR MODEL:SK32G1J30
General Electric Motor MOD: 5KH25MG102BX HP: 1/20 RPM: 1725 V: 115
General Electric MOTOR GE 5KCP39MGM609S 1/2HP 1100RPM HZ60/50
General Electric Motor Contactor Fuse 9F60LJD809
GENERAL ELECTRIC MOTOR AND FAN, 5KC49WG523, 3/4 HP, 1140 RPM, 115/230 V, 1 PH
GENERAL ELECTRIC MOTOR 5KSP29FG3255BT 1/10 HP 1 PHASE 115/208-230V 1050 RPM
GENERAL ELECTRIC MOTOR 5KH32EN124H 1/6 HP 115V 1 PH
GENERAL ELECTRIC MOTOR 5KE48WG829IV , HP 2 230/460V 1725 RPM FR 145T MOTOR
General Electric Motor 5K35MNB117A, NIB
GENERAL ELECTRIC MOTOR 1/4HP 5KH37KG 115E 1725RPM 230V/2.2A
GENERAL ELECTRIC MOTOR 1/4 HP 115V 1725 HP 1 PHASE
GENERAL ELECTRIC MOTOR 1/2HP 1075 RPM 115 V 5KCP140DFK15AS
GENERAL ELECTRIC MOTOR # 5KC49HN0714AX , 1/3 HP , 1725 RPM 115/208-230V
General Electric Molded Case Circuit Breaker 100 amp - SEHA36AT0100
GENERAL ELECTRIC MODEL T-2-50-1 3POLES/ 600V/ 60CLYCLES
GENERAL ELECTRIC MODEL #: 4006L5802 G002 ML 4006L5314 G001 CIRCUIT CARD 4006L
GENERAL ELECTRIC MODEL #: 4006L5801 G002 ML 4006L5314 G001 CIRCUIT CARD 4006L GE
GENERAL ELECTRIC MODEL #: 4006L5801 G002 ML 4006L5314 G001 CIRCUIT CARD 4006L
GENERAL ELECTRIC MODEL #: 4006L5037 G001 CIRCUIT CARD 4006L BOARD
GENERAL ELECTRIC MODEL #: 4006L5036 G001 CIRCUIT CARD 4006L BOARD
GENERAL ELECTRIC MODEL #: 4006L5007 G001 CIRCUIT CARD 4006L
GENERAL ELECTRIC MODEL #: 4006L5006 SERIAL #: DS1711 CIRCUIT CARD 4006L
GENERAL ELECTRIC MODEL #: 4006L5006 SERIAL #: BV051 CIRCUIT CARD 4006L
GENERAL ELECTRIC MODEL #: 4006L5006 G1 SERIAL #: MR293 CIRCUIT CARD 4006L
GENERAL ELECTRIC MODEL #: 4006L G00 ML 4006L5314 G001 CIRCUIT CARD
GENERAL ELECTRIC MODEL # 4006L5037 G001 SERIAL # EY881 CIRCUIT CARD 4006L BOARD
General Electric MLSU41 BASE STATION Radio With ASTRON RS 20A GE Works!
General Electric ML-475L0172.G001 Component Board ! WOW !
GENERAL ELECTRIC ML 621L408 G004 POWER SUPPLY BOARD
GENERAL ELECTRIC METTAU METTAU
General electric meter relay indicator unit D-1255K16-907 and control B-57
GENERAL ELECTRIC MCRC031ATD MCRC031ATD
GENERAL ELECTRIC MCRA022ATJ MCRA022ATJ
GENERAL ELECTRIC MC1C310ATB MC1C310ATB
GENERAL ELECTRIC MC1A310ATJ MC1A310ATJ
GENERAL ELECTRIC MC100FX-TX-POE MC100FXTXPOE
GENERAL ELECTRIC MC0C310ATD MC0C310ATD
GENERAL ELECTRIC MC0AB00ATJ MC0AB00ATJ
GENERAL ELECTRIC MC0A301ATJ MC0A301ATJ
General Electric Manual Starter CR1062S3ABT205R
GENERAL ELECTRIC MANUAL STARTER 33A GPS1BHAG
GENERAL ELECTRIC MANUAL STARTER 325A GPS1BHAP
GENERAL ELECTRIC MANUAL STARTER 260A GPS1BHAN
General Electric Manual Motor Controller (CR72AMK) Amp range 4.0 - 6.3
GENERAL ELECTRIC MAGNETIC STARTER CR306A 104ACA
GENERAL ELECTRIC MAGNETIC REVERSING CONTACTOR CR209B0 SIZE 0
GENERAL ELECTRIC MACHINE TOOL RELAY CR2810A 14DH 600V 60HZ
GENERAL ELECTRIC LW-36EB LW36EB
General Electric LW32F30 NSNP **GENUINE** LW3 2F30
GENERAL ELECTRIC LW32F30 LW32F30
General Electric LW32F30 **GENUINE** LW3 2F30
General Electric LU400 Lucalox Lamp 400 Watt (Pack of 6)
General Electric LU150/55 Light Bulb (Pack of 6)
GENERAL ELECTRIC LIMIT SWITCH 1NO/1NC 600VAC (CR215GFA and CR215GH12)
General Electric Lighting Load Center 120/240V(AB43B)
General Electric Lighting Load Center 120/240V (AB49B)
General Electric Lighting Load Center 120/240V (AB49B)
General Electric Lighting Load Center 120/240V (AB49B)
General Electric Lighting Contactor, CR260L50300AAE, , WARRANTY
General Electric Light Stack w/ 3 light modules SLR3AGD1RVL 24vdc
General Electric Lift Truck PCB IC4484A163
GENERAL ELECTRIC LDR25AD **NNB**
GENERAL ELECTRIC LB4AJ LB4AJ
General Electric KM7EJ Electronic Module
General Electric KCP39MG 1/2hp Motor K209T
General Electric ITI 952441D Circuit Breaker Control Switch GE
General Electric ITI 952441B Circuit Breaker Control Switch GE
General Electric Inverse Time Overcurrent Relay Model 12IAC51A802A Excellent!!!
General Electric Inverse Time Limit Overcurrent Relay P-2646237-G1
General Electric Interlogix Simon XJ-400EM GSM Module ! !
GENERAL ELECTRIC INSULATED GROUNDABLE NEUTRALS TNIA400 *FACTORY SEALED*
General Electric Instrument Transformer 2107913 - 371094
GENERAL ELECTRIC INNOVATION DRIVE 336A6405G1, J9 CABP TOOL INNOV.
GENERAL ELECTRIC INDUSTRIAL RELAY 10 AMP MODEL CR120A03002
General Electric Industrial HVAC Electric Motor 5KH38PN4062JS RPM 3450 3/4HP
General Electric Industrial HVAC Electric Motor 5KC38RN43BS RPM 3450 1HP
GENERAL ELECTRIC INDUSTRIAL CONTROL TRANSFORMER 9T58K1808 .... WG-271
GENERAL ELECTRIC INDUCTION MOTOR, MODEL NO. 518K184BG254, HP 2, RPM 1730
GENERAL ELECTRIC IM-0051-B IM0051B
GENERAL ELECTRIC IM-0046-A IM0046A
General Electric Ignitron GL 5551 A Size B GL-5551-A Water cooled GE ignitor
GENERAL ELECTRIC IC9033F5A2 IC9033F5A2
General Electric IC9033F4B33GH NSNP **GENUINE**
GENERAL ELECTRIC IC9033F4A6 IC9033F4A6
GENERAL ELECTRIC IC9033F2A49BC IC9033F2A49BC
GENERAL ELECTRIC IC9033E5R3 IC9033E5R3
General Electric IC9033E4S5 NSNP **GENUINE**
GENERAL ELECTRIC IC9033-E4R2 IC9033E4R2
GENERAL ELECTRIC IC9033-E4R2 IC9033E4R2
GENERAL ELECTRIC IC9033E2R6 IC9033E2R6
GENERAL ELECTRIC IC9033C5E2 IC9033C5E2
GENERAL ELECTRIC IC9033C5E1 IC9033C5E1
GENERAL ELECTRIC IC9033C5D7 IC9033C5D7
GENERAL ELECTRIC IC9033C5C7 IC9033C5C7
GENERAL ELECTRIC IC9033C5C7 IC9033C5C7
GENERAL ELECTRIC IC9033C5C7 IC9033C5C7
GENERAL ELECTRIC IC9033C4C7 IC9033C4C7
GENERAL ELECTRIC IC9033C4C7 IC9033C4C7
GENERAL ELECTRIC IC9033C4C3 IC9033C4C3
GENERAL ELECTRIC IC9033C4C2 IC9033C4C2
GENERAL ELECTRIC IC9033C4C1 IC9033C4C1
GENERAL ELECTRIC IC9033C4B70BD IC9033C4B70BD
GENERAL ELECTRIC IC9033B5H4 IC9033B5H4
GENERAL ELECTRIC IC9033B5G9 IC9033B5G9
GENERAL ELECTRIC IC9033B4G4 IC9033B4G4
GENERAL ELECTRIC IC9033B4F3 IC9033B4F3
GENERAL ELECTRIC IC9033B4F2 IC9033B4F2
GENERAL ELECTRIC IC9033B4C85 IC9033B4C85
GENERAL ELECTRIC IC9033B4C67 IC9033B4C67
GENERAL ELECTRIC IC9033B3F9 IC9033B3F9
General Electric IC9033B1B92AC NSNP **GENUINE**
General Electric IC9033A5L3 NSNP **GENUINE**
General Electric IC9033A5J6 NSNP **GENUINE**
General Electric IC9033A5E31 NSNP **GENUINE**
GENERAL ELECTRIC IC9033A4M3EK IC9033A4M3EK
General Electric IC9033A4J8 NSNP **GENUINE**
General Electric IC9033A4J6 NSNP **GENUINE**
GENERAL ELECTRIC IC9033-A4J1 IC9033A4J1
General Electric IC9033A4J1 NSNP **GENUINE**
General Electric IC9033A4E61EK NSNP **GENUINE**
GENERAL ELECTRIC IC9033-A4D33EK IC9033A4D33EK
GENERAL ELECTRIC IC9033A4D33 IC9033A4D33
General Electric IC9006A160H64FA Resistor Pack Of 2 - No Box
GENERAL ELECTRIC IC7700 LINE 385X331 M03 E4R MCC BUCKET FVNR 1HP 480/120V *XLNT*
GENERAL ELECTRIC IC7700 LINE 385X331 M03 D6R MCC BUCKET FVNR 10HP 60CY *XLNT*
GENERAL ELECTRIC IC7700 LINE 385X331 M03 D3R MCC BUCKET FVNR 15HP 60CY *XLNT*
GENERAL ELECTRIC IC7700 LINE 385X331 M03 D02 MCC BUCKET FVNR ?HP 460/120V *XLNT*
GENERAL ELECTRIC IC7700 LINE 385X331 E02 MCC BUCKET FVNR 1?HP 460/120V *XLNT*
GENERAL ELECTRIC IC7700 LINE 385X0331 M02 C5R MCC BUCKET FVNR 1HP 60CY *XLNT*
GENERAL ELECTRIC IC7700 LINE 385X0331 M02 C1R MCC BUCKET FVNR 10HP 60CY *XLNT*
GENERAL ELECTRIC IC7700 LINE 385X0331 M02 A04 MCC BUCKET FVNR 10HP *XLNT*
General Electric IC693MDR390 Lifetime Warranty !!!
General Electric IC693MDL741 Lifetime Warranty !!!
General Electric IC693MDL645 Lifetime Warranty !!!
GENERAL ELECTRIC IC693MDL240E 120VAC INPUT MODULE 16PT--SA
General Electric IC693MDC930E IC693MDC930 SAR
General Electric IC693CHS398H Base 5-Slot Expansion EMI Board
General Electric IC693CHS398 Lifetime Warranty !!!
General Electric IC693CHS397 Lifetime Warranty !!!
General Electric IC693CHS392 Lifetime Warranty !!!
General Electric IC693CHS391 Lifetime Warranty !!!
General Electric IC630MDL380A Output Module - No Box
General Electric IC630MDL375B Output Module - No Box
General Electric IC630MDL352A Output Module - No Box
General Electric IC630MDL325A Input Module (Pack of 3) - No Box
General Electric IC630MDL311 Lifetime Warranty !!!
General Electric IC630MDL301 Lifetime Warranty !!!
GENERAL ELECTRIC IC610PER151B PRINTER INTERFACE UNIT * *
General Electric IC610MDL180A Relay Output Module -
General Electric IC610MDL175A Output Module 8 Circuit -
General Electric IC610MDL155 Lifetime Warranty !!!
GENERAL ELECTRIC IC610MDL125A SERIES ONE INPUT MODULE IC610MDL125A
General Electric IC610MDL124A Module -
GENERAL ELECTRIC IC610CHS110A RACK WITH HI CAP POWER SUPPLY/5 IC610CHS110A
GENERAL ELECTRIC IC610CHS110A RACK W/ HI CAP POWER SUPPLY/5 * *
General Electric IC610CBL105 One Year Warranty !
General Electric IC609SJR120 Series One Junior Programmable Controller PLC GE
General Electric IC609SJR101A Series One Junior Programmable Controller, 115VAC
General Electric IC600YR501B Series Six Programmable Controller Rack with Cards
General Electric IC600YB923A 10-50VDC OUTPUT IS REPAIRED WITH A 30 DAY WARRANTY
GENERAL ELECTRIC IC600YB913A OUTPUT MODULE
GENERAL ELECTRIC IC600YB911C OUTPUT MODULE * *
General Electric IC600YB911C IS WITH A 30 DAY WARRANTY
GENERAL ELECTRIC IC600YB811A 10-50VDC / 5VDC INPUT MODULE W/ FACEPLATE * *
GENERAL ELECTRIC IC600YB800A PROGRAMMABLE CONTROLLER
General Electric IC600BF904K Fanuc 8 Point Output Module -
General Electric IC600BF804 Lifetime Warranty !!!
GENERAL ELECTRIC IC4482-CTTA150AH136XN IC4482CTTA150AH1
GENERAL ELECTRIC IC4482-CTRA701BD IC4482CTRA701BD
GENERAL ELECTRIC IC4482-CTRA701BA404A1 IC4482CTRA701BA4
General Electric IC4482CTRA701BA404A1 NSNP **GENUINE** GE IC4482 CTRA701BA404A1
GENERAL ELECTRIC IC4482 ** **
General Electric IC3645LXHS1 LX EVC Handset EV100/200 Forklift Diagnostic Tool
GENERAL ELECTRIC IC3600TGDD1A BOARD * *
GENERAL ELECTRIC IC3600SLEH1G1C LOGIC EQUIP PCB CIRCUIT BOARD CONTROL B206595
GENERAL ELECTRIC IC3600SCBD2A 68A989109G1 CIRCUIT BOARD W/3 KNOBS B D203404
GENERAL ELECTRIC IC3600KRSV1B1B RELAY CIRCUIT BOARD / CARD IC3600KRSV
GENERAL ELECTRIC IC2820C100C2 RELAY 600V * *
GENERAL ELECTRIC IC2820A100BB2JB IC2820A100BB2JB
General Electric IC2820A100BB2JB NSNP **GENUINE**
General Electric IC2820-A100BB2BD Relay Current Sensitive 600V 10A Coil ! WOW !
General Electric IC-2820-A100BB2 Relay 10A 600V 230/250VDC-Coil ! WOW !
General Electric IC-2820-A100B202 Relay 10A 600V ! WOW !
General Electric IC-2820-A100AB203E Time Delay Relay 10A 600V 115VAC Coil! WOW !
GENERAL ELECTRIC IC2800-1608 IC28001608
GENERAL ELECTRIC IAC 53A803A OVERCURRENT RELAY TIMER ~ IN BOX
General Electric HMA11B11 **GENUINE**
GENERAL ELECTRIC HMA-11A-41 HMA11A41
GENERAL ELECTRIC HI BREAK CIRCUIT BREAKER 90 AMP 600V 3 POLE THED136090 .. VC-48
General Electric HFA Multicontact Auxiliary Relay 125 VDC 3 AMP, # 12HFA151A2H
General Electric Heavy Duty Safety Switch 100A 240V TH3223 Mod 4
General Electric Heavy Duty Limit Switch CR215GPU1781
General Electric Heavy Duty Limit Switch CR215G1A62
General Electric Heavy Duty Limit Switch CR215G1A1750
General Electric Heavy Duty Limit Switch CR215G1A1281
General Electric Heavy Duty Limit Switch CR215G1122
General Electric Heavy Duty Disconnect Switch (THMF3161L) Surplus
General Electric HD Safety Switch Cat# TH3363 .. 100A, 600V Fusible .. VI-004
General Electric HC41SE651, 1/2 HP, 208-230-1-60, 1075 / 4 Speed !
General Electric HC41SE651 B, 1/2 HP, 208-230-1-60, 1075 / 4 Speed
General Electric H7CX15F3CDD **GENUINE** GE
GENERAL ELECTRIC H2117C FILTRGARD BALLAST * IN A BOX*
GENERAL ELECTRIC H2000-4W H20004W
GENERAL ELECTRIC GSGB110 GSGB110
GENERAL ELECTRIC GROUND FAULT NEUTRAL TRANSFORMER (TSSG20)
GENERAL ELECTRIC GPS1BSAP GPS1BSAP
General Electric GPS1BSAH NSNP **GENUINE**
General Electric GPS1BSAH **GENUINE** GE
GENERAL ELECTRIC GPS1BSAG GPS1BSAG
GENERAL ELECTRIC GPS1BSAG GPS1BSAG
GENERAL ELECTRIC GPS1BHAP MANUAL STARTER B92
GENERAL ELECTRIC GL-OC3 GLOC3
GENERAL ELECTRIC GF8B600 GF8B600
GENERAL ELECTRIC GF8B600 GF8B600
GENERAL ELECTRIC GF8B200 GF8B200
GENERAL ELECTRIC GF8B1200 GF8B1200
GENERAL ELECTRIC GF8B-1000 GF8B1000
GENERAL ELECTRIC GF8B-1000 GF8B1000
General Electric GF8B1000 NSNP **GENUINE** GF8B 1000
General Electric GF8B1000 **GENUINE** GF8B 1000
General Electric GF6B60 Class K5 Pack Of 5 (Pack of 3) -
GENERAL ELECTRIC GEN350 16SB-PCB3A06SSM2P AUXILLARY CONTROL SWITCH
General Electric Gen Duty Safety Switch TG4324 Mod 1 200A ... DS-213
GENERAL ELECTRIC GEMH39-MCM-120 GEMH39MCM120
GENERAL ELECTRIC GEM250ML5AC4-5 BALLAST * IN A BOX*
GENERAL ELECTRIC GEM1000MLTAA5-5 GEM1000MLTAA55
GENERAL ELECTRIC GEM1000ML5AA5-5 GEM1000ML5AA55
GENERAL ELECTRIC GE-GPS1BHAP Manual Motor Starter, IEC, 19 to 25A, 600V
GENERAL ELECTRIC GEC-34C540016-1 FRAME MOTOR SHAFT END 3.875 IN SLEEVE B455591
General Electric GE521 NSNP **GENUINE**
General Electric GE521 **GENUINE**
GENERAL ELECTRIC GE/Kalatel KA-A0007A550 7" Coax Int CyberDome Housing for PTZ
General electric GE, ADS36060HD, Spectra series, 60 amp, 600 volt. twin panel
GENERAL ELECTRIC GE TME120Y065AS TRANSIENT VOLTAGE SURGE SUPPRESSOR 120V D440188
General Electric GE Thyrite Protector 9238208G1
GENERAL ELECTRIC GE THN3361R SAFETY SWITCH, DISCONNECT SWITCH, FUSIBLE HD, 240/
General Electric GE THED124100 Circuit Breaker
GENERAL ELECTRIC GE THC31 3P 30A AMP DISCONNECT SWITCH , (B3L)
GENERAL ELECTRIC GE TH4321R SAFETY SWITCH, DISCONNECT SWITCH, FUSIBLE HD, 208/1
GENERAL ELECTRIC GE TH3362JCH 60A 600V-AC 3P FUSIBLE DISCONNECT SWITCH B425980
General Electric GE TFK236F000 TYPE TFK FRAME ONLY
General Electric GE TFJ226150 Circuit Breaker 150A 2P
GENERAL ELECTRIC GE TF136C5050 600 VAC 50 A 3 Pole CIRCUIT BREAKER
GENERAL ELECTRIC GE TF136090 600 VAC 90 A 3 Pole CIRCUIT BREAKER
GENERAL ELECTRIC GE TF136020 600 VAC 20 A 3 Pole CIRCUIT BREAKER
GENERAL ELECTRIC GE TF136015 600 VAC 15 A 3 Pole CIRCUIT BREAKER
GENERAL ELECTRIC GE TF136 C5015 600 VAC 15 A 3 Pole CIRCUIT BREAKER
GENERAL ELECTRIC GE TF126050 600 VAC 50 A 2 Pole CIRCUIT BREAKER
GENERAL ELECTRIC GE TF126040 600 VAC 40 A 2 Pole CIRCUIT BREAKER
GENERAL ELECTRIC GE TF126030 600 VAC 30 A 2 Pole CIRCUIT BREAKER
GENERAL ELECTRIC GE TERMINAL BOARD 531X170TBSAEG1
General Electric GE TED136060 / TED136060WL - Surplus
General Electric GE TED136050 / TED136050WL - Surplus
General Electric GE TED136040 / TED136040WL - Surplus
General Electric GE TED136035 / TED136035WL - Surplus
General Electric GE TED136030 / TED136030WL - Surplus
General Electric GE TED136025 / TED136025WL - Surplus
General Electric GE TED136020 / TED136020WL - Surplus
General Electric GE TED136015 / TED136015WL - Surplus
General Electric GE TED134060 / TED134060WL - Surplus
General Electric GE TED134050 / TED134050WL - Surplus
General Electric GE TED134040 / TED134040WL - Surplus
General Electric GE TED134035 / TED134035WL - Surplus
General Electric GE TED134030 / TED134030WL - Surplus
General Electric GE TED134025 / TED134025WL - Surplus
General Electric GE TED134020WL Circuit Breaker
General Electric GE TED134020 / TED134020WL - Surplus
General Electric GE TED134015 / TED134015WL - Surplus
General Electric GE TED134010 / TED134010WL - Surplus
General Electric GE TEB13204OWL molded case Circuit Breaker 40 amps
GENERAL ELECTRIC GE TE22Y100 2P 100A AMP MOLDED CASE CIRCUIT BREAKER B474837
General Electric GE Static Control Output Element Model CR245L101A
General Electric GE Static Control Model CR245A102A
General Electric GE Static Control Logic Element Model CR245B103A
GENERAL ELECTRIC GE STARTER CR7009C 101DH
GENERAL ELECTRIC GE STARTER CR7006E-101A, SIZE 3
General Electric GE Solid State Overload Relay CR324CXFS
GENERAL ELECTRIC GE Size 1 Starter 110/120V 3 Pole CR306C002
General Electric GE SELA SELA36AT0100 3 Pole 100 AMP Circuit Breaker
General Electric GE SBM 6 Contact Switch SBM C3C49T1S2P1 NP-202492-B * *
general electric GE SB1 CF9X2
General Electric GE SAST2 Shunt Trip 1-Year Warranty
General Electric GE SAST1 Shunt Trip 1-Year Warranty
GENERAL ELECTRIC GE RELAY IC2820-A100BB3G 1C2820 A100BB3G IC2820A100BB3G
General Electric GE QMW 565B714-G38 100 AMP SWITCH PANEL INSERT
GENERAL ELECTRIC GE Q2M-2125-MT 2P 125A AMP 240V-AC CIRCUIT BREAKER B469665
GENERAL ELECTRIC GE PWB194A3409G1 PCB CIRCUIT BOARD D497250
GENERAL ELECTRIC GE PWB194A3409G1 PCB CIRCUIT BOARD D306321
GENERAL ELECTRIC GE PWB194A3409E PCB CIRCUIT BOARD D497230
GENERAL ELECTRIC GE PWB194A3396G1 PCB CIRCUIT BOARD D373907
GENERAL ELECTRIC GE Photoelectric Reflex Relay Sensor Scanner 3S7505PS511E6
GENERAL ELECTRIC GE OSCILLATOR BOARD IC4484-B103
General Electric GE Nib SB-1 Switch
GENERAL ELECTRIC GE NCBNLAB 200A AMP 120/208V-AC DISTRIBUTION PANEL B422647
General Electric GE Motor A-C 5KC38NN179 1/2 Hp 3450/2850 Rpm
General Electric GE Model# 9T51Y6 .2Kva Dry Transformer
GENERAL ELECTRIC GE ML471L294 G001 CONTROL CARD PCB CIRCUIT BOARD B491888
GENERAL ELECTRIC GE ML4006L3201 G001 CONTROL CARD PCB CIRCUIT BOARD B491890
General Electric GE Limitamp Motor Control Brain Box Model# 979B444G12
General Electric GE Lighting System White Housing 35-202589-01 12" H2000-R5N NIB
General Electric GE JVM-2 Voltage Transformer Ratio 20:1 762X22G2 Old Stock
GENERAL ELECTRIC GE JKC-3 753X2G9 RATIO 100:5 AMP CURRENT TRANSFORMER D414765
GENERAL ELECTRIC GE JCS-0 750X10G6 RATIO 400:5A AMP CURRENT TRANSFORMER B476335
General Electric GE JCC-0 1200:5 A Amps 750X20G700 CT
GENERAL ELECTRIC GE IRT51A1A TYPE IRT 60 CYCLES 80C TEMPERATURE RELAY B397338
General Electric GE Instantaneous Current Relay 12PJC12A10 TYPE PJC, GEH-1790
GENERAL ELECTRIC GE IC3650SSND1E REV E PCB CIRCUIT BOARD D451473
GENERAL ELECTRIC GE IC3603A177AH3 RELAY D473884
GENERAL ELECTRIC GE IC3600TSUD1B SUPRESSION BIAS PCB CIRCUIT BOARD B345258
GENERAL ELECTRIC GE IC3600SCBD2A 68A989109G1 REV B PCB CIRCUIT BOARD D497033
GENERAL ELECTRIC GE IC3600SCBD2A 68A989109G1 REV B PCB CIRCUIT BOARD D473882
GENERAL ELECTRIC GE IC3600KMRB2 W/ BASE RELAY D497343
GENERAL ELECTRIC GE IC3600KMRB2 W/ BASE RELAY D497226
GENERAL ELECTRIC GE IC3600AAMA1 B PCB CIRCUIT BOARD D371646
GENERAL ELECTRIC GE IC3600A0AA2B PCB CIRCUIT BOARD D371627
GENERAL ELECTRIC GE IC3500A192D FIRING PCB CIRCUIT BOARD ASSEMBLY D373696
GENERAL ELECTRIC GE IC3500A192C PCB CIRCUIT BOARD ASSEMBLY D373673
GENERAL ELECTRIC GE IC2820-C100L2 10A AMP 600V-AC RELAY B467230
GENERAL ELECTRIC GE IC2820A102 F GROUND FAULT 20V-DC RELAY D373780
GENERAL ELECTRIC GE IC2820A100AB3F 22D11G26A 10A AMP RELAY 120V-DC D306184
GENERAL ELECTRIC GE IC2820A100 AB2 P 230/250V-DC 10A AMP RELAY D450978
GENERAL ELECTRIC GE IC2820A100 AB2 F RELAY 230/250V-DC 10A AMP D332214
GENERAL ELECTRIC GE IC 2820A102 E 22D11G86A GROUND FAULT 2.2A RELAY D306200
GENERAL ELECTRIC GE IAC77B4A TIME OVERCURRENT IAC 4-16A AMP RELAY B408232
GENERAL ELECTRIC GE IAC51B3A TIME INVERSE OVERCURRENT IAC 5-2A RELAY B408234
GENERAL ELECTRIC GE HEAVY DUTY SWITCH NC - NO MODEL CR2940U201 SWITCH 600V MAX.
General Electric GE Heavy Duty Coil w/ Bracket 22D63G25A NIB
GENERAL ELECTRIC GE FVK FVK361R 30 AMP 600V F FUSIBLE BUS PLUG
GENERAL ELECTRIC GE FVK FVK321R 30 AMP 240V FUSIBLE FUSE BUS PLUG STYLE
GENERAL ELECTRIC GE FVK FVK321R 30 AMP 240V FUSIBLE BUSS BUS PLUG
GENERAL ELECTRIC GE FUSE 9F60LCB803 EJ-2D 3R (FU055)
GENERAL ELECTRIC GE FANUC IC693CPU331H CPU MODULE 16KBYTE SINGLE SLOT
GENERAL ELECTRIC GE FANUC IC693CPU331-CE CPU MODULE 16KBYTE SINGLE SLOT
GENERAL ELECTRIC GE EJ-1 9F60KLH125 125A AMP 14.4KV-AC FUSE D433983
GENERAL ELECTRIC GE DS3800DSQD1A1A 6BA02 SEQUENCER PCB CIRCUIT BOARD B422319
GENERAL ELECTRIC GE DNLAB 200A AMP 120/208V-AC DISTRIBUTION PANEL D423529
GENERAL ELECTRIC GE DH DH422R 60 AMP 240V FUSIBLE BUS PLUG dh422 In Box
GENERAL ELECTRIC GE DC COIL 22D14 G4 22D14G4 125VDC 125 VDC
GENERAL ELECTRIC GE CURRENT LIMITING FUSE 9F60CED025, TYPE EJ-1, SIZE C, AMP 25E
GENERAL ELECTRIC GE CURRENT LIMITING FUSE 9F60CED020, TYPE EJ-1, SIZE C, AMP 20E
General Electric GE CR306B1 Size 0 Starter 600 VAC 18 Amp
GENERAL ELECTRIC GE CR209B100DAA ENCLOSED SIZE 0 REVERSING MOTOR STARTER B419250
GENERAL ELECTRIC GE CR205E0 460V-AC 50HP 90A AMP SIZE 3 MOTOR STARTER D496895
GENERAL ELECTRIC GE CR120B04422 CR120B044 600V CONTACT BLOCK
GENERAL ELECTRIC GE CR115A13 Vane Operated 15 VA 230 V Limit Switch
GENERAL ELECTRIC GE CR106E000ADA 460V-AC 50HP 90A SIZE 3 MOTOR STARTER D496894
GENERAL ELECTRIC GE CR106AP4 150A 100HP SIZE 4 STARTER MCC BUCKET B446793
General Electric GE CR101X113 Size 3 Contact Kit 101X113
GENERAL ELECTRIC GE CONTACTOR CR353GJ3AA1
General Electric GE Coil 55-170204G013 55170204G013 265-277v 60hz 277v
GENERAL ELECTRIC GE CM-5 31162XX9 RATIO 100-5A CURRENT TRANSFORMER B409114
GENERAL ELECTRIC GE CM-5 31162X15 800-5 RATIO CURRENT TRANSFORMER B408850
General Electric GE Circuit Breaker P/N CR154BV024ABA NSN 5925-01-171-1672
GENERAL ELECTRIC GE CIRCUIT BREAKER 30 AMP 600V 3 POLE THED136030
GENERAL ELECTRIC GE CIRCUIT BREAKER 225 AMP 240V 3 POLE TQD32225
GENERAL ELECTRIC GE CIRCUIT BREAKER 225 AMP 240V 3 POLE TQD32225
GENERAL ELECTRIC GE CIRCUIT BREAKER 200 AMP 240V 3 POLE TQD32200
GENERAL ELECTRIC GE CIRCUIT BREAKER 175 AMP 240V 3 POLE TQD32175
GENERAL ELECTRIC GE CIRCUIT BREAKER 150 AMP 240V 3 POLE TQD32150
GENERAL ELECTRIC GE CIRCUIT BREAKER 150 AMP 240V 3 POLE TQD32150
GENERAL ELECTRIC GE CIRCUIT BREAKER 150 AMP 240V 2 POLE THQD22150
GENERAL ELECTRIC GE CIRCUIT BREAKER 15 AMP 600V 3 POLE THED136015
GENERAL ELECTRIC GE CIRCUIT BREAKER 15 AMP 480V 3 POLE TED134015
GENERAL ELECTRIC GE CIRCUIT BREAKER 125 AMP 240V 3 POLE TQD32125
GENERAL ELECTRIC GE CIRCUIT BREAKER 125 AMP 240V 3 POLE TQD32125
GENERAL ELECTRIC GE CIRCUIT BREAKER 100 AMP 277/480V 3 POLE TEY3100
GENERAL ELECTRIC GE CIRCUIT BOARD CARD IC3650SPUA2C1B 68A944790
GENERAL ELECTRIC GE CIRCUIT BOARD CARD IC3650SGDB2D 68A944666G1 REV. D
GENERAL ELECTRIC GE CIRCUIT BOARD CARD IC3650SANA2A 68A944711 REV. A
General Electric GE C46D Rectifier Semiconductor
General Electric GE Busway End Cable Tap box DASKCB32 225 amp 600 volt
GENERAL ELECTRIC GE AQU3422MBX AXB4 225A 120/208V-AC DISTRIBUTION PANEL D423509
GENERAL ELECTRIC GE AQU3422MBX 225A AMP 120/208V-AC DISTRIBUTION PANEL D423537
GENERAL ELECTRIC GE AQF3421MTX PANEL 120/208V-AC 125A DISTRIBUTION PANEL D424555
GENERAL ELECTRIC GE AQF3421MBX 125A AMP 208/120V-AC DISTRIBUTION PANEL D499319
GENERAL ELECTRIC GE AQF3421ATX SERIES II 125A 120V-AC DISTRIBUTION PANEL D440173
GENERAL ELECTRIC GE AQF3301MTX BREAKER 125A 120V-AC DISTRIBUTION PANEL B309561
GENERAL ELECTRIC GE AQF3301ABX BREAKER 125A 120/208V DISTRIBUTION PANEL B313254
GENERAL ELECTRIC GE AF-1 235 230 VAC 35 A 2 Pole CIRCUIT BREAKER
GENERAL ELECTRIC GE ADJUSTABLE REGULATOR CHASSIS RACK D220387
GENERAL ELECTRIC GE AC421R 30 AMP 240V BUS BUSS PLUG
GENERAL ELECTRIC GE AC AC32HED 20 AMP 600V BREAKER BUSS BUS PLUG
GENERAL ELECTRIC GE AC AC32ED4 20 AMP 600V 480V BREAKER BUS PLUG
GENERAL ELECTRIC GE AC AC321 30 AMP 240V FUSIBLE F BUSS BUS PLUG STYLE 1
GENERAL ELECTRIC GE 9T56Y2940 .100KVA 240V-AC 120V-AC TRANSFORMER D306190
GENERAL ELECTRIC GE 9T51B0110 MAGNETIC LOW VOLTAGE BUCK BOOST TRANSFORMER 1000W
GENERAL ELECTRIC GE 9T51B0110 MAGNETIC LOW VOLTAGE BUCK BOOST TRANSFORMER 1000W
GENERAL ELECTRIC GE 9T21A4006 VOLTAGE 9KVA 3PH 480V 208/120V TRANSFORMER B288595
GENERAL ELECTRIC GE 9F60MCB818 FUSE TYPE EJ-2 DD 18R 2.54KV !!!
GENERAL ELECTRIC GE 9F60MCB818 FUSE TYPE EJ-2 DD 18R 2.54KV !!!
GENERAL ELECTRIC GE 9F60LJD204 EJ-2 12 IN CURVE 4R 5.08KV-AC FUSE B432469
GENERAL ELECTRIC GE 945D829G11 PCB CIRCUIT BOARD D466464
GENERAL ELECTRIC GE 85938 5HP 460V-AC 1730RPM 254 3PH AC ELECTRIC MOTOR D430667
GENERAL ELECTRIC GE 753X2G15 JKC-3 RATIO 800:5 AMP CURRENT TRANSFORMER B463837
GENERAL ELECTRIC GE 750X10G11 600V JCS-0 1200:5A AMP CURRENT TRANSFORMER B474909
GENERAL ELECTRIC GE 701X90G21 DS-63 2400V POLYPHASE WATTHOUR METER D450208
GENERAL ELECTRIC GE 701X90G1 DS-63 POLYPHASE WATT HOUR 120V-AC 3W METER D451890
GENERAL ELECTRIC GE 701X90G1 DS POLYPHASE WATT HOUR 120V-AC 2.5A METER B448270
GENERAL ELECTRIC GE 701X90G 21 2400V POLYPHASE WATTHOUR METER 120V-AC D292335
GENERAL ELECTRIC GE 700X63G1 POLYPHASE WATTHOUR 120V-AC 3W METER B473574
GENERAL ELECTRIC GE 700X63G1 POLYPHASE WATTHOUR 120V-AC 3W METER B459445
GENERAL ELECTRIC GE 700A TRIP 600V CIRCUIT BREAKER *FREE SHIPPING
GENERAL ELECTRIC GE 68A997169A01 REED RELAY 12V-DC PCB CIRCUIT BOARD D467616
GENERAL ELECTRIC GE 6293011G19 TYPE EJ-1 SIZE B 14,400V LOT OF 4
GENERAL ELECTRIC GE 6174582G1 1IN ID MAGNABLAST COIL B436698
GENERAL ELECTRIC GE 5KS184SN208C 5HP 460V-AC 1755RPM 184T 3PH AC MOTOR D447194
GENERAL ELECTRIC GE 5KH39QN9668 1/3HP 220-240V-AC 1725RPM 48Y 1PH MOTOR D457515
GENERAL ELECTRIC GE 5KH37NN265JX 1/3HP 115V-AC 1725RPM AC BLOWER MOTOR B438505
GENERAL ELECTRIC GE 5K49RN 6034AS 1 HP ELECTRIC MOTOR
GENERAL ELECTRIC GE 5K49MN429 HD56FL651 230/460V-AC 1725RPM 56Y AC MOTOR D492102
General Electric GE 5K37MN38 56J Frame .75HP // 3/4HP 208-230/460V 3450RPM
GENERAL ELECTRIC GE 5K184BL1664 5HP 230/460V-AC 3505RPM 184T MOTOR D433718
GENERAL ELECTRIC GE 55A212942P24RB CURRENT LIMITING DOUBLE 24R AMP FUSE B435837
GENERAL ELECTRIC GE 531X125SNHAFG1 GE SNUBBER PCB CIRCUIT BOARD D458081
GENERAL ELECTRIC GE 517L426 REGULATOR POWER SUPPLY PCB CIRCUIT BOARD B493368
GENERAL ELECTRIC GE 517L426 GR REGULATOR POWER SUPPLY PCB CIRCUIT BOARD B492907
GENERAL ELECTRIC GE 517L426 GR REGULATOR POWER SUPPLY PCB CIRCUIT BOARD B491863
GENERAL ELECTRIC GE 517L425 GR2 REGULATOR POWER SUPPLY CIRCUIT BOARD B492761
GENERAL ELECTRIC GE 517L187-G004 DRIVE RESISTIVE AC FILTER 1400KVA B305269
GENERAL ELECTRIC GE 50-233390AGAA1 8.7KV VOLTAGE TESTER CLAMP B408131
GENERAL ELECTRIC GE 50-105031-PZSJ2 0-600 AC VOLTS METER AB-30 D459027
GENERAL ELECTRIC GE 50-100131LSRX1 0-300A AMP METER D462543
GENERAL ELECTRIC GE 497X34 JKM-3 600:5A AMP 5KV-AC CURRENT TRANSFORMER D469693
GENERAL ELECTRIC GE 497X32 JKM-3 5KV-AC 300:5A AMP CURRENT TRANSFORMER D473099
GENERAL ELECTRIC GE 497X32 JKM-3 300:5A AMP 5KV-AC CURRENT TRANSFORMER D469695
GENERAL ELECTRIC GE 497X29 JKM-3 5KV-AC 100:5A AMP CURRENT TRANSFORMER D473098
GENERAL ELECTRIC GE 469L959G1 CR TEMPERATURE ALARM RELAY CIRCUIT BOARD B410796
GENERAL ELECTRIC GE 469L9591G1 TEMPERATURE ALARM RELAY CARD CIRCUIT B411626
GENERAL ELECTRIC GE 44B417423 GO2 000 PCB CIRCUIT BOARD D466060
GENERAL ELECTRIC GE 44B417 419-G02/001 HHTB/2 PCB CIRCUIT BOARD D465959
GENERAL ELECTRIC GE 44B337316G301 44A306013 PCB CIRCUIT BOARD D507781
General Electric GE 44A-398711-G02 1050 NC Control ODI32B Circuit Board
GENERAL ELECTRIC GE 404X737G04L2P-1G 68A989108G1 REV A PCB CIRCUIT BOARD B464403
GENERAL ELECTRIC GE 4006L5805 G001 CONTROL CARD PCB CIRCUIT BOARD B491878
GENERAL ELECTRIC GE 4006L5801 CONTROL CARD PCB CIRCUIT BOARD B492927
GENERAL ELECTRIC GE 4006L3202 G001 CONTROL CARD PCB CIRCUIT BOARD B491894
GENERAL ELECTRIC GE 3710025BU TYPE AB-40 0-3 AC MEGAWATTS PANEL METER B493109
GENERAL ELECTRIC GE 328L493G23A EJ-2 12R AMP 2400/4800V-AC FUSE B413198
GENERAL ELECTRIC GE 328L493 GR27 TYPE EJ-2 DUAL 24R AMP 4.8KV-AC FUSE B444118
General Electric GE 20 Amp Circuit Breaker THED124020 NIB in box 480 VAC
GENERAL ELECTRIC GE 2 Wire Control Kit for Lighting Contactor 460XMC
GENERAL ELECTRIC GE 1F3205N 7.5HP 575V-AC 3510RPM 213T 3PH AC MOTOR D445917
GENERAL ELECTRIC GE 193X711AEG03 P206 POWER AMPLIFIER B374206
GENERAL ELECTRIC GE 193X701CCG01 CURRENT LIMITING PCB CIRCUIT BOARD B407609
GENERAL ELECTRIC GE 193X643ADG114 CONTROL DRIVE CARD PCB CIRCUIT BOARD D304604
GENERAL ELECTRIC GE 16SB9CB3B55SSM2L SB-9 ROTARY CONTROL SWITCH B471643
GENERAL ELECTRIC GE 16SB1CF11X2 SB-1 VOLTMETER ROTARY SELECTOR SWITCH D463784
GENERAL ELECTRIC GE 16SB1CF11X2 4 POSITION VOLTMETER SELECTOR SWITCH B474811
GENERAL ELECTRIC GE 165A6688 G1 CURRENT RELAY ebay241 9103c bin 1
GENERAL ELECTRIC GE 15D1G014 115VAC COIL 15D1G014
General Electric GE 15 Amp 480 Volt AC 3 Pole TED134015
GENERAL ELECTRIC GE 12IAC77B806A TIME OVERCURRENT RELAY D470233
GENERAL ELECTRIC GE 12HGA11A52F RELAY*NNB
GENERAL ELECTRIC GE 12HEA61M91X2 AUXILIARY ROTARY RELAY 115V-AC B334352
GENERAL ELECTRIC GE 12HEA61A233 AUXILIARY LOCKOUT RELAY SWITCH 48V-DC D291461
GENERAL ELECTRIC GE 12CFF14A31A CFF 115V-AC 0.2/2A AMP FREQUENCY RELAY B408224
GENERAL ELECTRIC GE 125D5787P1 125D5788G0002 125D5788G2 CIRCUIT BOARD D466521
GENERAL ELECTRIC GE 112HGA11J54 48V-DC AUXILIARY RELAY D451891
GENERAL ELECTRIC GE 110V Time Delay Relay CR2820B 122AA2
GENERAL ELECTRIC GE 10BN367 TYPE SBM CONTROL SWITCH *NIB
GENERAL ELECTRIC GE 104X991BAG01 ADJUSTABLE REGULATOR RACK CHASSIS D218494
GENERAL ELECTRIC GE 104X149CC-031 SHUNT 100MV 2A AMP PARTS CONTACTOR B469714
GENERAL ELECTRIC GE 102L218G8 RELAY TRIPPING RECTIFIER 400V 10A***NIB***
GENERAL ELECTRIC GE 0217B3324AA1 GR2 COMPONENT CARD PCB CIRCUIT BOARD B492762
GENERAL ELECTRIC GE 0160001706 SURGE PROTECTOR BOARD OLD STOCK
GENERAL ELECTRIC GC CR306D0**ABNA SIZE 2 120V COIL MOTOR STARTER CR306D0
GENERAL ELECTRIC GATE PULSE ISOLATION 6 PULSE ML 621L252 G002 CIRCUIT CARD
GENERAL ELECTRIC GATE PULE GENERATOR IC3600TPAE1B
General Electric G4A71R1A1N1 SBM Rotary Switch 16SBMG4A71R1A1N1145
GENERAL ELECTRIC G35-019 REV C - NC TERMINATION CARD (R10-2-38)
GENERAL ELECTRIC G103B25 G103B25
General Electric G.E. A/C Motor FR-305 Form A #575718 RPM L800 110V Antique VTG
General Electric G E CR306B00200AAAAA Magnetic Starter 3 Pole Nema Size 0
GENERAL ELECTRIC FVK361R 30 AMP 600 VOLT FUSIBLE BUS PLUG
GENERAL ELECTRIC FVK310ED6 ** **
GENERAL ELECTRIC FUSE 100 AMP 100E 9F62DDD100 EJO-1D
General Electric Function Changer Panel
General Electric Fuji AF-300 6KE3PID w/ 60-Day Warranty
General Electric Fuji AF-300 6KE3ECB02 w/ 60-Day Warranty
General Electric FTNSC02TZ **GENUINE** GE
GENERAL ELECTRIC FTNPL1CA FTNPL1CA
GENERAL ELECTRIC FTNPL1AA FTNPL1AA
GENERAL ELECTRIC FTNETE2 FTNETE2
GENERAL ELECTRIC FTNETC2 FTNETC2
GENERAL ELECTRIC FTNEND1 FTNEND1
GENERAL ELECTRIC FT-076 FT076
GENERAL ELECTRIC FORKLIFT BATTERY DC/DC CONVERTER18/50V
GENERAL ELECTRIC FLOOD LIGHT FIXTURE SBF17M0A
GENERAL ELECTRIC FFRQ1000PAR64/5 FFRQ1000PAR645
GENERAL ELECTRIC FEED-IN BOX DFCB422 * *
GENERAL ELECTRIC FCV36TE030R FCV36TE030R
GENERAL ELECTRIC FBV36TE080RV FBV36TE080RV
GENERAL ELECTRIC FBV36TE060RV FBV36TE060RV
GENERAL ELECTRIC FBV36TE030R2 FBV36TE030R2
GENERAL ELECTRIC FBN36TE020RV CIRCUIT BREAKER 20A * *
GENERAL ELECTRIC FAS01L FAS01L
GENERAL ELECTRIC FANUC OUTPUT MODULE 16 POINT MODEL IC693MDL940D
GENERAL ELECTRIC FANUC IC200MDD845B MIXED OUTPUT RELAY 2.0A PER PT ISO 8PT
GENERAL ELECTRIC FANUC DISCHARGE UNIT MODEL A06B-6047-H050 (2 AVAILABLE)
GENERAL ELECTRIC FANUC AC3B-0819-C161#D I/O MODULE A * *
GENERAL ELECTRIC FANUC A03B-0808-C030 I/O MODULE QUANTITY!!!
General Electric Fanuc A03B-0801-C009 I/O Base Unit NIB
General Electric F6T5/CW Lamps 9" Long (Pack of 22)
General Electric F4A19T1F1P1 SBM Rotary Switch 16SBMF4A19T1F1P1
General Electric F3247513 **GENUINE**
General Electric F3246806 **GENUINE** GE
GENERAL ELECTRIC F-3243127 F3243127
GENERAL ELECTRIC F-3240635 COIL 3240635 IN BOX.
GENERAL ELECTRIC F-3240157 F3240157
GENERAL ELECTRIC F31X171TMAADG2 FANUC APPL TB CARD
General Electric F3175400 **GENUINE**
General Electric F3024192 **GENUINE** GE F 3024192
General Electric F22D145-G004 Coil - No Box
General Electric F22D145G003 NSNP **GENUINE**
General Electric F15T8/CW Fluorescent Light Lot of 12
GENERAL ELECTRIC EVU02 EVU02
GENERAL ELECTRIC EV100 DUAL MOTOR CARD - IC3645LXCD1MT
GENERAL ELECTRIC EP103ULC-1 EP103ULC1
General Electric EP102ULHD05 (EP102ULHD5) Circuit Breaker D 2P 5A 240VAC 3 per
General Electric EP102ULHD03 Circuit Breaker UL489 D 2P 3A 240VAC Box of 3
General Electric EP102ULHD0,5 Circuit Breaker UL489 D 2P 0.5A 240VAC Box of 3
General Electric EP102UL NSNP **GENUINE**
General Electric Encoder 3N1000FB104D1 __ 3N1000FB104DI
GENERAL ELECTRIC ENCLOSURE 300-LINE 55-201262P013 TYPE 12 INDOOR
GENERAL ELECTRIC ENCLOSURE 300-LINE 55-201262P013 TYPE 1 INDOOR ONLY (OTHER)
General Electric Enclosed 400A Circuit Breaker
GENERAL ELECTRIC EN160/63 EN16063
GENERAL ELECTRIC Electrical Supply 460v AF-300 6KM243002N1A1
GENERAL ELECTRIC ELECTRICAL RELAY CR2810A14AC2 * *
GENERAL ELECTRIC EJ1 **NNB**
General Electric EJ O-1D 15E 15.5KV Fuse
General Electric E3A92T1S2P1 SBM Rotary Switch
General Electric E11592 3POLE 100AMP
GENERAL ELECTRIC DS3820HSMB1F1G ELECTRICAL COMPONENT THYRISTOR D253826
GENERAL ELECTRIC DS3800NFSA1D1C 6BA02 C-ESS CIRCUIT BOARD DS3800NFSA / GE
GENERAL ELECTRIC DS3800NFDB1A1A FIELD CONTROL B CIRCUIT BOARD / CARD DS3800NFDB
GENERAL ELECTRIC DS3800DSQD1A1A 6BA02 SEQUENCER AUXILIARY CIRCUIT BOARD D253926
GENERAL ELECTRIC DS3800DI0H1D1E 6BA04 CIRCUIT BOARD / CARD DS3800DI0H
GENERAL ELECTRIC DS3800DI0H1C1D 6BA03 C-ESS CIRCUIT BOARD / CARD DS3800DI0H
GENERAL ELECTRIC DS3800DGPA1A1B CIRCUIT BOARD * *
General Electric Dry Type Transformer KVA .250 (Inv.25512)
General Electric Dry Type Single Phase Power Transformer 3kVA 9T51B33G03 USG
GENERAL ELECTRIC DRIVER 1C3645CPM1RDA2 * NO BOX*
GENERAL ELECTRIC DRIVER 1C3645CPM1RDA2 * NO BOX*
GENERAL ELECTRIC DP4GA01EBST 100A 600V
GENERAL ELECTRIC DLTGBCP4 DLTGBCP4
GENERAL ELECTRIC DLTG-BCP-3 DLTGBCP3
GENERAL ELECTRIC DLTG-BCP-3 DLTGBCP3
GENERAL ELECTRIC DIRECT CURRENT MOTOR, 5B204A1311, FRAME 204, 1 1/2 HP, 3450 RPM
GENERAL ELECTRIC DIAL SWITCH THMR3262 SANTEC RB400 CNC ROTARY HANDLE E36152
GENERAL ELECTRIC DFL-361 DFL361
General Electric DC Voltmeter (Type DP-9)
General Electric DC Output Circuit Board 44A719332-G01
General Electric DC Motor 5BCF56ND6 Off of Monarch VMC75 _ 1750RPM _ 7ND822Z00CH
General Electric DC Ammeter100Amps NN
GENERAL ELECTRIC DB-40 PANEL METER 0-3500 * IN A BOX*
GENERAL ELECTRIC DB17 50-102117FAFA2JET DC AMMETER RPM PANEL
GENERAL ELECTRIC D-4002J65-G1 D4002J65G1
General Electric D3A06S1S2L1 SBM Rotary Switch
General Electric D2A05S3A2L1 20A 600VAC 2 Position Hand Switch
GENERAL ELECTRIC D2A02R1A3N1N TYPE SBM SWITCH * *
General Electric D-2840K10 Torque Gauge 0-50-0 ! NOP !
GENERAL ELECTRIC D-1255K16719 METER RELAY CONTROL UNIT * *
General Electric D1255K16716 NSNP **GENUINE** GE D 1255K 16716
GENERAL ELECTRIC D-1255K16-706 D1255K16706
GENERAL ELECTRIC D-1255K16-706 D1255K16706
General Electric D-1255K16 Meter Relay 120 Volts
GENERAL ELECTRIC D041 D041
General Electric D/660006812 **GENUINE**
GENERAL ELECTRIC D/650006-313 D650006313
General Electric Current Transformer Ratio 400:5 JAK-0 750X33G303 .6KV 600V 3"D
General Electric Current Transformer 75:5 Ratio JKM-3
GENERAL ELECTRIC CURRENT LIMITING FUSE, 218A4293P 3RB, 5.08 KV, 3R, 80KA, NOS
General Electric Current Limiting Fuse Unit For Motor Circuits 6193418G19
General Electric Current Limiting Fuse Unit 6193418 G-19
GENERAL ELECTRIC CTRA700AH205X0 CONTACTOR (LOT OF 2)
GENERAL ELECTRIC CRONC201 CRONC201
GENERAL ELECTRIC CR9503208DAB204 CR9503208DAB204
GENERAL ELECTRIC CR9500C101E3A CR9500C101E3A
General Electric CR9500B104CK33A **GENUINE**
GENERAL ELECTRIC CR9500B104B2A CR9500B104B2A
General Electric CR9500B100D2A Solenoid
GENERAL ELECTRIC CR9500B100A4A CR9500B100A4A
General Electric CR9500A104A2A NSNP **GENUINE** GE
GENERAL ELECTRIC CR9500A104A2A CR9500A104A2A
GENERAL ELECTRIC CR9500A101B7A CR9500A101B7A
General Electric CR9500A101B2A **GENUINE**
GENERAL ELECTRIC CR9500-A100E2A CR9500A100E2A
General Electric CR95000A100B2A Pull Solenoid Coil 115 V 1IN Stroke ! WOW !
General Electric CR9441E1A **GENUINE**
GENERAL ELECTRIC CR9440LS415Q CR9440LS415Q
General Electric CR9440-LS415Q Limit Switch CR9440LS415Q - No Box
GENERAL ELECTRIC CR9440-LS415D CR9440LS415D
GENERAL ELECTRIC CR9440K1M1 CR9440K1M1
General Electric CR9440K1M1 NSNP **GENUINE** GE
General Electric CR9440K1M1 Limit Switch 600V
GENERAL ELECTRIC CR9440K1L1 CR9440K1L1
GENERAL ELECTRIC CR9440K1L1 CR9440K1L1
General Electric CR9440K1L1 **GENUINE** GE
General Electric CR9440K1L1 **GENUINE** GE
General Electric CR9440K1J1 NSNP **GENUINE**
General Electric CR9440J1S1 NSNP **GENUINE**
General Electric CR9440J1S1 **GENUINE**
General Electric CR9440D2DF NSNP **GENUINE**
GENERAL ELECTRIC CR7ZB-10-L CR7ZB10L
GENERAL ELECTRIC CR7RA40EH CR7RA40EH
GENERAL ELECTRIC CR7G5TH CR7G5TH
GENERAL ELECTRIC CR7G4TE CR7G4TE
GENERAL ELECTRIC CR7G4TC CR7G4TC
GENERAL ELECTRIC CR7G4TC CR7G4TC
General Electric CR7G1WE Overload Relay (Pack of 3) - No Box
GENERAL ELECTRIC CR7CEH CR7CEH
GENERAL ELECTRIC CR7CEH CR7CEH
GENERAL ELECTRIC CR7CAH CR7CAH
General Electric CR7CA10/CR7G1TF Contactor and Overload Relay 110/120VAC Coil
GENERAL ELECTRIC CR72AMK CR72AMK
GENERAL ELECTRIC CR72AMH CR72AMH
GENERAL ELECTRIC CR72AMH CR72AMH
GENERAL ELECTRIC CR72AMH CR72AMH
General Electric CR72AMH **GENUINE** CR72 AMH
GENERAL ELECTRIC CR72AMF CR72AMF
GENERAL ELECTRIC CR72AMD CR72AMD
GENERAL ELECTRIC CR72AMD CR72AMD
GENERAL ELECTRIC CR72AMD CR72AMD
GENERAL ELECTRIC CR71VJC3AZA916 CR71VJC3AZA916
GENERAL ELECTRIC CR71VAL3AZA MAGNETIC CONTACTOR REVERSER B79
GENERAL ELECTRIC CR5VA-A3AZA CR5VAA3AZA
General Electric CR545ST1AB Relay Module -
General Electric CR545SS1AB Relay Module -
GENERAL ELECTRIC CR4ZC-10 CR4ZC10
GENERAL ELECTRIC CR4ZB-10 CR4ZB10
GENERAL ELECTRIC CR4ZB-10 CR4ZB10
GENERAL ELECTRIC CR4XP2C CR4XP2C
GENERAL ELECTRIC CR4XP2C CR4XP2C
GENERAL ELECTRIC CR4XP1C CR4XP1C
GENERAL ELECTRIC CR4CH11A CR4CH11A
GENERAL ELECTRIC CR4CG-11-A CR4CG11A
GENERAL ELECTRIC CR4CF10 CR4CF10
General Electric CR4CA10 Contactor with Overload Relay 120VAC
General Electric CR4CA10 Contactor 120VAC
General Electric CR463L20ANA 277v Coil Electrically Held Lighting Contactor
General Electric CR463L20AJA 120v Coil Electrically Held Lighting Contactor
General Electric CR463L20AJA -
GENERAL ELECTRIC CR463L20ACA CR463L20ACA
GENERAL ELECTRIC CR460XP32 CR460XP32
GENERAL ELECTRIC CR460XMM CR460XMM
GENERAL ELECTRIC CR460XE1B LIGHTING CONTACTOR CR460B
General Electric CR360MA403AA Mech Held Contactor
GENERAL ELECTRIC CR360L31402AAAZ CR360L31402AAAZ
GENERAL ELECTRIC CR360L31402AAAZ CR360L31402AAAZ
GENERAL ELECTRIC CR360L30302AAAZ CR360L30302AAAZ
General Electric CR360L30302AAAZ NSNP **GENUINE**
GENERAL ELECTRIC CR354A-C3AH3B CR354AC3AH3B
General Electric CR353FF3DA1 **GENUINE** GE
GENERAL ELECTRIC CR353FF3BH1 CR353FF3BH1
GENERAL ELECTRIC CR353FF2BA1 CR353FF2BA1
GENERAL ELECTRIC CR353FE3BH0AG CR353FE3BH0AG
General Electric CR353FE2BB1 **GENUINE** GE
GENERAL ELECTRIC CR353FE2BA1 CR353FE2BA1
GENERAL ELECTRIC CR353EGY4B CR353EGY4B
GENERAL ELECTRIC CR353EG3BH1 CR353EG3BH1
GENERAL ELECTRIC CR353EG3BA1 CR353EG3BA1
GENERAL ELECTRIC CR353EE3BA1AB CR353EE3BA1AB
General Electric CR353AC4AF1 **GENUINE** GE
General Electric CR353AC4AC1 **GENUINE** GE
GENERAL ELECTRIC CR353AC2BA1 CR353AC2BA1
General Electric CR353ABY15A **GENUINE**
General Electric CR324XRRM02 Remote Module CR324DXJS OVERLOAD RELAY 25-50 AMP
GENERAL ELECTRIC CR324G310F CR324G310F
GENERAL ELECTRIC CR324G310F OVERLOAD RELAY *SEALED*
General Electric CR324F610A NSNP **GENUINE** GE
General Electric CR324F610A **GENUINE**
GENERAL ELECTRIC CR324F36046 CR324F36046
General Electric CR324F310F Overload Relay
GENERAL ELECTRIC CR324E310FX OVERLOAD RELAY BLOCK * IN BOX*
GENERAL ELECTRIC CR324E310F OVERLOAD RELAY * IN BOX*
GENERAL ELECTRIC CR324E310A CR324E310A
GENERAL ELECTRIC CR324-DXGS CR324DXGS
GENERAL ELECTRIC CR324D360F CR324D360F
GENERAL ELECTRIC CR324D310FX CR324D310FX
General Electric CR324CXES Solid State Overload Relay 1.6 - 3.4A WOW
General Electric CR324C610A Overload Thermal Relay
GENERAL ELECTRIC CR324C310A1 OVERLOAD RELAY MANUAL/AUTO RESET - NIB
GENERAL ELECTRIC CR315PEX28K CR315PEX28K
GENERAL ELECTRIC CR315PEX27K CR315PEX27K
GENERAL ELECTRIC CR315PEX25B CR315PEX25B
GENERAL ELECTRIC CR315-PEX18K CR315PEX18K
GENERAL ELECTRIC CR315-PEX18K CR315PEX18K
GENERAL ELECTRIC CR315PEX15B CR315PEX15B
GENERAL ELECTRIC CR315-PEH5 CR315PEH5
General Electric CR315PEH5 **GENUINE** GE
GENERAL ELECTRIC CR315PE104B5 CR315PE104B5
GENERAL ELECTRIC CR315PE001A4 CR315PE001A4
GENERAL ELECTRIC CR315PE001A3 CR315PE001A3
GENERAL ELECTRIC CR315PE001A2 CR315PE001A2
GENERAL ELECTRIC CR315PE001A1 CR315PE001A1
General Electric CR309B002 Reversing Starter 18A 3Pole 110/115-120V 50/60Hz! WOW
GENERAL ELECTRIC CR3090B0**BAA SIZE 0 CONTACTOR 15D21G002 COILS, CR309B0BAA
GENERAL ELECTRIC CR308XT205A CR308XT205A
GENERAL ELECTRIC CR308XT204A CONTROL POWER TRANSFORMER * IN A BOX*
General Electric CR308XT104B **GENUINE** GE
General Electric CR308B6**2RAAAAA Size 0 120V Coil Non Reversing Starter
GENERAL ELECTRIC CR308 STARTER COMBINATION * *
GENERAL ELECTRIC CR306X390B CR306X390B
GENERAL ELECTRIC CR306X290M PANEL, GE CR306D0 NEMA 2 STARTER
GENERAL ELECTRIC CR306X290L CR306X290L
GENERAL ELECTRIC CR306J002 CR306J002
General Electric CR306J002 **GENUINE** GE
General Electric CR306J002 **GENUINE**
GENERAL ELECTRIC CR306D6 MOTOR STARTER size 2 WITH 115-120 VOLT COIL
General Electric CR306D002 Starter Sz2 45Amp 3Pole 110/115-120V Coil ! WOW !
General Electric CR306D0**LTH 45A Size 2 Starter
GENERAL ELECTRIC CR306C102 CR306C102
General Electric CR306C102 NSNP **GENUINE**
GENERAL ELECTRIC CR306C022AATA CR306C022AATA
General Electric CR306C004 Motor Starter In Box A16
General Electric CR306C003 **GENUINE**
General Electric CR306C002LAA Starter 27A 3Pole 110/115-120VAC ! WOW !
General Electric CR306C002 Starter sz1 3-Pole 27A 110-115/120VAC Coil ! WOW !
GENERAL ELECTRIC CR306C0004AAEA CR306C0004AAEA
GENERAL ELECTRIC CR306B104 CR306B104
General Electric CR306B102ABA Magnetic Starter
GENERAL ELECTRIC CR306B101 CR306B101
GENERAL ELECTRIC CR306B004 CR306B004
GENERAL ELECTRIC CR306B004 CR306B004
GENERAL ELECTRIC CR306B003 CR306B003
General Electric CR306B003 **GENUINE** GE CR306 B003
GENERAL ELECTRIC CR306B002 CR306B002
General Electric CR306B002 Starter sz0 3-Pole 18A 110-115/120VAC Coil ! WOW !
General Electric CR306B002 **GENUINE** GE
GENERAL ELECTRIC CR306A123B5B CR306A123B5B
GENERAL ELECTRIC CR306A103 CR306A103
GENERAL ELECTRIC CR306A102AAGA CR306A102AAGA
General Electric CR306A102AAGA Magnetic Starter 3 pole 115/120 volt
GENERAL ELECTRIC CR306A102 CR306A102
General Electric CR306A102 **GENUINE** GE CR306 A102
GENERAL ELECTRIC CR306A100AAGA CR306A100AAGA
GENERAL ELECTRIC CR306A032ACAA CR306A032ACAA
General Electric CR306A002, OLD SUPLUS
General Electric CR306A002, OLD SUPLUS
General Electric CR306A002 Starter sz00 3-Pole 9A 110-115/120VAC Coil ! WOW !
General Electric CR306A002 Nema Size 00 Starter 120v Coil 1-yr Warranty
General Electric CR306-A002 Magnetic Starter CR306A002
GENERAL ELECTRIC CR306A000LAA CR306A000LAA
General Electric CR306 Magnetic Starter
GENERAL ELECTRIC CR305-X702B CR305X702B
General Electric CR305S004AE **GENUINE** CR30 5S00 4AE
General Electric CR305J002ADA Magnetic Contactor
GENERAL ELECTRIC CR305J0** * OUT OF BOX*
General Electric CR305E0**AYH Nema Size: 3, 600AC, 90AMP, 3 Pole Overload Relay
General Electric CR305C004 NSNP **GENUINE**
GENERAL ELECTRIC CR305C002 CR305C002
GENERAL ELECTRIC CR305B103 CR305B103
GENERAL ELECTRIC CR305B102 CR305B102
General Electric CR2962E1A4 **GENUINE** GE
GENERAL ELECTRIC CR294OUB311A CR294OUB311A
GENERAL ELECTRIC CR294DWM600A CR294DWM600A
GENERAL ELECTRIC CR2944UG208M4 CR2944UG208M4
GENERAL ELECTRIC CR2943NJ202G CR2943NJ202G
General Electric CR2943NJ202G **GENUINE**
GENERAL ELECTRIC CR2943NJ202E CR2943NJ202E
General Electric CR2943-NJ202A Start- Stop Control
GENERAL ELECTRIC CR2943NB331M CR2943NB331M
GENERAL ELECTRIC CR2943NB331F CR2943NB331F
GENERAL ELECTRIC CR2943NA103L CR2943NA103L
GENERAL ELECTRIC CR2943NA102W CR2943NA102W
General Electric CR2943NA102E **GENUINE** GE
GENERAL ELECTRIC CR2943NA102B CR2943NA102B
GENERAL ELECTRIC CR2943AA103AH CR2943AA103AH
GENERAL ELECTRIC CR2943AA102G CR2943AA102G
General Electric CR2941NA102G Push Button Station - No Box
GENERAL ELECTRIC CR2941NA102F CR2941NA102F
GENERAL ELECTRIC CR2940YN207G1 CR2940YN207G1
GENERAL ELECTRIC CR2940YN207G1 CR2940YN207G1
GENERAL ELECTRIC CR2940YK200H CR2940YK200H
GENERAL ELECTRIC CR2940-XR9 CR2940XR9
GENERAL ELECTRIC CR2940-XN9 CR2940XN9
GENERAL ELECTRIC CR2940-XGG9 CR2940XGG9
General Electric CR2940XC212D2 **GENUINE**
GENERAL ELECTRIC CR2940WA202C CR2940WA202C
General Electric CR2940UW221A2 Push Button Transformer (Pack of 3) -
GENERAL ELECTRIC CR2940US202C CR2940US202C
GENERAL ELECTRIC CR2940US202B CR2940US202B
GENERAL ELECTRIC CR2940UR203C CR2940UR203C
GENERAL ELECTRIC CR2940UN203G1 CR2940UN203G1
GENERAL ELECTRIC CR2940UN202P1 CR2940UN202P1
GENERAL ELECTRIC CR2940UN200N CR2940UN200N
General Electric CR2940UM200AL Oil Tight Push Button
General Electric CR2940UM200AC Pushbutton
GENERAL ELECTRIC CR2940-UM200AC CR2940UM200AC
GENERAL ELECTRIC CR2940UK200C CR2940UK200C
GENERAL ELECTRIC CR2940UK200C CR2940UK200C
General Electric CR2940UJ200C Red Push Button (Pack of 3)
General Electric CR2940UE212A2 NSNP **GENUINE**
General Electric CR2940UE212A2 **GENUINE** CR29 40UE2 12A2
GENERAL ELECTRIC CR2940UC212B2 CR2940UC212B2
General Electric CR2940UB203M **GENUINE**
GENERAL ELECTRIC CR2940UB203F CR2940UB203F
GENERAL ELECTRIC CR2940UB203A CR2940UB203A
GENERAL ELECTRIC CR2940UB203A CR2940UB203A
General Electric CR2940UA403C Push Buton Contact White Button
General Electric CR2940UA403C Push Buton Contact Red Button
GENERAL ELECTRIC CR2940UA206B CR2940UA206B
General Electric CR2940UA203E **GENUINE**
GENERAL ELECTRIC CR2940UA202B CR2940UA202B
General Electric CR2940U203 Contact Block (Pack of 6) - No Box
GENERAL ELECTRIC CR2940U202 CR2940U202
General Electric CR2940U201 Contact Block (Pack of 6) - No Box
GENERAL ELECTRIC CR2940NP611C CR2940NP611C
GENERAL ELECTRIC CR2940NP611C CR2940NP611C
GENERAL ELECTRIC CR2940NP311D CR2940NP311D
General Electric CR2940NC202A **GENUINE**
GENERAL ELECTRIC CR-2940NC201B CR2940NC201B
General Electric CR2940NA403L Push Button Station - No Box
General Electric CR2940NA403L **GENUINE**
GENERAL ELECTRIC CR2940NA401B CR2940NA401B
GENERAL ELECTRIC CR2940NA401A CR2940NA401A
General Electric CR2940BC303A Enclosure
General Electric CR2940BC301A Enclosure - No Box
General Electric CR2940BC301A Enclosure
General Electric CR2940BA401A Enclosure - No Box
GENERAL ELECTRIC CR2940-AJ102S CR2940AJ102S
GENERAL ELECTRIC CR2940-AJ102B CR2940AJ102B
General Electric CR2940AA401D NSNP **GENUINE**
General Electric CR2927M17 NSNP **GENUINE**
GENERAL ELECTRIC CR2927M17 (2248268G10) AUTOMATIC PRESSURE SWITCH * NO BOX*
GENERAL ELECTRIC CR2927M16 CR2927M16
GENERAL ELECTRIC CR2820B424AA41 CR2820B424AA41
GENERAL ELECTRIC CR2820B424AA41 CR2820B424AA41
GENERAL ELECTRIC CR2820B130AA CR2820B130AA
General Electric CR2820B123AA2 Pneumatic Time Delay Relay 115V 60Hz ! WOW !
GENERAL ELECTRIC CR2820B110AA2 TIME DELAY RELAY115V * *
GENERAL ELECTRIC CR2811A-256K542 CR2811A256K542
GENERAL ELECTRIC CR2811A216Q102 CR2811A216Q102
GENERAL ELECTRIC CR2811A215KG541 CR2811A215KG541
GENERAL ELECTRIC CR2811A-212C CR2811A212C
GENERAL ELECTRIC CR2811A210AD CR2811A210AD
GENERAL ELECTRIC CR2810A17DG2 RELAY NIB
General Electric CR2810A17AT2 **GENUINE** CR2810A 17AT2
GENERAL ELECTRIC CR2810A14EL204 MACHINE TOOL RELAY 10 AMP 600V NIB
GENERAL ELECTRIC CR2810A14EG CR2810A14EG
General Electric CR2810A14DE4 **GENUINE** GE
GENERAL ELECTRIC CR2810A14DE22 RELAY *SEALED*
GENERAL ELECTRIC CR2810A14DE CR2810A14DE
General Electric CR2810A14DE NSNP **GENUINE**
General Electric CR2810A14BF NSNP **GENUINE**
General Electric CR2810A14BB NSNP **GENUINE**
GENERAL ELECTRIC CR2810A-14AY102 CR2810A14AY102
General Electric CR2810A14AY102 **GENUINE**
GENERAL ELECTRIC CR2810A14AT CR2810A14AT
General Electric CR2810A14AK22 **GENUINE**
General Electric CR2810A14AK2 **GENUINE** CR281 0A14 AK2
GENERAL ELECTRIC CR2810A-14AH2 CR2810A14AH2
GENERAL ELECTRIC CR2810A14AG102 RELAY * IN BOX*
GENERAL ELECTRIC CR2810A14AC56 CR2810A14AC56
General Electric CR2810A14AC51 **GENUINE** GE
General Electric CR2810A14AC4 **GENUINE** CR28 10A1 4AC4
GENERAL ELECTRIC CR2810A14A-C2 CR2810A14AC2
GENERAL ELECTRIC CR2810A14A-A4 CR2810A14AA4
GENERAL ELECTRIC CR2810A14AA2 CR2810A14AA2
GENERAL ELECTRIC CR2810A11-JA CR2810A11JA
GENERAL ELECTRIC CR2810A-11AD CR2810A11AD
GENERAL ELECTRIC CR2810A-11AC CR2810A11AC
GENERAL ELECTRIC CR28101265AJ1 CR28101265AJ1
GENERAL ELECTRIC CR2790E101A3 CR2790E101A3
General Electric CR2790E101A3 **GENUINE** GE
GENERAL ELECTRIC CR2790E100Y32 CR2790E100Y32
GENERAL ELECTRIC CR2790E100N42 CR2790E100N42
GENERAL ELECTRIC CR2790E100N42 **NIB**
GENERAL ELECTRIC CR2790E100M1 CR2790E100M1
General Electric CR2790E100J17 **GENUINE**
GENERAL ELECTRIC CR2790E100C2 CR2790E100C2
General Electric CR2790E100C2 **GENUINE** GE
GENERAL ELECTRIC CR261FFH302AAA CR261FFH302AAA
General Electric CR260L20DA361AA0 NSNP **GENUINE** GE
General Electric CR245X100A **GENUINE**
General Electric CR245S114A Relay Module Series A -
General Electric CR245R103A Relay Module Series A -
General Electric CR245M211A Relay Module -
General Electric CR245M201A Relay Module -
General Electric CR245M200A Relay Module -
General Electric CR245L111A Relay Module Series A -
GENERAL ELECTRIC CR245L101A CR245L101A
General Electric CR245L101A Relay Module -
General Electric CR245F200A Relay Module -
General Electric CR245F200A **GENUINE**
GENERAL ELECTRIC CR245F111A SERIES A RELAY MODULE - FREE SHIPPING!!!
General Electric CR245F100A Relay Module -
General Electric CR245E112A Off Return Mem Tested -
General Electric CR245E112A Off Return Mem -
General Electric CR245E110B Relay Module Tested -
General Electric CR245D102A Relay Module Series A -
General Electric CR245C100A Relay Module Series A - No Box
General Electric CR245B103A Logic Element -
General Electric CR245A106A Relay Module Series A -
GENERAL ELECTRIC CR2236024G005 CR2236024G005
GENERAL ELECTRIC CR215PE719C3 CR215PE719C3
GENERAL ELECTRIC CR215GH94 CR215GH94
GENERAL ELECTRIC CR215GH94 CR215GH94
GENERAL ELECTRIC CR215GH94 CR215GH94
General Electric CR215GFA NSNP **GENUINE** GE
General Electric CR215GFA Limit Switch 600V Front Body Only
GENERAL ELECTRIC CR215G2J59 CR215G2J59
General Electric CR215G1A96 **GENUINE** CR21 5G1 A96
General Electric CR215G1A87 **GENUINE**
General Electric CR215G1A61 **GENUINE** GE
GENERAL ELECTRIC CR215G1A22 CR215G1A22
General Electric CR215G1A22 Limit Switch
General Electric CR215G1A17 **GENUINE**
GENERAL ELECTRIC CR215G1A1110 CR215G1A1110
GENERAL ELECTRIC CR215G1A11 CR215G1A11
GENERAL ELECTRIC CR215-G1112 CR215G1112
GENERAL ELECTRIC CR215-G1112 CR215G1112
GENERAL ELECTRIC CR215-G1112 CR215G1112
General Electric CR215DGF05D NSNP **GENUINE**
General Electric CR215DGF05A CR215DGR2 Amplifier
General Electric CR215DGF02A Limit Switch Amplifier Front Body Only
GENERAL ELECTRIC CR215DG719C02 CR215DG719C02
GENERAL ELECTRIC CR215DG719C02 CR215DG719C02
General Electric CR215DG102A02 Proximity Limit Switch
GENERAL ELECTRIC CR215-DBA30A5PB CR215DBA30A5PB
GENERAL ELECTRIC CR215-DBA30A5PB CR215DBA30A5PB
GENERAL ELECTRIC CR215DBA30A5NB CR215DBA30A5NB
GENERAL ELECTRIC CR215DBA22A3N CR215DBA22A3N
GENERAL ELECTRIC CR215DBA22A3N CR215DBA22A3N
GENERAL ELECTRIC CR215DBA18A5PB CR215DBA18A5PB
GENERAL ELECTRIC CR215DBA18A5NB CR215DBA18A5NB
GENERAL ELECTRIC CR215DBA18A5NB CR215DBA18A5NB
GENERAL ELECTRIC CR215DBA12A5PB CR215DBA12A5PB
GENERAL ELECTRIC CR215DB3OUC3NA CR215DB3OUC3NA
GENERAL ELECTRIC CR215DB3OUC3NA CR215DB3OUC3NA
GENERAL ELECTRIC CR215DB-30UA3NB CR215DB30UA3NB
GENERAL ELECTRIC CR215DB30SC3PA CR215DB30SC3PA
GENERAL ELECTRIC CR215DB30SB4HB CR215DB30SB4HB
GENERAL ELECTRIC CR215DB30SA4JB CR215DB30SA4JB
GENERAL ELECTRIC CR215DB30SA4JB CR215DB30SA4JB
GENERAL ELECTRIC CR215DB30SA3PD CR215DB30SA3PD
GENERAL ELECTRIC CR215DB30SA3PB CR215DB30SA3PB
GENERAL ELECTRIC CR215DB30SA3ND CR215DB30SA3ND
GENERAL ELECTRIC CR215DB30SA3ND CR215DB30SA3ND
GENERAL ELECTRIC CR215DB30SA3NB CR215DB30SA3NB
GENERAL ELECTRIC CR215DB30SA1HA CR215DB30SA1HA
GENERAL ELECTRIC CR215DB30SA1HA CR215DB30SA1HA
GENERAL ELECTRIC CR215DB18UC3PA CR215DB18UC3PA
GENERAL ELECTRIC CR215DB18UC3NC CR215DB18UC3NC
GENERAL ELECTRIC CR215DB18UA3PB CR215DB18UA3PB
GENERAL ELECTRIC CR215DB18UA3NB CR215DB18UA3NB
GENERAL ELECTRIC CR215DB18TA3RB CR215DB18TA3RB
GENERAL ELECTRIC CR215DB18SB4JC CR215DB18SB4JC
GENERAL ELECTRIC CR215DB18SB4HD CR215DB18SB4HD
GENERAL ELECTRIC CR215DB18SB4HB CR215DB18SB4HB
GENERAL ELECTRIC CR215DB18SB2HA CR215DB18SB2HA
GENERAL ELECTRIC CR215DB18SA4JD CR215DB18SA4JD
GENERAL ELECTRIC CR215DB18SA4JB CR215DB18SA4JB
GENERAL ELECTRIC CR215DB18SA4HD CR215DB18SA4HD
GENERAL ELECTRIC CR215DB-18SA4HB CR215DB18SA4HB
GENERAL ELECTRIC CR215DB18SA3PE CR215DB18SA3PE
GENERAL ELECTRIC CR215-DB18SA3PB CR215DB18SA3PB
GENERAL ELECTRIC CR215-DB18SA3PB CR215DB18SA3PB
GENERAL ELECTRIC CR215DB12SA4HB CR215DB12SA4HB
GENERAL ELECTRIC CR215DB12SA4HB CR215DB12SA4HB
GENERAL ELECTRIC CR215DB125A4HB CR215DB125A4HB
General Electric CR209B002 **GENUINE**
General Electric CR209B0 Motor Starter Nema Size- 0 600- AC Amps-18
General Electric CR208D200THA Contactor With 15D22G22 Coil 120V 60Hz 110V 50Hz
GENERAL ELECTRIC CR206E0 STARTER * *
GENERAL ELECTRIC CR206D022 CR206D022
GENERAL ELECTRIC CR206D000BDA CR206D000BDA
General Electric CR206D0 Starter CR206DO w/ Overload Relay -
GENERAL ELECTRIC CR206D0 SIZE 2 25HP STARTER / 15D22G22 120V COIL
GENERAL ELECTRIC CR206C001 CR206C001
GENERAL ELECTRIC CR206C000MYA CR206C000MYA
GENERAL ELECTRIC CR206C000AAB STARTER 27A 600V EXCELLENT CONDITION
GENERAL ELECTRIC CR206AP2 CR206AP2
General Electric CR206A0 Starter -
General Electric CR205X200A Auxiliary Contact Kit (Pack of 3)
General Electric CR205X120N Start/Stop Button (Pack of 3)
GENERAL ELECTRIC CR205X100E CR205X100E
GENERAL ELECTRIC CR205X100E CR205X100E
General Electric CR205X100E NSNP **GENUINE** GE
General Electric CR205X100E **GENUINE** GE
GENERAL ELECTRIC CR205X100D CR205X100D
GENERAL ELECTRIC CR205X100D CR205X100D
GENERAL ELECTRIC CR205X100D CR205X100D
General Electric CR205X100D **GENUINE** GE
GENERAL ELECTRIC CR205X100B CR205X100B
GENERAL ELECTRIC CR205X100B CR205X100B
GENERAL ELECTRIC CR205X100B CR205X100B
General Electric CR205X100B **GENUINE** GE
General Electric CR205X100B Auxiliary Contact Kit
GENERAL ELECTRIC CR205X100A CR205X100A
GENERAL ELECTRIC CR205X100A CR205X100A
General Electric CR205X100A NSNP **GENUINE** GE
GENERAL ELECTRIC CR205X100A CR205X100A
General Electric CR205X100A **GENUINE** GE
General Electric CR205K000ADA Size 1 Motor Starter 200/208vac coil
GENERAL ELECTRIC CR205J103 CR205J103
GENERAL ELECTRIC CR205D0 SIZE 2 25HP STARTER / 15D22G22 120V COIL
General Electric CR205B002 **GENUINE**
General Electric CR205B002 Magnetic Contactor
GENERAL ELECTRIC CR205AP2 CR205AP2
GENERAL ELECTRIC CR174JR10E0 CR174JR10E0
GENERAL ELECTRIC CR174FP11E1 CR174FP11E1
GENERAL ELECTRIC CR174-DBS2B1 CR174DBS2B1
GENERAL ELECTRIC CR174-DBS2B1 CR174DBS2B1
GENERAL ELECTRIC CR174-DBR5B1 CR174DBR5B1
GENERAL ELECTRIC CR174-DBR2A1 CR174DBR2A1
GENERAL ELECTRIC CR174-DBR2A1 CR174DBR2A1
GENERAL ELECTRIC CR174DBP5B1 CR174DBP5B1
GENERAL ELECTRIC CR174DBP5B1 CR174DBP5B1
GENERAL ELECTRIC CR174DBP3E1 CR174DBP3E1
GENERAL ELECTRIC CR174DBP1H1 CR174DBP1H1
General Electric CR174DBP1B1 Photo Electric Switch
General Electric CR174DB P1 E5B CR174DBP1E5B Photoelectric Sensor - No Box
General Electric CR174DB P1 E5 CR174DBP1E5 Potoelectric Sensor - No Box
General Electric CR174DB P1 E1 CR174DBP1E1 Photoelectric Sensor - No Box
GENERAL ELECTRIC CR161FCG202A1AA CR161FCG202A1AA
GENERAL ELECTRIC CR161FBZ01014 CR161FBZ01014
GENERAL ELECTRIC CR161FBL411A1AA CR161FBL411A1AA
GENERAL ELECTRIC CR161CE202 CR161CE202
GENERAL ELECTRIC CR161A4552 CR161A4552
General Electric CR160MC4122A Mechanically Held 60A Lighting Contactor EXCELLENT
GENERAL ELECTRIC CR160MA20CA CR160MA20CA
GENERAL ELECTRIC CR15D9G007 CR15D9G007
GENERAL ELECTRIC CR15D8G89 CR15D8G89
GENERAL ELECTRIC CR15D7G014 CR15D7G014
GENERAL ELECTRIC CR15D4G019 CR15D4G019
GENERAL ELECTRIC CR15D1G014 CR15D1G014
GENERAL ELECTRIC CR15D-1G003 CR15D1G003
GENERAL ELECTRIC CR155BA3 CR155BA3
GENERAL ELECTRIC CR153X200R CR153X200R
General Electric CR153FB072CJA Definite Purpose Contactor 115/120v Coil
GENERAL ELECTRIC CR151KDC20HB CR151KDC20HB
GENERAL ELECTRIC CR150DA100 CR150DA100
GENERAL ELECTRIC CR124YOD3823B CR124YOD3823B
General Electric CR124YOD2801A **GENUINE** CR12 4YOD 2801A
GENERAL ELECTRIC CR124Y0A2829A * *
GENERAL ELECTRIC CR124G017 CR124G017
GENERAL ELECTRIC CR124G017 OVERLOAD RELAY 600V * OUT OF BOX
GENERAL ELECTRIC CR124E078 CR124E078
General Electric CR124D0 **GENUINE**
General Electric CR124B2 **GENUINE**
General Electric CR123C1.84A OVERLOAD THERMAL UNIT HEATING ELEMENT (Lot of 13)
General Electric CR122BX1E NSNP **GENUINE**
General Electric CR122BT00003A Solid State Timer .1-5 Sec 200-240V
GENERAL ELECTRIC CR122B011**E 115VAC * *
General Electric CR122B00002B **GENUINE**
GENERAL ELECTRIC CR122AT01331 CR122AT01331
GENERAL ELECTRIC CR122AD04641AA CR122AD04641AA
General Electric CR122A06628AA Industrial Relay
GENERAL ELECTRIC CR122A03022AA CR122A03022AA
GENERAL ELECTRIC CR122A03022AA CR122A03022AA
GENERAL ELECTRIC CR122A02902AA CR122A02902AA
GENERAL ELECTRIC CR122A02722AA CR122A02722AA
GENERAL ELECTRIC CR122A02124AA CR122A02124AA
GENERAL ELECTRIC CR122A02102AA CR122A02102AA
GENERAL ELECTRIC CR122A02000AA CR122A02000AA
General Electric CR120PR111A22AA Reed Relay
General Electric CR120N04022 NSNP **GENUINE**
GENERAL ELECTRIC CR120KT00202AB RELAY NIB
GENERAL ELECTRIC CR120KT00202AB RELAY NIB
GENERAL ELECTRIC CR120KT00202AB RELAY NIB
GENERAL ELECTRIC CR120KT00202AB RELAY
BACHMANN
ABB "PXAH401 3BSE017235R1 " IO Module
DI232
ABB PXAH 401 3BSE017235R1 ABB PXAH401
BACHMANN
ABB | PXAH 401/3BSE017235R1
DIO216
ABB CMA 132 3DDE300412
81943A041-1
DSMB-01C
07KR91 GJR5250000R0151
PM803F 3BDH000530R1
ICSE08B5
6100BZ10010B
6101BZ10010A
UPB011BE
IMMFP12
DSAB-01C
RAIO-01
RLM01
DAPI100
G3LR 1KHW000628R0101
G3LA 1KHW000623R0101
G1LB 1KHW000601R0002
G3LH 1KHW000640R0001
G3LC 1KHW000621R0001
G1LA 1KHW000599R0001
NGPS-13C 3AUA0000033361
DSMB-02C
NMBA-01
MFS3N-230V
ABB Procontic CS31 ECZ
PM511V08
DI801 3BSE020508R1
LDGRB-01 3BSE013177R1
SC510 3BSE003832R1
SR511 3BSE000863R1
SC560 3BSE008105R1
BB510 3BSE001693R2
MB510 3BSE002540R1
CB801 3BSE042245R1
CP410M 1SBP260181R1001
PXAH 401 3BSE017235R1
PXAA 401 3BSE017233R1
(2897) HONEYWELL 05701-C-0390
Honeywell Zellweger System 57 Interconnection Cable – 5701 *** Phased Out ***
(2891) HONEYWELL 05701-A-0326
Honeywell Zellweger System 57 Field Interface Card (No Relays - Sensor Input Only) *** Phased Out ***
(2890) HONEYWELL 05701-A-0285
Honeywell Zellweger System 57 Analog Output Module–5701 *** Phased Out ***
(2889) HONEYWELL 05701-A-0284
Honeywell Zellweger System 57 Sensor Drive Module-Catalytic–5701 *** Phased Out ***
(2888) HONEYWELL 05701-A-0283
Honeywell Zellweger System 57 Sensor Drive Module–4-20mA–5701 *** Phased Out ***
05701-A-0325
(2885) HONEYWELL 05701-A-0325
Honeywell Zellweger System 57 DC Input Card *** Phased Out ***
(2893) HONEYWELL 05701-A-0328
Honeywell Zellweger System 57 Relay Card-Triple Spco-5701 (A1, A2, A3 Fault & Inhibit Single Pole Changeover Relays) *** Phased Out ***
(2894) HONEYWELL 05701-A-0329
Honeywell Zellweger System 57 Relay Card-Triple Dpco-5701 (2Xa1, 2Xa2, 2Xa3 Fault & Inhibit Single Pole Changeover Relays) *** Phased Out ***
05701-N-6100 5701 Catalytic, Field Interface, Interconnect cable
05701-N-6101 5701 Catalytic, Double SPCO, Interconnect cable
05701-N-6102 5701 Catalytic, Triple SPCO, Interconnect cable
05701-N-6103 5701 Catalytic, Triple DPCO, Interconnect cable
05701-N-6104 5701 Catalytic, High Integrity Relay, Interconnect cable
05701-N-6105 5701 mA, Field Interface, Interconnect cable
05701-N-6106 5701 mA, Double SPCO, Interconnect cable
05701-N-6107 5701 mA, Triple SPCO, Interconnect cable
05701-N-6108 5701 mA, Triple DPCO, Interconnect cable
05701-N-6109 5701 mA, High Integrity Relay, Interconnect cable
Single Channel Control Card + Interface Card + Analogue Output (for front access racks)
05701-N-6110 5701 Catalytic, Analogue output, Field Interface, Interconnect cable
05701-N-6111 5701 Catalytic, Analogue output, Double SPCO, Interconnect cable
05701-N-6112 5701 Catalytic, Analogue output, Triple SPCO, Interconnect cable
05701-N-6113 5701 Catalytic, Analogue output, Triple DPCO, Interconnect cable
05701-N-6114 5701 Catalytic, Analogue output, High Integrity Relay, Interconnect cable
05701-N-6115 5701 mA, Analogue output, Field Interface, Interconnect cable
05701-N-6116 5701 mA, Analogue output, Double SPCO, Interconnect cable
05701-N-6117 5701 mA, Analogue output, Triple SPCO, Interconnect cable
05701-N-6118 5701 mA, Analogue output, Triple DPCO, Interconnect cable
05701-N-6119 5701 mA, Analogue output, High Integrity Relay, Interconnect cable
Single Channel Control Card + Interface card (for rear access racks)
05701-N-6200 5701 Catalytic, Field Interface
05701-N-6201 5701 Catalytic, Double SPCO
05701-N-6202 5701 Catalytic, Triple SPCO
05701-N-6203 5701 Catalytic, Triple DPCO
05701-N-6204 5701 Catalytic, High Integrity Relay
05701-N-6205 5701 mA, Field Interface
05701-N-6206 5701 mA, Double SPCO
05701-N-6207 5701 mA, Triple SPCO
05701-N-6208 5701 mA, Triple DPCO
05701-N-6209 5701 mA, High Integrity Relay
Single Channel Control Card + Interface Card + Analogue Output (for rear access racks)
05701-N-6210 5701 Catalytic, Analogue output, Field Interface
05701-N-6211 5701 Catalytic, Analogue output, Double SPCO
05701-N-6212 5701 Catalytic, Analogue output, Triple SPCO
05701-N-6213 5701 Catalytic, Analogue output, Triple DPCO
05701-N-6214 5701 Catalytic, Analogue output, High Integrity Relay
05701-N-6215 5701 mA, Analogue output, Field Interface
05701-N-6216 5701 mA, Analogue output, Double SPCO
05701-N-6217 5701 mA, Analogue output, Triple SPCO
05701-N-6218 5701 mA, Analogue output, Triple DPCO
05701-N-6219 5701 mA, Analogue output, High Integrity Relay
Four Channel Control Card + Interface Card (for front access racks)
05704-N-0101 5704 Catalytic, Quad Relay, Interface Interconnect Cable
05704-N-0102 5704 Catalytic, Relay Interface Assembly, Interconnect Cable
05704-N-0103 5704 mA, Quad Relay Interface, Interconnect Cable
05704-N-0104 5704 mA, Relay Interface Assembly, Interconnect Cable
Four Channel Control Card + Interface Card + Sink Analogue Output (for front access racks)
05704-N-0111 5704 Catalytic, Analogue output-sink, Quad Relay Interface, Interconnect Cable
05704-N-0112 5704 Catalytic, Analogue output - sink, Relay Interface Assembly, Interconnect
05704-N-0113 5704 mA, Analogue output - sink, Quad Relay Interface, Interconnect Cable
05704-N-0114 5704 mA, Analogue output - sink, Relay Interface Assembly, Interconnect Cable
Four Channel Control Card + Interface Card + Source Analogue Output (for front access racks)
05704-N-0121 5704 Catalytic, Analogue output-source, Quad Relay Interface, Interconnect Cable
05704-N-0122 5704 Catalytic, Analogue output - source, Relay Interface Assembly, Interconnect Cable
05704-N-0123 5704 mA, Analogue output - source, Quad Relay Interface, Interconnect Cable
05704-N-0124 5704 mA, Analogue output - source, Relay Interface Assy, Interconnect Cable
Four Channel Control Card + Interface Card (for rear access racks)
05704-N-0201 5704 Catalytic, Quad Relay Interface
05704-N-0202 5704 Catalytic, Relay Interface Assembly
05704-N-0203 5704 mA, Quad Relay Interface
05704-N-0204 5704 mA, Relay Interface Assembly
Four Channel Control Card + Interface Card + Sink Analogue Output (for rear access racks)
05704-N-0211 5704 Catalytic, Analogue output - sink, Quad Relay Interface
05704-N-0212 5704 Catalytic, Analogue output - sink, Relay Interface Assembly
05704-N-0213 5704 mA, Analogue output - sink, Quad Relay Interface
05704-N-0214 5704 mA, Analogue output - sink, Relay Interface Assembly
Four Channel Control Card + Interface Card + Source Analogue Output (for rear access racks)
05704-N-0221 5704 Catalytic, 5704 Analogue output - source, Quad Relay Interface
05704-N-0222 5704 Catalytic, 5704 Analogue output - source, Relay Interface Assembly
05704-N-0223 5704 mA, Analogue output - source, Quad Relay Interface
05704-N-0224 5704 mA, Analogue output - source, Relay Interface Assembly
5704 Four Channel Control Card + Interface Card + Source Analogue Output (for rear access racks)
05704-N-0105 5704F 4ZCC- Fire, Hex Relay Interface, Interconnect Cable*
05704-N-0106 5704F 4ZCC- Fire, Fire Relay Interface, Interconnect Cable*
05704-N-0205 5704F 4ZCC- Fire, Hex Relay Interface*
05704-N-0206 5704F 4ZCC- Fire, Fire Relay Interface*
Note: * = Must order 1 x 5704F Fire Status Panel card per control rack when fitted with and 5704F Fire card. Occupies 1 slot of control rack.
05704-A-0148 5704F Fire Status Panel
Interface Modules
05701-A-0120 Engineering Interface Lead
05701-A-0309 Master Alarm Update Module Kit
05701-A-0312 ModBus Interface Module Kit-RS 485/RS 422
05701-A-0313 ModBus Interface Module Kit-RS 232
05701-A-0314 Event Print Module Kit-RS 232
05701-A-0339 Master Alarm Update Panel
Single Way Rack
05701-A-0601 1W Rear Access Rack, Engineering Card, DC input, key set
8 Way Racks and Cabinets
05701-A-0452 Cabinet - Wall Mounting - 8 Way
05708-N-2008 8W Front Access Rack, 0 Blanking Panels
05708-N-3008 8W Front Access Rack, 0 Blanking Panels, 8W Cabinet
05708-N-5008 8W Front Access Rack, 0 Blanking Panels, 8W PSU - 50W
05708-N-6008 8W Front Access Rack, 0 Blanking Panels, 8W Cabinet, 8W PSU - 50W
05708-N-1008 8W Rear Access Rack, 0 Blanking Panels
05708-N-4008 8W Rear Access Rack, 0 Blanking Panels, 8W PSU - 50W
16 Way Racks and Cabinets
05701-A-0451 Cabinet - Wall Mounting - 16 Way
05716-N-2016 16W Front Access Rack, 0 Blanking Panels
05716-N-3016 16W Front Access Rack, 0 Blanking Panels, 16W Cabinet
05716-N-5016 16W Front Access Rack, 0 Blanking Panels, 16W PSU - 50W
05716-N-6016 16W Front Access Rack, 0 Blanking Panels, 16W Cabinet, 16W PSU - 50W
05716-N-1016 16W Rear Access Rack, 0 Blanking Panels
05716-N-4016 16W Rear Access Rack, 0 Blanking Panels, 16W PSU - 50W
Spare Rack Assembly Components
05701-A-0600 Sub rack Rear Access - 1 Way
05701-A-0506 Sub rack - Front Access - 8 Way
05701-A-0516 Sub rack - Rear Access - 8 Way
05701-A-0505 Sub rack - Front Access - 16 Way
05701-A-0515 Sub rack - Rear Access - 16 Way
Power Supplies
05701-A-0405 Power Supply Unit- AC To DC-16 Way- 50 Watts
05701-A-0406 Power Supply Unit- AC To DC-8 Way- 50 Watts
05701-A-0440 Power Supply Module- 50 W (Upgrade For PSU and Sub Units)
05701-A-0441 Power Supply Subunit- 50 Watts (Upgrade For 16 Way PSU)
Rack and Cabinet Accessories
05701-A-0365 Blanking Panel - Card Slot
05701-C-0471 Blanking Panel - 1U X 19" (16 Way)
05701-C-0474 Blanking Panel - 1U X ½ 19" (8 Way)
Spares
05701-A-0550 System 57 Calibration Plug
05701-A-0361 Engineering Card
05701-A-0325 DC Input Card
05701-A-0301 Single Channel Control Card - 4-20mA
05701-A-0302 Single Channel Control Card- Catalytic
05701-A-0351 Control Card- Single Channel- 5701
05701-A-0283 Sensor Drive Module - 4-20mA- 5701
05701-A-0284 Sensor Drive Module- Catalytic- 5701
05701-A-0285 Analogue Output Module- 5701
05701-A-0326 Field Interface Card (No Relays - Sensor Input Only)
05701-A-0327 Relay Card-Double SPCO- 5701 (A1, A2 and Fault Single Pole Changeover Relays)
05701-A-0328 Relay Card-Triple SPCO-5701 (A1, A2, A3 Fault and Inhibit Single Pole Changeover Relays)
05701-A-0329 Relay Card- Triple DPCO- 5701 (2xA1, 2xA2, 2xA3 Fault and Inhibit Single Pole Changeover Relays)
05701-A-0330 High Integrity Relay Card-Double SPCO -5701 (2xA1, 2xA2, 2xA3 Fault and Inhibit Single Pole Changeover Relays)
05701-C-0390 Interconnection Cable- 5701
05701-C-0127 Model 5701 Scale Label - Catalytic - 0-20% LEL
05701-C-0129 Model 5701 Scale Label - Catalytic - 0-50% LEL
05701-C-0130 Model 5701 Scale Label - Catalytic - 0-100% LEL
05701-C-0131 Model 5701 Scale Label - mA - 0-100% LEL
05701-C-0135 Model 5701 Scale Label - Catalytic - 0-4% V/V
05701-C-0137 Model 5701 Scale Label - mA - 0-25% V/V
05701-C-0138 Model 5701 Scale Label - mA - 0-30% V/V
05701-C-0139 Model 5701 Scale Label - mA - 0-100% V/V
05701-C-0141 Model 5701 Scale Label - mA - 0-10% V/V
05701-C-0145 Model 5701 Scale Label - mA - 0-5ppm
05701-C-0146 Model 5701 Scale Label - mA - 0-10ppm
05701-C-0147 Model 5701 Scale Label - mA - 0-15ppm
05701-C-0148 Model 5701 Scale Label - mA - 0-20ppm
05701-C-0149 Model 5701 Scale Label - mA - 0-25ppm
05701-C-0150 Model 5701 Scale Label - mA - 0-50ppm
05701-C-0151 Model 5701 Scale Label - mA - 0-100ppm
05701-C-0152 Model 5701 Scale Label - mA - 0-200ppm
05701-C-0154 Model 5701 Scale Label - mA - 0-500ppm
05701-C-0155 Model 5701 Scale Label - mA - 0-1000ppm
05701-C-0156 Model 5701 Scale Label - Catalytic - 0-2000ppm
05701-C-0157 Model 5701 Scale Label - Catalytic - 0-3000ppm
05701-C-0158 Model 5701 Scale Label - mA - 0-5000ppm
05701-C-0159 Model 5701 Scale Label - Catalytic - 0-10000ppm
05701-C-0160 Model 5701 Scale Label - Catalytic - 0-5000ppm
05701-C-0161 Model 5701 Scale Label - mA - 0-10000ppm
05701-C-0162 Model 5701 Scale Label - Catalytic - 0-15000ppm
05701-C-0163 Model 5701 Scale Label - Catalytic - 0-20000ppm
05701-C-0176 Model 5701 Scale Label - mA - 0-5 LELm
05701-C-0177 Model 5701 Scale Label - mA - 0-2% V/V
05701-C-0179 Model 5701 Scale Label - mA - 0-2ppm
05701-C-0180 Model 5701 Scale Label - mA - 0-30ppm
05701-C-0182 Model 5701 Scale Label - mA - 0-5% V/V
05701-C-0183 Model 5701 Scale Label - mA - 0-250ppm
05701-C-0184 Model 5701 Scale Label - mA - 0-2000ppm
05701-C-0185 Model 5701 Scale Label - mA - Blank Scale
05701-C-0186 Model 5701 Scale Label - Catalytic - Blank Scale
05701-C-0195 Model 5701 Scale Label - mA - 4-20mA
05701-C-0200 Model 5701 Scale Label - mA - 0-1000ppm
05704-A-0121 Quad Relay Interface Card - 5704 (4 SPCO Relays)
05704-A-0122 PCB Assembly relay expansion 4 channel
05704-A-0131 Relay Interface Assembly - 16 Relays - 5704 (12 SPCO, 4 SPST)
05704-A-0144 4 Channel Control Card- Catalytic
05704-A-0145 4 Channel Control Card- 4-20mA
05704-C-0160 Interconnect Cable- 5704
05704-C-0210 Model 5704 Scale Label - Catalytic - 0-100% LEL
05704-C-0240 Model 5704 Scale Label - mA - 0-100% LEL
05704-C-0247 Model 5704 Scale Label - mA - 0-25% V/V
05704-C-0263 Model 5704 Scale Label - mA - 0-50ppm
05704-C-0265 Model 5704 Scale Label - mA - 0-100ppm
05704-C-0266 Model 5704 Scale Label - mA - 0-200ppm
05704-C-0292 Model 5704 Scale Label - mA - 0-0.2ppm
05704-C-0293 Model 5704 Scale Label - mA - 0-0.4ppm
05704-C-0294 Model 5704 Scale Label - mA - 0-1.2ppm
05704-A-0123 5704F Hex Relay Interface Card
05704-A-0133 5704F Relay Interface Assembly
05704-A-0146 5704F Fire Card
05704-A-0148 5704F Fire Status Panel
HONEYWELL 627-7032 PLC 232/422 PORT MODULE BOARD
HONEYWELL 621-1550 INPUT MODULE 16POINT 24VAC
HONEYWELL C7027A-1064 MINIPEEPER UV DECT.-40F 24LED
HONEYWELL 052942-00 PC BOARD LDDR BUFFER
HONEYWELL 621-3450 INPUT MODULE 12VDC SINK
HONEYWELL HP972B-1005 HUMID.STAT RA 15-75%RH 1-2PIPE
HONEYWELL T41300 AMPLIFIER HIGH SPEED POWER SOURCE 115VAC
HONEYWELL L604A-1193 PRESSURE CONTROLLER 300PSI
HONEYWELL P31013 PHOTOELECTRIC DETECTOR 5VDC ALUMINUM W/FILTER
HONEYWELL S52101 PHOTOELECTRIC FOR SCANNER
HONEYWELL R7847C-1005 AMPLIFIER MODULE DYNAMIC SELF-CHECK RECTIFICATION
HONEYWELL S7810A-1009 BURNER CONTROL BUS MODULE INTERFACE DIRECT MOUNT
HONEYWELL 621-6300 OUTPUT MODULE 5V TTL
HONEYWELL T991A-1194 TEMPERATURE CONTROLLER 55-175F 20FT CAP 135OHM
HONEYWELL 620-0030 SYSTEM CONTROL MODULE
HONEYWELL 621-0006 INPUT MODULE BCD CONVERTER
HONEYWELL 621-6500 OUTPUT MODULE 24VDC
HONEYWELL 620-2090 620-20 PROCESSOR RACK
HONEYWELL S8610U-1003 PILOT MODULE INTERMITTENT UNIVERSAL 24VAC 60HZ
HONEYWELL 621-6550R OUTPUT MODULE
HONEYWELL T42007 AMPLIFIER 8PIN
HONEYWELL 4319-0029-001 INTERFACE MOTHER BOARD 24V
HONEYWELL 4319-0030-001 INTERFACE MOTHER BOARD 24V
HONEYWELL 30683595-501 POWER BOARD ASSEMBLY 4-20CL 4K 8749
HONEYWELL 621-4502 INPUT MODULE FAST DC
HONEYWELL FE7B-DA6V-M PHOTOELECTRIC 200MM RANGE VERTICAL DIFFUSE NPN LO
HONEYWELL V5055A1020 1 1/2 GAS VALVE BODY LOW #
HONEYWELL L31014 PHOTOELECTRIC LIGHT SOURCE LED 5VDC
HONEYWELL L404F-1102 10-150#SPDT SNAP SW.#-TROL
HONEYWELL C7031D-1005 40 TO 240 DEGREE F.
HONEYWELL PAC-025A POWER SUPPLY SET POINT CONTROL RELAY
HONEYWELL H205A-1012 ELECTROMECH.ENTHALPY CONTROLLR
HONEYWELL RA117A-1047 STACK MTD.PROTCTRLY-INTERRUPTD
HONEYWELL ST7800-A-1096 TIMER MODULE
HONEYWELL LP920A-1021 CONTRL,DA,30-150 F,10CAP
HONEYWELL 621-9962 EXTENDER RACK
HONEYWELL S30101 REFLECTIVE SCANNER COAXIAL FIBER OPTIC S30
HONEYWELL L404A-1404-2 PRESSURE CONTROLLER 250V 20-300PSI .7-20KG
HONEYWELL DC1002-1010-1000 TEMPERATURE CONTROL MICROPRO 1/16DIN 90-264VAC
HONEYWELL C645A-1022 PRESSURE SWITCH GAS/AIR 1/4IN NPT A-B MANUAL RESET
HONEYWELL V935LEH2075 SOLENOID VALVE 120VAC 75PSI 20W
HONEYWELL 621-4500 INPUT MODULE 24VDC
HONEYWELL R7247A-1005 AMPLIFIER FLAME RECTIFICATION 2-4SEC
HONEYWELL C645C-1020 PRESSURE SWITCH AIR/GAS .6-5.3INCH WC -20-125DEG F
HONEYWELL V800A-1070 SOLENOID GAS CONTROL VALVE 24V 1/2X3/4INCH
HONEYWELL C7008A-1182 FLAME ROD 24INCH W/HOLDER 1/4INCH MTG
HONEYWELL C7035A-1023 ULTRAVIOLET FLAME DETECTOR 0-250F W/TUBE 6FT LEADS
HONEYWELL P34040 PHOTOELECTRIC
HONEYWELL R7849B-1021 FLAME SAFETY RELAY DYNAMIC AMPLI-CHECK UV
HONEYWELL 620-3590 PROCESSOR RACK IPC 620-30
HONEYWELL L404A-1396 PRESSURE CONTROLLER 10-150PSI ADJUSTABLE W/LUGS
HONEYWELL ST7800A-1013 TIMER MODULE 7SECOND
HONEYWELL 46190406-501 FLUORESCENT TUBE REPLACEMENT KIT
HONEYWELL ML4115B-1008 ACTUATOR 2POS 120VAC 30LB-IN SPRING RETURN
HONEYWELL 621-3500 INPUT MODULE 12-24VDC
HONEYWELL C437D-1013 GAS PRESSURE SWITCH SPST .5-5PSI 15PSI MAX
HONEYWELL 40FY26-020 PROXIMITY SWITCH HALL EFFECT DOOR
HONEYWELL 8686 POTENTIOMETER HV MILLIVOLT
HONEYWELL V5011N1065 1 VALVE BODY,EQUAL %,11.7CV
HONEYWELL C437E1012 GAS PRESSURE FALL SWITCH 0.5-5.0PSI 15PSI MAX SPST
HONEYWELL 30756687-501 AUXILIARY OUTPUT PCB FOR UDC 3300
HONEYWELL 30756693-501 COMMUNICATION BOARD PWA/RS422/485
HONEYWELL 620-0053 EXPANDER 10SLOT
HONEYWELL JD1N200P103UA CARBON POTENTIOMETER; POWER RATING:2W; TRACK RESISTANCE:10KOHM; RESISTANCE TOLERANCE: 10%; SERIES:J; OPERATING TEMPERATURE RANGE:-55C TO +120C;
HONEYWELL M941A-1016 MOTOR ACTUATOR MODUTROL 90-160DEG 30SEC-1MIN
HONEYWELL C437F-1003 PRESSURE SWITCH 1/26IN WATER 2SPST CIRCUITS
HONEYWELL R7849A-1015 FLAME SAFETY AMPLIFIER 0.8-1SEC
HONEYWELL T775A-1001 CONTROLLER TEMPERATURE 60HZ 24V
HONEYWELL MPT20HD PHOTOELECTRIC EMITTER BASE 92-132VAC 3PIN
HONEYWELL T874A-1010 THERMOSTAT 40-90F
HONEYWELL R7847A-1033 RECTIFICATION FLAME AMPLIFIER MODULE 3SEC
HONEYWELL 621-0090 RACK MODULE
HONEYWELL 052926-00 PC BOARD DPO I/F DR
HONEYWELL L32001 PHOTOELECTRIC 2WIRE
HONEYWELL FE7B-FDA6-M PHOTOELECTRIC 200MMRANGE FLEXIBLE FIBER
HONEYWELL VP527A-1018 CONTROL VALVE 3/8IN VLV .63CV 3-10PSI 1/2INFLR
HONEYWELL 51452822-502 90-250VAC POWER OUTPUT BOARD
HONEYWELL V5013N1048 1/2VALVE BODY,MIXING,4.7CV
HONEYWELL V5013N-1063 1VALVE BODY,MIXING,11.7CV
HONEYWELL L404C-1162 PRESSURE CONTROL 10-150PSI MERCURY SWITCH
HONEYWELL 621-9930 I/O MODULE PARALLEL 8/16POINT
HONEYWELL 627-7034 POWER SUPPLY MODULE
HONEYWELL V5011N-2048 1/2BODY PDTC LINEAR4.7CV
HONEYWELL C7027A-1031 FLAME SENSOR MINI PEEPER 1/2IN FEMALE
HONEYWELL TP970A-2145-4 THERMOSTAT PNEUMATIC CONVERTASTAT 60-90DEG F
HONEYWELL L6008A-1192 TEMPERATURE CONTROLLER 100-240DEGREE F
HONEYWELL T675A-1425 55/175F 20CAP SPDT TEMP.CTRL
HONEYWELL L4006B-1007 100-240 5FX DIFF MAKE ON RISE
HONEYWELL FE7B-FDA6-L5 SENSOR AMPLIFIER 10-28V
HONEYWELL C7027A-1080 FLAME SAFETY UV DETECTOR W/HEAT BLOCK
HONEYWELL 30755980-001 STANDARD DOOR LATCH/PIN
HONEYWELL JA1N056S202UA CARBON POTENTIOMETER; POWER RATING:2W; TRACK RESISTANCE:2KOHM; RESISTANCE TOLERANCE: 10%; SERIES:J; OPERATING TEMPERATURE RANGE:-55C TO +120C; A
HONEYWELL 621-3300 INPUT MODULE 5VDC TTL
HONEYWELL 46182712-001 RIBBON CARTRIDGE 6 COLOR
HONEYWELL 51309609-503 LATCH/LOCK ASSEMBLY
HONEYWELL AA113 SENSOR CABLE ASSEMBLY; CABLE ASSEMBLY TYPE:SENSOR; CABLE LENGTH:15FT; CONNECTOR TYPE A:; CONNECTOR TYPE B:STRIPPED END LEAD
HONEYWELL 1LS1J LIMIT SWITCH OIL TIGHT
HONEYWELL 62JA10K RESISTIVE OPTICAL
HONEYWELL 62JA2K POTENTIOMETER 2KOHM WIREWOUND 10TURN 2W
HONEYWELL T31102 PHOTOELECTRIC AMPLIFIER 8-28VDC
HONEYWELL 62JA-100K POTENTIOMETER 100KOHM 2W 5PERCENT 10TURN WIREWOUND
HONEYWELL T675A-1565 TEMPERATURE CONTROL REMOTE BULB 0-100DEGREE F SPDT
HONEYWELL Q5001D-1018 LINKAGE 160/320LB 3/4 STROKE
HONEYWELL 51195153-010 DROP CABLE COAX 10M RG6 SET
HONEYWELL 922AA4W-A9N-L PROXIMITY SENSOR
HONEYWELL 620-0027 MEMORY MODULE 8K
HONEYWELL 62JA-5K POTENTIOMETER 5KOHM 2W 5PERCENT 10TURN WIREWOUND
HONEYWELL 30755317-001 CHART PAPER CIRCULAR HEAT SENSATIVE 100/BOX
HONEYWELL 30733241-005 PEN KIT CARTRIDGE PURPLE
HONEYWELL V4046C-1047 1/4 120V 0/10# PILOTGAS 55CFH
HONEYWELL JDIN200P253UA POTENTIOMETER 25KOHM
HONEYWELL FL7M-1P5D6 PHOTOELECTRIC
HONEYWELL 30735489-002 CHART PEN RED 6/PACK
HONEYWELL 30755317 CHART PAPER 11-7/8INCH 100/BOX
HONEYWELL Q624A-1014 IGNITION CONTROL SPARK GENERATOR 120VAC 60HZ
HONEYWELL FE7A-DA6V PHOTOELECTRIC DIFF VERTICLE LIGHT ON
HONEYWELL C7008A-1174 12FLAME ROD W/HOLDER 1/4MTG
HONEYWELL C7027A-1023 FLAME SAFETY MINIPEEPER 0-215F .5INCH FNPT
HONEYWELL 30755223-003 DIN ADAPTER KIT - UDC3300
HONEYWELL LSB5A LIMIT SWITCH-OT : ;ROHS COMPLIANT: YES
HONEYWELL R8184G-4066 PROTECTORELAY,15 SEC TIM.
HONEYWELL T631A-1006 SPDT 35-100F FARMOSTAT 2 DIFF
HONEYWELL T874A-1036 THERMOSTAT 50-80 MULTISTAGE 24-30VAC
HONEYWELL C6097B-1044 1.5-7# FLNG MT. M/R
HONEYWELL T31101 AMPLIFIER 5VDC
HONEYWELL L4006A1959 AQUASTAT CONTROLLER 40/180F,2FDIF,1.5ININSL
HONEYWELL ML6161A-2009 DCA 24V 90SEC 35#IN SPDT NONSR
HONEYWELL 1450-4812-001 RELAY BOARD
HONEYWELL L404A-1354 PRESSURE CONTROL MAN RESET 2-15PSI SPST
HONEYWELL 14003294-004 REPACK KIT,1/2-1 1/4 1/4STEM
HONEYWELL T631C-1012 THERMOSTAT AIR SWITCH 20-90DEGREE F RANGE
HONEYWELL 193987GA ENCAPSULATED SENSOR
HONEYWELL V5055A-1004 1 GAS VALVE BODY LOW PRESS.
HONEYWELL 198162AA 120/208/240V-24V MOD.IV TRANS.
HONEYWELL RP7517B-1016 PNEUMATIC TRANSDUCER 16MA 24VAC 3WIRE
HONEYWELL PA404A-1009 PRESSURE CONTROLLER SPST RANGE .5-9 PSI .1-.6KG
HONEYWELL 46-180501-001 INK PRINT WHEEL 6COLOR
HONEYWELL T7022A-1010 60/90F RETURN AIR SENSOR
HONEYWELL T874D-1165 THERMOSTAT MULTI-STAGE 24V RANGE 42F-88F W/O BASE
HONEYWELL L6006A-1145 TEMPERATURE CONTROLLER .25AMP 120/240V
HONEYWELL L4064-B-1469 FAN AND LIMIT CONTROL FOR FURNACE 5INX3/4IN
HONEYWELL 30756150-001 CHART HUB ASSEMBLY
HONEYWELL PJ7-D3 SENSOR
HONEYWELL V4046B-1007 120V 1/8 150# N/C OIL VALVE
HONEYWELL L604A-1169 CONTROLLER PRESSURETROL 250V SPDT 2-5PSI
HONEYWELL 30757215-001 NEMA 4 WEATHER COVER KIT
HONEYWELL SL1-P LIMIT SWITCH; ACTUATOR STYLE:TOP ROLLER ARM; OPERATING FORCE MAX:400GF; CONTACT VOLTAGE AC MAX:250V; CONTACT CURRENT AC MAX:5A; SWITCH TERMINALS:CABLE
HONEYWELL 5A10-M12-1 THERMOCOUPLE 3/4IN NPT 12IN PROBE 13/16IN OD
HONEYWELL R46123 PHOTOELECTRIC
HONEYWELL V5011N1032 VALVE 2WAY DIRECT ACTING FEMALE 1/2IN NPT SS SEAT
HONEYWELL T4031A-1008 THERMOSTAT CONTROL
HONEYWELL APM-D3A1 PROXIMITY SWITCH 2.5MM RANGE NPN 12-24VDC
HONEYWELL C7031J-1050 DUCT TEMPERATURE SENSOR ELECTRONIC 40-302F
HONEYWELL 922AA3XMA9PL PROXIMITY SWITCH 3 WIRE 9.6255
HONEYWELL 30735489-001 INKCARTRIDGE/PURPLE/6PACK
HONEYWELL LSA1A LIMIT SWITCH, SIDE ROTARY, SPDT-1NO/1NC; LIMIT SWITCH ACTUATOR:SIDE ROTARY; OPERATING FORCE MAX:0.45N; CONTACT VOLTAGE AC MAX:600V; CONTACT VOLTAGE DC
HONEYWELL 14003124-002 SEAL DIAPH KIT MP953B,D F
HONEYWELL R841C-1227 240V QUIET ELEC.HT. RELAY SPST
HONEYWELL 30735489-007 PURPLE PENS 6PACK
HONEYWELL 392431 IGNITOR/SENSOR ASSEMBLY
HONEYWELL 51200873-100 CABLE ASSEMBLY
HONEYWELL 2AC59 DOOR SWITCH; CIRCUITRY:SPDT; CONTACT VOLTAGE AC MAX:250V; CONTACT CURRENT AC MAX:10A; SWITCH OPERATION:ON-OFF; SWITCH TERMINALS:SCREW; ACTUATOR STYLE:
HONEYWELL 51200852-101 CABLE MUX BOX
HONEYWELL SL-1-A LIMIT SWITCH; ACTUATOR STYLE:TOP ROLLER PLUNGER; OPERATING FORCE MAX:1200GF; CONTACT VOLTAGE AC MAX:250V; CONTACT CURRENT AC MAX:5A; SWITCH TERMINALS:
HONEYWELL SL1-D LIMIT SWITCH; ACTUATOR STYLE:CROSS ROLLER PLUNGER; OPERATING FORCE MAX:1200GF; CONTACT VOLTAGE AC MAX:250V; CONTACT CURRENT AC MAX:5A; SWITCH TERMINAL
HONEYWELL 30756715-501 INPUT BOARD ASSEMBLY
HONEYWELL S16101 PHOTOELECTRIC
HONEYWELL T675A-1102 THERMOSTAT 160-260F 20FT CAPILLARY TUBE
HONEYWELL ST7800A-1062 TIMER FIXED 90SEC PLUG-IN PURGE
HONEYWELL AA111 CIRCULAR CONNECTOR; CONNECTOR TYPE:CIRCULAR; GENDER:FEMALE; NO. OF CONTACTS:6; SERIES:PTO; CONTACT GENDER:SOCKET; CIRCULAR CONTACT GENDER:SOCKET
HONEYWELL ST7800-A-1104 TIMER FIXED 9MIN PLUG-IN PURGE
HONEYWELL 46186045-501 IDLER PULLEY ASSEMBLY DPR3000/250 KIT
HONEYWELL T6051A-1016 TSTAT 46/84F LINE VOLT HVY DTY
HONEYWELL 23176CF POTENTIOMETER FOR L91B,D
HONEYWELL Q769C-1007 0-10/2-10VDC INPUT ADAP M7415
HONEYWELL ST7800A RM7800 SERIES PURGE TIMER
HONEYWELL C111P3 COIL 120V 10WATT
HONEYWELL R8225A-1017 RELAY FAN 120/240V COIL 24VAC 60HZ SPDT MT 1/2IN
HONEYWELL SL1-H LIMIT SWITCH, TOP PLUNGER,250V, 5A, SPDT; LIMIT SWITCH ACTUATOR:TOP PLUNGER; OPERATING FORCE MAX:11.8N; CONTACT VOLTAGE AC MAX:250V; CONTACT VOLTAGE D
HONEYWELL 46182175-001 CHART PAPER ROLL
HONEYWELL T874F-1015 THERMOSTAT MULTISTAGE 24V CONTROL RANGE 42F-88F
HONEYWELL JA1N056S151UA CARBON POTENTIOMETER; POWER RATING:2W; TRACK RESISTANCE:150OHM; RESISTANCE TOLERANCE: 10%; SERIES:J; OPERATING TEMPERATURE RANGE:-55C TO +120C;
HONEYWELL AT88A1021 TRANSFORMER 24V SEC 75VA 208/240V 50/60 HZ
HONEYWELL DC1L-8 POTENTIOMETER
HONEYWELL 30735423-507 CHART DRIVE ASSEMBLY 8511
HONEYWELL MP953C-1026 5,4-11#,3/4TRV,NOAIRSTEM-UP
HONEYWELL S11101 PHOTOELECTRIC SCANNER REFLECTIVE 30VDC
HONEYWELL 46182707-001 CHART PAPER FANFOLD STRIP
HONEYWELL 136733 HEAT BLOCK FOR C7027
HONEYWELL 23176CB POTENTIOMETER NOMINAL 140 OHM
HONEYWELL R8285B-1038 120V CONTROL CENTER, DPDT
HONEYWELL 30752499-001 ROLL CHART FOR DPR-1500
HONEYWELL T651A-2028 THERMOSTAT HEAT COOL 125VA 35-95DEG F
HONEYWELL 914CE2-3 LIMIT SWITCH; ACTUATOR STYLE:TOP ROLLER PLUNGER; OPERATING FORCE MAX:2.75LBF; CONTACT VOLTAGE AC MAX:250V; CONTACT VOLTAGE DC MAX:28V; CONTACT CURRENT
HONEYWELL BZE6-2RN80 LIMIT SWITCH, TOP ROLLER PLUNGER, SPDT; LIMIT SWITCH ACTUATOR:TOP ROLLER PLUNGER; OPERATING FORCE MAX:6.7N; CONTACT VOLTAGE AC MAX:600V; CONTACT VOLTA
HONEYWELL 1AC2 DOOR SWITCH; CIRCUITRY:SPDT; CONTACT VOLTAGE AC MAX:480V; CONTACT VOLTAGE DC MAX:250V; CONTACT CURRENT AC MAX:15A; CONTACT CURRENT DC MAX:500MA; SWITC
HONEYWELL 7C111P3 COIL 120V 10WATT 1SHF7
HONEYWELL 24001660-042 CIRCULAR CHART -250-150DEG F 24HOUR 10INCH 100/PK
HONEYWELL Q473A-2006 TEMPERATURE CONTROL SWITCH SUBBASE HEAT/OFF/COOL
HONEYWELL Q605A-1070 EXT.DUCT MT.DAMPER LINKAGE
HONEYWELL 24001661-601 CHART PAPER TEMPERATURE 24HOUR 7DAY 100SHEETS
HONEYWELL 30756667-501 CONTROLLER DIGITAL LENS/BEZEL ASSEMBLY
HONEYWELL CSLA2DK HALL EFFECT SENSOR; CURRENT MEASURING RANGE AC:-400A TO 400A; CURRENT MEASURING RANGE DC:-400A TO 400A; SUPPLY VOLTAGE DC MIN:6V; SUPPLY VOLTAGE DC MA
HONEYWELL Q674E-1049 THERMOSTAT SUB-BASE FOR T874 OFF-HEAT-AUTO-COOL
HONEYWELL PWUBGC2 SELECTOR SWITCH 2POS MAINT PUSH FEATURE 2POS MOM
HONEYWELL 24001660-009 CHART PAPER 100 PER BOX
HONEYWELL 220861A CAM 3/4STROKEFOR Q5001
HONEYWELL 46187044-100 CHART PAPER
HONEYWELL 30754982-002 TRANSFORMER 120/240V PRIMARY 17V 08VA SECONDARY
HONEYWELL AT72D-1048 TRNSFMR 40VA CON.HUB 120V-24V
HONEYWELL 380C1-5000-S POTENTIOMETER 5KOHM
HONEYWELL 203541 5-WIRE ELECT. CONNECTOR
HONEYWELL T498B-1512 THERMOSTAT ELECTRIC HEAT LINE VOLTAGE 120/277VAC
HONEYWELL 380C1-10K-S POTENTIOMETER 10KOHM
HONEYWELL 24001660-010 PAPER CIRCULAR 10INCH 24HOUR 0-200C 100/PK
HONEYWELL 43C110K RESISTIVE OPTICAL
HONEYWELL S688A-1007 FLOW SWITCH AIR 5INCH WIDE SAIL 240VAC SPDT
HONEYWELL LSZ3A SWITCH ACTUATOR; CONTACT CURRENT MAX:6A; FOR USE WITH:HONEYWELL HDLS SERIES LIMIT AND ENCLOSED SWITCHES; CONTACT VOLTAGE AC MAX:600V; CONTACT VOLTAGE
HONEYWELL 73JA-50K RESISTIVE OPTICAL
HONEYWELL T498A-1778 THERMOSTAT 40-80F 22AMP 240V SPST HEAT LINE
HONEYWELL 621-9949 TERMINAL BLOCK SET 8POINT SWING ARM
HONEYWELL 1571T CHART PAPER RECORDER 8IN DIA 0-100RANGE
HONEYWELL JA1N200P103AA CARBON POTENTIOMETER; POWER RATING:2W; TRACK RESISTANCE:10KOHM; RESISTANCE TOLERANCE: 10%; SERIES:J; OPERATING TEMPERATURE RANGE:-55C TO +120C;
HONEYWELL 906BCF COVER PLATE AND INSERT BP=1
HONEYWELL ST7800-A-1138 TIMER FIXED 22MIN PLUG-IN PURGE
HONEYWELL DP2030A-5012 2POLE 30AMP/24V PWR PRO
HONEYWELL 926SA2XM-A9T-Z789 SWITCH ASSEMBLY
HONEYWELL 926SA3XM-A9T-Z791 SWITCH ASSEMBLY
HONEYWELL 30755311 CHART PAPER/ 25 CHARTS PER BOX/ DOUBLE SIDED 7DAYS/24HRS
HONEYWELL 106729 GLASS LENS 6 DIAM C437
HONEYWELL 121371B IMMERSION WELL COPPER 3/4IN
HONEYWELL C7046A-1004 8 DISCHARGE AIR TEMP SENSOR
HONEYWELL 46187045-100 PAPER FAN/FOLD
HONEYWELL CSNE151 CURRENT SENSOR; CURRENT MEASURING RANGE, AC:5A TO 36A; CURRENT MEASURING RANGE, DC:5A TO 36A; FREQUENCY RANGE:DC TO 150KHZ; SUPPLY VOLTAGE RANGE DC:15
HONEYWELL 73JA100K POTENTIOMETER 100KOHM
HONEYWELL AT87A-1106 TRNSFMR 120/208/240-24V 48VA
HONEYWELL DP2040A-5003 2POLE 40A/24VCONTRPOWRPRO TRDL
HONEYWELL 24001660-611 CHART PAPER BOX OF 100
HONEYWELL Q7800B-1003 UNIVERSAL WIRING SUBBASE 4SIDED 22TERMINAL
HONEYWELL Q7800A-1005 SUB-BASE FOR FLAME SAFETY RELAY 14-18AWG 22TERM
HONEYWELL RV6NAYSD103AP POT COND PLASTIC, 10KOHM 10%, 500MW; TRACK RESISTANCE:10KOHM; TRACK TAPER:LINEAR; SHAFT DIAMETER:3.18MM; SHAFT LENGTH:22.23MM; RESISTANCE TOLERANCE:
HONEYWELL 30757088-501 DIODE FOR UDC3000
HONEYWELL AT72D-1683 TRNSFMR 120-24V MULTIMT 40VA
HONEYWELL 305965 1.5 0/30# 1/8BACK GAUGE
HONEYWELL SS94A2 HALL EFFECT SENSOR; SENSOR TERMINALS:THROUGH HOLE; OUTPUT VOLTAGE MIN:3.96V; OUTPUT CONFIGURATION:SINK / SOURCE; SWITCHING SPEED:3MS; SUPPLY VOLTAGE M
HONEYWELL JA1N056S255UA POTENTIOMETER 2.5MEGAOHM
HONEYWELL 46182708-001 ROLL CHART PAPER
HONEYWELL AT20A-1123 TRANSFMR 20VA 120V-24V SEC
HONEYWELL R8222B-1067 RELAY SPST 24V 50/60HZ COIL
HONEYWELL RV6LAYSA101A POTENTIOMETER; POWER RATING:500MW; TRACK RESISTANCE:100OHM; RESISTANCE TOLERANCE: 10%; SERIES:RV6; OPERATING TEMPERATURE RANGE:-40C TO +120C; RE
HONEYWELL D53C1-10K POTENTIOMETER DUAL 2W 10KOHM 10PERCENT TOLERANCE
HONEYWELL Q539A-1147 THERMOSTAT SUBBASE
HONEYWELL 39251MEG POTENTIOMETER
HONEYWELL AT72D-1006 120V-24V TRANSFORMER 40VA
HONEYWELL 73JA-5K RESISTIVE OPTICAL
HONEYWELL 73JA-10K POTENTIOMETER 10KOHM 5PERCENT WIREWOUND
HONEYWELL MC2711H ACTUATOR, 6LBF, SNAP ACTION SWITCH; FOR USE WITH:HONEYWELL BASIC MICROSWITCHES; IP / NEMA RATING:-; OPERATING FORCE MAX:96OZF; OVER TRAVEL MIN:4.775MM
HONEYWELL 58390 MIN ORDER QTY20 ELECTRO
HONEYWELL RV4NAYSD254A POTENTIOMETER, COND PLASTIC, 250KOHM, 10%, 2W, 27.8MM; TRACK RESISTANCE:250KOHM; TRACK TAPER:LINEAR; SHAFT DIAMETER:6.35MM; SHAFT LENGTH:22.23MM; RESI
HONEYWELL 250 RECORDER PAPER 12INCH 0-1000
HONEYWELL Q674A-1019 TRADELINE SYSTEM SW HEAT AUTO COOL FAN SWITCH
HONEYWELL RV4LAYSA252A POTENTIOMETER, COND PLASTIC, 2.5KOHM, 10%, 2W; TRACK RESISTANCE:2.5KOHM; TRACK TAPER:LINEAR; SHAFT DIAMETER:6.35MM; SHAFT LENGTH:15.88MM; RESISTANCE T
HONEYWELL R8222D-1014 RELAY 6AMP 125VAC 50/60HZ COIL 24V DPDT STAB QD
HONEYWELL PWCD CONTACT BLOCK 1NO 150VAC 125VDC
HONEYWELL PWCE CONTACT BLOCK 1NC 150VAC 125VDC
HONEYWELL RV4NAYSD154A POTENTIOMETER, COND PLASTIC, 150KOHM, 10%, 2W; TRACK RESISTANCE:150KOHM; TRACK TAPER:LINEAR; SHAFT DIAMETER:6.35MM; SHAFT LENGTH:22.23MM; RESISTANCE T
HONEYWELL 986BAA01 LAMP VOLTAGE CONTROLLER 120V 50/60CY
HONEYWELL RV4NAYSD503A POTENTIOMETER 50K OHM CARBON 2W
HONEYWELL RV4NAYSD255B POTENTIOMETER CONDUCTIVE PLASTIC 2W 2.5MOHM RES
HONEYWELL 7617ADW MOTOR CRANK ARM
HONEYWELL R500X25W5W RESISTOR
HONEYWELL RV4LAYSA105A POTENTIOMETER, COND PLASTIC, 1MOHM, 10%, 2W; TRACK RESISTANCE:1MOHM; TRACK TAPER:LINEAR; SHAFT DIAMETER:6.35MM; SHAFT LENGTH:15.88MM; RESISTANCE TOLER
HONEYWELL BZ-2RW826-A2 SNAP ACTION BASIC SWITCH; CIRCUITRY:SPDT; MICROSWITCH TYPE:STANDARD; ACTUATOR STYLE:ADJUSTABLE ROLLER LEVER; OPERATING FORCE MAX:6OZF; CONTACT VOLTAGE
HONEYWELL DP2030A-1003 2 POLE 30A-24V ECONOMY MDL.
HONEYWELL BZ-2R-A4 MICROSWITCH PIN PLUNGER 15AMP 125/250/480VAC
HONEYWELL RV4LAYSA501A POTENTIOMETER, COND PLASTIC, 500 OHM, 0.1, 2W; TRACK RESISTANCE:500OHM; TRACK TAPER:LINEAR; SHAFT DIAMETER:6.35MM; SHAFT LENGTH:15.88MM; RESISTANCE TO
HONEYWELL 621-9950 TERMINAL BLOCK SET 16POINT TOP/BOTTOM
HONEYWELL RV4LAYSA152A POTENTIOMETER; POWER RATING:2W; TRACK RESISTANCE:1.5KOHM; RESISTANCE TOLERANCE: 10%; SERIES:RV4; OPERATING TEMPERATURE RANGE:-55C TO +120C; RESI
HONEYWELL 53C1 -INCOMPLETE -
HONEYWELL VP25KA-500 POWER RESISTOR; POWER RATING:25W; RESISTANCE:500OHM; RESISTANCE TOLERANCE: 10%; SERIES:VP; RESISTOR ELEMENT MATERIAL:CERAMIC; TEMPERATURE COEFFICIEN
HONEYWELL RV4NAYSD253A POTENTIOMETER, COND PLASTIC, 25KOHM 10% 2W; TRACK RESISTANCE:25KOHM; TRACK TAPER:LINEAR; SHAFT DIAMETER:6.35MM; SHAFT LENGTH:22.23MM; RESISTANCE TOLER
HONEYWELL RV4NAYSD105A POTENTIOMETER, COND PLASTIC, 1MOHM 10%, 2W; TRACK RESISTANCE:1MOHM; TRACK TAPER:LINEAR; SHAFT DIAMETER:6.35MM; SHAFT LENGTH:22.23MM; RESISTANCE TOLERA
HONEYWELL RV4NAYSD152A POTENTIOMETER, COND PLASTIC, 1.5KOHM 10%, 2W; TRACK RESISTANCE:1.5KOHM; SHAFT DIAMETER:6.35MM; RESISTANCE TOLERANCE: 10%; POWER RATING:2W; POTENTIOME
HONEYWELL RV4LAYSA502A POTENTIOMETER, COND PLASTIC, 5KOHM, 10%, 2W; TRACK RESISTANCE:5KOHM; TRACK TAPER:LINEAR; SHAFT DIAMETER:6.35MM; SHAFT LENGTH:15.88MM; RESISTANCE TOLER
HONEYWELL 412 CIRCULAR DIAL BRAKE LEVER, 0.25IN DIA SHAFT; SHAFT DIAMETER:0.25; ACCESSORY TYPE:CIRCULAR DIAL; FOR USE WITH:22808 SERIES ROTARY POSITION SENSORS; AP
HONEYWELL DP2030B-1002 2POLE 30A-120V ECONO.MDL RELAY
HONEYWELL 380C1500K RESISTIVE OPTICAL
HONEYWELL RV4LAYSA254A POTENTIOMETER, COND PLASTIC, 250KOHM, 10%, 2W; TRACK RESISTANCE:250KOHM; TRACK TAPER:LINEAR; SHAFT DIAMETER:6.35MM; SHAFT LENGTH:15.88MM; RESISTANCE T
HONEYWELL RV4NAYSD153A POTENTIOMETER, COND PLASTIC, 15KOHM, 10%, 2W; TRACK RESISTANCE:15KOHM; SHAFT DIAMETER:6.35MM; RESISTANCE TOLERANCE: 10%; POWER RATING:2W; POTENTIOMET
HONEYWELL RV4NAYSD251A POTENTIOMETER, COND PLASTIC, 250 OHM 10% 2W; TRACK RESISTANCE:250OHM; TRACK TAPER:LINEAR; SHAFT DIAMETER:6.35MM; SHAFT LENGTH:22.23MM; RESISTANCE TOLE
HONEYWELL Q340A-1108 30 MV THERMOCOUPLE 48 INCH
HONEYWELL RV4NAYSK254A POTENTIOMETER
HONEYWELL RV4LAYSA251A POTENTIOMETER 250OHM 2WATT
HONEYWELL 380C3-10K POTENTIOMETER 10KOHM 2W W/SOLDER LUGS
HONEYWELL BZ-2RL-A2 SNAP ACTION BASIC SWITCH; CIRCUITRY:SPDT; MICROSWITCH TYPE:STANDARD; ACTUATOR STYLE:LEAF LEVER; OPERATING FORCE MAX:5OZF; CONTACT VOLTAGE DC NOM:250V;
HONEYWELL Q340A-1090 36 THERMOCOUPLE
HONEYWELL RV4NAYSD203A POTENTIOMETER, CARBON, 20KOHM, 10%, 2W, 27.8MM; TRACK RESISTANCE:20KOHM; TRACK TAPER:LINEAR; NO. OF TURNS:1; SHAFT DIAMETER:6.35MM; SHAFT LENGTH:22.23
HONEYWELL RV4LAYSA101A POTENTIOMETER, COND PLASTIC, 100 OHM, 0.1, 2W; TRACK RESISTANCE:100OHM; TRACK TAPER:LINEAR; SHAFT DIAMETER:6.35MM; SHAFT LENGTH:15.88MM; RESISTANCE TO
HONEYWELL RV4NAYSD202A POTENTIOMETER, COND PLASTIC, 2KOHM, 10%, 2W; TRACK RESISTANCE:2KOHM; SHAFT DIAMETER:6.35MM; RESISTANCE TOLERANCE: 10%; POWER RATING:2W; POTENTIOMETER
HONEYWELL RV4NAYSD501A POTENTIOMETER, COND PLASTIC, 500 OHM 10% 2W; TRACK RESISTANCE:500OHM; TRACK TAPER:LINEAR; SHAFT DIAMETER:6.35MM; SHAFT LENGTH:22.23MM; RESISTANCE TOLE
HONEYWELL RV4LAYSA104A POTENTIOMETER, COND PLASTIC, 100KOHM, 10%, 2W; TRACK RESISTANCE:100KOHM; TRACK TAPER:LINEAR; SHAFT DIAMETER:6.35MM; SHAFT LENGTH:15.88MM; RESISTANCE T
HONEYWELL RV4NAYSD252A POTENTIOMETER, COND PLASTIC, 2.5KOHM, 10%, 2W; TRACK RESISTANCE:2.5KOHM; TRACK TAPER:LINEAR; SHAFT DIAMETER:6.35MM; SHAFT LENGTH:22.23MM; RESISTANCE T
HONEYWELL 380C3-5000 RESISTIVE OPTICAL
HONEYWELL RV4NAYSD502A POTENTIOMETER, COND PLASTIC, 5KOHM, 10%, 2W; TRACK RESISTANCE:5KOHM; TRACK TAPER:LINEAR; SHAFT DIAMETER:6.35MM; SHAFT LENGTH:22.23MM; RESISTANCE TOLER
HONEYWELL RV4NAYSD103A POTENTIOMETER, COND PLASTIC, 10KOHM,10%, 2W; TRACK RESISTANCE:10KOHM; TRACK TAPER:LINEAR; SHAFT DIAMETER:6.35MM; SHAFT LENGTH:22.23MM; RESISTANCE TOLE
HONEYWELL 53C31K RESISTIVE OPTICAL
HONEYWELL RV4NAYSD104A POTENTIOMETER, COND PLASTIC, 100KOHM, 10%, 2W; TRACK RESISTANCE:100KOHM; TRACK TAPER:LINEAR; SHAFT DIAMETER:6.35MM; SHAFT LENGTH:22.23MM; RESISTANCE T
HONEYWELL 53C1-10K RESISTIVE OPTICAL
HONEYWELL 53C1-25K RESISTIVE OPTICAL
HONEYWELL 53C1-5K RESISTIVE OPTICAL
HONEYWELL 51404929501 KEYPAD
HONEYWELL R4222D-1013 SWITCHING RELAY 12AMP 120V DPDT
HONEYWELL RV4LAYSA103A POT, COND PLASTIC, 10KOHM, 10%, 2W; TRACK RESISTANCE:10KOHM; TRACK TAPER:LINEAR; SHAFT DIAMETER:6.35MM; SHAFT LENGTH:15.88MM; RESISTANCE TOLERANCE: 1
HONEYWELL Q674B-1034 SUBBASE FOR MULTISTAGE THERMOSTAT 20-30VAC
HONEYWELL V7-7B17D8-201 SNAP ACTION BASIC SWITCH; CIRCUITRY:SPDT; MICROSWITCH TYPE:MINIATURE; ACTUATOR STYLE:ROLLER LEVER; OPERATING FORCE MAX:1.6OZF; CONTACT VOLTAGE DC NOM:
HONEYWELL RV4NAYSD-102A POTENTIOMETER, COND PLASTIC, 1KOHM 10%, 2W; TRACK RESISTANCE:1KOHM; TRACK TAPER:LINEAR; SHAFT DIAMETER:6.35MM; SHAFT LENGTH:22.23MM; RESISTANCE TOLERA
HONEYWELL PMPZ-58 BEZEL FULL GUARD FOR PUSHBUTTON SWITCH
HONEYWELL 531PT15B2K POTENTIOMETER 2K
HONEYWELL 10-17306 LARGE BASICS ;ROHS COMPLIANT: YES
HONEYWELL MPS-31-HD PLUG IN BASE FOR PHOTO HEAD 10-30VDC 250MA SINK OP
HONEYWELL 08495007 SERVO MOTOR
HONEYWELL DP2030A-5004 2 POLE 30A-24V POWERPRO TRADLN
HONEYWELL DP3030A-5003 3POLE 30A 24V PWRPRO CONTACTOR
HONEYWELL RV4NAYSD354A POTENTIOMETER TYPE J 350K OHM P3
HONEYWELL JA1N200P102UA CARBON POTENTIOMETER; POWER RATING:2W; TRACK RESISTANCE:1KOHM; RESISTANCE TOLERANCE: 10%; SERIES:J; OPERATING TEMPERATURE RANGE:-55C TO +120C; A
HONEYWELL UDC200C-2-00D-100000-0 TEMPERATURE CONTROL MINIPRO 120V DUAL DISPLAY
HONEYWELL DC330B-KE-000-20-0000D0-E0-0 TEMPERATURE CONTROL DIALATROL 1/4DIN 90-250VAC
HONEYWELL 0010-0321 Aluminum 19 Expansion Plate (Adds QTY 4 Option Boards)
HONEYWELL 0010-1110 6 Inch Long I²C Cable
HONEYWELL 0010-1180 10 Inch Long I²C Cable
HONEYWELL 0010-1188 6 Foot Long I²C Cable
HONEYWELL 0060-1051 Ferrite bead for use with mV sensors
HONEYWELL 00705-A-1733 705 Combustible Sensor
HONEYWELL 00705-A-1735 705 High Temperature Combustible Sensor
HONEYWELL 00780-A-0035 Calibration Gas Flow Adaptor for use with 705 sensors
HONEYWELL 00780-A-0076 Weather Protection Stainless Steel for 705 HT
HONEYWELL 00780-A-0100 Sensepoint Terminal Housing - Bartec DE1155 With Continuity Plate 1 X 25mm - 3 X 20mm Entries -ATEX Approved
HONEYWELL 00780-A-0160 Right Angle Mounting Bracket For Hawke Junction Box for Sensepoint
HONEYWELL 00780-F-0018 Stainless steel mesh filter for Sensepoint
HONEYWELL 02000-A-1635 Weather Protection Housing
HONEYWELL 02000-A-1640 Nylon Weather Protection for Combustible Sensor
HONEYWELL 02000-A-1642 Collecting Cone (for use with MPD, Sensepoint and 705 sensors)
HONEYWELL 02000-A-1645 Calibration Gas Flow Adaptor for use with XNX and Sensepoint sensors
HONEYWELL 02000-A-3120 Series 2000 Flow Housing Assembly Plastic for Sensepoint sensors
HONEYWELL 02104-N-4007 Long range alignment and conformity kit including handheld interrogator, telescope, carry case and test filters for Sieger Searchline Excel Detector
HONEYWELL 02104-N-4007X Long/Medium Range alignment and conformity kit including telescope, carry case and test filters (no SHC1) for Sieger Searchline Excel & XNX Detectors
HONEYWELL 02104-N-5030 Sieger Searchline Excel Long range system 120m to 200m complete TXR and RXR system
HONEYWELL 02104-N-XLAAUS Sieger Searchline Excel Long range system 120m to 200m complete TXR and RXR system, installation hardware kit, SS316 mounting plates and brackets, 1 junction box Current Source
HONEYWELL 04200-A-1015 Optima duct mounting kit for Optima Plus
HONEYWELL 04200-A-1040 OptimaPlus junction box adaptor plate
HONEYWELL 04230-A-1001 Hand Held Interrogator ATEX SHC-1 Certified (4V0 software) for Optima, Optima Plus and Excel
HONEYWELL 04230-A-1025 SHC protection device module and lead for Optima Plus
HONEYWELL 050-0000-003 DigiPID lamp, 10
HONEYWELL 05701-A-0120 Zellweger System 57 Engineering Interface Lead
HONEYWELL 05701-A-0283 Zellweger System 57 Sensor Drive Module?4-20mA?5701
HONEYWELL 05701-A-0284 Zellweger System 57 Sensor Drive Module-Catalytic?5701
HONEYWELL 05701-A-0285 Zellweger System 57 Analog Output Module?5701
HONEYWELL 05701-A-0301 Zellweger System 57 Single Channel Control Card - 4-20mA
HONEYWELL 05701-A-0302 Zellweger System 57 Single Channel Control Card ? Catalytic
HONEYWELL 05701-A-0309 Zellweger System 57 Master Alarm Update Module Kit
HONEYWELL 05701-A-0312 Zellweger System 57 Modbus Interface Module Kit-RS 485/RS 422
HONEYWELL 05701-A-0313 Zellweger System 57 Modbus Interface Module Kit-RS 232
HONEYWELL 05701-A-0314 Zellweger System 57 Event Print Module Kit-RS 232
HONEYWELL 05701-A-0325 Zellweger System 57 DC Input Card
HONEYWELL 05701-A-0326 Zellweger System 57 Field Interface Card (No Relays - Sensor Input Only)
HONEYWELL 05701-A-0327 Zellweger System 57 Relay Card-Double Spco-5701 (A1, A2 & Fault Single Pole hangover Relays)
HONEYWELL 05701-A-0328 Zellweger System 57 Relay Card-Triple Spco-5701 (A1, A2, A3 Fault & Inhibit Single Pole Changeover Relays)
HONEYWELL 05701-A-0329 Zellweger System 57 Relay Card-Triple Dpco-5701 (2Xa1, 2Xa2, 2Xa3 Fault & Inhibit Single Pole Changeover Relays)
HONEYWELL 05701-A-0330 Zellweger System 57 High Integrity Relay Card-Double Spco-5701 (2Xa1, 2Xa2, 2Xa3 Fault & Inhibit Single Pole Changeover Relays)
HONEYWELL 05701-A-0339 Zellweger System 57 Master Alarm Update Panel
HONEYWELL 05701-A-0361 Zellweger System 57 Engineering Card
HONEYWELL 05701-A-0365 Zellweger System 57 Blanking Panel - Card Slot
HONEYWELL 05701-A-0405 Zellweger System 57 Power Supply Unit-AC to DC-16 Way-50 Watts
HONEYWELL 05701-A-0406 Zellweger System 57 Power Supply Unit-AC to DC-8 Way-50 Watts
HONEYWELL 05701-A-0440 Zellweger System 57 Power Supply Module-50 W (Upgrade For PSUs & Sub Units)
HONEYWELL 05701-A-0441 Zellweger System 57 Power Supply Subunit-50 Watts (Upgrade For 16 Way PSU)
HONEYWELL 05701-A-0451 Zellweger System 57 Cabinet - Wall Mounting - 16 Way
HONEYWELL 05701-A-0452 Zellweger System 57 Cabinet - Wall Mounting - 8 Way
HONEYWELL 05701-A-0505 Zellweger System 57 Subrack - Front Access - 16 Way
HONEYWELL 05701-A-0506 Zellweger System 57 Subrack - Front Access - 8 Way
HONEYWELL 05701-A-0515 Zellweger System 57 Subrack - Rear Access - 16 Way
HONEYWELL 05701-A-0516 Zellweger System 57 Subrack - Rear Access - 8 Way
HONEYWELL 05701-A-0550 Zellweger System 57 System 57 Calibration Plug
HONEYWELL 05701-A-0551 Zellweger System 57 Engineering Interface Kit (Configuration Software + Connection Lead) *****The kit is no longer available for sale
HONEYWELL 05701-A-0600 Zellweger System 57 Subrack - Rear Access - 1 Way
HONEYWELL 05701-A-0601 Zellweger System 57 1W Rear Access Rack, Engineering Card, DC input, key set
HONEYWELL 05701-C-0390 Zellweger System 57 Interconnection Cable ? 5701
HONEYWELL 05701-C-0471 Zellweger System 57 Blanking Panel - 1U X 19\" (16 Way)
HONEYWELL 05701-C-0474 Zellweger System 57 Blanking Panel - 1U X ½ 19\" (8 Way)
HONEYWELL 05701-N-6100 Zellweger System 57 5701 Catalytic, Field Interface, Interconnect cable
HONEYWELL 05701-N-6101 Zellweger System 57 5701 Catalytic, Double SPCO, Interconnect cable
HONEYWELL 05701-N-6102 Zellweger System 57 5701 Catalytic, Triple SPCO, Interconnect cable
HONEYWELL 05701-N-6103 Zellweger System 57 5701 Catalytic, Triple DPCO, Interconnect cable
HONEYWELL 05701-N-6104 Zellweger System 57 5701 Catalytic, High Integrity Relay, Interconnect cable
HONEYWELL 05701-N-6105 Zellweger System 57 5701 mA, Field Interface, Interconnect cable
HONEYWELL 05701-N-6106 Zellweger System 57 5701 mA, Double SPCO, Interconnect cable
HONEYWELL 05701-N-6107 Zellweger System 57 5701 mA, Triple SPCO, Interconnect cable
HONEYWELL 05701-N-6108 Zellweger System 57 5701 mA, Triple DPCO, Interconnect cable
HONEYWELL 05701-N-6109 Zellweger System 57 5701 mA, High Integrity Relay, Interconnect cable
HONEYWELL 05701-N-6110 Zellweger System 57 5701 Catalytic, Analog output, Field Interface, Interconnect cable
HONEYWELL 05701-N-6111 Zellweger System 57 5701 Catalytic, Analog output, Double SPCO, Interconnect cable
HONEYWELL 05701-N-6112 Zellweger System 57 5701 Catalytic, Analog output, Triple SPCO, Interconnect cable
HONEYWELL 05701-N-6113 Zellweger System 57 5701 Catalytic, Analog output, Triple DPCO, Interconnect cable
HONEYWELL 05701-N-6114 Zellweger System 57 5701 Catalytic, Analog output, High Integrity Relay, Interconnect cable
HONEYWELL 05701-N-6115 Zellweger System 57 5701 mA, Analog output, Field Interface, Interconnect cable
HONEYWELL 05701-N-6116 Zellweger System 57 5701 mA, Analog output, Double SPCO, Interconnect cable
HONEYWELL 05701-N-6117 Zellweger System 57 5701 mA, Analog output, Triple SPCO, Interconnect cable
HONEYWELL 05701-N-6118 Zellweger System 57 5701 mA, Analog output, Triple DPCO, Interconnect cable
HONEYWELL 05701-N-6119 Zellweger System 57 5701 mA, Analog output, High Integrity Relay, Interconnect cable
HONEYWELL 05701-N-6200 Zellweger System 57 5701 Catalytic, Field Interface
HONEYWELL 05701-N-6201 Zellweger System 57 5701 Catalytic, Double SPCO
HONEYWELL 05701-N-6202 Zellweger System 57 5701 Catalytic, Triple SPCO
HONEYWELL 05701-N-6203 Zellweger System 57 5701 Catalytic, Triple DPCO
HONEYWELL 05701-N-6204 Zellweger System 57 5701 Catalytic, High Integrity Relay
HONEYWELL 05701-N-6205 Zellweger System 57 5701 mA, Field Interface
HONEYWELL 05701-N-6206 Zellweger System 57 5701 mA, Double SPCO
HONEYWELL 05701-N-6207 Zellweger System 57 5701 mA, Triple SPCO
HONEYWELL 05701-N-6208 Zellweger System 57 5701 mA, Triple DPCO
HONEYWELL 05701-N-6209 Zellweger System 57 5701 mA, High Integrity Relay
HONEYWELL 05701-N-6210 Zellweger System 57 5701 Catalytic, Analog output, Field Interface
HONEYWELL 05701-N-6211 Zellweger System 57 5701 Catalytic, Analog output, Double SPCO
HONEYWELL 05701-N-6212 Zellweger System 57 5701 Catalytic, Analog output, Triple SPCO
HONEYWELL 05701-N-6213 Zellweger System 57 5701 Catalytic, Analog output, Triple DPCO
HONEYWELL 05701-N-6214 Zellweger System 57 5701 Catalytic, Analog output, High Integrity Relay
HONEYWELL 05701-N-6215 Zellweger System 57 5701 mA, Analog output, Field Interface
HONEYWELL 05701-N-6216 Zellweger System 57 5701 mA, Analog output, Double SPCO
HONEYWELL 05701-N-6217 Zellweger System 57 5701 mA, Analog output, Triple SPCO
HONEYWELL 05701-N-6218 Zellweger System 57 5701 mA, Analog output, Triple DPCO
HONEYWELL 05701-N-6219 Zellweger System 57 5701 mA, Analog output, High Integrity Relay
HONEYWELL 05704-A-0121 Zellweger System 57 Quad Relay Interface Card - 5704 (4 SPCO Relays)
HONEYWELL 05704-A-0123 Zellweger System 57 5704F Hex Relay Interface Card
HONEYWELL 05704-A-0131 Zellweger System 57 Relay Interface Assy - 16 Relays - 5704 (12 SPCO, 4 SPST)
HONEYWELL 05704-A-0133 Zellweger System 57 5704F Relay Interface Assembly
HONEYWELL 05704-A-0144 Zellweger System 57 4 Channel Control Card ? Catalytic
HONEYWELL 05704-A-0145 Zellweger System 57 4 Channel Control Card - 4-20mA
HONEYWELL 05704-A-0146 Zellweger System 57 5704F Fire Card
HONEYWELL 05704-A-0148 Zellweger System 57 5704F Fire Status Panel
HONEYWELL 05704-C-0160 Zellweger System 57 Interconnect Cable ? 5704
HONEYWELL 05704-N-0101 Zellweger System 57 5704 Catalytic, Quad Relay, Interface Interconnect Cable
HONEYWELL 05704-N-0102 Zellweger System 57 5704 Catalytic, Relay Interface Assembly, Interconnect Cable
HONEYWELL 05704-N-0103 Zellweger System 57 5704 mA, Quad Relay Interface, Interconnect Cable
HONEYWELL 05704-N-0104 Zellweger System 57 5704 mA, Relay Interface Assembly, Interconnect Cable
HONEYWELL 05704-N-0105 Zellweger System 57 5704F 4ZCC- Fire, Hex Relay Interface, Interconnect Cable
HONEYWELL 05704-N-0106 Zellweger System 57 5704F 4ZCC- Fire, Fire Relay Interface, Interconnect Cable
HONEYWELL 05704-N-0111 Zellweger System 57 5704 Catalytic, Analog output-sink, Quad Relay Interface, Interconnect Cable
HONEYWELL 05704-N-0112 Zellweger System 57 5704 Catalytic, Analog output - sink, Relay Interface Assembly, Interconnect Cable
HONEYWELL 05704-N-0113 Zellweger System 57 5704 mA, Analog output - sink, Quad Relay Interface, Interconnect Cable
HONEYWELL 05704-N-0114 Zellweger System 57 5704 mA, Analog output - sink, Relay Interface Assembly, Interconnect Cable
HONEYWELL 05704-N-0121 Zellweger System 57 5704 Catalytic, Analog output-source, Quad Relay Interface, Interconnect Cable
HONEYWELL 05704-N-0122 Zellweger System 57 5704 Catalytic, Analog output-source, Relay Interface Assembly, Interconnect Cable
HONEYWELL 05704-N-0123 Zellweger System 57 5704 mA, Analog output-source, Quad Relay Interface, Interconnect Cable
HONEYWELL 05704-N-0124 Zellweger System 57 5704 mA, Analog output-source, Relay Interface Assy, Interconnect Cable
HONEYWELL 05704-N-0201 Zellweger System 57 5704 Catalytic, Quad Relay Interface
HONEYWELL 05704-N-0202 Zellweger System 57 5704 Catalytic, Relay Interface Assembly
HONEYWELL 05704-N-0203 Zellweger System 57 5704 mA, Quad Relay Interface
HONEYWELL 05704-N-0204 Zellweger System 57 5704 mA, Relay Interface Assembly
HONEYWELL 05704-N-0205 Zellweger System 57 5704F 4ZCC- Fire, Hex Relay Interface
HONEYWELL 05704-N-0206 Zellweger System 57 5704F 4ZCC- Fire, Fire Relay Interface
HONEYWELL 05704-N-0211 Zellweger System 57 5704 Catalytic, Analog output-sink, Quad Relay Interface
HONEYWELL 05704-N-0212 Zellweger System 57 5704 Catalytic, Analog output-sink, Relay Interface Assembly
HONEYWELL 05704-N-0213 Zellweger System 57 5704 mA, Analog output-sink, Quad Relay Interface
HONEYWELL 05704-N-0214 Zellweger System 57 5704 mA, Analog output-sink, Relay Interface Assembly
HONEYWELL 05704-N-0221 Zellweger System 57 5704 Catalytic, 5704 Analog output - source, Quad Relay Interface
HONEYWELL 05704-N-0222 Zellweger System 57 5704 Catalytic, 5704 Analog output - source, Relay Interface Assembly
HONEYWELL 05704-N-0223 Zellweger System 57 5704 mA, Analog output-source, Quad Relay Interface
HONEYWELL 05704-N-0224 Zellweger System 57 5704 mA, Analog output-source, Relay Interface Assembly
HONEYWELL 05708-N-1008 Zellweger System 57 8W Rear Access Rack, 0 Blanking Panels
HONEYWELL 05708-N-2008 Zellweger System 57 8W Front Access Rack, 0 Blanking Panels
HONEYWELL 05708-N-3008 Zellweger System 57 8W Front Access Rack, 0 Blanking Panels, 8W Cabinet
HONEYWELL 05708-N-4008 Zellweger System 57 8W Rear Access Rack, 0 Blanking Panels, 8W PSU - 50W
HONEYWELL 05708-N-5008 Zellweger System 57 8W Front Access Rack, 0 Blanking Panels, 8W PSU - 50W
HONEYWELL 05708-N-6008 Zellweger System 57 8W Front Access Rack, 0 Blanking Panels, 8W Cabinet, 8W PSU - 50W
HONEYWELL 05716-N-1016 Zellweger System 57 16W Rear Access Rack, 0 Blanking Panels
HONEYWELL 05716-N-2016 Zellweger System 57 16W Front Access Rack, 0 Blanking Panels
HONEYWELL 05716-N-3016 Zellweger System 57 16W Front Access Rack, 0 Blanking Panels, 16W Cabinet
HONEYWELL 05716-N-4016 Zellweger System 57 16W Rear Access Rack, 0 Blanking Panels, 16W PSU - 50W
HONEYWELL 05716-N-5016 Zellweger System 57 16W Front Access Rack, 0 Blanking Panels, 16W PSU - 50W
HONEYWELL 05716-N-6016 Zellweger System 57 16W Front Access Rack, 0 Blanking Panels, 16W Cabinet, 16W PSU - 50W
HONEYWELL 081-0002-000 Lamp Cleaning Kit for RAEGuard 2 PID
HONEYWELL 1000-0076 Small Red Magnetic Wand for NEMA 4X Enclosures
HONEYWELL 1000-0078 Big Blue Magnetic Wand for XP Enclosures
HONEYWELL 10-0006 Replacement HA71 Flat Panel LCD Module
HONEYWELL 1000-1892 100db Piezo Audible Alarm added to NEMA 4X enclosure
HONEYWELL 10-0142 Replacement Main I/O PCB for HA71/XP
HONEYWELL 10-0144 Auxiliary Common Alarm Relay Board
SCHNEIDER LMC402CBD10000
SCHNEIDER LXM62PD84A11000
SCHNEIDER LXM62DD15C21000 LMC802CBD10000
HONEYWELL 10-0158 I2C Analog 8 Input Board incl EXC terminals
HONEYWELL 10-0167 I2C Analog 4-20mA 8 Output Board
HONEYWELL 10-0172 85-240VAC Universal Input 150 Watt 24VDC Internal Power Supply
HONEYWELL 10-0180 NEMA 4X Expansion Plate (adds up to 4 option positions)
HONEYWELL 10-0181 NEMA 7 Expansion Plate
HONEYWELL 10-0185 p-Xylene (C8H10) (100% LEL Full Scale, 1 LEL %v/v)
HONEYWELL 10-0191 I2C Catalytic Bead LEL Sensor / mA Input Board
HONEYWELL 10-0192 Dual Bridge Sensor Module
HONEYWELL 10-0195 I2C Discrete Alarm Relay Board (8, 5A Form C Relays)
HONEYWELL 10-0213 Replacement Main I/O PCB for HA71PM
HONEYWELL 10-0216 One Bridge Sensor / One EC Sensor Input Combo
HONEYWELL 10-0219 Dual Bridge Sensor Input
HONEYWELL 10-0315 50 WATT NEMA 4X DIV 2 Internal power supply
HONEYWELL 10-0331 Replacement HA-72 Main I/O
HONEYWELL 10-0332 Auxiliary Standard Alarm Relay Board
Latest Products
ASO SAFETY
GP 25
Cewe
Elite-440-441
Datwyler-cables
19145300DL
Vishay
S/N:1464781
Vishay
S/N:1464775
Vishay
S/N:1464775
Vishay
S/N:1464771
Vishay
#1. YXU172E ARTICLE NO YT204001-JJ
Flame det. Interface 601F, Tyco-Autronica
: 116-5861-010-2015
Plate heat exchanger T20 MFG
Name of Item : UNION FOR DIA 1/2
AMK AMKASYN AZ20 AZ20 Servo Drive 45897
AMK AZ40 AMKASYN AZ40 45898 400V 40kW +AZ-PS4 AZ-SC2 AZ-R01
AMK AZ40 AMKASYN AZ40N 45542 400V 40kW+ AZ-RC1 AZ-SC2 AZ-R01
AMK KE 120 Amkasyn46717- 120kW 400V KE120
AMK KU 40 AMKASYN KU40-1 45739 3x400V 40kVA 3x66A + KU-SC2KU-R01
AMK AN 40F AMKASYN AN 40F-1-1 45965 3x 400V 3x63A
AMK Amkasyn AZ 20
AMK KU 40 AMKASYN KU40-1 45739 3x400V 40kVA 3x66A
AMK Servomotor DV 10-15-4-ABO Motor DV10-15-4-AB0
AMK AMKASYN KU 25 SINGLE
AMK Amkasyn KE 60 Servo Drive 60kW KE6046269-0648 KE-E03
AMK Amkasyn KW 60 Servo Drive KW60 60KVA+ KW-R03 46265 AE-R03
AMK AW 14/24 AMKASYNAW 14/24-1 45706 DC560V 14kVA
AMK AN 40F AMKASYN AN 40F-1-1 45965 3x 400V 3x63A Top
AMK KW 40 Amkasyn KW40 40kVA 46264-0650KW-R03 KW-SC1
AMK KE 40 Amkasyn 40kW 24VDC 400V KE40 47159-1326
AMK KW 40 Amkasyn KW40 40kVA 46264-0650KW-R03
AMK AMKASYN AZ 10 44620-9550-607 400V 10kW + AZ-EA24 AZ-PS3AZ-R01
AMK SYMAC AS-CP22 E823- 24VDC 5,5A iPC-I320/PCI IB890-R
AMK Amkamac AS-PL14Cooller + AS-FCT2+ AS-FSS3 + AS-FEN1
AMK Amkasyn KU10 Servo Drive KU 10 + KU-SC2 + KU-R01 TOP
AMK AN 40 AMKASYN AN40F 45059 3AC 400V63A
AMK Amkasyn KW 20 1xKW-R03 KW-SC1 KW20
AMK DV7-4-4-R00 Servomotor max. 3000/8000
AMK AMKASYN KU 6-A145651-1050-1257 3x10A 6kVA + KU-EA1 KU-R01
AMK AMKASYN Servo Drive KU 10 46442-0143-802 3x16,5A 10kVA +KU-R01
AMK Amkasyn KW 20 1xKW-R03 KW20
AMK AMKASYN KW10 KW10 + KW-R03
AMK Amkasyn KW 40 40kVA 66A 46264 + KW-R03
AMK AMKASYN KE 20
AMK Servo Motor DV5-4-4-I0F 1,2kW 6000r/min 3,3A DV5-4-4-IOF
AMK KW 40 Amkasyn KW40 40kVA 66A 46264
AMK Amkasyn AW 25/38-3
AMK AN 20F Power Supply AN20F
AMK AW 4/8-3 Servo Drive Amkasyn + AW R02 Top
AMK Servomotor DV5-2-4-I00 0,83kW max. 1000/6000
AMK Amkasyn AW 2/4-345620-9746-547696 AW-R02
AMK AMKASYN AW 4,5/945415 0-800Hz 3x350VAC
AMK AMKASYN AW 3/6 44624-9519-625
AMK AMKASYN 46303-0336-887338 KW 5 KW5 +KW-R03 + KW-EA2
AMK AW 25/38-3
AMK AMKASYN KWD 2 +2x KW-R03P
AMK Double Servo Drive AMKASYN KWD2 + 2xKW-R04
AMK Amkasyn KWF 1-0N
ROTARY CONTROL VALVES 阀门,滤器,管系附件 ROTARY CONTROL VALVES KORVAL K3R-CN
AMK Amkasyn KWD 1 Servo Drive KWD1 2x KW-R04 46773
AMK Servomotor DV5-1-4-TB0 3,5kW max. 3000/10000
AMK AMKASYN Servo Drive KW 2 + 1x KW-R04
AMK AN 20F
AMK Amkasyn KWD 1 KWD1 KW-R04 46773
AMK AMKASYN AW 1,5/3 AW1,5/3 Servo 44623
AMK DT5-5-10-E00 2Servomotor 1,2kW max.3500/6000
AMK AMKASYN KW2 KW 2 + 1x KW-R03
AMK AN 20 Power Supply AN20
Alfa Laval-03-1101-GD
OKUMA E0227-702-008PLCOKUMA E0227-702-008PLC PART NO : 810-6316SS
EMERSON
GAST REGENAIR J611AX VACUUM PUMP K55CXEWN-1037 1HP 60Hz 3450RPM
P/EQUIPMENT: HP AIR SYSTEM
Alfa Laval
#2. YXU-170E, ART. NO. 1N4890-1001-YX
YT204001-JH
ROTARY CONTROL VALVES 阀门,滤器,管系附件 ROTARY CONTROL VALVES KORVAL K3R-CN
S/N:1464778
Hioki
LR8450-01
PGT
902002/10
Suspa
C16-29960
General Pump
PACKAGING PACKAGES T
Watlow
DC22-24F0-S000
Linemaster
511-B2
Vickers (Eaton)
SV4-10-0-0-00
Reitz
Slotless Brushless DC Servo Motors -- 3411 Series
Slotless Brushless DC Servo Motors -- 3412 Series
Slotless Brushless DC Servo Motors -- 4411 Series
Slotless Brushless DC Servo Motors -- 4412 Series
Slotless Brushless DC Servo Motors -- 4413 Series
Slotless Brushless DC Servo Motors -- 5411 Series
Slotless Brushless DC Servo Motors -- 5412 Series
Slotless Brushless DC Servo Motors -- 5413 Series
PITTMAN® slotless brushless motor designs offer many advantages over conventional slotted stator construction. Negligible magnetic cogging provides improved servo performance and enables extremely smooth motion even at low speeds.
Automation Grade Brushless DC Servo Motors -- AB23000
Automation Grade Brushless DC Servo Motors -- AB23001
Automation Grade Brushless DC Servo Motors -- AB23002
Automation Grade Brushless DC Servo Motors -- AB23003
Automation Grade Brushless DC Servo Motors -- AB23004
Automation Grade Brushless DC Servo Motors -- AB23005
Automation Grade Brushless DC Servo Motors -- AB23006
Automation Grade Brushless DC Servo Motors -- AB23007
Automation Grade Brushless DC Servo Motors -- AB23008
Automation Grade Brushless DC Servo Motors -- AB23009
Automation Grade Brushless DC Servo Motors -- AB34000
Automation Grade Brushless DC Servo Motors -- AB34001
Automation Grade Brushless DC Servo Motors -- AB34002
Automation Grade Brushless DC Servo Motors -- AB34003
Automation Grade Brushless DC Servo Motors -- AB34004
Automation Grade Brushless DC Servo Motors -- AB34005
Automation Grade Brushless DC Servo Motors -- AB48000
Automation Grade Brushless DC Servo Motors -- AB48001
Automation Grade Brushless DC Servo Motors -- AB48002
Automation Grade Brushless DC Servo Motors -- AB48003
PITTMAN® automation grade brushless DC servo motors are IP65 rated construction (with a shaft seal) and include a wide range of torque and speeds in standard NEMA mounting configurations.
Brush Commutated DC Servo Motors -- 14201 Series
Brush Commutated DC Servo Motors -- 14202 Series
Brush Commutated DC Servo Motors -- 14203 Series
Brush Commutated DC Servo Motors -- 14204 Series
Brush Commutated DC Servo Motors -- 14205 Series
Brush Commutated DC Servo Motors -- 14206 Series
Brush Commutated DC Servo Motors -- 14207 Series
Brush Commutated DC Servo Motors -- 6212 Series
Brush Commutated DC Servo Motors -- 6213 Series
Brush Commutated DC Servo Motors -- 6214 Series
Brush Commutated DC Servo Motors -- 8222 Series
Brush Commutated DC Servo Motors -- 8223 Series
Brush Commutated DC Servo Motors -- 8224 Series
Brush Commutated DC Servo Motors -- 8541 Series
Brush Commutated DC Servo Motors -- 8542 Series
Brush Commutated DC Servo Motors -- 8543 Series
Brush Commutated DC Servo Motors -- 8691 Series
Brush Commutated DC Servo Motors -- 8692 Series
Brush Commutated DC Servo Motors -- 8693 Series
Brush Commutated DC Servo Motors -- 9232 Series
Brush Commutated DC Servo Motors -- 9233 Series
Brush Commutated DC Servo Motors -- 9234 Series
Brush Commutated DC Servo Motors -- 9235 Series
Brush Commutated DC Servo Motors -- 9236 Series
Brush Commutated DC Servo Motors -- 9237 Series
Brush Commutated DC Servo Motors -- ID23000 Series
Brush Commutated DC Servo Motors -- ID23001 Series
Brush Commutated DC Servo Motors -- ID23004 Series
Brush Commutated DC Servo Motors -- ID23005 Series
Brush Commutated DC Servo Motors -- ID23201 Series
Brush Commutated DC Servo Motors -- ID23202 Series
Brush Commutated DC Servo Motors -- ID23203 Series
Brush Commutated DC Servo Motors -- ID23204 Series
Brush Commutated DC Servo Motors -- ID23205 Series
Brush Commutated DC Servo Motors -- ID23206 Series
Brush Commutated DC Servo Motors -- ID33001 Series
Brush Commutated DC Servo Motors -- ID33002 Series
Brush Commutated DC Servo Motors -- ID33003 Series
Brush Commutated DC Servo Motors -- ID33004 Series
Brush Commutated DC Servo Motors -- ID33005 Series
Brush Commutated DC Servo Motors -- ID33901/ID33902 Series
Brush Commutated DC Servo Motors -- ID33904/ID33905 Series
PITTMAN® brush commutated DC servo motors are available in a variety of sizes and are highly customizable. A wide range of options includes different encoder resolutions, gears with reductions...
Instrument Grade Brushless DC Servo Motors -- 1.7"X1.5" Series
Instrument Grade Brushless DC Servo Motors -- 1.7"x1.9" Series
Instrument Grade Brushless DC Servo Motors -- 1.7"x2.6" Series
Instrument Grade Brushless DC Servo Motors -- 1301 Series
Instrument Grade Brushless DC Servo Motors -- 1302 Series
Instrument Grade Brushless DC Servo Motors -- 1303 Series
Instrument Grade Brushless DC Servo Motors -- 2.5"x2.3" Series
Instrument Grade Brushless DC Servo Motors -- 2.5"x2.8" Series
Instrument Grade Brushless DC Servo Motors -- 2.5"x3.6" Series
Instrument Grade Brushless DC Servo Motors -- 2.5"x4.1" Series
Instrument Grade Brushless DC Servo Motors -- 3.55"x2.5" Series
Instrument Grade Brushless DC Servo Motors -- 3.55"x3.0" Series
Instrument Grade Brushless DC Servo Motors -- 3.55"x3.75" Series
Instrument Grade Brushless DC Servo Motors -- 3.55"x4.25" Series
Instrument Grade Brushless DC Servo Motors -- A0421046NC
Instrument Grade Brushless DC Servo Motors -- A0422052NC
Instrument Grade Brushless DC Servo Motors -- A04223053NC
Instrument Grade Brushless DC Servo Motors -- I2351014NC
Instrument Grade Brushless DC Servo Motors -- I2351030NC
Instrument Grade Brushless DC Servo Motors -- I2352029NC
Instrument Grade Brushless DC Servo Motors -- I2352048NC
Instrument Grade Brushless DC Servo Motors -- I2353043NC
Instrument Grade Brushless DC Servo Motors -- I2381088NC
Instrument Grade Brushless DC Servo Motors -- I2382091NC
Instrument Grade Brushless DC Servo Motors -- I2383092NC
Instrument Grade Brushless DC Servo Motors -- I2383214NC
Instrument Grade Brushless DC Servo Motors -- I2384124NC
Instrument Grade Brushless DC Servo Motors -- I2384246NC
Instrument Grade Brushless DC Servo Motors -- I3482150NC
Instrument Grade Brushless DC Servo Motors -- I3482282NC
Instrument Grade Brushless DC Servo Motors -- I3484147NC
Instrument Grade Brushless DC Servo Motors -- I3484357NC
Instrument Grade Brushless DC Servo Motors -- I3486197NC
Instrument Grade Brushless DC Servo Motors -- I3486463NC
Instrument Grade Brushless DC Servo Motors -- I4681159NC
Instrument Grade Brushless DC Servo Motors -- I4682255NC
Instrument Grade Brushless DC Servo Motors -- I4683346NC
Instrument Grade Brushless DC Servo Motors -- I4684382NC
Instrument Grade Brushless DC Servo Motors -- IB23000
Instrument Grade Brushless DC Servo Motors -- IB23001
Instrument Grade Brushless DC Servo Motors -- IB23002
Instrument Grade Brushless DC Servo Motors -- IB23003
Instrument Grade Brushless DC Servo Motors -- IB23004
Instrument Grade Brushless DC Servo Motors -- IB23005
Instrument Grade Brushless DC Servo Motors -- IB23006
Instrument Grade Brushless DC Servo Motors -- IB23007
Instrument Grade Brushless DC Servo Motors -- N2311 Series
Instrument Grade Brushless DC Servo Motors -- N2312 Series
Instrument Grade Brushless DC Servo Motors -- N2313 Series
Instrument Grade Brushless DC Servo Motors -- N2314 Series
PITTMAN® instrument grade brushless DC servo motors are IP42 rated construction or greater and include a wide range of rated torques and speeds in a compact design. The brushless...
Brushless Motor / Integrated Controller -- 1.7"x2.2" Series
Brushless Motor / Integrated Controller -- 3.0"x4.18" Series
Brushless Motor / Integrated Controller -- 3.0"x4.5" Series
Brushless Motor / Integrated Controller -- 3.0"x4.8" Series
Brushless Motor / Integrated Controller -- 3.87"x6.0" Series
Brushless Motor / Integrated Controller -- 3.87"x6.68" Series
Brushless Motor / Integrated Controller -- 5.25"x10.15" Series
Brushless Motor / Integrated Controller -- 5.25"x6.76" Series
Brushless Motor / Integrated Controller -- 5.25"x8.78" Series
Brushless Motor / Integrated Controller -- MA34132
Brushless Motor / Integrated Controller -- MA34134
Brushless Motor / Integrated Controller -- MA34232
Brushless Motor / Integrated Controller -- MA34236
PITTMAN® integrated brushless DC motor and controller packages are designed to simplify system integration, minimize interconnection cabling, and reduce or eliminate noise and motor / drive compatibility issues.
High Performance Slotless Brushless DC Motors -- 0.375" x 2.00" Series
High Performance Slotless Brushless DC Motors -- 0.5" x 2.10" Series
High Performance Slotless Brushless DC Motors -- 0.5" x 3.0" Series
High Performance Slotless Brushless DC Motors -- 0.8" x 2.1" Series
High Performance Slotless Brushless DC Motors -- 0.8" x 2.9" Series
High Performance Slotless Brushless DC Motors -- 1.10" x 2.5" Series
High Performance Slotless Brushless DC Motors -- 1.10"x3.26" Series
Ab23000 Specsheet
Ab34000 Specsheet
I2351014nc Specsheet
I2351030nc Specsheet
I2352029nc Specsheet
I2352048nc Specsheet
I2353043nc Specsheet
I2380 Specsheet
I3480 Specsheet
I4680 Specsheet
Ib23000 Specsheet
Id23000 Specsheet
Id33000 Specsheet
6FX20015JE202DC1
Nabtesco Japan.
Type: SMT-38.
Max Press 0.97Mpa.
Supply DC: 24V 15W.
No: A3ZA.
MXE 045-003430-60
Alfa Laval-03-1101-GD
05701-M-5001 5701 System Manual (English)
05704-M-5001 5704 System Manual (English)
05704M5002 5704F System Manual (English)
Alfa Laval-03-1101-GD
Schneider Electric
LMC402CBD10000
(2895) HONEYWELL 05701-A-0330
Honeywell Zellweger System 57 High Integrity Relay Card-Double Spco-5701 (2Xa1, 2Xa2, 2Xa3 Fault & Inhibit Single Pole Changeover Relays) *** Phased Out ***
SQUARE D LXM62PD84A11000 LEXIUM LXM 62 POWER SUPPLY DRIVE
SCHNEIDER LMC402CBD10000
SCHNEIDER LXM62PD84A11000
SCHNEIDER LXM62DD15C21000 LMC802CBD10000
Front Pages 1 to 6 MAN0546A 01
Chapter 1 1-1 to 1-8 MAN0546B 01
Chapter 2 2-1 to 2-26 MAN0546C 01
Chapter 3 3-1 to 3-32 MAN0546D 01
Chapter 4 4-1 to 4-46 MAN0546E 01
Chapter 5 5-1 to 5-24 MAN0546F 01
Chapter 6 6-1 to 6-12 MAN0546G 01
Chapter 7 7-1 to 7-14 MAN0546H 01
Chapter 8 8-1 to 8-4 MAN0546I
05701-A-0302
(2887) HONEYWELL 05701-A-0302
Honeywell Zellweger System 57 Single Channel Control Card – Catalytic *** Phased Out ***
05701-A-0301
(2886) HONEYWELL 05701-A-0301
Honeywell Zellweger System 57 Single Channel Control Card - 4-20mA *** Phased Out ***
PFVI 401 3BSE018732R1
Bogen dft120
DSDP 150
PXAH 401 3BSE017235R1
CI830 3BSE013252R1
PM810V2
HIER466587R0200
HIER466587R0250
AO820 3BSE008546R1
ABB CB801 3BSE042245R1
Schneider ZB4 BW563 Allen Bradley 100-FA20
Schneider ZB4 BW553 Allen Bradley 440E-A13078
Schneider ZB4 BW343 Allen Bradley 700-F220A1
Schneider LV429371 Allen Bradley 700-F400A1
Siemens 3RT1926-1TR00 Allen Bradley 700-F400B24
Siemens 3RH1921-1CA10 Allen Bradley 700-CF400D
Siemens 3RT1916-1DG00 ABB S2C-H6R
Siemens 3RH1 921-1EA20 ABB S2C-S/H6R
Allen Bradley 140M-C-A-SA11 Allen Bradley 800E-X10
BACHMANN
BENTLY NEVADA 330102-00-30-50-02-05 ABB TZIDC V18345-102715000P ICS TRIPLEX T8311
BENTLY NEVADA 330901-00-90-05-02-05 ABB TC520 3BSE001449R1 ICS TRIPLEX T8403
BENTLY NEVADA 330906-02-12-05-02-05 ABB IPSYS01 ICS TRIPLEX T8431
BENTLY NEVADA 330930-065-01-05 ABB 3BSC980004R619 ICS TRIPLEX T8423
BENTLY NEVADA 177230-01-01-05 ABB NDNA-02-KIT ICS TRIPLEX T8110B
BENTLY NEVADA 3500/60 133819-01 ABB SDCS-PIN-41A ICS TRIPLEX T8111
BENTLY NEVADA 3500/50 133442-01 ABB SCDS-PIN-51 ICS TRIPLEX T8310
BENTLY NEVADA 3500/15 125840-02 ABB 3BHE022291R0101 PCD230 ICS TRIPLEX T8461
BENTLY NEVADA 3500/25 125800-01 ABB UNS 0884A-V1 3BHE004385R0001 ICS TRIPLEX T8442
BENTLY NEVADA 3300/01 80070-01 ABB 3BHE004385R0001 UNS 0884A ICS TRIPLEX T8480
BENTLY NEVADA 3500/22 146031-01 ABB 3ADT311500R1 3ADT311500R1 ICS TRIPLEX T8110C
BENTLY NEVADA 3500/32 125720-01 ABB YPQ 110A 3ASD573001A5 ICS TRIPLEX T8150
BENTLY NEVADA 3500/94 147663-01 ABB 3bhb001336r0001 uns 1860p-v2 ICS TRIPLEX T8451
BENTLY NEVADA 3500/42 128229-01 ABB 3bhe006422r0001 uns 2861c-p,v1 ICS TRIPLEX T8153
BENTLY NEVADA 3500/40 125680-01 ABB 3bhb001337r0002 uns 0869a-p v2 ICS TRIPLEX T8223
BENTLY NEVADA 3300/15 79671-01 ABB SDCS-FEX-2A ICS TRIPLEX T3401
BENTLY NEVADA 3300/20 135322-01 ABB SDCS-FEX-32A 3ADT312400R0002 ICS TRIPLEX T3418F
BENTLY NEVADA 3500/50M 106M2686-01 ABB 3BHE003855R0001 UNS 2882A ICS TRIPLEX T3480
BENTLY NEVADA 3300/10 78422-01 ABB 3adt209019r0001 ICS TRIPLEX T3480
BENTLY NEVADA 84140-01 78462-01 ABB HIEE300661R0001 ICS TRIPLEX T3488A
EM203
BACHMANN
FM211
BACHMANN
MC210
BACHMANN
FM211
GENERAL ELECTRIC CR120KT00102AB RELAY NIB
GENERAL ELECTRIC CR120KT00102AB RELAY NIB
GENERAL ELECTRIC CR120K42048AB RELAY NIB
GENERAL ELECTRIC CR120K24039AA CR120K24039AA
GENERAL ELECTRIC CR120K24003AA CR120K24003AA
GENERAL ELECTRIC CR120K06022AA CR120K06022AA
GENERAL ELECTRIC CR120K06002AA CR120K06002AA
GENERAL ELECTRIC CR120J02003 CR120J02003
GENERAL ELECTRIC CR120GP50A03FE CR120GP50A03FE
GENERAL ELECTRIC CR120GP50A03FE CR120GP50A03FE
General Electric CR120F100B transistorized sensitive relay
GENERAL ELECTRIC CR120E02111 CR120E02111
GENERAL ELECTRIC CR120E02023 CR120E02023
GENERAL ELECTRIC CR120E01311 CR120E01311
GENERAL ELECTRIC CR120E01203 CR120E01203
GE General Electric 8000 Line Size 1 Motor Control 12"
GE General Electric 8000 Line Size 1 CR 306 CO*AATA 120 Volt Coil Motor Control Bucket 12" with GE TEC 36007 7 Amp Breaker Start/Stop Buttons and Run Light on Door. Door needs to be cleaned and painted)
GE General Electric 8000 Line Size 3 Motor Control W/TEC36100
GE General Electric 8000 Line Size 3 CR 306 EO*ABNA 24" Tall 120 Volt Control Motor Control Bucket with GE TEC 36100 100 Amp Breaker. Run Light and Amp Meter on Door, Door needs to be cleaned painted)
GE General Electric 8000 Line 30" Size 4 Motor Control Bucket CR306F0 TEC36150
GE General Electric 8000 Series 30" Size 4 Motor Control With CR306F0*ABNA 120 V Coil With TEC36150 With Current Limiter(P5C3A)
GE General Electric 8000 Line 24" Size 3 Motor Control CR306E0 w/ TEML 100 Amp
GE General Electric 8000 Series 24" Size 3 Motor Control CR306E0*ABNA 120 V Coil W/TEML 36100 Amp(P5D3B) RcmdId ViewItemDescV4,RlogId p4%60bo7%60jtb9%3Feog4d71f%2B%3F4f%3E-14527c6c3a9-0x10e-
GE General Electric 8000 Line Size 4 42" 2 Speed Motor Control Bucket TEC36150
GE General Electric 8000 Series 42" Size 4 2 Speed Motor Control Bucket CR206W000AACA 120 V Coil and CR305F0 120 V Coil With TEC36150 With Current Limiter(P5C3A) THIS UNIT WILL NEED TO SHIP FREIGHT/LTL. PLEASE PROVIDE NAME OF BUSINESS, ADDRESS, AND CONTACT NUMBER
2 U CH BW2200 2 240V 200 1 U CH BWH2200 2 240V 200 1 U CH CC2100 2 240V 100 1 U CH CC3200YJ 3 240V 200 1 U CH CSH2200N 2 240V 200 4 U CH DK3250W 3 240V 250 1 U CH DK3400W 3 240V 400 1 U CH ED3125 3 480V 125 4 U CH ED3125 3 240V 125 3 U CH ED3150 3 240V 150 1 U CH ED3175 3 240V 175 11 U CH ED3200 3 240V 200 1 U CH ED3225 3 240V 225 1 U CH ED3225W 3 240V 225 1 U CH EDB3125 3 600V 125 1 U CH EDB3225 3 240V 225 2 U CH EHB3020 3 480V 20 3 U CH EHB3030 3 480V 30 3 U CH EHB3040 3 480V 40 2 U CH EHB3050 3 480V 50 1 U CH EHB3060 3 480V 60 1 U CH EHC3030 3 480V 30 5 U CH EHC3040 3 480V 40 1 U CH EHC3050 3 480V 50 1 U CH EHC3060 3 480V 60 1 U CH EHC3090 3 480V 90 3 U CH EHC3100 3 480V 100 7 U CH EHC3125 3 480V 125 22 U W EHD3015 3 480V 15 1 NIB CH EHD3015 3 480V 15 1 U CH EHD3020 3 480V 20 1 NIB CH EHD3020 3 480V 20 2 U CH EHD3030 3 480V 30 1 NIB CH EHD3030 3 480V 30 1 NIB CH EHD3035 3 480V 35 1 U CH EHD3050 3 480V 50 1 U CH EHD3060 3 480V 60 1 U CH EHD3070 3 600V 70 1 U CH EHD3100 3 480V 100 1 U CH EHD3100 3 600V 100 1 U CH FB3050 3 480V 50 1 U CH FB3100 3 480V 100 1 U CH FB3125 3 480V 125 1 U CH FB3150 3 480V 150 1 U CH FD3025 3 600V 25 2 U CH FD3040 3 600V 40 1 U CH FD3045 3 600V 45 1 U CH FD3050 3 600V 50 1 U CH FD3050L 3 600V 50 1 U CH FD3060BP10 3 600V 600 1 U CH FD3070 3 600V 70 2 U CH FD3100 3 600V 100 2 U CH FD3125 3 600V 125 1 U CH FD3150 3 600V 150 1 U CH FD3150L 3 600V 150 2 NIB CH FDB3100L 3 600V 100 2 U CH FDB3125L 3 600V 125 1 U CH FBD3150 3 600V 150 1 U CH FDB3150 3 600V 150 1 U CH FDB3150 3 600V 150 3 U CH FS340020A 3 480V 20 1 U CH FS340030A 3 480V 30 1 U CH FS340060A 3 480V 60 2 U CH FS340090A 3 480V 90 1 U CH FS340100A 3 480V 100 1 U CH FS340125B 3 480V 125 1 U CH FS340150A 3 480V 150 38 U CH GHB1020 1 480V 20 8 U CH GHB1030 1 480V 30 6 U CH GHB2015 2 480V 15 2 U CH GHB2020 2 480V 20 1 U CH GHB2025 2 480V 25 2 U CH GHB2030 2 480V 30 1 U CH GHB2050 2 480V 50 5 U CH GHB3015 3 480V 15 2 NIB CH GHB3020 3 480V 20 1 U CH GHB3020 3 480V 20 1 U CH GHB3025 3 480V 25 2 U CH GHB3030 3 480V 30 1 U CH GHB3040 3 480V 40 3 U CH GHB3050 3 480V 50 1 NIB CH GHB3050 3 480V 50 1 U CH GHB3060 3 480V 60 1 U CH GHB3070 3 480V 70 1 U CH GHB3080 3 480V 80 1 U CH GHB3100 3 480V 100 4 U CH HFB3015 3 600V 15 3 U CH HFB3020 3 600V 20 1 U CH HFB3030 3 600V 30 2 U CH HFB3040L 3 600V 40 1 U CH HFB3050 3 600V 50 1 U CH HFB3070 3 600V 70 2 U CH HFB3100 3 600V 100 1 U CH HFD3015L 3 600V 15 1 U CH HFD3015L 3 600V 15 1 U CH HFD3020L 3 600V 20 13 U CH HFD3020L 3 600V 20 1 U CH HFD3020L 3 600V 20 1 U CH HFD3025L 3 600V 25 2 U CH HFD3030L 3 600V 30 3 U CH HFD3030L 3 600V 30 8 U CH HFD3040L 3 600V 40 4 U CH HFD3050L 3 600V 50 4 U CH HFD3050L 3 600V 50 4 U CH HFD3070L 3 600V 70 3 U CH HFD3090L 3 600V 90 1 U CH HFD3020 3 600V 20 1 U CH HFD3020 3 600V 20 1 U CH HFD3030 3 600V 30 1 U CH HFD3030 3 600V 30 6 U CH HFD3040 3 600V 40 5 U CH HFD3050 3 600V 50 1 U CH HFD3070 3 600V 70 2 U CH HFD3080 3 600V 80 1 U CH HFD3090 3 600V 90 2 U CH HFD30100 3 600V 100 2 NIB CH HFD3100 3 600V 100 1 U CH HFD3150 3 600V 150 4 U CH HJD3250F 3 600V 250 1 U CH HKD3400F 3 600V 150 4 U CH HKD3400F 3 600V 400 12 U CH HMCP3050 3 600V 50 8 U CH HMCP3050 3 600V 50 1 U CH HMCP003AOC 3 600V 3 3 U CH HMCP015EOC 3 600V 15 6 U CH HMCP015EOC 3 600V 15 10 U CH HMCP030HIC 3 600V 30 7 U CH HMCP050K2C 3 600V 50 2 NIB CH HMCP150T4 3 600V 150 1 NIB W HMCP150T4S22 3 600V 150 1 U CH HMCP150U4C 3 600V 150 2 U CH HMCPS003AOC 3 600V 3 5 U CH HMCPS007COC 3 600V 7 1 U CH HND3800T36W 3 600V 800 3 U CH JA3125W 3 480V 125 2 U CH JA3175W 3 480V 175 9 U CH JA3225W 3 480V 225 3 U CH JD3225 3 480V 225 3 U W JD3250F 3 600V 225 1 U W JD3250F 3 600V 250 1 U CH LC3125 3 480V 125 1 U CH LC3200 3 480V 200 1 U CH LC3225 3 480V 225 1 U CH LC3300 3 480V 300 1 U CH LC3350 3 480V 350 1 U CH LC3500 3 480V 500 4 U CH LC3600 3 480V 600 1 U CH LD3600F 3 600V 600 1 U CH MCA3800 3 480V 800 1 U W MC3800F 3 600V 800 1 U W MC3800F 3 600V 600 1 U CH MDL3800F 3 600V 800 1 U CH MDL3800 3 480V 800 1 U CH NC31200F 3 600V 1000 1 U CH ND312T33W 3 600V 1200 2 U CH RD316T33W 3 600V 1600 20 NIB GE CR101Y1 1 NIB GE CR453AC3FAA 5 U GE FBN16TE020R2 1 600V 20 5 U GE FBV36TE015RV 3 600V 15 5 U GE FBV36TE015RV2 15 2 U GE FBV36TE020RV 3 600V 20 2 U GE FBV36TE020RV2 20 1 U GE FBV36TE050R2 3 600V 50 2 U GE SEDA36AT0100 3 100 3 U GE SELA36AI0007 3 600V 7 7 U GE SELA36AI0030 3 600V 20 15 U GE SELA36AI0030 3 600V 20 3 U GE SELA36AI0030 3 600V 15 2 U GE SELA36AI0030 3 600V 25 1 U GE SELA36AI0030 3 600V 30 4 U GE SELA36AI0030 3 600V 30 2 U GE SELA36AI0060 3 600V 50 2 U GE SELA36AI100 3 600V 70 1 U GE SELA36AI0100 3 600V 100 1 U GE SELA36AI0150 3 600V 150 1 U GE SELA24AT0030 2 480V 30 1 U GE SELA24AT0060 2 480V 60 3 U GE SELA36AT0030 3 30 1 U GE SELA36AT0030 3 25 7 U GE SELA36AT0030 3 20 13 U GE SELA36AT0030 3 15 1 NIB GE SELA36AT0060 3 NO RP 2 U GE SELA36AT0060 3 600V 50 2 U GE SELA36AT0060 3 600V 125 1 U GE SELA36AT0060 3 60 9 U GE SELA36AT0100 3 100 2 U GE SELA36AT0100 3 80 7 U GE SELA36AT0100 3 70 2 U GE SELA36AT0100 3 600V 80 1 U GE SELA36AT0100 3 600V 100 2 U GE SELA36AT0100 3 100 1 U GE SELA36AT0150 3 600V 125 2 U GE SELA36AT0150 3 600V 150 1 U GE SFHA36AT0250 3 100 1 U GE SFHA36AT0250 3 110 2 U GE SFHA36AT0250 3 600V 200 1 U GE SFHA36AT0250 3 200 8 U GE SFHA36AT0250 3 255 1 U GE SFHA36AT0250 3 250 1 U GE SFHA36AT0250 3 125 1 U GE SELA36AI0150 3 600V 125 1 U GE SFLA36AT0250 3 600V 125 4 U GE SFLA36AI0250 3 600V 150 1 U GE SFLA36AT0250 3 600V 175 2 U GE SFHA36AT0250 3 600V 175 1 U GE SFLA36AT0250 3 600V 70 1 U GE SFLA36AT0250 3 0 1 U GE SFLA36AT0250 3 600V 200 8 U GE SFLA36AT0250 3 600V 225 2 U GE SFLA36AT0250 3 600V NT 2 U GE SGHA36AT0400 3 600V 300 1 U GE SGHA36AT0400 3 600V 225 1 U GE SGHA36AT0600 3 600V 600 1 U GE SGHB36BB0400 3 600V 150 7 U GE SGHB36BB0400 3 600V 250 8 U GE SGHB36BB0600 3 600V 500 1 NIB GE SGHB36BD0400 3 600V NT 1 U GE SGLA36AT0400 3 600V 400 3 U GE SGLA36AT0400 3 600V 300 6 U GE SGLA36AT0400 3 600V 350 5 U GE SGLA36AT0400 3 600V 225 1 U GE SGLA36AT0400 3 600V 125 2 U GE SGLA36AT0600 3 600V 600 1 U GE SGLA36AT0600 3 600V 450 1 U GE SGLB36BB0400 3 600V 225 1 U GE SGLB36BB0400 3 600V 300 1 U GE SGLB36BB0400 3 600V 250 1 U GE SGLB36BB0400 3 600V 350 3 U GE SGLB36BB0600 3 600V 600 1 U GE SGLB36BD0150 3 600V 125 1 U GE SGLB36BD0400 3 600V 150 1 U GE SGLB36BD0400 3 200 1 U GE SGLB36BD0400 3 225 1 U GE SGLB36BD0400 3 250 2 U GE SGLB36BD0400 3 600V 400 1 U GE SGLB36BD0600 3 600V 500 6 U GE SGLB36BD0600 3 600 1 U GE SGLL36AT0400 3 600V 350 1 U GE SHF20B220H 3 2000 3 U GE SKHA36AT0800 3 600V SPRK 800 1 U GE SKHA36AT1200 3 600V SRPK 1000 1 U GE SKHB36BB1200 3 600V 1200 1 U GE SKLA36AT0800 3 600V SRPK 800 1 U GE SKLA36AT1200 3 600V SRPK 1000 1 U GE SKLB36BB1200 3 1000 1 U GE SKLB36BD0800 3 600V 800 1 U GE SKLL36BB1000 3 600V 1000 1 U GE SKLL36AT0800 3 600V SRPK 600 10 U GE TB13100BWE09 3 600V 100 1 U GE TB43F 3 ? 3 U GE TEB122020 2 240V 20 2 U GE TEB132020 3 240V 20 1 U GE TEB132050 3 240V 50 1 U GE TEB132060 3 240V 60 1 U GE TEB132060 3 240V 60 5 U GE TEB132100 3 240V 100 2 U GE TEB132100 3 240V 100 1 U GE TEC36030 3 600V 30 1 U GE TEC36030 3 600V 30 1 U GE TEC3610100 3 600V 100 4 NIB GE TEC36150 3 600V 150 6 U GE TECL36015 3 600V 15 6 U GE TECL36030 3 600V 30 20 U GE TED114015 1 15 20 U GE TED114020 1 20 1 U GE TED124060 2 480V 60 1 U GE TED124100 2 480V 100 1 U GE TED134015 3 400V 15 2 U GE TED134015 3 480V 15 6 U GE TED134020 3 480V 20 1 U GE TED134020 3 480V 20 2 U GE TED134020WL 20 2 U GE TED134030 3 480V 30 3 U GE TED134030 3 480V 30 2 U GE TED134035 3 480V 35 1 U GE TED134040 3 480V 40 1 U GE TED134040 3 480V 40 1 U GE TED134045 3 480V 45 1 U GE TED134045 3 480V 45 1 U GE TED134050 3 480V 50 10 U GE TED134050 3 480V 50 11 U GE TED134060 3 480V 60 1 U GE TED134070 3 480V 70 11 U GE TED134070 30 480V 70 3 U GE TED134100 3 600V 100 6 U GE TED134110 3 480V 110 1 U GE TED134110 3 480V 110 1 U GE TED134110 3 480V 110 1 U GE TED134125 3 480V 125 1 U GE TED134150 3 480V 150 2 U GE TED134150 3 480V 150 1 U GE TED136050 3 600V 50 1 U GE TED136100 3 600V 100 3 U GE TED136100 3 600V 100 12 U GE TEF134020 3 480V 20 1 U GE TEF134030 3 480V 30 1 U GE TEF134040 3 480V 40 4 U GE TEF134050 3 480V 50 3 U GE TEF134070 3 480V 70 4 U GE TEF134100 3 480V 100 5 U GE TEL136015WL 3 600V 15 4 U GE TEL136020WL 3 600V 20 1 U GE TEL136025WL 3 600V 25 6 U GE TEL136030WL 3 600V 30 4 U GE TEL136040WL 3 600V 40 2 U GE TEL136050WL 3 600V 50 4 U GE TEL136060WL 3 600V 60 7 U GE TEL136070WL 3 600V 70 16 U GE TEL136070WL 3 600V 70 1 U GE TEL136080WL 3 600V 80 4 U GE TEL136100WL 3 600V 100 6 U GE TEL136150WL 3 600V 150 1 U GE TEML34003 3 480V 3 8 U GE TEML34030 3 480V 30 8 U GE TEML34030 3 400V 30 9 U GE TEML34100 3 480V 100 2 U GE TEML34100 3 480V 100 9 U GE TEML34100 3 480V 100 1 U GE TEML36007 3 600V 7 1 U GE TEML36030 3 600V 30 1 U GE TEML36030 3 600V 30 1 U GE TEML36050 3 600V 50 2 U GE TEML36100 3 600V 100 7 U GE TEML36150 3 600V 150 47 U GE TEY120 1 480V 20 35 U GE TEY220 2 480V 20 5 U GE TEY220 2 480V 20 2 U GE TEY230 2 480V 30 1 U GE TEY250 3 480V 50 8 U GE TEY260 2 480V 60 1 U GE TEY3100 3 480V 100 1 U GE TEY3100 3 480V 100 6 U GE TEY315 3 480V 15 8 U GE TEY320 3 480V 20 3 NIB GE TEY320 3 480V 20 1 U GE TEY320 3 480V 20 1 U GE TEY325 3 480V 25 6 U GE TEY330 3 480V 30 10 U GE TEY340 3 480V 40 4 U GE TEY350 3 480V 50 1 U GE TEY350 3 480V 50 5 U GE TEY360 3 480V 60 7 U GE TEY370 3 480V 70 1 U GE TEY380 3 480V 80 1 U GE TFJ236125 3 125 4 U GE TFJ236200 3 600V 200 3 U GE TFJ236F225 3 600V 225 1 U GE TFK236125 3 600V 125 4 U GE TFK236175 3 600V 175 3 U GE TFK236200 3 600V 200 1 U GE THED124020 2 480V 20 1 NIB GE THED134030WL 3 480V 40 12 U GE THED136015 3 600V 15 4 NIB GE THED136015WL 3 600V 15 6 U GE THED136020 3 600V 20 1 U GE THED136030 3 600V 30 1 U GE THED136050 3 600V 50 2 U GE THED136060 3 600V 60 4 U GE THED136070 3 600V 70 1 U GE THED136100 3 600V 100 13 U GE THED136100 3 600V 100 2 U GE THED136110 3 600V 110 1 U GE THED136125 3 600V 125 1 U GE THLC234150 3 480V 150 1 U GE THLC234150 3 480V 150 1 U GE THLC234175 3 480V 175 1 NIB GE THQD32150 3 240V 150 1 U GE THQD32175 3 175 2 U GE THQD32200 3 200 1 U GE TJD432350 3 240V 350 12 U GE TJD432400 3 240V 400 3 U GE TJJ436250 3 250 3 U GE TJJ436300 3 300 1 U GE TJJ436400WL 3 600V 400 2 U GE TJK636500 3 500 1 U GE TJK436F000 3 600V 400 M2 6 U GE TJK636600 3 600 1 U GE TJL4V2606 3 600V 600 2 U ? TKM 600 2 U GE TKM360600 3 600V 600 4 U GE TKM360800 3 600V 800 2 U GE TKMA3Y1200 3 600V 1200 4 U GE TKMA836800 3 800 1 NIB GE TQD22200WL 2 200 1 NIB GE TQD22200WL 2 200 15 U GE TQD32125 3 240V 125 7 U GE TQD32150 3 240V 150 1 NIB GE TQD32150 3 150 3 U GE TQD32175 3 240V 175 3 U GE TQD32200 3 240V 200 1 NIB GE TQD32200 3 240V 200 1 NIB GE TQD32200WL 3 200 15 U GE TQD32225 3 240V 225 2 NIB GE TQD22200 2 240V 200 27 U ITE BQD120 1 480/277 20 4 U ITE BQD2100 2 480/277 100 3 U ITE BQD220 2 480/277 20 2 U ITE BQD230 2 480/277 30 1 ITE BQD250 2 480/277 50 2 U ITE BQD280 2 480/277 80 1 NIB ITE BQD3040 3 480V 40 2 NIB ITE BQD3100 3 480V 100 6 U ITE BQD330 3 480V 30 1 U ITE BQD335 3 480V 35 1 NIB ITE BQD340 3 480V 40 3 U ITE BQD340 3 480V 40 2 U ITE BQD350 3 480V 50 1 NIB ITE BQD360 3 480V 60 1 NIB ITE BQD370 3 480V 70 1 U ITE BQD390 3 480V 90 2 U ITE CED63B030 3 600V 30 1 U ITE CED63B050 3 600V 50 1 U ITE CF2B020 2 600V 20 1 U ITE E21B100 1 240V 100 1 U ITE E22B050 2 240V 50 13 U ITE E41B020 1 480V 20 8 U ITE E42B020 2 480/277 20 6 U ITE E42B030 2 480V 30 3 U ITE E43B020 3 480V 20 1 U ITE E43B020 3 480V 20 10 U ITE E43B030 3 480V 30 1 U ITE E43B040 3 480V 40 2 U ITE E43B050 3 480V 50 1 U ITE E43B090 3 480V 90 2 U ITE E43B100 3 480V 100 10 U ITE E63A003 3 600V 3 3 U ITE E63A003 3 600V 3 2 U ITE E63A005 3 600V 5 2 U ITE E63A025 3 600V 25 1 U ITE ED23B100 3 240V 100 14 U ITE ED41B020 3 480V 20 2 U ITE ED43B020 3 480V 20 4 U ITE ED43B030 3 480V 30 1 U ITE ED43B050 3 480V 50 1 U ITE ED43B060 3 480V 60 1 U ITE ED43B070 3 480V 70 2 U ITE ED43B080 3 480V 80 2 U ITE ED43B100 3 480V 100 3 U ITE ED43B125 3 480V 125 3 U ITE ED62B020 2 600V 20 1 U ITE ED62B030 2 600V 30 1 U ITE ED63A010 3 600V 10 1 U ITE ED63A030 3 600V 30 1 U ITE ED63A040 3 600V 40 1 NIB ITE ED63B020 3 600V 20 4 U ITE ED63B060 3 600V 60 2 U ITE EE3B020 3 240V 20 2 U ITE EF3A010 3 600V 10 1 U ITE EF3A030 3 600V 30 1 U ITE EF3B070Z 3 600V 70 2 U ITE EF3H050 3 600V 50 1 U ITE EF3L050 3 600V 50 1 U ITE EF3L0502 3 600V 50 2 U ITE EH3B015 3 480V 15 3 U ITE EH3B020 3 480V 20 1 U ITE EH3B030 3 480V 30 2 U ITE EH3B030 3 480V 30 1 U ITE EH3B050 3 480V 50 2 U ITE EH3B070 3 480V 70 1 U ITE EH3B080 3 480V 80 1 U ITE ET125 3 600V 125 1 U ITE ET225 3 250V 225 2 U ITE ET225 3 600V 225 1 U ITE ET400 3 600V 300 1 U ITE ET400 3 600V 225 1 U ITE ET600 3 250V 600 2 U ITE ETI 3 600V 50 1 U ITE FJ3-A225 3 600V 250 1 U ITE FJ3B150L 3 600V 150 1 U ITE FJ63B175 3 600V 175 1 U ITE FXD63B175 3 600V 175 2 U ITE FXD63B200 3 600V 200 1 U ITE FXD63B225 3 600V 225 1 U ITE FXD63B250 3 600V 250 1 U ITE HE3B030 3 600V 30 2 U ITE HE3B050 3 600V 50 2 U ITE HE43B020 3 480V 20 3 U ITE HE43B030 3 480V 30 1 U ITE HE63B030 3 600V 30 2 NIB ITE HED42B030 2 480V 30 3 U ITE HED43B015 3 480V 15 7 U ITE HED43B030 3 480V 30 2 U ITE HED43B060 3 480V 60 1 U ITE HED43B100 3 480V 100 1 U ITE HED63B020 3 600V 20 1 U ITE HED63B125 3 600V 125 1 U ITE HFD63B200 3 600V 200 1 U ITE HFD63B250 3 600V 250 1 NIB ITE HFD63F250 3 480V 175 1 U ITE HFD63F250 3 600V 250 1 NIB ITE HHED63B015 3 600V 15 1 U ITE HHED63B015 3 600V 15 1 U ITE HHED63B015 3 600V 15 7 U ITE HHED63B020 3 600V 20 1 U ITE HHED63B125 3 600V 125 20 U ITE HLXD63S600A 3 600V 600 24 U ITE HLXDPC5661-STABS 1 U ITE HPB3F160 3 600V 1600 1 U ITE HRB3F200 3 600V 1800 AMP TRIP 2 U ITE JD23B400 3 240V 400 1 U ITE JD3B400 3 240V 400 1 U ITE JD63B250 3 600V 250 1 U ITE JD63F400 3 600V 400 2 U ITE JJ3B400 3 600V 400 2 U ITE JJ63B250 3 600V 250 1 U ITE JJ63B300 3 600V 300 1 U ITE JL3F400 3 600V 225 2 U ITE JL63B400 3 600V 400 1 U ITE JL63B400 3 600V 400 2 U ITE JL63F400 3 600V 400 1 U ITE JL63F400 3 600V 350 1 U ITE JXD22B400 2 240V 400 5 U ITE JXD63B300 3 600V 300 2 U ITE JXD63B350 3 600V 350 8 U ITE JXD63B400 3 600V 400 1 U ITE KMB3F800 3 600V 800 2 U ITE KPB3F120 3 600V 1000 AMP TRIP 1 U ITE LD62F600 2 600V 600 1 U ITE LD63B600 3 600V 600 1 U ITE LD63F600 3 600V 600 3 U ITE LJ63B500 3 600V 500 4 U ITE LJ63B600 3 600V 600 1 U ITE LL63B450 3 600V 450 1 U ITE LL63F600 3 600V 450 1 U ITE LXD63B500 3 600V 500 3 U ITE LXD63B600 3 600V 600 1 U ITE QJ23B110 3 240V 110 13 U ITE QJ23B125 3 240V 125 7 U ITE QJ23B150 3 240V 150 3 U ITE QJ23B150H 3 240V 150 6 U ITE QJ23B175 3 240V 175 1 NIB ITE QJ23B200 3 240V 200 8 U ITE QJ23B200 3 240V 200 1 U ITE QJ23B200H 3 240V 200 4 U ITE QJ23B225 3 240V 225 1 U ITE QJH23B125 3 240V 125 1 U ITE QJH23B150 3 240V 150 1 U ITE RJL3B400 3 600V 400 1 U ITE RXD63B200 3 600V 2000 1 U ITE SND12069AG 3 600V 1200 AMP TRIP 1 U ITE SND6912BAG 3 600V 1200 1 SQ D ECB14020G3 1 277/480 20 2 NIB SQ D ECB142020G3EL 1 277 20 16 NIB SQ D EDB14020 1 277/480 20 45 U SQ D EDB14020 1 277/480 20 3 U SQ D EDB14030 1 277/480 30 4 NIB SQ D EDB14030 1 277/480 30 2 U SQ D EDB24030 2 480v 30 3 U SQ D EDB24040 2 480v 40 1 NIB SQ D EDB24070 3 480v 70 8 U SQ D EDB34015 3 480v 15 1 NIB SQ D EDB34020 3 480v 20 1 U SQ D EDB34020 3 480v 20 1 U SQ D EDB34025 3 480v 25 3 NS SQ D EDB34030 3 480v 30 11 U SQ D EDB34030 3 480v 30 1 U SQ D EDB34035 3 480v 35 1 NIB SQ D EDB34040 3 480v 40 1 U SQ D EDB34040 3 480v 40 1 NIB SQ D EDB34050 3 480v 50 2 U SQ D EDB34050 3 480v 50 1 NIB SQ D EDB34060 3 480v 60 13 U SQ D EDB34060 3 480v 60 1 NIB SQ D EDB34070 3 480v 70 5 U SQ D EDB34070 3 480v 70 1 U SQ D EDB34090 3 480v 90 1 U SQ D EDB34100 3 480v 100 2 NIB SQ D EDB34100 3 480v 100 1 NIB SQ D EDB34125 3 480v 125 1 U SQ D EDB34125 3 480v 125 1 U SQ D EGB24050 2 480v 50 1 U SQ D EGB34015 3 480V 15 3 NIB SQ D EGB34015 3 480v 15 2 U SQ D EGB34040SA 3 480v 40 2 U SQ D EGB34070SA 3 480v 70 1 U SQ D EH24030 2 480v 30 12 U SQ D EH34020 3 480v 20 3 U SQ D EH34030 3 480v 30 1 U SQ D EH34040 3 480v 40 4 U SQ D EH34050 3 480v 50 1 U SQ D EH34060 3 480v 60 12 U SQ D EHB14020 1 480v 20 1 U SQ D EHB24020 3 480v 20 1 U SQ D EHB24030 3 480v 30 1 U SQ D EHB34015 3 480V 15 1 U SQ D EHB340301042 3 480V 30 3 U SQ D EHB34020 3 480v 20 14 U SQ D EHB34030 3 480v 30 2 U SQ D EHB34035 3 480v 35 2 U SQ D EHB34040 3 480v 40 2 U SQ D EHB34045 3 480v 45 3 U SQ D EHB34050 3 480v 50 1 U SQ D EHB34060 3 480v 60 1 U SQ D EHB34070 3 480v 70 1 U SQ D EHB34090 3 480v 90 1 NIB SQ D EHB34100 3 480v 100 1 U SQ D EHB34100 3 480v 100 2 U SQ D EJB14020 1 480v 20 2 U SQ D EJB34015 3 480v 15 2 U SQ D EJB34020 3 480v 20 1 U SQ D EJB34025 3 480v 25 0 NS SQ D EJB34030 3 480v 30 1 U SQ D FA220100AC 2 240V 100 3 U SQ D FA22020AB 2 240V 20 2 U SQ D FA22020AB 2 240V 20 2 U SQ D FA22030AB 2 240V 30 2 U SQ D FA22030AC 2 240V 30 1 U SQ D FA22030BC 2 240V 30 1 U SQ D FA22100 2 240V 100 2 U SQ D FA24060AB 2 480V 60 1 U SQ D FA24060AC 2 480V 60 1 U SQ D FA26020AB 2 600V 20 1 U SQ D FA26020BC 2 600V 20 2 U SQ D FA26030AB 2 600V 30 1 U SQ D FA26040AB 2 600V 40 1 U SQ D FA26050AC 2 600V 50 1 U SQ D FA26060AB 2 600V 60 1 U SQ D FA26100 2 600V 100 1 U SQ D FA26100AB 2 600V 100 2 U SQ D FA32020 3 240V 20 1 U SQ D FA32030 3 240V 30 1 U SQ D FA32060 3 240V 60 1 U SQ D FA32100 3 240V 100 1 U SQD FA34015 3 480V 15 1 U SQ D FA34015 3 480v 15 7 U SQD FA34030 3 480V 30 2 U SQ D FA34030 3 480v 30 2 NIB SQ D FA34030 3 480v 30 4 U SQD FA34040 3 480V 40 2 U SQ D FA34040 3 480v 40 1 NIB SQ D FA34040 3 480v 40 1 NIB SQ D FA34050 3 480v 50 1 U SQ D FA34060 3 480v 60 1 NIB SQ D FA34060 3 480v 60 1 U SQD FA34070 3 480V 70 1 U SQD FA34070 3 480V 70 1 NIB SQ D FA34070 3 480v 70 1 U SQ D FA34080 3 80 3 U SQD FA34100 3 480V 100 6 U SQ D FA34100 3 480v 100 1 NIB SQ D FA34100 3 480v 100 9 U SQ D FA36015 3 600V 15 3 U SQ D FA36020 3 600V 20 1 U SQ D FA36020 3 600V 20 2 U SQD FA36030 3 600V 30 1 U SQD FA36040 3 600V 40 1 U SQ D FA36050 3 600V 50 1 U SQ D FA36050AB 3 600V 50 1 NIB SQ D FA36060 3 600V 60 3 U SQD FA36070 3 600V 70 1 U SQ D FA36070 3 600V 70 1 NIB SQ D FA36100 3 600V 100 2 U SQD FA36100 3 600V 100 1 U SQ D FA36100 3 600V 100 1 U SQD FA3650 3 600V 50 1 UIB SQ D FAL34020 3 480V 20 2 NIB SQ D FAL34030 3 480v 30 4 U SQ D FAL34030 3 480v 30 1 NIB SQ D FAL34040 3 480v 40 2 NIB SQ D FAL34050 3 480v 50 1 NIB SQ D FAL34070 3 480v 70 2 NIB SQ D FAL34100 3 480v 100 3 U SQ D FAL34100-1021 3 480v 100 1 U SQ D FAL36045 3 600V 45 2 NIB SQ D FAL36060 3 600V 60 2 NIB SQ D FAL3615024M 3 600V 1 U SQ D FAP36020 3 600V 20 1 U SQ D FC34030 3 480v 30 3 U SQ D FC34050 3 480v 50 1 U SQ D FC34060 3 480v 60 2 U SQ D FC34080 3 480v 80 9 U SQ D FC34090 3 480v 90 4 U SQ D FC34100 3 480v 100 1 U SQ D FCL34100 3 480v 100 1 U SQ D FGA34060 3 480v 60 2 U SQ D FGA34090 3 480v 90 1 U SQ D FH26030AC 2 600V 30 1 U SQ D FH26100BC 2 600V 100 3 U SQ D FH36015 3 600V 15 2 U SQ D FH36020 3 600V 20 1 U SQ D FH36040 3 600V 40 11 U SQD FH36040 3 600V 90 1 U SQ D FH36050 3 600V 50 2 U SQ D FH36050 3 600V 50 13 U SQ D FH36060 3 600V 60 1 U SQ D FH36080 3 600V 80 2 U SQD FH36080 3 600V 80 1 U SQ D FH36090 3 600V 90 1 U SQD FH36100 3 600V 100 3 U SQD FH36100 3 600V 100 8 U SQ D FH36100 3 600V 100 1 NIB SQ D FH36100 3 600V 100 2 U SQ D FHA26030AB 2 600V 30 1 U SQ D FHL26040 2 600V 40 1 U SQ D FHL36015 3 600V 15 2 U SQ D FHL3610018M 3 600V 100 7 U SQ D FHP36035 3 600V 35 1 U SQ D FHP3605016M 3 600V 50 1 U SQ D FHP36100 3 600V 100 1 U SQ D FJA34030 3 480V 30 1 U SQ D FJA34035 3 480V 33 3 U SQ D FJA34050 3 480V 50 2 U SQ D FJA34060 3 480V 60 1 U SQ D FJA34080 3 480V 80 2 U SQ D FJA34090 3 480V 90 69 U SQ D FY14020 1 480v 20 11 NIB SQ D FY14020A 1 480v 20 45 U SQ D FY14020B 1 480v 20 3 U SQ D GFM150HD 3 NIB SQ D GJL36050M05 3 600V 50 1 U SQ D HDA36060 3 600V 60 1 U SQ D HGA26040-2 2 600V 40 2 U SQ D HGA26080-1 2 600V 80 1 U SQ D HGA26080-4 2 600V 80 13 U SQ D HGA36030 3 600V 30 2 U SQ D HGA36050 3 600V 50 1 U SQ D HGA36060 3 600V 60 1 U SQ D HGA36100 3 600V 100 2 U SQ D HGA36150 3 600V 150 2 NIB SQ D HGA36150 3 600V 150 1 NIB SQ D HGL36025 3 600V 25 3 U SQ D HJA26020-1 2 600V 20 2 U SQ D HJA26020-2 2 600V 20 1 U SQ D HJA26020-4 2 600V 20 2 U SQ D HJA26050-2 2 600V 50 1 NIB SQ D HJA26100-4 2 600V 100 3 U SQ D HJA36020 3 600V 20 1 U SQ D HJA36030 3 600V 30 0 U SQ D HJA36050 3 600V 50 2 NIB SQ D HJA36060 3 600V 60 3 U SQ D HJA36070 3 600V 70 1 U SQ D HJA36080 3 600V 80 4 U SQ D HJA36100 3 600V 100 5 NIB SQ D HJA36100 3 600V 100 1 NIB SQ D HJA36125 3 600V 125 3 NIB SQ D HJA36125 3 600V 125 2 NIB SQ D HJA36150 3 600V 150 1 U SQ D HJL36050 3 600V 50 1 NIB SQ D HLL36025 3 600V 25 1 U SQ D IF36100 3 600V 100 1 U SQ D IK36225 3 600V 225 1 U SQ D JDA36200 3 600V 200 2 NIB SQ D JDL36250 3 600V 250 2 U SQ D JGA36200 3 600V 200 1 U SQ D JGA36225 3 600V 225 1 U SQ D JJA36200 3 600V 200 6 U SQ D JJA36250 3 600V 250 1 NIB SQ D KA36125 3 600V 125 1 U SQ D KA36125 3 600V 125 1 U SQ D KA36125-1352 3 600V 125 3 U SQD KA36150 3 600V 150 1 U SQ D KA36150 3 600V 150 1 U SQ D KA36175 3 600V 175 5 U SQ D KA36200 3 600V 200 1 U SQD KA36225 3 600V 225 3 U SQD KA36225 3 600V 225 2 U SQ D KA36250 3 600V 250 1 U SQD KAB36250 3 600V 250 1 U SQ D KAE36175M 3 600V 175 1 U SQ D KAL26200 2 600V 200 1 U SQ D KAL3600M 3 600V 1 U SQ D KAL36070 3 600V 70 1 U SQ D KAL36125-1212 3 600V 125 10 U SQ D KAL36150 3 600V 150 2 U SQ D KAL36175 3 600V 175 1 U SQ D KAL362006152 3 600V 200 1 U SQ D KAL362006152 3 600V 200 1 IN BOX SQ D KAL362001380 3 600V 200 5 U SQ D KAL36225 3 600V 225 0 U SQ D KAL36250 3 600V 250 1 U SQ D KAL3625029M 3 600V 250 3 U SQ D KC34125 3 480v 125 4 U SQ D KC34150 3 480v 150 2 U SQ D KC34175 3 480v 175 5 U SQ D KC34200 3 480v 200 15 U SQ D KC34225 3 480v 225 6 U SQ D KC34250 3 480v 250 1 U SQ D KCP34250 3 480v 250 1 U SQ D KCP36150 3 600V 150 1 U SQ D KH36125 3 600V 125 1 U SQ D KH36200 3 600V 200 3 U SQ D LA36175 3 600V 175 2 U SQD LA36175 3 600V 175 2 U SQ D LA36250 3 600V 250 1 U SQD LA36250 3 600V 250 1 U SQD LA36250 3 600V 250 1 U SQD LA36300 3 600V 300 1 U SQ D LA36300 3 600V 300 1 U SQ D LA36400 3 600V 400 4 U SQ D LAL363508041 3 600V 350 1 NIB SQ D LAL36400 3 600V 400 1 U SQ D LAL36400 3 600V 400 1 NIB SQ D LAL36400 3 600V 400 1 U SQ D LAL36400 3 600V 400 1 U SQ D LAP36300MB 3 600V 300 1 U SQ D LAP36300MB 3 600V 300 2 U SQ D LAP36400 3 600V 400 2 U SQ D LAP36400 3 600V 400 7 U SQ D LC36300 3 600V 300 5 U SQ D LC36300 3 600V 300 4 U SQ D LC36350 3 600V 350 7 U SQ D LC36350 3 600V 350 1 U SQ D LC36400 3 600V 400 3 U SQ D LC36400 3 600V 400 4 U SQ D LC36450 3 600V 45 1 U SQ D LC36500 3 600V 500 1 U SQ D LC36500 3 600V 500 10 U SQ D LC36600 3 600V 600 4 U SQ D LCL36400 3 600V 400 1 U SQ D LCL36600 3 600V 600 2 U SQ D LE36100LSG 3 600V 250 3 NIB SQ D LE36125LSG 3 600V 125 1 U SQ D LE36125LSG 3 600V 250 3 NIB SQ D LE36150LSG 3 600V 150 1 U SQ D LE36225LIG 3 600V 250 1 U SQ D LE36225LSG 3 600V 225 1 NIB SQ D LE36400LIG 3 600V 400 1 U SQ D LH36175 3 600V 175 2 U SQD LH36300 3 600V 300 2 U SQ D LH36300 3 600V 300 1 U SQD LH36350 3 600V 350 2 U SQ D LH36350 3 600V 350 1 U SQD LH36400 3 600V 400 7 U SQ D LH36400 3 600V 400 1 U SQ D LHL36000M 3 400 1 U SQ D LIL36600 3 600V 600 1 U SQ D LX36250 3 600V 250 1 NIB SQ D LX36600 3 600V 600 1 U SQD MA36500 3 600V 500 1 U SQD MA36500 3 600V 500 1 U SQD MA36600 3 600V 600 1 U SQ D MA36600 3 600V 600 2 U SQD MA36800 3 600V 800 1 U SQ D MA36800 3 600V 800 1 U SQ D MAL361000 3 600V 1000 1 U SQ D MAL36500 3 600V 500 3 U SQ D MAL36600 3 600V 600 1 U SQ D MAL366600 3 600V 600 2 U SQ D MAL36800 3 600V 800 1 U SQD ME3600LSG 3 600V 800 1 U SQD ME3600LSG 3 600V 800 2 U SQ D ME36600LSG 3 600V 600 1 U SQ D ME836LS 3 600V 800 1 U SQ D MG800 3 600V 800 1 NIB SQ D MGL36600 3 600V 600 1 U SQ D MH36450 3 600V 450 2 U SQ D MH36500 3 600V 500 2 U SQ D MHL360008M 3 600V 1 U SQ D MHL361000 3 600V 1000 2 U SQ D MXL36800-1121 3 600V 800 1 U SQ D NC361000 3 600V 1000 2 U SQ D NC361200 3 600V 1200 1 U SQ D PAF2036 3 600V 2000 1 U SQ D PCF2536G 3 600V 2500 1 U SQ D PEF36200LIGZ 3 600V 2000 1 U SQ D PG1200 3 600V 1200 1 U SQ D PHF2036PL 3 600V 2000 1 U SQ D PJ1200 3 600V 1200 6 U SQD Q221200AC 2 240V 200 1 U SQD Q221200AC 2 240V 200 1 U SQD Q222150 2 240V 150 2 U SQD Q222200 2 240V 200 1 U SQD Q222225 2 240V 225 1 U SQD Q222300 2 240V 300 2 U SQD Q232100H 3 240V 100 3 U SQ D Q232125 3 240V 125 1 U SQ D Q232125H 3 240V 125 4 U SQ D Q232150 3 240V 150 3 U SQD Q232150H 3 240V 150 3 U SQD Q232150H 3 240K 150 1 U SQ D Q232200 3 240V 200 5 U SQD Q232200H 3 240V 200 1 U SQ D Q2L1200AC 2 240V 200 2 U SQ D Q2L2150 2 240V 150 2 U SQ D Q2L2200 2 240V 200 1 U SQ D Q2L2250 2 240V 250 7 U SQ D Q2L3125 3 240V 125 1 NIB SQ D Q2L3150 3 240V 150 2 U SQ D Q2L3200 3 240V 200 10 U SQ D Q2L3225 3 240V 225 3 U SQD Q2M32255700 3 240V 225 1 U SQ D Q432300 3 240V 300 2 U SQ D QBA32150 3 150 2 U SQ D QBA32175 3 175 2 U SQ D QBA32200 3 200 1 U SQ D QBL22200 2 240V 200 1 NIB SQ D QBL32100 3 241V 100 1 NIB SQ D QBL32150 3 240V 150 1 U SQD QBL32225 3 240V 225 1 NIB SQ D QBL3225 3 240V 225 1 NIB SQ D QBL32250 3 240V 250 1 U SQD QDA32125 3 240V 125 1 U SQ D QDA32225 3 240V 225 1 NIB SQ D QDL32100 3 240V 100 1 U SQD QDL32125 3 240V 125 2 U SQ D QDL32225 3 240V 225 1 U SQ D QGA22200-2 2 240V 200 1 U SQ D QGA22200-4 2 240V 200 1 U SQ D QGA32200 3 240V 200 2 NIB SQ D QGL32200-2 3 240V 200 1 U SQ D QJA32200 3 240V 200 1 U SQ D QOB3125VH 3 240V 125 1 U SQ D QOB3150VH 3 240V 150 1 NIB SQ D QOM100VHCP 2 240V 100 1 NIB SQ D QOM2200VH-1021 2 240V 200 1 NIB SQ D QOM2225VH-1021 2 240V 225 1 U SQD QSA32200 3 240V 200 2 U SQ D RG1000 3 600V 1000 2 U SQ D S32900 3 600V 1 U SQ D S33931 3 600V 1200 1 U SQ D SL800 3 600V 800 Allen Bradley, AB, Cutler Hammer, CH, Eaton, General Electric, GE, ITE, Siemens, Square D, SQ D, Circuit Breakers, Breaker, Surplus, New Reconditioned, Dallas, Fort Worth, Ft Worth, Denton, Gainsville, Sanger, Valley View, Frisco, McKinney, Allen, Plano, Addison, Carrolton, Lewisville, Irving, Las Colinas, Hurst, Euless, Bedford, Duncanville, Waco, Waxahacie, Ennis, Hutchins, Mesquite, Segoville, Houston, Humble, Spring, Tomball, Stafford, Austin, San Marcos, San Antonio, Sales, Purchasing, Rental, Removal, Salvage,
MDSC403WEA 4052C NNN6E NNNAX
MDSC403VEA 4052C NNN6E NNNAX
MDSC403WEA 4052N NNN6E NNNAX
MDSC403VEA 4052N NNN6E NNNAX
MDSC403WEA 401GC NNNNE NNNAX
MDSC403VEA 401GC NNNNE NNNAX
MDSC403WEA 401GN NNNNE NNNAX
MDSC403VEA 401GN NNNNE NNNAX
MDSC403WEA 40MEN NNNNE NNNAX
MDSC403VEA 40MEC NNNNE NNNAX
MDSC403VEA 40MEN NNNNE NNNAX
MDSC403WEA 4052C NNNNE NNNAX
MDSC403VEA 4052C NNNNE NNNAX
MDSC403WEA 4052N NNNNE NNNAX
MDSC403VEA 4052N NNNNE NNNAX
ABB TB842 3BSE022464R1 TEML36100 General Electric 3 100 65kA@480V 3 Magnetic Only
TEML36003 General Electric 3 3 65kA@480V 3 Magnetic Only
TEML36003PLK General Electric 3 3 65kA@480V 3 Magnetic Only
TEML36003WL General Electric 3 3 65kA@480V 3 Magnetic Only
TEML36007 General Electric 3 7 65kA@480V 3 Magnetic Only
TEML36007PLK General Electric 3 7 65kA@480V 3 Magnetic Only
TEML36007WL General Electric 3 7 65kA@480V 3 Magnetic Only
TEML36015 General Electric 3 15 65kA@480V 3 Magnetic Only
TEML36015PLK General Electric 3 15 65kA@480V 3 Magnetic Only
TEML36015WL General Electric 3 15 65kA@480V 3 Magnetic Only
TEML36030 General Electric 3 30 65kA@480V 3 Magnetic Only
abb ACS-7+C126+E205+Q950 CODE 64794086
ABB CODE 64794086 DANDTHERM R7641/233402 ZRF 30/165 33R CODE
ABB ABB HXR450LM4
ABB CAL5-11
ABB CA5-10 AR SEFRAM SF08 / 06-02/SF08-V1.0
ABB CI541 3BSE01466SR1 SE03251724
ABB 3AFE61522981NCB 3BSE010796R1 PR:DSE07110B3S PM825
ABB CI854AK01 HW:02.05+TP854 HW:01.00
ABB NINT-63C CODE:58908059E SN:2420055EL
ABB PFEA 111-IP20
ABB Bailey GJR2392711R41 83SR07 1210 using in PROCTROL P system
ABB 3BHB019786R0190
abb 3BHB014992R0101 WATER COUPLING FE
abb 3BHB014992R0102 WATER COUOLING MA
ABB FEP321800H1S1D2B1A1S1A1A0Y1AYJNM6
abb LCI TRANSFORMER SLTF 0370 /3ASD 478101C2
abb LCI TRANSFORMER SLTF 0520* 4781 0630-AF
ABB BJMI6D(10)85100033
pall HC9400FKS26H
OBO BETTERMANN RLVL 60 FS
balluff BTL7-E100-M2000-B-S32
Ahlborn OA9696GFK
ATOS QV-10/2 WG
AMPHENOL C146 10F016 000 1 插头
Mita-Teknik 5860590
SAIA PCD3.W310
VEM KIIR200L4 HK HW 30KW 57.5A 1460rp/min 91960 71H 电机
SMW 127592 工具夹具
heidenhain 528100-24
heidenhain 557647-17 光栅尺
Ortlinghaus 0086-067-03-001000
SIEMENS 1FT7086-1AF71-1CH1 伺服电机
ESA Eppinger Serial No.:421213-4 :7073085 i=1:1 nmax=6000 L1= 70钻铣头
Boehmer MKG V 025.732-1 032 025
SICK AD-ATM60-KR2DN 编码器
Labom CB7500ECO-A1089-H1-T120-K12
FISHER ERCA00287A1
Pister SKH-DN50-SAE6000psi-D/S-31231
MGV PH30-2401
AGRO AG 5010.328.016?
PMA RM2519407-738-25101 接口模块
hydac AP-C 215/Z170-3-Z170 77186821 卡盘
RMG RMG711-DN50
GRUNDFOS DDC6-10AR-PP/E/C-F-31I001FG
ESKA Fuse safety, ESKA, 0,50 TR
UKF UK 70.A16.O/I.M
OLMA T0-8x16x25
RS Components 436-4926
Verder 819.4274
HYDACSB0210-1E1/112A9-210AB60
Würth 7141100 固定扳手
heidenhain 243602-06 encoder
TOX PRESSOTECHNIK AT 1.100 150006 冲压机 天
BOSCH R418001594
parker CP.AR -08AE-14293
Ingersoll Rand 7630003
Hengstler 464165
ROFA 030878-4+
Gessmann PW1012981-020 S1320812/020-0011 V62/R/M MS25-0 24VDC 2A
baumeister & schack SCT2-MDT2-EU ART-NR:22 144 084
SIEMENS 6ES7331-1KF01-0AB0
HAHN+KOLB 54201315
ebmpapst W2S130-BM03-11,47W,230VAC,0.31A,50/60HZ,2700r/min 风扇
Gems 2200BGH5001F3GA
Turck TPL-300T-0-0-LS1-LP1 Serial No: 1000259550/0534002
ABB UMC100-PAN FABRICANTE: ABB :1SAJ590000R0101 UCM-100 张力计
GAST 24E5076 VACUUM??GAST#0440V2C
hydac SB330-4A1/112A9-330A
Hoffmann GH 6260-B cylinder 夹爪
Johnson Controls P3276B084686 压力传感器
annovi reverberi 17042157 Kolbenkit für RTP Pumpen D=20mm 泵用维修包
NOTIFIER JIW-BD-FSP-851C
HYFRA SVK540/1-S;09080005
MOOGD661-XXXX/G35KOAA6VSX2HA
MOOG G761-3003
Vossloh 7528-10 蠕动泵
B&R 接口模块 3BP155.4
GATES AE160406 插座
Vahle BLK 200-1-01W 碳刷
Kubler 8.5863.0200.G223.S068.K017
HASBERG Stainless steel gasket 12.7mm*5m*0.03mm
Barksdale dzt-h18ss
SIEMENS 3RK1301-0JB00-0AA2
Brueninghaus Hydromatik A10VSO28DFLR/30R-PPA12N00 Nr:936062
IAI Industrieroboter GmbH PCON-C-42PI-PN-5-0
hubner 0 566 833
JKV 2300S3/160/250/90/7 HVP
Norgren 8.25E+152
PI 3105 SMX10
plymovent |MFD435+FLEX-3|
RSP TC500 P1533+P1534
parker G20ZSCF
suco 1-1-66-621-010
Mayser 56929-00-1622+2
beckhoff automation gmbh kl3052
WUERTH 0962635012 附件
Ahlborn ZA1904SD
Rexroth R412007231
DYNISCO 备件 MDT462F-1/2-3.5C-15/46-A
WALTHER-PRAEZISION Carl Kurt Walther silkroad & Co. KG LP-019-1-WR021-11 快速接头 14天
“Indu-Sol PBMA 10515 ”
Seika NG4I 倾角仪
Spandau Pumps PMS15D- 280A932+MLA 0000861904
Icotek DOKU QVT 25 mit Mutter
boll 3030349
ABB 1SCA022465R8210 Typ OETL 2500 K2
LOREME CAL25IG
PANASONIC TET01310
”
parker C016CA20990899N10
parker CE016C04L00N
OTT-JAKOB 95.600.055.2.6
OTT-JAKOB 0.926030.109
OTT-JAKOB 0.926030.120
heidenhain 385486-06
Hengstler 0/873/114 AC220V
Schulz Power Supply SM 18-50 0-18V/0-50A/900W
Hengstler 0/873/114 AC220V
Schulz RA19-1-SM800
Fibro 2470.10.020.080.2
BOLL & KIRCH 4.36.2
BOLL & KIRCH 6.33/GR2 NW200
Fibro 2470.10.11
Fibro 2479.031.00005.020
Fibro 2470.12.90.017
Fibro 2052.70.025.033.060
Fibro 2479.031.00010.020
SCHLEICHER SNL4022K
GSR G00813415 A52421002.012XX 0-90Bar G1/4 24V DC
MGV PH2003-2480
HAHN+KOLB 52140060
HAHN+KOLB 11029335
HAHN+KOLB 11029488
hedland HP602A-010-F1S10
KUHNKE 114A4-24VDC
Ortlinghaus PSV-1812 Art-Nr.:0-086-076 3/4B
heidenhain LS403 ID:226520-43 ”
Wurth 0663812511 螺纹套管
Mahle PI8611DRG200 滤芯
Schwank builderschwank155
D91FHE01H2NS0048 D91FTE01HC1NF0040 D91VW021C1NJW3A91
Rexroth 4WRSE 6 V1-35-3X/G24K0/A1V
311130-S1
heidenhain ERN1387.020-2048/385487-03
AMPHENOL MS3100C24-10P
KabelSchlepp S0950.184.RMD.200(10L) 拖链接头
KOMET W29 50030.086425
Black Box EYN870A-PB-1000
sera GmbH R410.2-570e no.16007991
BERNSTEIN MAK-1212-F-5-VDR NR.6414212404 磁性开关
MP Filtri TAL-80-B-10-A-0-0-1-M-P01 TAL80B10A001MP01
GUTEKUNST D-251
Rose+Krieger 安装支架
Balluff GmbH BESM12MI-PSC20B-S04G
Entrelec Schiele END SECTION – D 2,5/5.D2.L,HARDWARE,END SECTION,ENTRELEC 0291 441.26
IMAV RZ-06S-T
FACOM 12.9 可互换扳手套筒
alstom GMA 24-25-04
burkert 5280 A 32.0 FKM VA FLNSCH PN0.2-10bar 24V DC 8W 00134558 W12LU
Dr. Thiedig VE50/62/2 600BAR W??TN45.26.00
AK Regeltechnik 38041 流量控制阀
SIEMENS 6ES7 132-4HB50-0AB0 接口模块
Buschjost 8536600.9151 24V 18W DN40
turck ni4-m12-ap6x/txl 5m
COGELOR 8471 GREY SHIELDED ETHERNET CABLE 5P 1 m
FFT RF-08-40.05 V01
Kuka 71-053-386
HBM 1-WE2110/ZM
Beckhoff CX9000-0001 接口模块
SUPARATOR 24EM02 1000-R SERIAL: 11061102
Shaw Moisture Meters Shaw Automatic Dewpoint Meter Portable 传感器
Honsberg HR1MV-040GM150E
CAMOZZI 2901.375
Rexroth A4VS0125DR/30RPPB_13N00
Sommer GP12-B 工件夹具
ARCA ARCA??2506428-Sh
parker pump PD060PM04SRS5AC00S0000000
PAULSTRA FSK-127-2.4/0/-/12/SEW 液位计
Mink Type STL2004; Length 2000 mm??STL2004-K99
festo ESS-50-GT-G1/4???
SICK C4MT-02424ABB03DB0 1207319
Erhardt+Leimer C-CFE01-27490-COM;FS42 light transmitter with led; light of white color; L1=1141 A=1144
TurckFCS-G1/2A4-NA Nr:6870338流量计
halder 23390.0412 把手
Jokab JSNY7M
heidenhain 392319-01
Kerbkonus 302-000-060-160
PHOENIX PLC-RSP-24DC/1IC/ACT
特价供应 Honsberg(honsco) 流量计 流量开关 VM-025GR060A with head 2G EEx DIICT6
IBR F700 500
MP Filtri CU-400-3-P10-A-N-P01 8CU4003P10ANP01
Sempell 312 packing ring Nr:50163396
E+H PMC131-A11F1 A1S 过程压力测量
YASKAWA 10m cable JZSP-CVP12-10-E
Gebr. Steimel GmbH & Co. SF 2/8 RD – VLFM 000.001.152.852
RITTAL 3397334 –
法国vishay nobel称重传感器、vishay nobel位置控制器
Neugart PLE080-040-SSSA3AE
SIEMENS 6ES7232-4HD32-0XB0
Alfatec guide roller TR 060.0200 圆柱滚子
Nadella FGU 55 120 轴承
ALLEN BRADLEY 800F-PX20 Push button-red cap-engraved o for starting discon,switch closing
THORLABS SS3MN3
ROSSI MR V 64 UO3A SR R000033416
Brel & Kjaer GmbH YP-0150
ATI 9121-vb9-T
Instron A620-497
Heine 02 67200 2
Wandres BMD-D10-CR-41.97 量规
OTT-JAKOB 95.600.171.9.2
ProxitronIKZ472.38MG
norelem 07320-24 垫圈
TDP 0.2 LT-3 Hubner Berlin Tachogenerator
Prominent 81BAH1201788T80403000 PN:2011082883
Schnaithmann 700624/210(M16X210XD60)
Tevema D23220 弹簧
Mankenberg 73620040-E??3121895-1
ATLANTA 6559303
HAHN+KOLB 51229901
?Rexroth switch 830100488
elektrogas VMR952-DN150 230VAC-50/60 HZ Pmax:200mbar 电磁阀
enraf TG40-36/15533
OMRON SM06-SP50
H+K 31172120
Banner YWCY317 100MM 50MM 160MM 16MPA
558905 EAMF-A-28C-42A
DITTEL BA320 NO:F20038
ElringKlinger 0779.0320 ET0001 35*50*8 油封
MULCO B/E2 64/80-2 PULLEY ROLL
G.BEE GmbH GTD-058/090-V14-F05
Sommer WWR1160F
puls
JOST JX 206-820 电机
ALLEN BRADLEY 45AST-1JPB3-F4
HILCO HT511-00-C 滤芯
BERTHOLD ID:47591
GESIPA 7277768
Rexroth ABZFE-R00500-10-1X/M-B R900229642
Denison 4D02-3208-0302-B1G0Q
Druck PTX5022-TD-A1-CA-H0-PA 0~+100kPa
E+H TMT180-A112ACA,280MM
schlatter industries ag406.140.001
WENGLOR HO08PA3 感应传感器
BOSCH 3842998052
ATOS JPQ-212/WG
ABB 5SDD33L5500
TRENNTECHNIK & ANLAG 1-300-00-0125
Sommer-automatic GmbH & Co. KG 夹具 GS65-B
Matador 1900170
APEX 947533A8 2BB-2B200A-2VK3B
EM-TECHNIK 4K401G260414PFFP10
LENORD+BAUER GEL 293-V-004000L031
kistler 1500A57
HARTING
SAMES 745529 密封件
FLEXLIFT HUBGERAETE GmbH 2007205005/05.05
norelem 26106-0251055
kistler 5995A 信号放大器
BIFOLD FP-06P-S1-04-32-NU-V-77A9-24D-35-K85-03 24V
ABB TB55611E15T17
NILOS 33207
HD1KO-020GK040 , HD1KZ1-020GK040 ,
burkert 147226
SIEMENS 6ES7 322-1BF01-0AA0
maxon 250SMM11-AA11-CA*1BO
Carl Rehfuss GmbH SR140Z-IEC100/112A
INTERNORMEN AE70.2??5V.-.B 24VDC
MINIMAX Diodenkarte VK40 801264
SCHROFF Part no.: 24560-351
Kranzle |REPAIRKIT|40.0651
Burster 9180-V0011 数字显示表
parker EJ151-80 2-248;Item Number:216
Allmetra A71141 R3-220(0-100)
KALLER X 1000-025
klauke Hydraulic clamp oil Inlet check valve for HK-60/22
CAMOZZI 6522 4-1/4
Blun-Novotest GmbH P87.0 634-015-NT
tunkers V-50.1-A11-T12-90
UNI-Gerate 220VDC 90W amb=-20/+60??C T=200??C PB12bar IP65 100%ED12- EVDF 5R U.Xn.P.09.29
Buehler XXFT-10004-020 润滑装置
maxbotix MB1340
STROMAG 150058/10
Siebert Industrieelektronik GmbH S102-06/14/0R-001/0B-T0,0219501,10017489
HEB Z100-G-20/10/30-206/B1/S15
METRIX 10007-00-07-10-02 接近探头
Rexnord 7392702
9805130000 SCHWENKWINKELBEGR.RD6,5 B
ZIEHL TR600 T224150
FLUX F640PP-230-1600 Nr.64001689
GLOBE R43-1-1/4 BSP,Pmax:10ba
carel S1309510AXX
Fuchs TKFA05 过滤器
MAHLE PI 4105 PS/K197 NO.970.092.3
finder CN DIN 64P 180;DIP 09021646922;length:3mm
WENGLOR 231-337-120
9669 DSN-25-100-PPV
FLENDER 4425097-0020-1 P2NA 16 P2 402KW
ABB 3HAC026385-001
SICK C40S-SS118
eba pneumatic GmbH PA 6/4 NATUR
WINKEL GmbH 4.063 200.010.000
SIEMENS SIMATIC ET 200SP, BA 2XRJ45 PROFINET bus adapter 6ES7193-6AR00-0AA0 适配器
GEISS A02.000102Machine No.1362145
Hegenscheidt 1030377-2B1/78-000-47C 303109/002
ASUTEC ASMST-20-DW-07-001
INTERNORMEN 01.E?450.3VG.30.E.P.
Salzer Electric Group 61325 AMMETER SELECTOR SWITCH
Harms + Wende LE7-1-1440A
WUERTH 709 937 537
VAT 12146-PA44-AOZI 10017179
KRACHT KF 40 RF 1-D 15??? 12.13 P.0132190002
REXROTH 5610141520
HARTING 9330062716
JM7168-1
Voith IPV 5-50 101 齿轮泵
Phoenix VF-SI/MST/2AT
KRACHT KF40 RF2-D15 Q= 58,3L/MIN
E.Dold&Soehne KG RE5910/046 0061685
BALLUFF R151228012 滚珠螺母
Eaton 277050 DILM17-01(RDC24)
GKN Stromag 100/2A 92NE 880FV NO.140-00148
DEUBLIN Chill roller rotary
L+K 传感器 LK11.002.002.TF.300
STABILUS LIFT-O-MAT O94OO O25ON K01
SAUER SNP3-33DSC07C COD.111250030C 齿轮泵
Donaldson 340203007
Flexa PL4-48-10F
End Armaturen GmbH CU500011
Ringspann DV 030 FPM-060-L-25
UNIVERSAL SSPA-6/104-A-N-R-V4-01
heidenhain RON 785 18000 355880-06
IFM II0011
Reckmann 1 x Pt 100; 2-wire circuit; connection head form BA-KLH screw thread G 1/2″; material: 1.4571; cable screw union PG 16 sheath tube ? 9 x 1 mm; material: 1.4571; neck of tube: length 120 mm nominal length: 520 mm; fitting length: 400 mm
SOMMER MGP800 Series – with Extended T-slot Guides
merckmillipore millex-FG 0.2um REF:SLFGM25LS/SLFG025LS
Voith SMR-D13414 22000100110
MILLIPORE PXB005A50 see the picture
Berstein GC-U 1 HIW;602 1120 295
BECKER DT/VT 3.16 T4.16 90134700007(VN124-120)
Faster VU112F112FM 阀
SIEMENS 6AG1331-7PF01-4AB0 自动控制器
Vogel AGS4003-M-100-G-AB 压力传感器
INA GE220-DO-2RS 轴承
HANNIBAL We need seal (RLS/02SFR-175-26-900 Nr000651
MAGNETROL 705-510A-110,24VDC,624636-01-006
InterApp GmbH ES2.P73H-AC LOT 2629/12
SIEMENS 6SL3760-1BA00-0AA0. 滤芯
STUCCHI KZF-06PF
CROSBY 3M8HCA-118
SIEMENS 90L-V1-1,5KW-1450-380V-IE2
SEITZ 161.709.00NEV
MBS ASK31.4 8053-200/5A
185809 FRC-3/8-D-MIDI-KB
ABB 3HAC028357-025
GLACIER X.9041.4526/ 1420 DU
GEFEG-NECKAR EG 8055-2AY-RL no.0483723 02/07 220V 60HZ 100W 0.8A 3400l/min 12uf/260v
Vulkoprin Deutschland GmbH & Co. KG? VK.SP 200/70/62X16/
ROEMHELD 18961025P75M 夹具
GEORGIN TYPE:C 96 RX
LEROY-SOMER DMV 2342
DJO Vitalstim 5900
Moeller PKZ2/ZM-32(380V/30A)
PMA KS50-102-10000-045;622272192 0015
Gestra 751-25-D-11-37-5-1-AU04KB
INGUN HSS?120305080A?1052
Balance Systems GmbH 9AHJ050A050000 平衡试验机用平衡头
ALPHA SP140S-MF1-7-1K1/SN:2639818
SACEMI YT90APAAA4505 潜水泵
Kistler dual channel monitor 4733AWDY1X10000
BALLUFF BAW OOOU BAW M08EI-UAD15B-BP05 接近开关
MULTI CONTACT SP2/2.5 18.901
KUBLER-1614 8.5850.2185.G132
PRATISSOLI SV2
legrand 004885 接口模块
RINGSPANN 95*135 RLK133
RITTAL SK3323.107
P+F EV18-LAS/32/92
Vibro-Meter GmbH P/N:244-127-000-017-A2-B02
DR.E.HORN Z-147AI 弹簧
SIEMENS 1PP71134AA18-Z/4.0kW/380V/IP55/F/B30
Magtrol LMU 212/011 P/N:224-212-000-011
Backer HC6 13020
Preh GmbH P20VR/4-20mA/Pnenn250Bar/Pmax750Bar(with plug)
rexroth CKK12-90 400mm SYN:R036030000
B&R 7AO352.70
baumer IGYX 18P17E3/S14L 接近开关
SUPARATOR 24EM023011 离心泵
ARGO D 042-156
MP Filtri CU-850-M60-V 8CU850M60V
parker PGP511A0330CA1H2NJ9J8B1B1
Vickers DG4V-3S-2C M -FW -H5-60-EN492 油压传动阀
ProxitronIKH020.05GS27
supfina prisma/10219287
SILMAX HMC120160
heidenhain 589611-2G
riegler 567-2//G1/4 泵用
MURR 7000-08361-0000000
finder 095.71for95.63 接口模块
Kubler 8.5820.oh40.1024.5093.0015
mts RHM0075MR021A11
SCHNEIDER XMLF040D2015
KNIPEX 1120491(13 05 160) 钳子
SCHOTT PF1000SG16
Honsberg?FLEX-(I+K) HD2KO1-015GM015 (220cst oil)
Honsberg FLEX(I+K)+HD2KZ-025GM060
DEK-OE- 60DC/ 48DC/100
ENOTEC KES-10007000
SCHUNK 备件 Gripper(DPG+64-1-AS 39304313)
sew 18209629;Fieldbus interface DFS11B prog
UNICO 806100
Dopag 401.04.20
Camfil VGHF13-592*592*290-OP
SCHNEIDER 50278
HEB CF881.07.04 电缆
tunkers SZKD 63.5 Z A23 T08 60
messer Z-PMY/D 70/20
END-ARMATUREN EB310064-L
OTTO 21649 HPL-R33224R
SR SYSTEM R-FLAT 19/7 -P
1009480 MDX3080-CC/10 VERMES
POMINI 408-094-231
Riedel WKS-0200-NE 7767880004
ESCHA VIS21-2.048-10/P00/S31/S1090
TURCK WKB 3T-4/S105
LEYBONOL SV25B 960251V3003
wandfluh SIN.45V U=24VDC S1=100%ED VDE0580 119100768 1.11
VIBRO-METER TQ412-111-412-000-012-A1-B1-E050-FZ
SMW SIN-S-85-LPS-X
norelem 08910-B1000X12
Rc-technik GmbH D2D133-DB02-06
GEORGIN TYPE:DPB 96 P 22
sirem SII72D060A105ZA 电机
DETAS GSA LCF24
BIKON DG M TNS CI 275 FM 避雷器
WOUTER WITZEL EVTLS-DN125-Actuator、S220-4
SIEMENS 3RT1034-1BB40 DC24V
MENZEL 9500004000
WUERTH 071522106 可调扳手
TELWIN TELWIN-9000 Art.-Nr:EX823005
Erichsen 0539.03.32 硬度计测头
LONNE 341506947
Wurth 0666501125 砂轮
aimtti PL303QMD-P (QUAD-MODE DUAL POWER SUPPLY 30V 3A/6A) 实验室电源
VIBRO TYPE:P113 S/N:4192 0-400BAR 4-20MA 10-35VD
LEMO EGB .1K .308. C Y M
ROSS valve|1-16bar D2753A8906
CAMOZZI 6800 8-10
Sommer KE25NCD 油压传动阀
WUERTH 63901 丝锥
Maier H325R
SWAN T-coupler M12-female 5p. / 2x M12-male 5p.; 3277990
HOERBIGER PA12674
RITTAL SZ 2433.000
ABB 7625013-S ( including PFSK113) ( replace the original : PFSK113)
MESSOTRON DLH400 AWG 30 0.5%5KHz
Vickers Hydraulic valve PVQ10 A2R SE1S 20 C21D21
Bender Gmbh IRDH275B-435
ROULEAUX Type GS35, Dia 40×1.5, L=990mm, B=1016, axe dia 10NM6
SIEMENS 599-06072
Sommer GK25N-B 工件夹具
heidenhain LS 477 1020,605374-23 光栅尺
METREL GmbH MI2094
Becker VT4.16/3
Dr.Breit 405040006 DN40 PN350
zimmer CDKPK00300
Boge 3RN1010-1CB00 自动控制器
ALLEN BRADLEY 1494F-PH5(100A)
walther-prazision SERIELP-019-1 DN19
Turck CFDK25G1125/LN3 E042
Bucher MTDA08-050R
SCHMERSAL UMLENKROLLE 101192433
hydac HDA 3840-A-250-124(10M)
HIRSCHMANN 16020081001??20T??
Conductix-Wampfler 91100-205-3092182
lika AM5812/4096GR-6-R-L10
ProxitronIKQ015.05GS27
Goennheimer Purge unit:model FS850.S.02,230V AC,MFR:Gonnheimer, for siemens GC MAXUM II
Blickle SVS 100/25K
OMRON S82K-00305
15899 GR-M3
Eaton LL04-W33-60W,110V/60W/60HZ,3300K
schmalz SAOB 60X30 NBR-60 G1/4-AG
BST-0068 MME-2 7310-01 Serial:100783
Rexroth R480156565
Angst+Pfister GmbH 11.6604.0120
Murr 插头 7000-88101-2300300
Pleiger Industrietechnik GmbH & Co. KG K3-112 / K3-112
STAHL M20*1.5 138394 管道堵头
legrand V25-B+C/FS-SU
gas MV25 302767+302763(309274) 油压传动阀
Schneider LC1 D80P7C
SIEMENS SOML 48×75
M+S MM s 32 pc 10/10 液压马达
ABB ACS800-04-0260-3+P901
Contrinex LTS-1120-301.S12.FVG-050
FLINTEC RC3-15T-C3
STAHL 8040 13 112GEEX ED IIC T6 PTB01 ATEX1105
trumpf 0352974 滤芯
Duplomatic PRE10-140/10V-D24K1
Balluff感应传感器 BNS 813-D03-D12-62-10-01-FD
gwk QuanTec MCS 300Nm?? 30-300Nm
Rexroth M-3SED 6 UK13/350CG24N9K4
rexroth CDB01.1C-PB-ENS-ENS-NNNN-NNNN-L1-S-NN-FW?
Verder 139.0002
Rexroth A11VO190EP2/11R-NZD12K02H
Desoutter 6153978960 套装齿轮
Funke TPL 00L-42-22 Nr4650194
KARL DEUTSCH MI1076
Hydropa DS-117-70/B 压力传感器
HYDROTECHNIK 2103-01-14.00
Rexroth R1694-294-10
KRAUS&NAIMER CAD11 A178-600FT2
LENZE E94AZCUS 管接头
GGB 081112BP25
motrona PU210
ICAR MLR25PRL 451003571 10μF±5% 电容器
N-TRON N-tron 306tx
mayser SG-EFS 112-1
Vickers SPC2-8-P-15
HOMMEL AC6-MZE2H-00 ACE650F
SABB Y751-17820
RITTAL SK 3172.100
Norgren 8240201.9106.230.50 流量控制阀
heidenhain LS 487 220mm Nr.572250-04
HAWE CMV1B
WOERNER KUI-B/2/M/40/U/40/U(Nr.231 696
GRUNDFOS CRN1-9 A-FGJ-G-V HQQV??0.550kw2856r/min, Q??1.8m3/h, H??56m
VOITH EM-61D101S 61.1.21.9.01 5000V 16A
Boehringer D??36 002.1332.1027-00
Landis+Gyr GmbH SQM10.16562
Gemue 825 5000P8000
DEUBLIN HSX-25A 355-204-223 350-772
MATRIX HSDB.900KK
Lincoln 504-36041-2 6*1.5MM
SIEMENS 7ML1013-1AA00-3AC0 液位计 52天
ATOS PFG -327/D R0 齿轮泵
MADAS MW-50A4(2.5-50m bar)
ALLEN BRADLEY 1734-0W4
Phoenix QUINT-PS/3AC/24DC/20
FLUKE FLUKE 63
Honsberg OMNI-F-008HK028S 07SN038989 3~300cm/s Supply:18~30VDC? PN200 Pin1=+24VDC Pin2=20mA Pin3=0V 配接航空接头 流量计
GARANT 150400 25
Murr 7000-40041-2250600
HAHN+KOLB 52146300 内六角扳手
parker SLVD7N
ALZMETALL Digital Depth Read-Out 数字显示表
Hawe DZ3-1R
weidmueller TYPE: WS 7.8/9.2 PLUS MCGR
forster (coupler)female 1/8 FPT 23-2
SIEMENS 7ML1118-3CA30 液位计 17天
Kendeil K02450802 8000uf 450VDC 0703 17125
Schneider KSA16NSEN311
specken drumag 919101268F M61321/4-C-42
KLASCHKA GMBH. & CO.KG IAD-18mg100b5-1T2A
Schenck VTG 20450;V054001.B03
Eckelmann COMCMODUL2
SIMRIT T20 30X38X5,7 密封圈
NSM UPS 320/15 0591016 V~220V V=320A KOMM-15
HEIDENHAIN ID336963-18
parker SCL TSD-520-10-05
LUTZE 707 507
DUNKES 04-204-00024703
mindman AF30-03D-R_PE
ESM ML90/002/018
特价供应 FSG (Fernsteuergeraete) 变送器 PW620-15d
ASA-RT ATB-GR/10K/EIN6P3 负荷传感器
finder ERA32NCC
特价供应 Proxitron Piros 热检、接近开关 IKU215.31G
Schneider ZB2-BE101C
BONDIOLI & PAVESI Z37 S/N: HPLPA226SMNG7G6BHY
CMB 0603063920 密封件
Freudenberg 49007102
SIEMENS 3RU1136-4FB1
Boge 242018301P 排气阀
ELETTA AF2-A5 24VDC 4-20MA max flow5000L/MIN
Rexroth MKD071B-061-GP1-KN 伺服电机
Magnet-Schultz GHUZ 032 M30 401 电磁铁
VOGEL DU56N2075-50+28E 50HZ 0.075KW 2700RP
ALLEN-BRADLEY 1769-PA4
wago 773-102
BURKERT TYPE2000(DN15)
Blickle 100/40-52
heidenhain 96909 BUK 50
Leuze DDLS200/120.2-10, 50036283
Baumer 10152993 OADM 12U6430/S35A
IPF VK184R46
DORNER MFG. CORP. DORNER CONVEYOR 2200SERIES L640mm 75035745
Severn Glocon 503100090
Rexroth R911275971 FWA-DIAX04-SSE-02VRS-MS 软件
P+F KFD2-ER-1.W.LB 信号放大器
IDEC PUSH BUTTON SWITCH|AB2M-M1B,BLACK
SALTUS SW17 1/2″ 5313101740 nur Werk 175F11? und W138
Hagglunds SMCB1 Nr178 5049-801
BRM Gmbh SFU0303
Kleinhuis 51M1216
maxon Motor Model: 305015 Rotary Transformer Model: 166488 电机+减速机
MOOG 阀 G631-3005BH60JOFM4VBR
AGATHON BALLCAGE 7611.032.100
Dptechnik SMG 06
Gunnebo Z100435 ELP-M20-8 环眼吊装钩
Eisele 0012345 手动叶片阀
Hagglunds Seal Kit Hydraulic Valve 06-048-11
Baumer GM400.Z56??????????????? ?2
Fraba RWS-50B-24 电源
KENNEDY 9800K
EPCOS B84115E0000B060
GLACIER 2010 DU
KRAUSE & MAUSER FORCE MEASURING DEVICE/26.3104.09.2
Staubli K81558964ET 插头
6760159
HEB Z100-102-25/12/150,00-209/B1 液压缸
Voith 487TVVSN
CT 162521 备件 FLUXUS F601 流量计 INGSOLAND-0002 3/8″G2A180RP4 砂轮机 LINCOLN-0207 VSKH6-KRFKM/ 分配器 PARKER-5508 PVAC2MCMNSJW35 电磁阀 REXROTH 2FRM6B76-3X/1,5QRV 单项节流阀 VIMARC-0022 振动器VTL165 振动器
ProHub 090-060-004
Demag DSUB311 45A 42V 50HZ PN:87560244
ROSSI GMBH 43/03 12.185 F4680-43
DENISON R4V0653310A5/016_88389_0/DENISON 泄压阀
trafomodern 230283 A-7053 ET0.03 SN0.03KVA 50-60HZ fuse:EN60947-4-1 PRI:60V SEC:100V
emecanique F000241049 SP00061404 0-60° CL1.0 Y-E
STAHL 9160/23-10-11s
SICK VTB18-4P1240V 感应传感器
MILLIPORE CWSC72S03 4 BOX3/Box
habasit M64S1060Q8
Hawe Replacement Kaeser COUPLING 5.3132.0
wta type:11.9 DN65 PN40 1.0619 FNr:154262
handttman 836827
KBK KBE 2/28 – 35 – 16 – 98Sh 联轴器
RC-Technik AZ16ZVRK -M16-2254
SIEMENS A.1002.6907
SIEMENS 1LE1001-0EC42-2FB4
PAULY PV4071GFK 红外光栅
Warmbier OH50 紧凑型前端基本单元
granlund SK-3 Clamp
STRACK Z4416-20-70 工件夹具 25天
R.STAHL 8070/1-2-HR 311
Beru 0300.211.001
WOERNER VPB-B10P 2327822053
PSR-SCP-24DC/MXF4/4X1/2X2/B
baumer LBFS-01111 编码器
finder P/N:2083028164;24V
HBM AE301 15-26DC 125MA 信号放大器
SIE SENSORIK SK-10-22/4-B-VA/PTFE
Sartorius Sartorius AG PR1613/00 220V
BENDER IRDH375-4227 B91065008
prudhomme TB 08B * 17 DENTS
Quantometer QA10080ZI Qmin 10m3/h Qmax 160m3/h T -10/+60 Pmax 16BAR
KROHNE-0066 IFM4080K DN250 额定压力1.0Mpa
centerline AMBD2B100F DN100 PN16 RD5012005000000
Banner Q60BB6AF2000Q
vickers cg5v-6-cw-d-m-u-h7
kuebler VN1-40.5E/1250-25KA DC220
WOERNER Smeersystemen BV VPA-B10/V
Hormec DOS 900 B
bucher RVSAE3/6DS-112-2-02
GGB 060912BP25 轴套
E+H Radar measurement Micropilot FMR240??Max. measurement distance 20 m??Flange DN150??4-20mA??24V??IP65
Rexroth 4WEH16Y42-72/6EG24N9ES2K4/B10D3
Havit SAE J 518 C (FH 3005)
Busch RA 0063 F 503 NO:140006101 P 0.1 hPa(mbar) Oil Busch VM 100 2.00L
Rohde & Schwarz TOE-8952
OEMER 02804100C426 HQL 100L 电机
parker ZNS-AB01-2-SO-01 油压传动阀
BALLUFF BTL09PK BTL5-E10-M0540-T-3S32 nr.190108
SMT MF1002A25HBP01
RIDGID KJ 1590
HYDAC/DF BH/HC 160 G 10 A 1.1
moog D633-308B
KNF NO35.3ANE,380VAC,IP44 隔膜泵
hydac KUPPLUNG 38/45-42X80/TN3 NO:3160925 联轴器
DEPA 801805-44
RUD VRS-F-1 1/4″- 7UNC
SCHNEIDER XS612B1PAM12
TRICONEX #4119A
parker DA22LOMDCF
TR QEH81M-00018
heidenhain 532728-14 磁鼓
WOERNER Smeersystemen BV VPA-B8/P 503820/1
BALLUFF BAWR06ACUAF20BS49G 20X30X8
FLOTT MA400
VIBRO-METER EA402:913-402-000-013-A1-E090-F0-G000, non armoured cable:9m
SIMRIT 72NBR902 BABSL CFW 25*35*6
ICAR MLR25L401003583/I-MK/SH/10UF
MTS GHM0500MH011R01
Mitutoyo Deutschland GmbH 331-351
Datasensor 95A151060
WESTLOCK 2004SBY2A2M0200U
DURBAL D=20H7 M20X1,5 15633 20-20-502
FSG 1700Z04-257.008
L+B GEL2443KN1G3K150-E 编码器
EAT GmbH SRB-MS-ILK5
MAT9070030 6M
parker OLK605
DANLY 9-1204-11
Cryotherm 78213534
BELDRIVE AEC140/54KRLH6B;100776
SMW NR: 020062
GUTEKUNST D-016C 11010509-00
FLINTEC RC3-22.5T-C3
coax KBS 15 NC 533883,Need copper valve??see the picture
Saltus
abb IEC/EN 60947-4-1
Dietrich Schwabe MKHP420-DN40-G1 1/2-112AZn
legrand 0013-20837APAC
Icotek SM 87.1.18M5N 步进电机
Leuze 50117363 PRK18B.T2/4P-M12 感应传感器
GUSHER PCL2X3-13SEH-CC-A;1429-352;25117-DI-11.25
B&R Panel PC 720
VEM K21R 132 S4T 0601222001608H 电机 天
Cantoni 20G40A3260AG0002
legrand Nr.080299
Ettinger 14.49.121 1
electrotherm 405.1-1XPT100-3L-G1/2″-L50 F0,3??B??DIN EN 60751
Pister BKH 18L 1125 PN500 DN16
Wheelabrator v710647 feed control shaft(DevicenrVentus150PPR&PMBNr000364)
EXXOtest Exxotest tool USB-MUX-DIAGII 网络检测仪
Funke TPL 00-K-60-12;Nr.632 012 222 0 (FK 1896860)
B&R 8BVI0220HWS0.000-1
Rexroth R055717550
15C-3031 D556/424.8×107
Sferaco 305006
ENERPAC RSM-500
BALLUFF BES113-356-SA31-S4PNP 接近开关
GUMMI GS1300-GM-RS L=116895MM
leine&linde TFQ-G12/050/6/M/0…150
Buschjost 8418020.0000.00000 2/2-WV-NC G1/4 DN4 0…25Bar
MECA-INOX HV110
steute 1172707.Steute Seikausche 3mm Niro
Murr BTG 32
SAMES 900004388
stoerk st710-khjv.16 ptc 12-24v k1k2k3 温控器
ATLANTA typ:9886339 Nr:1952130001
Vahle FP-USK25-K4 170010
Herz P/N:2088 O/N:5102586
LTA 4256782,2/2,5 kW
API SIGMA M35SBL-36.8
Rexroth 3842344757
VACOM KF50MSC-304
特价供应 Proxitron Piros 热检、接近开关 IKH020.05G
SIEMENS 6ES7 313-5BG04-0AB0
faberkabel art-no 031683 artikel-b Li2YCYv 02X2X0,5 SW(300m)
Microscan QX-870 FIS-0870-0004G 条形码扫描仪
schmalz SNG-DL 1.2 24
LUMBERG RST4-RKWT4-637/10M
AEG M3SDS14-0741
Desoutter 50052(10D 2Kg-5Kg)
JETS Lifting membrane 050500800 (for toilet jets 59M FD/VPC-V)
ATN 100057056
HAMMELMANN NO.70??01.00525.0509??BUSHING ??export china)
heidenhain 730435-01 电源
suco 0162-43614-1-001 压力传感器
Schenckprocess K80????С???? v078082.B02
SITEC 770.11
特价供应 Proxitron Piros 热检、接近开关 IKL015.38GSA1
SIKO AP04-0002/S3/00-02-A-S02V01.06-IP53
Gardner Denver 731964
Ingersoll Rand Y330-117
E-T-A 104-PR-0.8A
USATCO 02-501-6-21 21# 12/bag
Tognella FT 1251/5-01-G3/8
Vogel MKF2-KW3-10003+428 Fa 齿轮箱
STAHL 8579/12-406415V63AEExdeⅡCT6IP65
Dopag 400 70 10
2/2-Wege Metall Membranventil
ATLANTA 80 86 062
BeckhoffEL9100
Schenck Process 31-HTD5M /67 V078084.B01
Pneumax cod.464/1.53.31.0.0.M2 单向阀
siemens 3~Mot.1LA52231AZ99-ZN01 E1010/814058 84 005 IEC/EN 60034
ATOS KP-013
TELEMECANIQUE ZB2-BT4
LORENZ 0140-500
Bocchiotti T1-N 60X80 CM 600
legrand 077502 接线端子
Mankenberg DM505 1/2*160 0,5E -12EV Art-Nr.6498116TA-35
RIFOX – Hans Richter GmbH 2K8412N,EN-JS1049A24132
PULSOTRONIC 感应传感器
Salzer M220-61366-003M1
stotz P65a-11-K
E+H TDL5437-AE1CAYSE0
SICK WTV4-3P3021S64,1054543 感应传感器
Raeder-Vogel Gmbh CK 82/118/122/25
HAHN+KOLB CLAMPEX-KTR200-60×90 联轴器
ACE TFCP80B-6
EMB压力表AB31-13 100-0016BAR MPA
CAPTRON CHT3-161P-11/TG-SR
heidenhain 557653-14 光栅尺
LINMOT PL01-19×500/420 滑块
95.102.288.3.2(D) OTT-JAKOB
EMG 11h
HYDACEDS344-2-250-000同0227
Bucher 33060233 MANIFOLD.ASSY
JUMO GmbH & Co. KG 701160/8-0153-001-23
ABB 3BSX602337-118
KUBLER 8.5823.3832.1024
balluff BTL5-E10-M0225-B-KA05+BTL-P-1013-4R
parker RED12/08ZLCF
Vogel MKU2-KW3-20003+428 齿轮泵
INA KR30 PP
hawe hc 14/0,44-a 1/320-vb 11 fdnn/4-1-x 84 v-9/400
Block HLD 110-500/30
binks 191198 阀门用隔膜片
ortlinghaus 0086-028-99-204000
Oerlikon Leybold Vacuum GmbH 71436730 Set of Viton seals SV200 BRI8BR2
Lumberg ASB8/LED5/4-14/5m
BEI HS35F-100-R2-BS-2048-ABZC-28V/V-SM18-S Nr.924-01070-1416
ABB 1SFA611831R1001 按钮开关
CEJN 199501605
Walther LP-019-0-WR526-11-1-OV???????????????????????????????????????????????????????????????????
rabourdin 1005-10-20
ZIEHL-ABEGG 137376,RH28M-2DK.3F.1R
Staubli APM148SE025C 气动执行器
KS T00LS 503.401 0
turian GR25BRH 2500rpm
wachendorff WDG58B2048ABNG24K3A76
Riese RS-AR1 AR.0501.5105 U=230V 50-60HZ
Mac PR92C-BACB-9 压力控制器
heidenhain 226520-8A
HUMME HSK-V-EX M40*1.5 1.296.3601.61
mts-mengen GUIDE ANGLE 13 0613-03-07-R
BOLL&KIRCH 1.12.2.85.145.32 滤芯 ENTEK 2109-50-05-00-1-10 轴振动探头 HURST-0037 KD 3402-007 90VDC 30:1 电机 LANDIS&GYR-0021 QRA50M 火焰探测器 PANASONIC-0052 AVF 100-0152 1.5W 变频器 ROPO RPD-920 DN100 蝶阀 VALCO-0014 AFM 100-10H 0.1-2 备件
Labom BE4220-A2057-L4410 DL3100 HY-A3007-L23-W1020
SIEMENS 6sl3203-0AJ23-2CA0
VDO Fuel gauge VDO 301040002.10
Gemue 8255 25D1122124
fischerwerke FHB-A DYN20*170/50????????
WOERNER D0-400-09-EW-G 工件夹具
Releco 10 A 220 Vcc. Ref. C4-A40
Wurth 098600 手动黄油枪
HYPERTAC LPNA-06B-FRDN-17003 6 PIN
Settima GR472V036-F3 AC9 DX new type:GR47-2C-036cc-F3AC9-O-DX 螺杆泵
SCHUNK OPR-131
Walther HP-020-2-WB033-01-1 快速插拔式单向阀
ROTEK RC-216
ATOS KQ-013/53
Middex Cable 10m(32??)90 Degree connector 9403
parker GE12ZSREDCF
Hawe FR2-2 流量控制阀
baumer OHDK14P5101-S35A 感应传感器
Chr. Mayr GmbH + Co. KG SAFETY?PRESSURE?LIMIT/ROBA-DS6/950.220/15/25?????
ITT Lowara LM132RB5/375 7.5KW cod.130646347
BROOK 3504CCVH1XX1/XXX/G/D4/XX 温度控制器
Auma Z043.590
Leuze GFG 250/0.5 RT-ME
BTL5-E10-M0350-P-S 32
KUHNKE KUHNKE 36.290, cylinder diameter: ??16 ,Stroke: L25
Schurter 31.3901
Leuze SD4R14-1500E
WOODHEAD E11A06015M020
BEI Artikel-Nr.:NEG0000704;H20DB-25-SS-500-ABZ-15V/OCR-SCS18-S 编码器
品型号:
BELDEN LC
ATOS HMP-011/350/V 22 传动阀
ALCO Controls PS3-A3S Nr:0714834
CMD FLEXIDENT SENIOR S280 JL”CMD” Order Nr:2602012792 D/N: 555942
hydac EDS3446-3-0250-000+ZBE06
Proxitron FKM 231.13 G S4 8043B-C 流量传感器
coax RMQ 20 544878
steelman ChassisEAR 06610
Hengstler KP90-113-2000D-072 温度控制器
chesterton
brinkmann TA400/200+001 潜水泵
BOSTON FWC721900KB5GT3 (with motor)
Infra-Antriebe geared motor: BC2000 24 MP I=80 24V
hydac 43Z6-A-0250-G01-000
Settima 螺杆泵 GR55SMT16B250LRF2
HEKATRON TDS 247 Nr.5100158 温度传感器
MP Filtri SF510M90NP01 滤芯
OTT 1665260000
Sera FLP2
Please to see photo
Magnetrol ABM-AR200-AHSLAET5000R L=800mm 12
UMS TOOLS Model 916 B2 PN 09160012 MFG USAG.51/2010
Baumann 24588svf+NVF24-MFT-E-50
Vahle KSER 32-55-6
VAT 113MF-3-24VDC
B&R 8PM001.00-1
RINGFEDER Z3 30*55
HOFMANN MI2000
huebner FSL??SN??1792044
Stromag VAR 24/10A Art Nr060-00772
pall HC9400FKS26H
OBO BETTERMANN RLVL 60 FS
balluff BTL7-E100-M2000-B-S32
Ahlborn OA9696GFK
ATOS QV-10/2 WG ATB AC 1 PH-MTR PBF71/2B-11-RQ 3 Phase Induction Motor for vacuum Pump AHSK-400 LK-04
7145141-H PBF71/2B-11RQ 3 PHASE INDUCTION MOTOR
Call For Quote
Manufactured By: UNKNOWN
: 7145141-H
IMS Product Code: 1878709
Packaging: Sold Individually
MTS EPO0175MD601V0 AMPHENOL D38999/26LC98SN
Siemens 6ES7288-5BA01-0AA0
SIEMEN 3VL9563-6AB30,
7145141-H PBF71/2B-11RQ 3 Phase Induction Motor, 100-105/110-130V 50/60 Hz, 2880/3420 RPM, IP55
Loher motor 400
2208E/CC/VH/V1/RH/FH/FL/2XX/GER/XXXXX/XXXXXX/S/0/1200/C/S2/XX/XX/XX/XX
OEM Commodity Code 85389099
ABB 3GKP222220-BDK MOTOR 3GKP222220-BDK TRIF.
M3KP225SMB4 46KW
1784R/MIN 480V
Drawing/position number M26389-4062/xxx
隔离开关KG10B-T203/17 AT20U85147/300
CAGE;VALVE,
APPLIED MATERIALS AMAT 3320-01163 GSKT VCR 1/4"
MATERIAL:17-4 PH,
OEM P/N:2U223733272,
OEM MAKE:FISHER,
EQUIPMENT NAME:CONTROL VALVE PCV 0693
APPLIED MATERIALS (AMAT) 3320-01005 PARKER HANNIFIN CORP-COMPUMOTOR 50-4V-2LP-R USE 3320-01163 Gasket, VCR 1/4 SST CONTOURED RTNR
DISC;VALVE,
MATERIAL:SS 316 ALLOY 6,
OEM P/N:1V710706242,
OEM MAKE:FISHER,
EQUIPMENT NAME:CONTROL VALVE PCV 0693
AMAT 3060-01163
AMAT 0200-35020
AMAT 0040-46460
AMAT 0190-A1220
AMAT 0150-35314
AMAT 0020-13991
AMAT 0010-35511
AMAT 0140-70379
AMAT 1010-01169
AMAT 0200-18090
AMAT 3700-01403
AMAT 3700-04991
AMAT 0190-09016
AMAT 1040-00214
AMAT 3690-01935
AMAT 0020-31164
AMAT 0021-36561
AMAT 0020-35809
AMAT 0040-20023
AMAT 0190-25422
AMAT 0150-10312
AMAT 0090-02287
AMAT 1290-01196
AMAT 0021-20573
AMAT 0870-01032
AMAT 0190-77119
AMAT 0090-76030
AMAT 0020-76182
AMAT 3690-01195
AMAT 0150-01762
AMAT 0090-77064
AMAT 3020-00628
AMAT 0200-00746
AMAT 0020-20761
AMAT 0021-03790
AMAT 0010-36734
AMAT 0021-03094
AMAT 0020-18519
AMAT 0010-18080
AMAT 1270-01205
AMAT 0020-23209
AMAT 0200-09598
AMAT 0020-35474
AMAT 0190-09781
AMAT 0021-11229
AMAT 0040-09961
AMAT 0190-13006
AMAT 0200-09572A
AMAT 0020-18363
AMAT 0190-25635
AMAT 0200-02117
AMAT 0040-32677
AMAT 0020-70842
AMAT 0720-01127
AMAT 0020-18909
AMAT 0200-09757
AMAT 0090-04412
AMAT 3700-01623
AMAT 0680-01212
AMAT 1400-01399
AMAT 3880-01374
AMAT 0630-01180
AMAT 0150-09770W
AMAT 0020-01927
AMAT 0010-76155
AMAT 0010-38193
AMAT 0090-03861
AMAT 0650-01026
AMAT 0010-76800
AMAT 0040-87958
AMAT 0050-20133
AMAT 0090-02953
AMAT 0010-20223
AMAT 3420-01031
AMAT 0240-25011
AMAT 0200-35293
AMAT 0020-30446
AMAT 0010-09968
AMAT 0010-08185
AMAT 0200-09074
AMAT 0190-35774
AMAT 0190-35763
AMAT 0040-87047
AMAT 0190-01952
AMAT 0190-09552
AMAT 3700-02180
AMAT 1140-01082
AMAT 3700-01358
AMAT 0620-01254
AMAT 0680-01367
AMAT 0270-00663
AMAT 3270-01022
AMAT 0680-01429
AMAT 3700-02064
AMAT 0150-76820
AMAT 0090-35133
AMAT 3700-02480
AMAT 0020-18588
AMAT 0020-70061
AMAT 0050-40494
AMAT 0040-07593
AMAT 0240-13300
AMAT 0050-07028
AMAT 0190-10603
AMAT 3880-01451
AMAT 0190-01046
AMAT 0200-18062
AMAT 0015-40003
AMAT 3870-02605
AMAT 0100-01016
AMAT 3620-01355
AMAT 0020-36578
AMAT 1010-10456W
AMAT 0226-46697
AMAT 0190-11225
AMAT 0020-36957
AMAT 3700-04319
AMAT 0190-26769
AMAT 0190-02060
AMAT 1310-01059
AMAT 0020-26396C
AMAT 0050-31583
AMAT 0190-22090
AMAT 3690-01087
AMAT 1290-01266
AMAT 0020-32259
AMAT 0200-09251
AMAT 0150-03730
AMAT 3700-02493
AMAT 0620-01354
AMAT 0020-37988
AMAT 0010-04450
AMAT 3690-04454
AMAT 3320-01163
AMAT 3870-02162
AMAT 0020-20765
AMAT 0190-35304
AMAT 0840-00267
AMAT 3690-01451
AMAT 0140-15769
AMAT 3320-01101
AMAT 0140-20365
AMAT 0150-36306
AMAT 0200-01195
AMAT 3700-01874
AMAT 0190-02652
AMAT 0680-01090
AMAT 0227-99578
AMAT 0020-75325
AMAT 0010-25517
AMAT 0190-23942
AMAT 5010-01041
AMAT 0150-76192
AMAT 3040-01499
AMAT 0150-20007
AMAT 0010-10177
AMAT 0150-02318
AMAT 0020-01926
AMAT 0190-01458
AMAT 0270-20276
AMAT 3700-02327
AMAT 0090-00740
AMAT 0020-31570
AMAT 0910-01296
AMAT 3700-01090
AMAT 0020-27112
AMAT 0020-10875
AMAT 0040-02818
AMAT 3870-01811
AMAT 1330-01013
AMAT 3790-01430
AMAT 0226-97726
AMAT 5010-01005
AMAT 0020-20340
AMAT 0200-03576
AMAT 0150-04520
AMAT 0020-15540
AMAT 0150-00314
AMAT 0150-19833
AMAT 0680-01219
AMAT 0100-18025
AMAT 0010-47763
AMAT 3700-01510
AMAT 0200-10446
AMAT 3440-01010
AMAT 0690-01068
AMAT 3700-01928
AMAT 0720-03348
AMAT 3870-90121
AMAT 0190-09291
AMAT 0200-20346
AMAT 0150-90333
AMAT 0150-45119
AMAT 0015-00443.
AMAT 3840-01119
AMAT 0020-09223
AMAT 3030-13898
AMAT 3840-01015
AMAT 1140-01137
AMAT 3020-01095
AMAT 0150-09573
AMAT 3800-01054
AMAT 3620-90100
AMAT 3620-01011
AMAT 1290-01202
AMAT 0040-95999
AMAT 0021-77190
AMAT 3700-01485
AMAT 0040-31813
AMAT 0140-00988
AMAT 0200-39136
AMAT 0200-00047
AMAT 3610-00003
AMAT 0020-30084
AMAT 3700-01932
AMAT 0020-23487
AMAT 0225-41990
AMAT 3090-01083
AMAT 0040-47677
AMAT 0020-09130
AMAT 1350-00182
AMAT 1200-01081
AMAT 238-094
AMAT 0520-90013
AMAT 3610-01034
AMAT 0040-80028
AMAT 0226-44858
AMAT 3300-03481
AMAT 0190-09109
AMAT 1330-01026
AMAT 0200-01427
AMAT 0150-20537
AMAT 3760-00092
AMAT 0200-09087
AMAT 0021-13477
AMAT 0035-00021
AMAT 0190-09755
AMAT 0020-03398
AMAT 40420561
2 0010-09012 SUSCEPTOR LIFT
1 0010-09750W MATCH BOX
12 0015-00154 VIS
5 0015-00197 RONDELLE FREIN
3 0015-09065 RONDELLE
8 0015-09067 RONDELLE
1 0015-09077 Heatsink, modified, throttle drive
3 0015-09327 VIS
4 0015-09328 VIS PINS
8 0015-09329 VIS
1 0015-76034 MDFD, CPLG SHAFT JSPDR 1/4 BORE
1 0020-090213 moteur encodeur + nappes
2 0020-09031 INSULATING WASHER
4 0020-09609 BUSHING TERMINAL
1 0020-10185 SUPPORT HOOP
1 0020-10188 LEVELING PIN
1 0020-32036 Plate Blocker 100 sx
6 0020-32122 COVER SCREW CAP
1 0020-33166 SHEET THERMAL TRANSFER
1 0020-33538 Plate, perf ox 200mm
2 0021-10432 ISOLANT
1 0090-70005 Atmosphere switch
1 0100-01321 Assy PCB Digital I/O DIO BD
1 0100-09126 PCB ASSY REMOTE WIRING DISTRIBUTION
2 0100-09145 RS232 VIDEO INTERCONNECT BD
1 0140-70070 cable assy
1 0150-09514 cable assy RF
1 0150-09515 cable assy RF
2 0150-70017 CABLE ENCODEUR
1 0150-70018 CABLE
1 0190-09016 CLIPPARD VALVE W/6″ CONNECTION
2 0190-09118 MSE SEAL ASSEMBLY
4 0190-09293 O-RING LID CVD
3 0190-09472 Cellule END POINT
1 0200-09074 WINDOW HEATER
1 0200-09602 pipe
2 0200-09626 COVER RING
3 0200-09664 WINDOW,SLIT,AL203
3 0200-09772 FILTER,SLIT WINDOW,QUARTZ
3 0200-10204 PIN WAFERLIFT
1 0200-10464 ADAPTER RING
1 1270-01387 SW LEVEL FLT TWO TOP MT 3.90 5.65
1 3250-01007 CARTOUCHE DEIONISANTE
2 3250-01008 TANK 1/2 MPT ADAPT
5 3300-01286 FTG BH4-61 W
4 3300-01733 FITTING HOSE 1/2 BODY X 1/2 FPT
1 3300-02567 FTG 1MNTP X 3/4FNPT
1 3300-02573 ELBOW 12 X CTX-B
2 3320-01163 JOINT VCR SST
2 3320-01165 JOINT VCR NI
8 3690-01159 VIS
14 3690-01356 VIS
2 3690-01383 VIS
12 3690-01422 VIS
21 3690-01447 VIS
16 3690-01458 VIS
12 3690-01624 VIS
4 3690-01629 VIS
2 3690-01630 VIS
12 3690-02736 VIS
12 3691-01377 VIS
4 3700-01130 joint dessous chuck P5000G
2 3700-01224 O-RING 2,734 X 0,139
6 3700-01261 O-RING 5,921 CSD
8 3700-01329 O-RING 0,299 X 0,103
4 3700-01336 O-RING 0,989 X 0,07
8 3700-01340 O-RING 0,359 X 0,139
2 3700-01343 O-RING 190,09 X 3,53
1 3700-01358 O-RING 5,484 X 0,139
2 3700-01360 O-RING 0,359 X 0,139
1 3700-01365 O-RING 40,87 X 3,53
6 3700-01369 O-RING ENDURA CH.4
12 3700-01440 JOINT ENTRE CVG et LID
2 3700-01467 O RING ID 1.10 CSD .210 Kalrez
3 3700-01534 ORING ID .424 CSD.103 CHEMRAZ SC513
1 3700-01535 ORING 1.049 CSD.103 CHEMRAZ SC513
2 3700-01667 O-RING K1105 2037
4 3700-01747 O-RING 0,989 X 0,07 DURO WHITE
2 3700-01783 ORING ID1.984CSD.139FFKM
1 3700-01823 O-RING 0,237 X 0,103
1 3700-01995 O-RING 0,489 X 0,07
2 3700-02258 ORING 1.614 X CSD.070 CHEMRAZ 513
2 3700-02343 O-RING 69,44 X 3,53
2 3700-02344 Oring ID 1.600 csd .210 perfluornat
1 3700-02455 O-RING 5,674 X 0,102
8 3700-03220 Oring ID 1.600 csd .210 perfluornat
15 3880-01004 RONDELLE
16 3880-01007 RONDELLE
12 3880-01452 RONDELLE
19 3880-01462 RONDELLE vis de clamp P5000G
2 4020-01019 CARTOUCHE FILTRE
1 4020-01060 filtre Helium mounting
1 626AX11TDE9 gauge MKS 10 Torr
2 627BX11TBC1B gauge MKS 10 Torr
1 64743 LAMPE 1000W 120V
1 GLFPF6101VX4A Gaskleen
1 HPS 100999669 REV A REDUCER NW 25-40 HEATED
1 IQ1210003 POMPE IQDP40
1 IQB250 ROOTS IQMB250F
1 IQB500 ROOTS IQMB500F
1 SC15 UFC1661 2L N2
1 SC16 UFC1661 6L N2
Omal 180SR+RCE4LN2-NS5002
Omal 3090.00
Sr240516S - Omal Bulgaria
Omal 350.911
Omal 517.201
Omal 56653 (280254-24VDC-17W)
Omal A1-T-09-01-3-B-R
Omal AE10 135
Omal ART.NO. 2250RR14 SPRING FOR SR15
Omal ARTIKEL 80810098
Omal BEST - NR. 200 SOLENOID VALVE COIL 24V
Omal D101_009
Omal D101H005
Agilent 81133 A Pulse / Pattern Generator
Applied Materials P5000 Mark II Sput Etch Chamber "Process Kits:
- 0020-10518 Pedestal
- 3690-01364 Graphite Ring
- 0200-09086 Pedestal QTZ Ring
- 0020-09933 GDP Anodized Aluminum
Turbo : Seiko-Seiki Edwards STP301CVB
Match Box: 0010-09416"
ASM Eagle 60 Gold Ball Wire Bonder "- Voltage: 220V
- Freq: 50/60Hz
- Power: 1.5KVA
- Air Supply: MAX.6BAR"
Asyst SMIF Wafer Case Transfer Pod 8" "- Internal Wafer Transport Carrier: Entegris KA198-80MB STAT-PRO 3000, 25 slots
- : 4001-7102, 4001-4302-XX Rev C
- Carrier seems to be in good condition"
Canon PLA 501 F Proximity and Contact Aligner "- Automated wafer loading with flat finder
- Cassette-to-cassette
- Upgraded to handle transparent wafers"
Ekra E5 Solder Paste Printer
Ekra X4 Screen Printer
Electroglas 2001 X Probers
Electroglas 4090 u Probers
Epson NS 6040 High Speed IC Handler "- 220V, 50/60Hz
- with SRC250CE control unit
- 3ea SRC250 drive units
- UltraVim Plus UP1199 scanning camera"
KLA-Tencor P-16 Wafer Surface Profiler "- This unit is in working condition. Passes self-tests and completes 2D and 3D scans of wafers
- Software version: 7.1
- Chuck size: up to 8"""
KLA-Tencor Candela CS-20 Surface Analyzer 4", 6" or 8" "Measurement Features:
- Dark field (Scattered), Bright-field(Specular), Zcircumferential, Zradial, Recipe configs: defects, roughness; Diamond scribe option"
KLA-Tencor Candela CS-10 Surface Analyzer 2"-6" 2", 3", 4" and 6" chuck sizes available
KLA-Tencor Candela CS 2 Surface Analyzer 2"-6" 2", 3", 4" and 6" Chucks Available
Leybold L 200+ Leak Detector "- 115V, 60Hz
- Includes D16B vacuum pump"
March PX 500 Plasma Treatment System Includes: ENI RF Generator and Alcatel Pump
Osaka Vacuum TG 1300 MCAB Mag Suspended Turbo Pump
Semitool 240 S-3-1-E-ML Spin Rinse Dryer (SRD)
Tektronix MSO 3054 Mixed Signal Oscilloscope "- 4 Channel Analog + 16 Channel Digital, 500 MHz, MHz, 2.5 GS/s
- Total time powered on only 6 hours, includes original manual, CDs, and front cover for scope"
Zygo MK-III-01 P/N: 6176-0100-01
3 S 3622 Laminator
3 S 3621 Laminator
3D Systems ProJet 3510 HD Plus 3D Printer
3D Systems ProJet 1200 3D Printer
3D Systems Projet 6000 3D Printer
3M 29200 Case Sealer
3M 716 Wrist Strap Tester
3M 963 E Benchtop Air Ionizer
3M 960 Mini Air Ionizer
3M Counterweight Fall Protection System
3M A 80 Top & Bottom Case Sealer
3M Equipment Kit "- Megometro 3M 701 (2)
- Calibration Unit 41230"
3M Matic 200 A Case Sealer
3M Matic A 80-10700 Case Sealer
3S Silicon Tech Front End Loader / Unloader
3S Swiss Solar S 1815 E Laminator
4Probes RTS 5 Sheet Resistance Tester
A & A Sheet Metal Products Se-Cur-All Storage Cabinet "- Flammable
- 100 Gal Capacity
- 43x43x74"
A&D FC 50 Ki Counting Scale
A.O. Smith P 56 P 2 T 11 B 3 AC Motor "- HP-3
- RPM-3450
- V208-230/460
- A8.0/4.0
- PH3
- HZ60"
A.O. Smith S 56 C 23 A 01 AC Motor "- HP-1/2
- RPM-1725
- V115
- A8.0
- PH1
- HZ60"
AAF N RotoClone
AAF N Rotoclone ARR D Dust Collector 1 1/2"
ABA M 380 Filling Machine
Abaxis Vetscan HM 5 Hematology Analyzer
Abaxis Vetscan VS 2 Chemistry Analyzer
Abaxis i-Stat Specialty Analyzer
Abaxis VSpro Coagulation Analyzer
ABB IRB 6400 R Robot
ABB Nema 1 Capacitor
ABB S 4 C+ M 2000 A Controller
ABB IRB 6400 Rex M 2000 Robot
ABB SACE 1600 A Circuit Breaker
ABB Screenmaster RVG 200 Paperless Recorder
Abbe Applied Technology V 6 - 70 CS Roller Laminator
Abbott ARC L 2000 SR
Abbott ARC L 2 SR
Abecon Conveyer
ABM Mask Aligner 4"
Abrasive Engineering Manual Blasting
Abrasive Finishing 2016 Tumbler
AC Tech Variable Frequency Drive (VFD)
Accela Printer
Accent Optical Caliper Q 300 Overlay Measurement System 12" "- Robotic pick and place wafer transport with two FOUPs
- Optics module with variable magnification
- High Resolution X,Y,Z & Theta Stage sortware controlled incorporating DSF Autofocus
- Programmable Bandwidth Illumination system
- Automated Pattern Recognition
- Vibration Isolation Table
- Fully I300I && GEM/MSEM compliant
- GUI and Analysis package running under Lenux
- File sharing by LAN
- Facilities required: NPS-208/3ph/60hz @ 20 amps, Facilities connect 3-phase, 5 wire star (3 phases, neu, gnd) rigid isolation base required with 200 mm pass through hole; requires twist-lock outlet and cord cap
Bulk Gases -
Compressed Air - 0.1 scfm @ 84 psi, thru 1/4"" push fit, rear connection. Provide regulator, isolation valve, and guage.
Process Vacuum - 2.1 scfm @ 800 mbar, thru 5/16"" push fit, rear connection. Provide isolation valve and guage"
Accent Optical Caliper Q 300 Biorad Overlay Measurement 12" "- Missing stage controller card
- Robotic pick and place wafer transport with two FOUPs
- Optics module with variable magnification
- High Resolution X,Y,Z & Theta Stage sortware controlled incorporating DSF Autofocus
- Programmable Bandwidth Illumination system
- Automated Pattern Recognition
- Vibration Isolation Table
- Fully I300I && GEM/MSEM compliant
- GUI and Analysis package running under Lenux
- File sharing by LAN"
ACCO P 1130 Hydraulic Press 40 Ton Capacity
Accord 400 Cleaner
Accord Technology 400 Waterjet
Accraply 206 LH Labelizer Machine
Accraply ALS 206 LH Labelizer Machine
Accretech / TSK Win-Win 50 / 1600 Bright Field Inspection System 12" "- 0.1,0.125,0.175,0.25 um pixel sizes, and 0.6um alignment
- HBO lamps
- Resolution 100nm"
Accretech / TSK X 1412 Prober Inking System 8" "- Pneumatic controller, standard:
*Model 350-0002
*Power 30W
*Input voltage at 50/60Hz"
Accretech / TSK MHF 6000 Manipulator
Accretech / TSK MHF 6000 Manipulator
Accretech / TSK APM 90 A Prober 8"
Accretech / TSK AD W 5000 A Dicing Saw Machine
Accretech / TSK AD W 300 T Dicing Saw Machine
Accretech / TSK S-LM 116 GC Saw
Accretech / TSK UF 200 Prober "- Standard UF 200 upgraded to UF 200 A (with 7507 A CPU board & software 3G.06.UZ)
- Sealed and used for automotive probing (-40° C to 140° C)
- ATT Chuck System
- ATT Hot-/Cool Chuck and Dry Air Kit
- Sealing for low temperature probing at -40° C
- 2nd Cassette table
- SD-Card Drive replaced Floppy drive
- Thin Wafer Handling preparation
- Headplate opening for 51 cm Probecard Ring outer diameter
- Remote Terminal (Optional, for additional cost)
- Wafer ID Reader WID 110 (Optional, for additional cost)
- Huber Chiller Unistat 705 (Optional, for additional cost)"
Accretech / TSK UF 200 Prober "- Includes NI tester
- Cognex board installed
- Prober is hot chuck can reach up to 150*C
- Top and bottom OCR
- Clean pad
- Tower light does not respond to alarms, Light always ON (connector board needs replacement)
- Button on Joystick not responsive at certain positions (wear and tear)"
Accretech / TSK PG 300 RM Wafer Back Grinder - Parts Machine
Accretech / TSK UF 3000 Prober 12" "- Software: S5.0G.31
- CE Mark
- Nickel Chuck
- Hard Disk
- 3.5"" FDD
- Magneto-Optical Drive (MO Drive)
- Head Stage
- Single Loader
- FOUP & Open Cassette
- Dual Robotic Wafer Transport Arms
- Pre-Alignment Stage Unit
- Auto Needle Alignment
- Alignment Camera
- Dual (X & Y) Heidenhain Scales
- Alarm Lamp Pole
- Hot Chuck (+30 to +150C)
- Needle Cleaning Option: Wafer Type, Polish Plate
- Multi-Site Parallel Probing Option
- Fail Safe Inspection
- Probe Mark Inspection
- GPIB Interface Option
- Ethernet Interface Option
- ST MT72 Tester
- ACC (Automatic Probe Card Changer)
- Image Processing Board
- Bump Height Settings Option
- Group Index Option
- Multi-Pass Probe Option
- Printer
- Network Option: Veganet"
Accretech / TSK UF 3000 Prober 12" "- Software: S5.0G.31
- CE Mark
- Nickel Chuck
- Hard Disk
- 3.5"" FDD
- Magneto-Optical Drive (MO Drive)
- Head Stage
- Single Loader
- FOUP & Open Cassette
- Dual Robotic Wafer Transport Arms
- Pre-Alignment Stage Unit
- Auto Needle Alignment
- Alignment Camera
- Dual (X & Y) Heidenhain Scales
- Alarm Lamp Pole
- Hot Chuck (+30 to +150C)
- Needle Cleaning Option: Wafer Type, Polish Plate
- Multi-Site Parallel Probing Option
- Fail Safe Inspection
- Probe Mark Inspection
- GPIB Interface Option
- Ethernet Interface Option
- Agilent V4400 Tester
- ACC (Automatic Probe Card Changer)
- Image Processing Board
- Bump Height Settings Option
- Group Index Option
- Multi-Pass Probe Option
- Printer
- Network Option: Veganet"
Accretech / TSK UF 3000 Prober 12" "- Software: S5.0G.31
- CE Mark
- Nickel Chuck
- Hard Disk
- 3.5"" FDD
- Magneto-Optical Drive (MO Drive)
- Head Stage
- Single Loader
- FOUP & Open Cassette
- Dual Robotic Wafer Transport Arms
- Pre-Alignment Stage Unit
- Auto Needle Alignment
- Alignment Camera
- Dual (X & Y) Heidenhain Scales
- Alarm Lamp Pole
- Hot Chuck (+30 to +150C)
- Needle Cleaning Option: Wafer Type, Polish Plate
- Multi-Site Parallel Probing Option
- Fail Safe Inspection
- Probe Mark Inspection
- GPIB Interface Option
- Ethernet Interface Option
- Agilent V4400 Tester
- ACC (Automatic Probe Card Changer)
- Image Processing Board
- Bump Height Settings Option
- Group Index Option
- Multi-Pass Probe Option
- Printer
- Network Option: Veganet"
Accretech / TSK UF 3000 Prober 12" "- Software: S5.0G.31
- CE Mark
- Nickel Chuck
- Hard Disk
- 3.5"" FDD
- Magneto-Optical Drive (MO Drive)
- Head Stage
- Single Loader
- FOUP & Open Cassette
- Dual Robotic Wafer Transport Arms
- Pre-Alignment Stage Unit
- Auto Needle Alignment
- Alignment Camera
- Dual (X & Y) Heidenhain Scales
- Alarm Lamp Pole
- Hot Chuck (+30 to +150C)
- Needle Cleaning Option: Wafer Type, Polish Plate
- Multi-Site Parallel Probing Option
- Fail Safe Inspection
- Probe Mark Inspection
- GPIB Interface Option
- Ethernet Interface Option
- Agilent V4400 Tester
- ACC (Automatic Probe Card Changer)
- Image Processing Board
- Bump Height Settings Option
- Group Index Option
- Multi-Pass Probe Option
- Printer
- Network Option: Veganet"
Accretech / TSK UF 3000 Prober 12" "- Software: S5.0G.31
- CE Mark
- Nickel Chuck
- Hard Disk
- 3.5"" FDD
- Magneto-Optical Drive (MO Drive)
- Head Stage
- Single Loader
- FOUP & Open Cassette
- Dual Robotic Wafer Transport Arms
- Pre-Alignment Stage Unit
- Auto Needle Alignment
- Alignment Camera
- Dual (X & Y) Heidenhain Scales
- Alarm Lamp Pole
- Hot Chuck (+30 to +150C)
- Needle Cleaning Option: Wafer Type, Polish Plate
- Multi-Site Parallel Probing Option
- Fail Safe Inspection
- Probe Mark Inspection
- GPIB Interface Option
- Ethernet Interface Option
- ST MT72 Tester
- ACC (Automatic Probe Card Changer)
- Image Processing Board
- Bump Height Settings Option
- Group Index Option
- Multi-Pass Probe Option
- Printer
- Network Option: Veganet"
Accretech / TSK UF 3000 Prober 12" "- Software: S5.0G.31
- CE Mark
- Nickel Chuck
- Hard Disk
- 3.5"" FDD
- Magneto-Optical Drive (MO Drive)
- Head Stage
- Single Loader
- FOUP & Open Cassette
- Dual Robotic Wafer Transport Arms
- Pre-Alignment Stage Unit
- Auto Needle Alignment
- Alignment Camera
- Dual (X & Y) Heidenhain Scales
- Alarm Lamp Pole
- Hot Chuck (+30 to +150C)
- Needle Cleaning Option: Wafer Type, Polish Plate
- Multi-Site Parallel Probing Option
- Fail Safe Inspection
- Probe Mark Inspection
- GPIB Interface Option
- Ethernet Interface Option
- ST MT72 Tester
- ACC (Automatic Probe Card Changer)
- Image Processing Board
- Bump Height Settings Option
- Group Index Option
- Multi-Pass Probe Option
- Printer
- Network Option: Veganet"
Accretech / TSK UF 3000 Prober 12" "- Software: S5.0G.31
- CE Mark
- Nickel Chuck
- Hard Disk
- 3.5"" FDD
- Magneto-Optical Drive (MO Drive)
- Head Stage
- Single Loader
- FOUP & Open Cassette
- Dual Robotic Wafer Transport Arms
- Pre-Alignment Stage Unit
- Auto Needle Alignment
- Alignment Camera
- Dual (X & Y) Heidenhain Scales
- Alarm Lamp Pole
- Hot Chuck (+30 to +150C)
- Needle Cleaning Option: Wafer Type, Polish Plate
- Multi-Site Parallel Probing Option
- Fail Safe Inspection
- Probe Mark Inspection
- GPIB Interface Option
- Ethernet Interface Option
- ST MT72 Tester
- ACC (Automatic Probe Card Changer)
- Image Processing Board
- Bump Height Settings Option
- Group Index Option
- Multi-Pass Probe Option
- Printer
- Network Option: Veganet"
Accretech / TSK A WD 5000 A Fully-Auto Dicing Saw
Accretech / TSK UF 3000 EXE
Accretech / TSK PG 300 RM Polish Grinder & Mounter
Accuglass 399 Sensor
Acculogic FLS 850 D
Accura Sterile Garments Cupboard
Accura Visitors Gown Cupboard
Accura Technics CNC Grinding Machine
AccuRate Small Feeder
ACD Technologies 30 IS Solder Mask Developer
Ace Kiss 103 Soldering System
Ace Gragon AH-270 Oven
Ace Production Technologies KISS LTD 200 Solder Dip Machine
Acer Supra-1020 Surface Grinder "Hydraulic Surface grinder 3 axis automatic.
Overall grinding length 630mm
Overall grinding width 281mm
Overall grinding height 397mm
Fully enclosed splash guard (corrosion damage , coolant leaks)
5hp spindle motor
Electromagnetic chuck
2 axis digital readout
Both grinding mode and plunge mode
Longitudinal limit switches are broken but can be repaired.
3 phase 230V"
Acer M-S 48 Mouse
ACF Applied Critical Fluide GmbH Tube Set
ACME PS 2 L-1500 Electronic Load
ACME PS 2 L-1000 Solid State Load
Acme Electric Welder
ACME 60-24/28 Power Generator
Acme Electric T-2-52707-1 Autotransformer
Acme Electric DTGA 0274 S Transformer
ACME Transformer 61466 Universal Photonics Oven
Acme-Gridley MOD-RA-6 Screw Machine 1"
Acme-Gridley MOD-RAN 6 Screw Machine 1"
Acme-Gridley B 268 Screw Machine 1"
Acme-Gridley MOD RA-6 Screw Machine 7/16"
Acme-Gridley MOD RA-6 Screw Machine 7/16"
Acme-Gridley MOD RA-6 Screw Machine 7/16"
Acme-Gridley MOD RA-6 Screw Machine 7/16"
Acopian A 48 MT 180 Power Supply - new, in the box
ACP 120 Vacuum Pump
Acquity HDX Manager
Acra GH 1440 A Conventional Lathe
Acra VS 08 CNC FG 3 Knee Mill
Acra RL 80 RF 311 Milling and Drilling Machine "- One set of holders
- Set of R8 collets (1/16 inch - 1 inch)
- End mill cutting tools (1/8 inch - 1/2 inch)"
Across Int'l GCF 1300 High Temperature Furnace
ACS DES Line "- 24"" Wide Conveyor
- Warm Air Output Module
- Inspection Module
-Triple Cascade Rinse Modules (4)
- Acid Rinse Module
- Input Module
- Primary Developer Module
- Primary Etcher Modules (2)
- Warm Air Inspection Module
- Anti Drag-out Modules (3)
- Nalgene Tanks w/controls (2)
- PLC Control Cabinets - Unknown Contents (3)"
ACS Standalone Resist Stripper Filtration System "- 24"" Wide Conveyor
- Dual Rotating Screens
- Rotation Drum
- Dewatering Separation Screens
- 150-300 gpm
- 2 Modules"
ACT Chiller Machine "(QTY: 1) ACT AX 7.5 A
(QTY: 1) ACT AX 10 A"
Acteon Carrier Test Jig 18"
Acteon Tool Load Port Test Jig 18"
Acterna HCU 400 PathTrak Module Controller
Acterna RSAM 5600 Remote Service Analyzer Module
Acton SP 2150 i Monochromator / Spectrograph
Adam 500L Mixer
Adam CR 50 KG Mixer
Adam Equipment PGW 753 i Scale
Adam Fabriwork HM 500 Mixer
Adapt MB-10 M Accumulator
ADCO Packaging Machine
ADE 9300 "Some parts are taken out. Only frame/body
has been kept"
ADE Nanomapper "- 4 Port Open Handler
- Robot is there but needs to be repaired / replaced
- Monitor Screen is not OEM
"
ADE CR 80 Wafer Inspection System
ADE CR 81 Wafer Inspection System
ADE Wafer Inspection Tool
ADE 603 Thickness Gauge
Adept Robotic Arm
Adisen Vacuum Pump
Ador iDUS Deep Cooled Spec CcD
Adphos NIRWEB-IV-40-250 HB-31
ADS Laminaire Ivgel 12 Hood
ADS Laminaire MAV Universe Hood
ADS Laminar fume Hood Custom
ADT 7200 Megadice Fully Automatic Dicing System
ADT 7200 Wafer Saw
ADT 977 D Wafer Coating System "- 50/60Hz
- 300VA"
ADT 977 Wafer Washer System
ADT / K&S Precision 7100 Dicing Saw
Adtech MPDR 82
Adv Micro Product WL 200 Wafer Backside Inspection
Advance SR 1100 Floor Sweeper
Advanced Cooling Tower Systems AT 5
Advanced Cutting Systems CNC Plasma Cutter "- High definition
- Koike control
- Hypertherm 200 amp high def source"
Advanced Energy ID 2500
Advanced Energy MDX-6 Power Supply
Advanced Energy Slave Generator P/N 2194-005-V
Advanced Energy Cesar 136 RF Generator
Advanced Energy Cesar 1312 RF Generator
Advanced Energy Cesar 4010 RF Generator
Advanced Energy Cesar 405 RF Generator
Advanced Energy Cesar Lot of RF Generator
Advanced Energy Crystal 60 Power Supply
Advanced Energy RF 30 S Generator
Advanced Energy RF 20 Generator
Advanced Energy RF 10 Generator
Advanced Energy Generators "(Qty: 9) Advanced Energy RF 30 S Generator
(Qty: 2) Advanced Energy RF 20 Generator
(Qty: 1) Advanced Energy Generator"
Advanced Energy RF 5 S
Advanced Energy Paramount 1513
Advanced Energy DC Pinnacle Plus 3152433-103 A Pulsed DC Power Supply - Single output
Advanced Energy DC Pinnacle Plus 3152433-354 Pulsed DC Power Supply - Single Output
Advanced Energy MDX Pinnacle 3152421-600 DC Generator - Dual Output
Advanced Energy DC Pinnacle Plus 3152433-357 A DC Power Supply - 10kW Single Output
Advanced Energy MDX Pinnacle 3152421-600 A DC Power Supply - Dual Output 5kW
Advanced Energy DC Pinnacle Plus 3152433-357 A DC Power Supply 10kW Single Output
Advanced Energy DC Pinnacle Plus 3152433-152 M DC Power Supply - 10kW Single Output
Advanced Energy
Advanced Energy
Advanced Energy
Advanced Energy
Advanced Energy
Advanced Energy
Advanced Plasma Systems (APS) B Series 8
Advanced Power Systems (APS) AR 12-200/D-F ARC Power Supply
Advanced Power Systems (APS) HS-7v2 B-40/100 BIAS Power Supply
Advanced Techniques PRO 1600 Reflow Oven
Advanced Vacuum Vision 310 Plasma-Enhanced Chemical Vapor Deposition (PECVD) 6"
Advantage M 1-1.5 A-21 HFX Chiller
Advantage Lab Freeze Dryer
Advantage M 1 D 15 AF Chiller
Advantage Engineering SK-1035 HEP-41 D1-300 Water Temperature Controller
Advantec SF 3120
Advantec XPLO D 28 Semi Automatic Wafer Detaper
Advantek Industrial CPU "- Width: 19.685 in (50.0 cm)
- Depth: 19.685 in (50.0 cm)
- Height: 7.874 in (20.0 cm)
- Weight: 22 lb (10 kg)"
Advantek ATR 1000 Manual Taping Machine
Advantest T 5371 Tester Single Head
Advantest T 5571 P Parametric Wafer Tester 12"
Advantest T 5771 Test Equipment 12"
Advantest Q 8163 Optical Polarization Scrambler
Advantest M 4541 Handler
Advantest Tr 6846 Digital Multimeter
Advantest T 5592 "- 1 mainframe
-1 head
- 1 -cal robot"
Advantest R 3371 A Spectrum Analyzer
Advantest R 3162 Spectrum Analyzer "- 9kHz-8GHz
- Malfunctioning display when machine turns on. View our video for details."
Advantest V 3308 Tester
Advantest R 3162 Spectrum Analyzer "- 9KHz-8GHZ (R3162)
- Realize bandwidth accuracy below 1%
- Total level accuracy is ±1.5dB with built-in auto-correction
- Due to the 1dB gain compression above 0dBm, 2nd harmonic -80dBc, 2 signal 3rd order intermodulation -80dBc, can reach 118dB dynamic range"
Advantest 93000 Tester "- No computer
- 2x MSDPS"
Advantest 93000 Tester "- No computer
- 96x C200e (7M)
- 4x ACDC
- 8x DCDC"
Advantest 93000 Tester Frame Frame only, no computers
Advantest M 4500 Handler
Advantest 93000 Tester "- No computer
- 4x MSDPS
- 15x C 400"
Advantest 93000 Tester "- No computer
- 64x C200e (7M)
- 8x ACDC
- 16x DCDC"
Advantest T 5723 Memory Tester "- 1296 pins
- 144 UPS"
Advantest V 3300 Tester
Advantest E 3630 Photomask CD SEM
Advantest T 2000 Tester
Advantest M 6751 AD Automatic Handler "- -30*C to +125*C
- Processing speed up to 4500 pieces an hour
- Test tray can store up to 64 devices"
Advantest HSM 3 G Tester System "- 2.3Gbps data rate
- True 256 site DDR3 parallel testing
- Hardware 2.9Gbps"
Advantest M 6300 Automatic Handler "- Temp range 125 ~ -55
- Approx 12,000 devices/hr
- Tray matrix: 16 x 30
- One category"
Advantest T 5375 Memory Tester System "- 2 heads and full pin
- With flash option"
Advantest T 5377 Tester System
Advantest T 5585 Tester System
Advantest T 6575 A Tester
Advice DF 173158 GA DC Power Supply
Advice P 3625 T Triple DC Power Supply
Adwill RAD 2500 Package Mounter "- Semiauto 12""
- with 2x CU"
AEC G 1012 P 19705 Granulator - 10hp
AEC G 1012
AEC PSA 10 Portable Chiller "- 10 ton
- Refrigerant leak in the heat exchanger"
AEG Thyrobox H 2 DC Process Power Supply
AEG Throbox H 2 Power Supply
Aehr Burn-In Oven
Aehr Burn-In Oven
AEM Deburring Machine
Aero 250 Resist Development & Drying Line
Aero 4060 Post Mold Treatment for LFGA
Aero 450 Etching Horizontal Line
Aero 650 Blue Ink Stripping System
Aero 950 R Immersion Tin Plating Line
Aero GPE 5 K Resist Exposure Machine Double Side Exposure
Aero Motive Table 30" x 60"
Aeroflex LTE 7100 Digital Radio Test Set
Aeroflex PXI "- 3005 Chassis
- 8360 PXI-PCIe card
- PXI 3050A Sig-Gen
- PXI 3320 AWG
- PXI 3070A Digitizer
- RF Combiner 3061"
Aeroflex 1430-4 High Power Coaxil Termination
Aero-Go BX 64
Aerostat XC Ionizator Lot
Aerostat XC Ionizator Lot
Aerostat XC Ionizing Air Blower
Aerostat XC Ionizador
Aerotech PS 05 Metrology 8"
Aeroton Aero 886 Immersion Plating Line
AES 2011053-00 Forming Gas Panel
AES FSK 011-12 Ammonia Gas Cabinet 6x6
AES Ammonia Gas Cabinet 2x2
AET Gemini 3 E Dual-Chamber Epitaxial Reactor 4", 5", 6" "- Main body Power = 220V
- 1phase 40A
- Electric Power = 8.8KVA"
Aetec Thermal Cyiling Test Machine
Aetec ALX-P 718-1 SR Thermal Cycling Chamber
Aetec ALX-P 718-2 SR Heat Cycle Tester
Aetrium 5050 T Handler
Aetrium 5050 T Handler
Aetrium 55 V 6
Aetrium A 5050 T Handler
Aetrium 6000 Bubble Detector
Aetrium 5050 Handler - For MSOP package
Aetrium 505 DTS Handler
Aetrium 5050 Handler
Aetrium V 16 Handler
Aetrium VMAX 1018208 Test Handler
Aetrium MTS 1026194 Media Handler
Aetrium 55 V 6306 Handler
Aetron Luminous Mini Thin-Layer Chromatography (TLC) Documentation System
Aetruim 5050 QTS Test Handler
Aetruim 5050 DTS Test Handler
Aetruim 5050 S Test Handler
Aetruim 5050 QTS Test Handler
Aetruim 5050 DTS Test Handler
Aetruim 5050 S Test Handler
AFC BBS 15/16 D-TS Ultra Broad High Power Fiber Source
AFC BBS 1310 High Power Broadband Light Source
AFC BBS 1550 Broadband Light Source
AFC BBS 980 Broadband Light Source
Affinity PAE-020 L BE 38 CB 04 Chiller
Affinity Chiller
AFL CL 1000
AG Associates Heatpulse 210 RTP 3", 4" - Includes repaired quartz chamber
AG Associates Heatpulse 410 - comes with computer
AG Associates Heatpulse 210 Oven
Agar Low Speed Diamond Saw and Polisher
Agaram Industries Refractometer
Agarose Electrophoresis Tank and Casting
Agarose Electrophoresis Tank
Agarose Mini Gel Tank
Agarose Super Mini Gel Tank
AGC Ling 72KVA Amplifier Cabinet
Aget 60 SN 70 D 2 SP Cyclone Dust Collector "- 10 HP Motor 230/460-3-3600 TEFC C-Face motor pressure relief door
- 12"" inlet"
Agie Agiecut 100 Wire EDM
Agie 100 D Wire EMD
Agilent VSMD 301 Leak Detector Including TS 620 Dry Scroll Pump
Agilent 7004 A BERT and Pattern Generator and Detector Measurement System
Agilent 86116 C Electrical Module
Agilent Spectrum Analyzer
Agilent 8662 Signal Generator
Agilent E 4411 B Spectrum Analyzer
Agilent 3577 A Network Analyzer
Agilent 87510 A Grain-Phase Analyzer
Agilent 6611 C Power Supply
Agilent 2645 A
Agilent 34401 A Digital Multimeter
Agilent E 3632 A Power Supply
Agilent 8720 C Network Analyzer Has a phase lock error
Agilent 8720 C Network Analyzer Test Port Overload Warning
Agilent 8510 C Network Analyzer In working condition
Agilent 8714 C
Agilent 33120 A Function / Wave Form Generator
Agilent 81594 B
Agilent 81578 A
Agilent 81595 B
Agilent 8166 A
Agilent 8166 B
Agilent 8133 A Pulse / Pattern Generator 3 GHz
Agilent Logic Analyzer
Agilent 6012 Power Supply
Agilent E-6000 OTDR
Agilent 34401 A
Agilent E 5250 A
Agilent 6035
Agilent 6035 A Power Supply "- 0-500v / 0-5A
- 1000w"
Agilent 54815 A Infinium Oscilloscope "- 500 MHz
- 1 GSa/s"
Agilent 4145 B Semiconductor Parameter Analyzer - with manual
Agilent 54502 A Digitizing Oscilloscope - 400 MHz, 400 MSa/s
Agilent 6205 B DC Power Supply
Agilent 8648 B Signal Generator
Agilent 3562 A Dynamic Signal Analyzer
Agilent 4195 A Network / Spectrum Analyzer
Agilent 54503 A Digitizing Oscilloscope 500 MHz
Agilent 8714 C Network Analyzer 300 KHz - 3 GHz
Agilent 4155 C
Agilent 54510 B Oscilloscope 300 Mhz
Agilent 54512 B Oscilloscope 300 Mhz
Agilent 8656 B Signal Generator
Agilent 8901 B Modulation Analyzer
Agilent 85662 A Spectrum Analyzer Display
Agilent 8568 B Spectrum Analyzer
Agilent 8753 A Network Analyzer
Agilent 5371 A Frequency and Time Interval Analyzer
Agilent 8082 A Pulse Generator
Agilent 6624 A Power Supply
Agilent Lightwave Component Analyzer "Includes:
- N 4373 C (50 GHz Lightwave Component Analyzer)
- E 8364 C (PNA Network Analyzer)
- N 4693-60003 (Electronic Calibration Module)"
Agilent 5 DX X-ray Machine
Agilent 7694 Headspace Sampler
Agilent 5 DX X-Ray
Agilent Triscroll Pump
Agilent 5 DX Series 5000 X- Ray
Agilent 8960
Agilent 34401 A Digital Multimeter
Agilent 86100 A Infiniium DCA Wide-Bandwidth Oscilloscope "- 8611 2A 20 GHz Dual Channel Electrical Module
- 54754 A Differential & Single-ended TDR/TDT Module"
Agilent 8133 A Pulse Generator 3 GHz
Agilent 1653 B Logic Analyzer
Agilent Infinium Oscilloscope
Agilent 6624 A System DC Power Supply
Agilent 4278 A LCR Meter
Agilent 4268 A LCR Meter
Agilent 4288 A LCR Meter
Agilent E 3634 A Power Supply "- 25V / 7A
- 50V / 4A"
Agilent E 3648 A Power Supply - 20V / 5A (IP)
Agilent N 6700 B Modular Power System Mainframe
Agilent E 1410 A Digit Multimeter, VXI
Agilent E 3648 A Power Supply, DC (IP)
Agilent E 3646 A Power Supply, DC (EEC)
Agilent 34980 A Data Acquisition / Switch Unit (380-0092)
Agilent 34980 A Data Acquisition Unit (380-0088)
Agilent 34980 A Data Acquisition / Switch Unit (380-0110)
Agilent 6626 A System DC Power Supply
Agilent 54622 D Mixed Signal / Oscilloscope 100Mhz, 200Ms A/S
Agilent 1631 D Logic Analyzer - Complete system
Agilent 3400 A RMS Voltmeter
Agilent 3466 A Digital Multimeter
Agilent 3575 A Gain-Phase Meter 1Hz-13Mhz
Agilent 4192 A LF Impedance Analyzer 5Hz - 13Mhz
Agilent 4262 A Digital Multi Frequency Lcr Meter
Agilent 4262 A LCR Meter With 16061A Test Fixture
Agilent 436 A Power Meter
Agilent 437 B Power Meter
Agilent 5316 A Universal Counter
Agilent 5328 A Universal Counter
Agilent 5328 B Universal Counter
Agilent 5335 A Universal Counter
Agilent 5335 A Universal Counter - Needs calibration
Agilent 54520 A Oscilloscope 500Mhz, 500Ms A/S
Agilent 6186 B DC Current Source
Agilent 6201 B DC Power Supply 0-20V, 0-1.5A
Agilent 6203 B DC Power Supply
Agilent 6207 B DC Power Supply
Agilent 6216 A Power Supply "- 0-30V, 0-500Ma
- Needs Calibration"
Agilent 6217 A Power Supply
Agilent 6227 B Dual AC Power Supply "- 0.25V, 0.2A
- Needs calibration"
Agilent 6236 B Power Supply
Agilent 6274 B DC Power Supply
Agilent 6282 A DC Power Supply
Agilent 6551 A DC Power Supply 0-8V / 0.50A
Agilent 6552 A DC Power Supply 0-20V / 0-25A
Agilent 6826 A Power Supply
Agilent 8175 A Digital Signal Generator
Agilent 8180 B Data Generator
Agilent 8620 C Sweep Oscillator With HP 86222B RF Plug-In
Agilent 8753 A Network Analyzer
Agilent Digital Voltage Source +/- 50V / +/- 5Amps
Agilent 6274 B Power Supply Dc 0-60V, 0-15A
Agilent E 3640 A Power Supply 30W
Agilent 1664 A Logic Analyzer "- 32 Channel
- With Manuals"
Agilent 59501 B DAC Programmer
Agilent 4195 A Network / Spectrum Analyzer
Agilent 6671 A DC Power Supply
Agilent DS 05304 A Digital Storage Oscilloscope
Agilent 33120 A Function / Waveform Generator
Agilent E 3631 A Triple Output DC Power Supply
Agilent 3456 A Digital Voltmeter
Agilent Pattern Generator / Error Detector
Agilent N 5106 A PXB Baseband Generator and Channel Emulator
Agilent 2100 Bioanalyzer DNA, RNA, Protein and Cell Analysis
Agilent 8960 Phone Tester
Agilent E 4411 B Spectrum Analyzer 1.5GHz
Agilent EISA-L 1500 A Spectrum Analyzer 1.5GHz
Agilent 89441 VSA
Agilent 4142 B Modular DC Source / Monitor
Agilent 54542 A Oscilloscope
Agilent 6030 A System Power Supply
Agilent 8592 B Spectrum Analyzer
Agilent 8568 A Spectrum Analyzer
Agilent 5 DX X-Ray Machine
Agilent 6221 TOF Source
Agilent 6224 TOF Source
Agilent SH 110 Screw Vacuum Pump
Agilent 70004 A Display Computer "- Computer for Optical Spectrum Analyzer
- Does NOT Come with Optical Spectrum Analyzer"
Agilent 8504 B Precision Reflectometer
Agilent 83438 A Erbium ASE Source
Agilent 86061 C Lightwave Switch
Agilent 86060 C Lightwave Switch
Agilent 5316 A Universal Counter "- 48-56Hz
- 30VA Max"
Agilent 6516 A DC Power Supply "0-3000V
0-6MA"
Agilent E 3632 A DC Power Supply
Agilent 6515 A DC Power Supply "- 115V
- 250 MAT
- 20VA Max"
Agilent 6206 B DC Power Supply
Agilent 6205 B Dual DC Power Supply "0-40V 3A
0-20V 6A"
Agilent 200 CD Wide Range Oscillator 115/230V
Agilent 6522 A DC Power Supply "0-2000V
0-100MA"
Agilent 2457 A
Agilent 6634 B System DC Power Supply
Agilent 5316 B Universal Counter
Agilent 8903 B Audio Analyzer
Agilent 34401 A Multimeter
Agilent 54610 B Oscilloscope 500MHz
Agilent 8116 A Pulse / Function Generator 50 MHz
Agilent 3488 A Switch Control Unit
Agilent 6295 C Dual DC Power Supply
Agilent 4947 A Transmission Impairment Measuring Set
Agilent Harrison 6253 A Dual DC Power Supply
Agilent Harrison 6428 B DC Power Supply "0-20V
0-45A"
Agilent E 366 XA, E 390 XA Series, Model C 2786-60024 Electric Cabinet - Rack Only (Test Equipment inside not included)
Agilent AT 82357 B USB to GPIB Connector Interface
Agilent 34401 A Multimeter Digital
Agilent 34970 A Data Acquistion / Switch Unit With 34905A RF mux x2
Agilent 8656 A RF Signal Generator 0.1MHz-990MHz
Agilent 6216 A DC Power Supply 30V
Agilent 8563 E Spectrum Analyzer
Agilent 8590 L Spectrum Analyzer - No accessories
Agilent 7683 B ALS Injector
Agilent G 2912 A ALS Controller
Agilent 80525 A Optical Head
Agilent 81521 B Optical Head
Agilent 81524 A Optical Head
Agilent 81533 B Optical Head Interface
Agilent 81533 B Power Meter
Agilent 81534 A Return Loss Module
Agilent 8153 A Lightwave Multimeter
Agilent 81553 SM Laser Source Single Mode
Agilent 81618 A Power Meter
Agilent 81619 A Dual Head Interface
Agilent 81623 B+KA Power Meter Detector Head
Agilent 8163 A Lightwave Mainframe
Agilent 8163 A + 81618 A + 81623 B Lightwave Multimeter With Interface and Optical Head
Agilent 81654 A Laser
Agilent 86142 B Optical Spectrum Analyzer
Agilent 81625 A, 81625 B
Agilent 86037 C Chromatic Dispersion Test Solution System
Agilent 81533 B Interface Module
Agilent 81525 A Optical Head
Agilent 86142 A Optical Spectrum Analyzer
Agilent E 5574 A Optical Loss Analyzer With Options
Agilent 81533 A Interface Optical Head
Agilent 8594 E Spectrum Analyzer
Agilent E 3611 A DC Power Supply
Agilent E 3620 A Dual Output Supply 6603 D
Agilent 6209 B DC Power Supply
Agilent 712 C Power Supply
Agilent E 3620 A DC Power Supply
Agilent E 3620 A DC Power Supply 0-25V Dual Output
Agilent 6209 B DC Power Supply 0-320V
Agilent 6002 A DC Power Supply
Agilent 6227 B DC Power Supply
Agilent 712 C Power Supply
Agilent 7550 High Speed Plotter
Agilent 7090 A Plotting
Agilent 8007 A Pulse Generator
Agilent 3497 A Data Acquisition System
Agilent 1980 A Digital Oscilloscope
Agilent 3200 B VHF Oscillator
Agilent 3300 A Function Generator
Agilent N 5181 A Analog Signal Generator
Agilent 53181 A Frequency Counter
Agilent E 4406 A VSA Series Transmitter Tester
Agilent 53132 A Universal Counter
Agilent 6624 Power Supply
Agilent 53230 A
Agilent 34401 A
Agilent 8753 E - 3 GHz
Agilent Infiniium 54825 Oscilloscope 500 MHz, 2 GSa/s
Agilent 1620 Logic Analyzer with 26 probe
Agilent 8133 A Pulse Generator
Agilent 53310 A Modulation Domain Analyzer
Agilent 6030 A
Agilent 6038 A
Agilent N 6736 B CFG 001
Agilent N 6776 A-MY 45000840 DC Power Module "- 100V
- 3A
- 300W"
Agilent 6655 A DC Power Supply 0-12 OV/0-4A
Agilent 6614 C "- 0-100V
- 0-0.5A"
Agilent 5400 5 DX S 3 X-ray Inspection
Agilent 81576 A Variable Optical Attenuator Module with Power Control
Agilent 86120 C Multi-Wavelength Meter
Agilent 86130 A BitAlyzer Error Performance Analyzer "- 3.6 GB/s
Following errors occur at boot up:
- 0251: System CMOS checksum bad
- 02BO: Diskette drive A error"
Agilent 83493 A Single-Mode Clock Recovery Module - 2500 Mb/s
Agilent 8163 A Lightwave Multimeter - With Agilent 81576A Attenuator Power Control
Agilent 83493 A Single-Mode Clock Recovery Module - 2500 Mb/s
Agilent 83493 A Single-Mode Clock Recovery Module - 2500 Mb/s
Agilent 83493 A Single-Mode Clock Recovery Module - 2500 Mb/s
Agilent 83493 A Single-Mode Clock Recovery Module - 2500 Mb/s
Agilent 83493 A Single-Mode Clock Recovery Module - 2500 Mb/s
Agilent 8510 B Network Analyzer
Agilent 4291 A Impedance Material Analyzer - Options 001, 1C2 and 1D5
Agilent 4195 A Network Analyzer "- 500 MHz
- with Measurement Unit"
Agilent 86100 A Infinium Wide Band Oscilloscope
Agilent 8163 A Lightwave Multimeter "- HP 81554 SM laser source
- HP 81533 B optical head interface
- HP 81525 A optical head"
Agilent E 4402 B Spectrum Analyzer "- 9kHz - 3.0GHz
- Condition Notes: We ran the alignment test on the spectrum analyzer. It passes the alignment of the ADC, LO and IF. However it does not pass the RF alignment. What the scope displays is “Align RF skipped, No align signal”. There is also no 50MHz signal at the REF out of the scope which is required for it to pass the test. We hooked it up to a frequency generator and the spectrum analyzer can detect the signal but is very weak. "
Agilent E 6607 A EXT Wireless Communication Test Set - 50/60/400 Hz
Agilent E 4416 A Power Meter
Agilent 3561 A Dynamic Signal Analyzer
Agilent 8565 A Spectrum Analyzer
Agilent 8754 A Network Analyzer
Agilent 8643 A Synthesized Signal Generator
Agilent 34401 A Multimeter
Agilent 355 C VHF Attenuator
Agilent 355 D VHF Attenuator
Agilent 3561 A Dynamic Signal Analyzer
Agilent 3611 A Power Supply
Agilent 5382 A Frequency Counter
Agilent 58503 A GPS Reference Receiver
Agilent 59306 A Relay Actuator
Agilent 6205 B Dual DC Power Supply
Agilent 6205 C DC Power Supply
Agilent 6218 A Power Supply
Agilent 6234 A Power Supply
Agilent 7470 A Plotter
Agilent 8012 B Pulse Generator
Agilent 8013 B Pulse Generator
Agilent 8350 B Sweep Generator
Agilent 83522 A RF Plug-In
Agilent 8481 A Power Sensor
Agilent 8484 A Power Sensor
Agilent 8530 B Sweep Oscillator
Agilent 8656 B Signal Generator
Agilent 8780 A Vector Signal Generator
Agilent 33220 A 20 MHz
Agilent 81130 A
Agilent 8665 A
Agilent 8720 ES 20 GHz
Agilent E 4405 B 13.2 GHz
Agilent E 4432 B
Agilent 16903 A
Agilent 34401 A
Agilent 6031 A
Agilent 66321 D
Agilent 6632 A
Agilent 8903 B
Agilent E 3630 A
Agilent E 3631 A
Agilent Infiniium 500 MHz
Agilent 16500 C Logic Analyzer
Agilent 16702 B Logic Analyzer
Agilent 16717 A Timing Analyzer
Agilent 33220 A Waveform Generator
Agilent 33250 A Waveform Generator
Agilent 54602 A Oscilloscope
Agilent AT 33522 A-M 1 Waveform Generator
Agilent E 4407 B-OPTS 21 Spectrum Analyzer
Agilent Infiniium 54855 A DSO Oscilloscope
Agilent 8510 Network Analyzer 45MHz-26.5Ghz+8340A 10MHz-26.GHz
Agilent 8515 A Network Analyzer
Agilent 8562 A
Agilent 8719 C
Agilent 8453 A UV-Visible Spectrophotometer
Agilent 86100 A Infiniium DCA Wide Bandwidth Oscilloscope
Agilent 8163 A Lightwave Multimeter
Agilent Spectrum Analyzer
Agilent 347 B Power Meter
Agilent 34970 A Data Acquisition
Agilent 436 A Power Meter
Agilent 437 A Power Meter
Agilent 437 B Power Meter
Agilent 54502 A Oscilloscope 400Mhz
Agilent 8350 B Sweep Generator
Agilent 8350 B Sweep Oscillator
Agilent 8620 C Sweep Generator
Agilent 8720 C Network Analyzer
Agilent 87520 Scalar
Agilent 8757 A Scalar Analyzer
Agilent 8757 A Scalar Network Analyzer
Agilent 8757 D Scalar Network Analyzer
Agilent 8970 B Noise Figure Meter
Agilent 16702 A Logic Analyzer
Agilent 16702 B Logic Analyzer
Agilent 16500 C Logic Analyzer
Agilent 3497 A Data Acquisition Control Unit
Agilent 1631 D Logic Analyzer
Agilent 6890 N Gas Chromatograph
Agilent 6632 A System DC Power Supply
Agilent 8656 B Signal Generator
Agilent 8657 A Signal Generator
Agilent DS 302 Rotary Vane Vacuum Pump
Agilent 4145 A Semiconductor Parameter Analyzer
Agilent 34401 A Digital Multimeter
Agilent 3478 A Digital Multimeter
Agilent 6206 B DC Power Supply
Agilent 6623 A DC Power Supply
Agilent 6625 A DC Power Supply
Agilent 6634 A DC Power Supply
Agilent 6642 A DC Power Supply
Agilent 6643 A DC Power Supply
Agilent 6653 A DC Power Supply
Agilent 6654 A DC Power Supply
Agilent 34401 A Digital Multimeter
Agilent 34970 A Aquisition / Switch Unit
Agilent E 3611 A DC Power Supply
Agilent 8757A Scalar Network Analyzer
Agilent 8155 A Laser Source 1550nm
Agilent 3438 A Digital Multimeter
Agilent 8153 A Lightwave Multimeter
Agilent 8157 A Optical Attenuator 1300 1550nm
Agilent 6269 Power Supply
Agilent 6269 LCR Meter
Agilent 4262 A
Agilent 8903 A Audio Analyzer 20Hz-100kHz
Agilent 33210 A Arbitrary Function Generator 10MHz
Agilent 8153 A Lightwave Multimeter
Agilent 86060 C Lightwave Switch
Agilent 3435 A Digital Multimeter
Agilent 70004 A Optical Spectrum Analyzer
Agilent 34401 A Desktop Digital Multimeter
Agilent 34970 A Data Collector - 20 Channel Multiplexer
Agilent 9009 Keyboard
Agilent 9109 Keyboard
Agilent 34401 A Multimeter
Agilent 34970 A Data Acquisition / Switch Unit
Agilent Compaq D 530 CMT CPU
Agilent Compaq DC 5100 SFF CPU
Agilent Compaq DC 5750 CPU
Agilent Compaq DX 2200 CPU
Agilent XW 4600 CPU
Agilent KB 0133 Keyboard
Agilent KB 0306 Keyboard
Agilent KB 0316 Keyboard
Agilent KB 57211 Keyboard
Agilent Mofyuo Mouse
Agilent M-S 0006 O Mouse
Agilent M-SBF 96 Mouse
Agilent Surestore DAT 24
Agilent Surestore DAT 25
Agilent M-S 0005 O Mouse
Agilent EX 9698805 M 001 Turbo Pump "- 76 Vac
- 100 W"
Agilent 11693 A Limiter
Agilent 16702 A Analysis System
Agilent 1670 D Deep Logic Analyzer
Agilent 1682 AD Logic Analyzer
Agilent 34410 A Digital Multimeter (DMM)
Agilent 437 B Power Meter
Agilent 53181 A Frequency Counter
Agilent 6205 C DC Power Supply
Agilent 6626 A DC Power Supply
Agilent 66332 A Measurement DC Source
Agilent 8481 A Power Sensor
Agilent 85024 A High Frequency Probe
Agilent 8562 EC Spectrum Analyzer
Agilent 8647 A Signal Generator
Agilent 8657 A Signal Generator
Agilent DSA X 91604 A Signal Analyzer
Agilent DSO 1014 A Oscilloscope
Agilent DSO 1024 A Oscilloscope
Agilent DSOX 2024 A Storage Oscilloscope
Agilent E 4402 B Spectrum Analyzer
Agilent E 4411 B Spectrum Analyzer
Agilent E 4420 B Signal Generator
Agilent FRG 700 Vacuum Gage
Agilent FRG 702 Vacuum Gage
Agilent Infiniium DCA 86100 A Oscilloscope
Agilent 33120 A Waveform Generator
Agilent 6629 A DC Power Supply
Agilent 8131 A Function Generator
Agilent 83484 A Two Channel Module for Oscilloscope
Agilent 6624 A DC Power Supply
Agilent E 3611 A
Agilent 54645 A
Agilent 54622 A
Agilent 8012 B
Agilent 5334 A
Agilent 6237
Agilent 11667 A Power Splitter
Agilent 11708 A Attenuator Fixed
Agilent 11878 A Type N to APC 3.5
Agilent 22411 Switch / Control Unit
Agilent 33120 A Function Generator
Agilent 34401 A Digital Multimeter
Agilent 346 C Noise Source
Agilent 432 A Power Meter
Agilent 436 A Power Meter
Agilent 53181 A Low Frequency Counter
Agilent 54810 A Oscilloscope
Agilent 8478 B Thermistor Mount
Agilent 85038 80002
Agilent 86205 A Directional Bridge
Agilent Design Jet 500 Chart Printer
Agilent K 486 A Thermistor Mount
Agilent N 4694 60001 1.8MM
Agilent P 281 C Coaxial Adapter
Agilent R 486 A Thermistor Mount
Agilent 5 DX Series 5000 / 5400 Loki X-ray Tool
Agilent 4284 A Precision LCR Meter 20Hz-1MHz
Agilent 8164 A Lightwave Measurement System
Agilent 33120 A Function / Arbitrary Waveforem Generator
Agilent 3421 A Data Acquisition / Control Unit
Agilent 3852 A Data Acquisition / Control Unit
Agilent 5890 Series II Gas Chromatograph - Attached head 7673 Injector
Agilent 8591 E Spectrum Analyzer - Display intermittently fails
Agilent 3478 A Multimeter
Agilent 6890 Gas Chromatograph
Agilent SJ 5000 PCB Inspection System - Single Lane
Agilent SP 50 PCB Inspection System - Single Lane
Agilent 3314 A Function Generator
Agilent 4329 A High Resistance Meter
Agilent 608 Monitor
Agilent 7004 Display
Agilent 16058 A Test Fixture
Agilent 3325 A Synthesizer / Function Generator
Agilent 3478 A Multimeter
Agilent 4145 B Analyzer
Agilent 53132 A Universal Counter
Agilent 6623 A DC Power Supply
Agilent 70311 A Clock Source
Agilent 70841 B Pattern Generator
Agilent 70842 B Error Detector
Agilent 8133 A Pulse Generator
Agilent 86112 A "- 20 GHz
- Plug In"
Agilent Cerjac 156 MTS E 4480 A Sonet Maintenance Test Set
Agilent E 2809 A Operator Console
Agilent E 3620 A Power Supply
Agilent 6012 B
Agilent 54501 Digitizing Oscilloscope - 100 MHz
Agilent GTA 120 Graphite Tube Atomizer
Agilent E 4438 C ESG Vector Signal Generator "- 250 kHz - 2.0 GHz
- Options: 005, 1E5, 409, 502, 602"
Agilent 16500 B Logic Analyzer Mainframe
Agilent 16500 L Logic Analyzer Interface Module
Agilent 16520 A Pattern Generator
Agilent 16555 A Timing Card 125MHz State / 500MHz
Agilent 34401 A Multimeter 6 1/2 Digit
Agilent 34401 A Multimeter
Agilent 3468 A Multimeter
Agilent 3478 A Multimeter
Agilent 6114 A Precision Power Supply 0-20V, 2A / 20-40V, 1A
Agilent 6615 A Precision Power 0-50V, 0.8A / 50-100V, 0.4A
Agilent 6516 A DC Power Supply
Agilent 9499308 Vacuum Pump 110V / 50-60 Hz / 213VA
Agilent DS 3402 Vacuum Pump
Agilent E 3611 A DC Power Supply 0-20V, 0-1.5A / 0-35V, 0-0.85A
Agilent E 3631 A DC Power Supply "- Triple Output
- 0-6V, 5A / 0- +/- 25V, 1A"
Agilent E 3641 A DC Power Supply 0-35V, 0.8A / 0-60V, 0.5A
Agilent Harrison 6205 B Dual DC Power Supply
Agilent Triscroll PTS 03001 UNIV Dry Vacuum Pump
Agilent 2200 Tape station "- Notebook HP
- DE 01757806
- Genexpress"
Agilent 4156 B Precision Semiconductor Parameter Analyzer "- 100-240V, 50/60Hz
- With OPT 410
- Includes 41501B SMU & Pulse Generator Expander
- Note: the fan rattles, bad display/no boot"
Agilent SJ 50 Automated Optical Inspection (AOI) system - W/ upgrade to V 510
Agilent 8751 A Network Analyzer
Agilent 8665 A Synthesized Signal Generator 0.1 - 4200 MHz
Agilent E 4406 A Transmitter Tester 7 MHz - 4.0 GHz
Agilent 6627 A DC Power Supply
Agilent 8341 A Synthesized Sweeper 0.01 - 20 GHz
Agilent E 4433 B Signal Generator 250 kHz - 4.0 GHz
Agilent 8960 E 5515 C Tester
Agilent 5 DX Series 3 X-Ray
Agilent SJ 50 Series II Automated Optical Inspection
Agilent 4294 A Precision Impedance Analyzer 40Hz-110MHz "Options: 800
Unit passes all self tests"
Agilent 04294-61001 100 Ohm Resistor
Agilent 6236 B Triple Output Power Supply 0-6V, 0-2.5A / 0+/-20V, 0-0.5A
Agilent 3488 A Switch Control Unit
Agilent 4194 A Impedance / Gain-Phase Analyzer with Measurement Unit "- 100Hz-40MHz
- Options: OPT350
- Notes: Version 2.3, Scope appears to be working, passes start up tests and is able to make measurements"
Agilent 4194 A Impedance / Gain-Phase Analyzer with Measurement Unit "Options: OPT350
Notes: Version 2.4, Scope appears to be working, passes start up tests and is able to make measurements"
Agilent 350 D Attenuator Set
Agilent 8140 A Fiber Optics Test Set
Agilent 6206 B DC Power Supply
Agilent 1745 A Oscilloscope - 100 MHz
Agilent 86140 B Optical Spectrum Analyzer - Passes self tests on start up
Agilent 54200 A Digitizing Oscilloscope "- Firmware Rev: A2631
- Unit is in good working condition, both ports are working"
Agilent 8168 D Tunable Laser Source "- Firmware revision: 4.00
- Option: 021 - Straight Connector
- Wavelength: 1480-1570nm
- Output power: -20.0 to 1.5 dBm
- Mod frequency: 250Hz - 300kHz
- Powers up and passes all self tests
- Has a clear and accurate output
- Device is in good working condition"
Agilent 6130 MS LC-MS "Includes:
- 1200 series HPLC and nitrogen generator
- PC running OpenLAB CDS/Chemstation software"
Agilent E 34980 A Multifunction Switch
Agilent E 3642 A Power Supply
Agilent 86130 A Error Performance Analyzer 3.6Gb/s
Agilent 4262 A LCR Meter
Agilent 4145 A Parametric Analyzer
Agilent 34902 A 16 Channel Multiplexer
Agilent 34902 A 16 Channel Multiplexer
Agilent 8753 D Network Analyzer
Agilent 85047 A Parameter Test Set 300 kHz - 6 GHz
Agilent 4291 A Impedance Material Analyzer
Agilent 8509 Analyzer
Agilent Equipment Lot "Includes:
- 3478A Mutimeter
- 34401A Multimeter"
Agilent Infinium Oscilloscope "- 500mhz
- Switch control unit 3488A"
Agilent Equipment Lot "With:
- Agilent Digital Multimeter
- Vitrek Grounding Analyzer
- Extech Hipot"
Agilent Power Supply Lot "with:
- Test Transmitter
- 8594 L Spectrum Analyzer"
Agilent 34401 A Digital Multimeters
Agilent Digital Multimeter "With:
- Hipot
- Power Supply"
Agilent 54610 B Oscilloscope Lot "- 500Mhz
With:
- HP 34401A Digital Multimeter
- Keithley Power Supply"
Agilent Oscilloscope "- 500Mhz
- Agilent Digital Multimeter"
Agilent Oscilloscope 500Mhz
Agilent 54600 B Oscilloscope 100Mhz
Agilent VSMD 301 Vacuum Leak Detector
Agilent 5 DX Automated X-ray Inspection
Agilent 1100 Series HPLC "- Degasser G1379A
- Binary Pump G1312A
- Autosampler G1313A
- Column model G1316A
- Variable Wavelength Detector model G1314A
- Refractive Index Detector model G1362A"
Agilent HPLC
Agilent 1100 HPLC
Agilent 41420-61601 Quadrax Cable "- 3 meter
- Tested and working"
Agilent U 2751 A USB Modular Switch Matrix
Agilent 6611 C DC Power Supply
Agilent 8647 A Signal Generator 2 Ghz
Agilent 8648 C Synthesized Signal Generator
Agilent 8648 C Signal Generator 2 Ghz
Agilent 8753 ES S-Parameter Network Analyzer
Agilent 8753 ES Network Analyzer "- Vector, 2 port
- 18 Ghz"
Agilent E 3631 A Power Supply Triple Output
Agilent E 3631 A Power Supply Triple Output
Agilent E 3631 A Power Supply Triple Output
Agilent Versatest V 1200 Mixed Memory / Logic IC Test System "- WindowsNT
- with PC and power supply"
Agilent Versatest V 1200 Mixed Memory / Logic IC Test System "- WindowsNT
- with power supply
- No PC"
Agilent Versatest V 1200 Mixed Memory / Logic IC Test System "- WindowsNT
- with PC
- no power supply"
Agilent Versatest V 1200 Mixed Memory / Logic IC Test System "- WindowsNT
- with PC & power supply"
Agilent Versatest V 1200 Mixed Memory / Logic IC Test System "- WindowsNT
- with PC & power supply"
Agilent Versatest V 1200 Mixed Memory / Logic IC Test System "- WindowsNT
- with power supply
- No PC"
Agilent 3100
Agilent 1260 Infinity G 2226 A
Agilent 3325 A Synthesizer / Function Generator
Agilent 3455 A Digital Voltmeter
Agilent 4275 A Multifrequency LCR Meter
Agilent 4275 A Multifrequency LCR Meter - Not in working order
Agilent MFC Cabinet - for parts
Agilent Medalist sj 5000 Automated Optical Inspection tool (AOI)
Agilent 4073 A Parametric Tester 8" "- Cabinet with testhead
- HPSMU
- Relay pin boards (48)
- MPSMU (6)"
Agilent / HP 8640 B Signal Generator opt-323
Agilent / HP 16500 A Logic Analyzer with manual and disks
Agilent / Varian 700 ICP-OES " 1. Does it come with a chiller? has chiller
2. Does it come with an autosampler? not have
3. Does it come with a computer? If so, what is the operating system? What is the software version? have a computer, MS system"
Agilent / Varian CP 2003 Gas Liquid Chromatograph
Agilent / Varian 7500 ICP-Mass Spectrometer "-ASX500 Auto-sampler
-HP/Agilent G 1879 B Heat Exchanger
-Edwards vacuum pump
-Argon regulator protocol station valves, also helium and hydrogen gas regulator valves
-Franek Laboratory Protection System-Uninterruptible Power Supply
-P.C. w/ Software"
Agilent / Varian Triscroll PTS 300 Dry Scroll Pump
Agilent / Varian 5890 Series II Gas Chromatography Plus "Includes:
- Ruska Laboratories Thermex Pyrocell
- Hp 59822B Inonization Gauge Controller
- Chemical Data Systems 122 Pyroprobe & Micro-Extended Pyroprobe
- Hp 5972 Series Mass Selective Detector
- Hp Kayak Xa 7/450 Slot1 Dt, Hp Keyboard
- Manuals, Files"
Agilent / Varian Cary 500 Scan UV-vis-Near Infrared (NIR) Spectrometer with Computer
Agilent / Varian Cary 14 UV-VIS-NIR Spectrophotometer
Agilent / Varian 8453 UV VIS Spectrophotometer - Problems with computer
Agilent / Varian 8451 A Diode Array Spectrophotometer
AGNI FHT 18012
AGNI RHT 180 100 700 3 H Nitride Oven
AGS EA 531 Auto BWF Pick-Test-Tape Handler
AGS EA 530 Semi-Auto Trim-Test-Tape Tester
AGS Automation EA 317 Flip-Chip Die Bonder Machine
AGS Automation EA 317 Flip-Chip Die Bonder Machine
AGS Automation EA 317 Flip-Chip Die Bonder Machine
AGS Automation EA 310 Flip-Chip Die Bonder Machine
AHITOP Vertical Injection Mold Machine
Ai Lai Z 5040 A Vertical Drilling Machine
Ai Qualitek Optic 2 Atas Injector - 250 VA
AIBe 150 H Extruder "- 7.5hp
- AC
- 3BZ
- 2DZ
- 230V"
AIBE 1.5
Aico / Temescal E-Beam Sputtering Deposition System
Ailtech RT 20 R Ratio Transformer
Aim-TTi TGR 1040 RF Generator
AIO Corporation Sonic Fog Oem Sf 608-4 R A10 Dryer
AIP CP 460 Isotatic press
Air Clean 5000 Vent Hood
Air Control 4 F-31-8 Wet Station
Air Control Microvoid II Laminar Flow Bench
Air Hydraulics AH Model 5 Ton Press 12"
Air Liquide Chemfeed Chemical Dispensing Unit (CDU) CFCFD4-A0593
Air Liquide Chemfeed Chemical Dispensing Unit (CDU) CFCFD4-A0607
Air Liquide Chemfeed Chemical Dispensing Unit (CDU) CFCFD4-A0778
Air Liquide Solvent Chemcollect Acid Waste Unit CFCOLL-A0076
Air Liquide Chemcollect Solvent Waste Unit
Air Master Systems Corporation (AMS) 48 MAF (EH-111-48) Single Pane Fume Hood -without exhaust fan
Air Mite AP 7 Press
Air Pac Laminar Air Flow
Air Pac Laminar Air Flow Booth
Air Products Dichlorosilane / Nitrogen Gas Cabinet With Power Purge Alarm Box/Valve Status
Air Products Amonia - Nitrogen Gas Cabinet With Power Purge Alarm Box/Valve Status
Air Products Nitrogen Cabinet, Single Bottle Cabinet
Air Products Gasgaurd 500 Ph3/N2 Vmb 801-4701695
Air Products Gasgaurd 500 "- Nitrogen Triflouride
- Vmb 801-4701452
- With Two Lr300 Controllers"
Air Products Gasgaurd 500 "- Ammonia
- Vmp 801-4701379
- With Two Lr300 Controller"
Air Products Gasgaurd 500 C2 / F6 Vmp with Si-Span Lr300 Controller
Air Products Gasgaurd 500 - Helium Vmp 801-4707597
Air Products Gasgaurd 500 "- Nitrogen Triflouride
- Vmb 801-4701384
- With Two Lr300 Controllers"
Air Products Gasgaurd 500 Silicon Tetraflouride Vmb 801-4705149
Air Products "- H2 / N2
- Vmp 801-4701472
- With Si-Span Lr300 Controller"
Air Products "- Halocarbon
-14 Cf4 Vmp 801-4701470
- With Si-Span Lr300 Controller"
Air Products "- Halocarbon
-14 Cf4 Vmp 801-4707596"
Air Products "- He / Ox Mix 801-4701453
- With Si-Span Lr300 Controller"
Air Products "- Helium
- Vmp 801-4701405
- With Si-Span Lr300 Controller"
Air Products Gasgaurd 500 "- Nitrous Oxide
- Vmb 801-4705157"
Air Products "- O2 / N2
- Vmp 801-4701401
- With Si-Span Lr300 Controller"
Air Products "- Sulfur Hexaflouride
- Vmp 801-4701404
- With Two Si-Span Lr300 Controller"
Air Products 801-4703342 Gas Cabinet "- 3 Bottle
- Amonia, Ammonia, 10%HE/Nitrogen"
Air Products 801-4701596 Gas Cabinet "- Dual Bottle
- 10%PH3/Argon Mix & 10%HE/Nitrohen Mix"
Air Products 801-4700821 Gas Storage Cabinet - No gas panel
Air Products 881-4701695 "- Gasguard 500 VMB
- 10% PH3/Argon"
Air Products 801-4701723 "- Gasguard 500 VMB
- Ammonia / NH3"
Air Products 801-4701725
Air Products GasGuard 500 2 Bottle Gas Cabinet
Air Quality Engineering MistBuster Infinity HV 40 Air Filtration System
Air Science Purair P 25 Ductless Fume Hood With base
Air Science Purair P 5 24 Fume Hood
Air Science Purair Decap Machine
Air Technical Jib Crane
Air Vac DRS 25 BGA
AirClean 600 Workstation Ductless Hood
AirClean 600 Work station
AirClean Systems Powder Safe AC 775 C Hood
Airco Compressor
Airco / Temescal BJD 1800 E-Beam System
Airco / Temescal Chamber
Airco / Temescal SCT-BJD 1800 Coating System "E-Gun,
Mark I Ion Source,
Optical and Quartz Monitor,
Cryo Pumped
"
Airco / Temescal FCE-3200 Evaporator "Single Pocket E-Beam Gun with 10kV Power Supply (used for dual guns in the past),
CTI CT16 Cryo Pump with a 9600 Compressor,
Edwards 2-stage Dry Pump
"
Airco / Temescal CV 8 Power Supply and Transformer
Airco / Temescal CV-10 Electron Beam Power Supply - with spare parts
Airco / Temescal Sputter Deposition System
Airco / Temescal CV 8 Controller
Airco / Temescal Power Supply for CV 8
Airco / Temescal BJD 1800 E-Beam Evaporator "- For ZnS & CRx
- Including E-Beam Power Supply
- 4 Source
- It is missing a He compressor for the 8"" cryo pump and a VersaVac5 ion gauge controller"
Airco / Temescal Electron Beam
Airco / Temescal VES 2550 E-beam Evaporator 4" "- 4-pocket gun
- Single-pocket gun"
Airco / Temescal VES 2500 Evaporator
Airco / Temescal CV 14 111 Electron Beam Power Supply "- Inficon XTC Thin Film Vacuum Deposition Controller
- Electron Gun Control
- Beam Position Sweep Control"
Airco / Temescal CV 8 110 Electron Beam Power Supply
Airco / Temescal CV 14 222 Electron Beam Power Supply "- Inficon IC1600 Thin Film Deposition Controller
- Electron Gun Control
- Beam Position Sweep Control"
Airco / Temescal CV 8 110
Airco / Temescal VES 2550 Evaporator "Includes:
- Planetary dome
- Liftoff dome
- Replacement shields"
Airco / Temescal CV 8 Power Supply
Airco / Temescal CV 8 High Vacuum Evaporator
Airdus Fume Extractor - Possible missing parts
Airgas Manifold
AirGuard 900123 Fume Wet Scrubber "With:
- AirGuard UCB-N201 Control Box
- Baldor Reliance VL 3509 Industrial Motor
- IWAKI CMD 309 MAG Drive Pump"
Airsource 5600 Ionizer Blower
AirVac Soldering Machine
Air-Vac DRS 26 Rework Station - No nozzles included
AIS 600
Aixtron G 5 Spare Parts
Aixtron G 4 Reactor
Aixtron HW 2400 Epitaxial Silicon Deposition (EPI) Reactor SiC
Aixtron CRUIS-R Metalorganic Chemical Vapor Deposition (MOCVD) 12"
Aixtron R 6 MOCVD Reactors
Aixtron R 6 Close Coupled Showerhead MOCVD System "Includes:
- Edwards nXDS15i vacuum pump; process module
- Edwards nXDS10i vacuum pump
- Gast vacuum pumps (2)
- SMC HRS030-WN-20-T chiller
- SMC Thermo-Con INR-244-781 thermoelectric bath (2)
- Thyrobox HPDU high-power distribution unit, 380-400VAC, 3ph, 50/60Hz
- Jupiter Scientific dry abatement unit
- Lytron EWD-15EL-FD12CBD0 heat exchanger
- Edwards GXS750/2600F MKII HV MD vacuum pump
- MV Products dual cold trap
- SMC MRS050-WN-20 chiller"
Aixtron Crius II XL Reactor
Ajax Cleveland Horizontal Milling Machine Without Vertical Head
Akita NI Reflow Oven
Akita AKP C 350 SF Wave Soldering Machine
Akrion HL 2000
Akrion Gama Wet, Solvent Dryer 8" ST28, PSR, QDR
Akrion V 3 System USG Removal system for PWAx Wafers - 3 Phase
Akrion Gama
Akrion Velocity Goldfinger Wafer Cleaning "- Comes with extra CDU
Velocity4 Base Assembly
- Support four (4) cleaning chemistry
- Fast Swap End Effector for Wafers
- (Qty: 3) I/O port stage - 12"" FOUP
- (Qty: 3) Wafer mapping sensor
- EFEM / Asyst Spartan
- Controller: main system scheduler, EFEM
- Single DIW aerator and enclosure
- Goldfinger XP megasonic electronics
Process Chamber 12""
- (Qty: 4) Single wafer cleaning and spin dry
- (Qty: 4) Goldfinger XT1.5 megasonic transducer
- (Qty: 4) Sahara XT dryer
- (Qty: 4) JetStream-Nano advanced spray
Advanced Etch:
- Manual valve to switch between Top-Side and Top-Linear Dispense
Advanced Etch:
- (Qty: 3) Top-Side Dispense only
Chemical Delivery:
- (Qty: 4) SC1, Solvent/H2O2, Solvent/NMP, Solvent Pre-mix
Front and backside chemical dispense nozzles:
- (Qty: 4) only Chem 1 and 2 available on backside
Digital Video Recording System (DVR):
- 8 Cameras - 4 Chambers, 4 EFEM
CBS for Solvent: DI:H2O2
- Max temp 40C
- Chemical Blending Slave 1 / CS1-B
CBS for SC1:
- Max temp 40C
- Chemical Blending Master 1 / CM-B
CDS for Solvent (NMP):
- Max temp 40C
- Chemical Dispense Slave 2 / CS2-B
Bulk Supply Connection for Solvent (Pre-Mix)"
Akrion System E 200 Metal Etch Wet Station 8" "- Load/Unload: Dual SMIF Arm with Wafer Transfer System (LRL process flow)
- Module Sulfuric
- Module Hot QDR + Megasonic
- Module SC1
- Module HF diluted
- Module QDR
- Module BHF
- Modules 7/8: End.Effector Wash and Dry + SCP Green Dryer
- Parts system: (Communication robotic and main computer: Failed, Fire suppression system defect)"
Alameda 7/16-20 UNF 2 B Thread Gage, Plug
Alameda .750-40 UNS-2 B Thread Gage, Plug
Alameda 7/16-14 UNC 2 A Thread Gage, Plug
Alcatel 601 E Etcher
Alcatel ASM 110 Turbo
Alcatel GIR 300 Reactive Ion Etch (RIE)
Alcatel Helium Leak Detector
Alcatel Speeder 100 Si ICP DRIE 4" "- Bosch Deep Si Etching
- Mechanical Clamp with the backside cooling for precise temperature control
- Chuck Temperature is controller using Liquid Nitrogen (LN2)
- Gasses: C4F8, SF6, 02
- HF and LF Etching possible"
Alcatel Pascal 2005 SD Vacuum Pump
Alcatel ASM 110 Leak Detector
Alcatel 2460 RF Sputter Coater
Alcatel A 103 P Series Vacuum Dry Pump A3G2151020000
Alcatel ADP 122 P Vacuum Pump
Alcatel ASM 180 TD+ Helium Leak Detector - Not working condition
Alcatel / Adixen Pascal 2015 SD Pump
Alcatel / Adixen Pascal 2005 SD Pump
Alcatel / Adixen 2033 C 2 & ROOTS 301 B 118802 Vacuum Pumps
Alchemy Spetec AP Seal 500 Packing Machine
ALD SCU 400 Ingot Furnace
Alfa 3101 C Scales
Allen Bradley SA 3100 Variable Frequency Drive Drive module
Allen Bradley SAP 1336 Variable Frequency Drive Drive modules and cabinets
Allen Bradley Variable Frequency Drive (VFD)
Allen Bradley Kinetix 6500 Servo Drive
Allen Bradley SLC 500 PLC
Allen Bradley AB-MPL-B 860 D-MJ 24 AA Laminator
Allen Bradley 1756-L 55 M 24 Contrologix Processor
Allen Bradley 1756 L 63 Laminator
Allen Bradley 1336-B 005-EOD - Variable Frequency Drive
Allen Bradley PLC 5 "- includes rack
- 3 input modules
- 3 output modules
- 1 Analog in
- 1 Analog out"
Allen Bradley Industrial Programming Terminal
Allen Bradley Model 1333 VFD
Allen Bradley 1746-A 4 SLC 500 PLC Rack 4 Slot
Allen Bradley 1746-HSTP 1 SLC 500 Stepper Control Module
Allen Bradley 1746-IA 8 SLC 500 Input Module 115 VAC
Allen Bradley 1746-NI 4 SLC 500 Input Module
Allen Bradley 1746-OG 16 SLC 500 Output Module
Allen Bradley 1746-P 1 SLC 500 Power Supply
Allen Bradley 1747-L 53 SLC 5/03 CPU
Allen Bradley 700-HA 32Z24 Relays
Allen Bradley 746-IG 6 SLC 500 Input Module
Allen Bradley 747-L 5 SLC 500 Professor Unit
Allen Bradley 747-SN SLC 500 Remote I/O Scanner
Allen Bradley 1769-IF 4 Compact I/O Input Module
Allen Bradley PanelView 300 Micro Mounted in a 7 X 8 X 6 plastic enclosure
Allen Bradley 1746-OA 8 SLC 500 Triac Output Module
Allen-Bradley Kinetix 6000 Components "- Power Supply/servo drive - 2094-AC16-M03-S
- Servo Drive - 2094 AM03-S
- Slot Filter - 2094 PRF
- Slot Filter - 2094 PRF
- Line Interface Module - 2094AL75S
"
Allen-Bradley 1756-A 7 B 7 Slot Chassis
Allen-Bradley 1756-PA 72 / C LAC Power supply
Allen-Bradley ControlLogix 5561 Ethernet Device Driver
Allen-Bradley EtherNet/IP Network System
Allen-Bradley MPL-A 4540 F-MJ 72AA Rotary Servo Motor
Alliant Mill "with Proto Trax MX-2
"
Allied MultiPrep Polisher 8" 8" single palten
Allied Automation RDC 30 VCMSTR Die Cutter "- 120 Vac
- 15.0 Amps
- 1 Phase"
Allied High Tech PN 70-30010 Low Speed cut-off Saw
Allied High Tech M-Prep 5 Polisher
Allied High Tech 5-5300-230
Allied High Tech 5-5300-230
Allied High Tech Techprep Sample Preparation Polisher
Allis Chalmers HP 1 Induction Motor "- RPM 860
- V208-224/440
- A4.5-4.5/2.25
- PH3
- 60HZ"
Allis Chalmers Ruptair Power Circuit Breaker 13.8KV
Allis Chalmers Ruptair Power Circuit Breaker 13.8KV
Alloy Products Helium Bombing Chamber
Allring Lane Changer
Allring Auto Unload
Allring Box Changing Machine
Allring Double Track Roll Feeder
Allring Automatic Feeder
Allring Automatic Take-Up Machine Cart Type
Allring Unloader
Allsteel 3/8 12 Shear
Allteq 3010 Inspection Station
Allwin21 AccuThermo AW 610
Almemo 2590 Digital Thermometer
Alpha 1010 Variable AC/DC Power Supply
Alpha 3101 C Scales
Alpha Innotech FlouorChem HD 2
Alpha Metals Omega Meter 700
Alphasem SL 9006 (M) Die Bonder
Alphasem E 8003 Easy Line
Alphasem E 8003 Easy Line
Alphasem SL 9022 Die Bonder
Alphasem Die Attach Sorter
Alpine 200 Air Jet Sieve
Alpsitec Chemical Mechanical Polishing (CMP) 6"
ALSI ICA 1204 Laser Ablation Tool "Optics 1: Multi beam optics split to 6 beams
Optics 2: SDU (Secondary Doe Unit) for dash cutting
Laser: JDSU Q-Series UV 355nm (non-operable)
Module: Coater/Cleaner unit
Wafer Size: Currently fitted to process up to 100mm wafers
Tape Frame Size: Current fitted for Disco frame #261 (8.9” OD x 7.6” ID)
Other Equipment: Keller Debris Separation Exhaust System
"
Alsident Fume System Parts
Altech CTOXE: Automation
Altech Roll Proct
Altech Backend "Includes:
Trimming station
Buffer PLC + Cabinet Control
Altech-9
Framing Station
Hipot
Altech-10
Altech-11
OCU Transport Changer
Buffer/Middle Front-Left
Jbox Station
Buffer Conveyor rt+br
Buffer Conveyor left/lifter
Altech-6
Altech-4
Altech-3
Buffer conveyor fl+b
Buffer right top
Flash Tester Conveyor
OCU Conveyors
Buffer middle front lifter
Buffer flipper 1
Buffer middle rear
pallet lam bridge small secc
Flash Tester Caged ladder
Altech-5
Flash Tester caged ladder
Jbox dispenser
Buffer Transformer
OCU Diverter Transformer
Backend over pass ladder
Altech-1
Framing dispenser table
Altech-8
Flash Tester tunel 5
Pallet lam 2/2
Lam Main bridge
Buffer left rear top bottom
Buffer conveyor right liffter
ocu frame enclosure
Flipper 3
Diverter 2
Curing Station
Flash Tester enclosure
Flipper 2
Diverter 1
Flipper 4
Curing station"
Altix Automatech Acura Pattering, Exposure
AM Technology ADL 1000 Lapping Machine Double side
Amada AE 2510 NT CNC Punch
Amada Pega 345 CNC
Amada HA 250 W Saw
Amada FOM 2 RI Laser Cutting "- Laser power: 400 Watts
- Laser type: Co2
- Working area: 3070 x 1550 mm (z-axis 200 mm)
- Sheet metal processing: Steel (max 22mm), stainless steel (max 12mm), aluminum (max 10 mm)
- Control system: AMNC/Fanuc"
Amada Astro 100 Robotic Press
Amada MP 1225 Load Unloader
Amada VIPROS 358 King Punch Press "- with ASR-48-CR auto loader
- The ASR is functioning as a single loader but only requires some software updates to function as a full 6-position tower"
Amada Miyachi MX 2000 Seam Sealer
Amada Miyachi Benchmark AF 8500 / AF 1250 "Autoflow Lid
Placement, Tack and
Seam Sealing Systems"
Amada Pega 244 Punch Press
Amada Virtek FabriVision LPS 1 E Laser Scanner
Amadyne Sam 42
AMB Wastegate, Flip Wheel Buffer
AMB Buffer
Amera / Seiki VAS-6 M
Amerecon SRI 6-174 Slip Roller / Tube Bender
American HMT Lathe
American Industrial STS 120 SP 1103 Shell and Tube Heat Exchanger
American Industrial Microwave Power Supply
American Maplan TS 88 "Missing Motor (2)
Missing Drive (1)"
American Maplan TS 88 Motor "- 60hp
- not working"
American Microsystem Nox 10 Waste Nox Gas Treatment System Control Cabinet & Treatment Section
American Pacemaker Lathe
American Process Systems Ribbon Blender
American Reliance PPS-1322 Programmable DC Power Supply
American Scientific DX 38 Oven
American Scientific DN 41 Oven
American Scientific Products DX 38 Drying Oven
American Specialty Products (ASP) Hotplate
American Ultraviolet AETEK Ultrapak UV System
American Ultraviolet UV Conveyor Oven
Amerigon Cooling Oven
Amerimade WPS-8 FT-PP Plating Bench "- Four station plating bench
- Four station holding tanks
- Two station dump rinse"
Amerimade Raptor S-4 Wet Etch Semi-Automated Tool
Amerimade Wet Bench
AmerivacS CAVN 20 Vacuum Sealer
Amersham Biosciences 810-UNV Light Box Image Eraser
Amersham Biosciences M 6038 B Synthesizer "- 480AVC
- 1.5HP Motor
- 15A
- Max Process Pressure: 8 bar g
- Pneumatic Supply: 6-10 bar g
- Protection Class: IP55
- Cabinet Volume: 1360L"
Ametek DR 823 BB 72 Industrial Blower
Ametek T 50 G-TC Force Gage 50 GM
Ametek TC 6000-1 Torque Check 0-50 IN-OZ
Ametek TC 6000-12 Torque Check 0-50 IN-LB
Ametek KM 005-3 KS-8-2 Pressure Transducer 3000 PSIG
Ametek 3300 Series UPS (Uninterruptible Power System) "- 15/20kVA
- Three-Phase
- Insulated Gate Bipolar Transistor (IGBT) Converter Section
- Insulated Gate Bipolar transistor (IGBT) Inverter Section
- Digital Signal Processor (DSP) using Pulse Width Modulation (PWM) control for Direct Digital Control (DDC) of all UPS control and monitoring functions.
- Static Bypass switch sized to provide fault clearing (>130% for 1 seconds)
Standard features:
- Transistorized PWM IGBT Converter
- Transistorized PWM IGBT Inverter
- DSP based fault memory and diagnostics
- DSP based menu controlled operation
- Active mitigation of reflected input current harmonics
- Active control of output distortion
- Automatic input current walk-in
- Automatic UPS restart and load pick-up (after system battery depleted, AC restored).
- Remote Emergency Power Off (EPO)
- Input AC Disconnect and Fuse Protection
- Internal Maintenance Bypass Switch (3 breaker MBS)
- Battery Self Test (programmable times)
- 4.3” color LCD touch screen display provides full system control and monitoring
- Communication Network Interfaces"
Ametek Brookfield MRVT 115 / MLVT 115 Viscometer DV2T Extra "- with Water Bath
- 56 cm (W) x 28 cm (D) x 56 cm (H)
- 11 kg / - 56 cm (W) x 28 cm (D) x 56 cm (H
- 11 kg"
AMI MSP-885 PC Screen Printer "- 117 VAC
- 1 Phase
- 50/60 Hz
- 15 A"
AMI 24 S Conveyer Belt Dryer
AMI MCD 2400 Conveyor Dryer System
AMI 645 Screen Printer "- Power: 115V
- Air: 80 psi"
AMI MSP 9155 Semi-Automatic Screen Printer
AMI MCD 145 Conveyor Dryer System
AMI MSP 465 Screen Printers
Amicra ADB 2000
Amicra Automatic Waferinker AIS
Amicra ADB 2000
Amicronix 400 IC Test System
"Amit
" NT Omega Scope
AML 04 Wafer Bonder "- Set up for 3"" and 4""
- 15 kN max force
- We think the electrodes are graphite since it was purchased for anodic bonding"
AMP 69358-2 Pull Test Tool (T)
AMP 69358-2 Pull Test Tool 2.25#
AMP K Crimping Machine
Ampak 200 S Heat Sealer
Ampco ZP 1-015 SM Transfer Pump
Ample Scientific Champion F 33 D Centrifuge
Amplifier Research 150 L Amplifier Amplifier 10 kHz – 220 MHz, 200W
Amplifier Research 200 L RF Amplifier
Amplifier Research 25 A 250 A RF Broadband Amplifier "- 25 Watts / 10kHz-250MHz
- This amplifier is working. This unit was tested with a 1 MHz signal at -20 dBm and showed a 35.5 dB gain at maximum setting"
Amplitude Laser Tangerine Laser
Amray 1654 Turbo Scanning Electron Microscope (SEM)
Amrel PEL 150-2 Programmable Electronic Load
AMS SL 2000 Ray to Tray 3D Vision Inspection
AMS Shield Attach Machine
AmScope SE 400-Z Stereo Microscope
Amscope MicroScope 6.5 to 45x Magnification
Amscope FMA 050 USB Camera Camera Mount & 3MP Camera
Amscope FMA 050 USB Camera Camera Mount & MU 500 5mp Camera
Amstech VS 1000 Inspection
AMT 7810 Epi Reactor
Anacom Smart Box
Analytic Jena ZEEnit 700 High End Compact AA Spectrometer
Analytik Jena Total Organic Carbon (TOC) Analyzer
Analytik Jena Specord 200 Plus Spectrophotometer
Anatech 600 Plasma Cleaner Etcher - does not include vacuum pump
Anatech Hummer VI Sputtering System (Gold)
Anderson NC 1631 IP Router "- Dual Table, Dual Spindle
- Spindle Speed: 24,000
- Spindle Taper: HSK63F
- Spindle Motor: 10HP
- Number of Tools/Turret Stations: Dual 8 ATC"
Anderson Exxact Duo CNC Router "- Spindle Hours: 4,242 and 3,922
- Spindle Speed: 18,000
- Spindle Taper: ISO30
- Spindle Motor: 10 Hp each head
- Number of Tools/Turret Stations: Dual 12 ATC, 1 for each spindle
- Control- Fanuc 18-M
- Known Issues: Spindle 2 sounds a little rough, over 12k RPM"
Ando AQ 6317 B Optical Spectrum Analyzer Sometimes the Wavelength reading is off
Ando AQ 6317 Optical Spectrum Analyzer
Ando AL 6090 Tester
Ando Aq 8423 Z Optical Amplifier Analyzer
Ando AQ 8203 Halfsize Frame
Ando AQ 2141 Optical Multimeter Expansion Frame
Ando AQ 3540 Optical Channel Selector
Ando AQ 2140 Optical Multimeter
Ando AQ 1115 Power Meter
Ando AQ 6317 Optical Spectrum Analyzer
Ando AQ 4310 (155) Optical Mltimeter Expansion Frame ASE
Ando AQ 4321 D Tunable Laser Source - Passes start up tests and completes self calibration
Ando AQ 4321 A Tunable Laser Source - Passes start up tests and completes self calibration
Ando Electric AL 6090 Memory Test System
Ando Electric AL 6090 Tester
Andor iXon 3 885 EMCCD Camera
Angstrom Sun Technologies Ellipsometer
Angstrom Sun Technologies Transmission
Angstron Advanced PHE-102 Spectroscopic Ellipsometer
Aniscope Microscope
Anish Pharma APCG 800 Wurster Coater 420 Liters
Ann Arbor Technologies Weblink 15 F HMI Computer for Varian 903 Sputter System "- 115/230VAC
- 115W
- 50/60HZ
- PLC Panel"
Anova W 14 Hot Water Bath
Anritsu MT 8820 C Radio Communication Analyzer "Extended RF Hardware
TDMA Measurement Hardware
CDMA 2000 Measurement Hardware
GSM Measurement Software
CDMA 2000 Measurement Hardware"
Anritsu MP 1632 A "Includes the following modules:
- MU163220A
- MU163240A"
Anritsu MP 1632 A "Includes the following modules:
- MU163220A
- MU163240A"
Anritsu ML 83 A Power Meter
Anritsu MP 1570 A SDH PDH Analyzer
Anritsu SL MN 938 A Programmable Optical Attenuator
Anritsu ME 520 A Digital Transmission Analyzer Transmitter
Anritsu ME 520 A Digital Transmission Analyzer Receiver
Anritsu ML 9001 A Power Meter
Anritsu MP 1764 A Error Detector
Anritsu 37347 C Vector Network Analyzer
Anritsu 37369 A Vector Network Analyzer
Anritsu MS 8608 A Spectrum / Signal Analyzer
Anritsu MT 8850 A Tester
Anton Paar Abbemat PC Refractometer
Anton Paar SAXSess Kratky Geometery
Anton Paar TCU 50 Peltier Stage Heater
Anzatech 410 Lead Fatigue Tester
Anzatech 410 Lead Fatigue Tester
AO Smith F 48 H 07 A 01 Motor "- 115V
- 60Hz
- 1 Phase"
AP High-Tech Micro APHT 2538 MM Screen Printer
AP&S WB 07203 Wet Benches: MWP R&D, MWP solvents, MWP ITO/developer and MWP chrome/aluminum wet bench
AP&S WB 07201 Wet Benches: MWP R&D, MWP solvents, MWP ITO/developer and MWP chrome/aluminum wet bench
AP&S WB 07053 Wet Benches: MWP R&D, MWP solvents, MWP ITO/developer and MWP chrome/aluminum wet bench
AP&S WB 07202 Wet Benches: MWP R&D, MWP solvents, MWP ITO/developer and MWP chrome/aluminum wet bench
APC Sc 3000 R 3 X 195 Smart Ups
APC Smart-UPS X 120 V External Battery Pack Rack Tower
APC Smart-UPS X 3000 Rack Tower LCD
APC Beck UPS 2200 E Smart UPS 1400
APC Beck UPS 1200 E Smart UPS 1000
APC Beck UPS 1200
APC Beck UPS 1200
APD HC-85
APD Marathon Compressor
APE Sniper Split Vision BGA Rework Station
APET Auto LD/LLD Sol-Cor RD Wet Bench 8"
APEX Vibration Feeder
API Tester
Apic Yamada CU 8 69-8 Form MC
APIC Yamada GTM-X
Apice Stabilizer
Apium P 220 Filament Dryer
Aplab 1072 Digital Psophometer
Aplab LD 3205 Regulated Dual DC Power Supply
Apollo Seiko Soldering Machine
APPI SH 243613-3698 Labeling and Packing Equipment for Thin Film Batteries
Applied Biosystems 394 DNA / RNA Synthesizer
Applied Biosystems 310 Capillary Electrophoresis System
Applied Biosystems / Perkin Elmer ABI Prism 310 Genetic Analyzer - w/ computer
Applied Materials Opal Scanning Electron Microscope (SEM)
Applied Materials P 5000 Plasma-Enhanced Chemical Vapor Deposition (PECVD) 4" "Chambers:
• Chamber A: 4” CVD Silane oxide deposition process kit
• Chamber B: Empty
• Chamber C: Empty
• Chamber D: Empty
Process gasses:
• Nitride: SiH4 / N2 / NH3
• OxiNitride: SiH4 / N2 / NH3 N2O
• USG: SiH4 / N2O
• PSG: SiH4 / N2O / PH3 in Ar
P 5000 Mark II Silane Oxide system (4”). One common Silane Oxide deposition chamber
• Mainframe containing load lock chamber with cassette to cassette wafer handling, 20 slots VME controller with hard disk and floppy
disk storage, 28-line compatible on-board gas panel, bolt down load lock chamber lid, and load lock purge system.
Software:
• 16-bit microprocessor (Motorola 58010). Fully optoisolated inputs and ouputs. 50/32 analog inputs/outputs. 96/96 digital inputs/outputs
• Process programming: Guided step entry method. Maximum recipe size:100 steps
120 watt Solid state RF generator, process kit, gas distribution plate, MFC controller gas line
Electricity
• 208 VAC, 3 phase, 60 Hz
• 5 Wire WYE, 150A/100A (CVD ETCH)
• Transformers 380 VAC, 3P, 50Hz, 3 wire WYE
Chilled water
• Filtered to 120 @m, regulated to 60 PSI, 17 to 22°C"
Applied Materials AMC-7810 Reactor
Applied Materials Solion + Ion Implanter
Applied Materials KC 100-12 K Exhaust Gas Scrubber
Applied Materials SEMVision Scanning Electron Microscope (SEM) 8"
Applied Materials P 5000-Mark II CVD System 8" "- Process temperature: 400*C
- Incoming Power: 208 VAC"
Applied Materials P 5000-Mark II CVD System
Applied Materials P 5000 Passivation PECVD System 8"
Applied Materials NanoSEM 3 D CD SEM (Scanning Electron Microscope) 12" "- Currently configured for 300mm wafers
- CE Marked
- Install Type: Stand Alone
- Cassette Interface:
• (3) 300mm FOUP
• Roll-Around Ergo-Station w/Touch-Screen
• Status Lamp
Options:
• Slope Reconstruction
• CH Analysis
• Profile Grade
• Discrete Inspection
• Defect Review
• ARAMS (ES8)
Power Requirements: V 120/208, 8A, Single Phase / 3-Phase, 5-Wire, Freq 50/60Hz"
Applied Materials NanoSEM 3 D CD SEM (Scanning Electron Microscope) 12" "- CE Marked
- Install Type: Stand Alone
- Cassette Interface:
• (3) 300mm FOUP
• Roll-Around Ergo-Station w/Touch-Screen
• Status Lamp
Options:
• Slope Reconstruction
• CH Analysis
• Profile Grade
• Discrete Inspection
• Defect Review
• ARAMS (ES8)
-Power Requirements: V 120/208, 8A, Single Phase / 3-Phase, 5-Wire, Freq 50/60Hz"
Applied Materials P 5000 Etch-Depostion 8"
Applied Materials Centura Enabler Etch 12" "- 4 Ch
- Gases: C4F6, CO,CH2F2,H2,N2,O2, Ar, CF4,CHF3, CO2, NH3"
Applied Materials Oxide Etch system 12" "- 4 Ch
- Dry Etch
- Bx-, Cx-, E1 layers
- 32nm BD/SICOH Etch"
Applied Materials Centura AP, AdvantEdge G 5 Bitline Etch "- 40nm
- Gases: BCl3, Cl2, CHF3, O2, N2, Ar, CF4, SF6, He, SiCl4, NF3"
Applied Materials Centura Carina Chamber Etch 12" "- Chamber Materials: ADVANCED CERAMIC
- Lid Materials: AG 1000
- Process Ring: QUARTZ SINGLE RING
- Plasma Exposed Chamber Oring: KALREZ
- Cathode Temperature Range: 130 TO 250C
- Carina Etch Swap Kit: 1
- Chamber Viewport: STANDARD VIEWPORT
- Endpoint Type: EyeD IEP
- CCM Cover: NO"
Applied Materials DPS II POLY Etch 12" "- IPUP Type: Alcatel A100L
- Gas Panel Type: Standard
- VHP Robot: Dual blade
- MF PC Type: CPCI"
Applied Materials DT HART Etch "- Bias Gen.: 1.8~2.17MHz, max 5000W
- Source Gen: 60 MHz, max 2760W
- Turbo pump: ALCATEL 1600M"
Applied Materials OPUS Etch 12" "- Chamber model: ChA
- Bias Gen.: AE APEX 1513 13.56MHz, max 1500W
- Source Gen.: AE APEX 3013 13.56MHz, max 3000W
- Source Match: AE 13.56 mhz,6kv navigat
- Turbo Pump: Edwards STP-XA2703CV"
Applied Materials Uvision 200 Bright Field Inspection
Applied Materials Producer GT Chemical Vapor Deposition (CVD) 12" "- FX Robot Blade: Ceramic
- Loadlock Slit Valve: Kalrez 9100"
Applied Materials Axiom Strip Chamber 12"
Applied Materials Centura Rev 4 Cluster Tool 12" "- with 2 Load Locks and 2 Load Ports
Exterior Dimensions:
- Width: 65.984 in (167.6 cm)
- Depth: 96.457 in (245.0 cm)
- Height: 98.031 in (249.0 cm)
- Weight: 4,550 lb (2,064 kg)"
Applied Materials eMax CT Chamber 12" - Oxide Etch
Applied Materials eMAX CT Plus Chamber 12"
Applied Materials eMax CT 3 Plus Chamber 12"
Applied Materials Enabler Chamber 12"
Applied Materials P 5000 PE Oxide Dep Tool 6" - 2x Ch DxZ - Both PE - Silane 6" Si
Applied Materials Quantum X + Single Wafer High Current Implanter 12"
Applied Materials P 5000 8"
Applied Materials Walking Beam
Applied Materials Atom Probe Tomography (APT)
Applied Materials Profiler Carrier P/N: 0040-51791
Applied Materials Profiler Membrane 12" "- P/N: 0020-75115
- New and sealed in OEM bag"
Applied Materials Retaining Ring Grooved PPS AEP 300MM Profiler Retaining Ring 12" "- P/N: 0040-49965
- New in sealed OEM bag"
Applied Materials Lower Cross Cover 8" "- P/N: 0020-79378
- Includes wafer loss sensor and PCB"
Applied Materials Wafer Slide Transfer 8"
Applied Materials AKT 25 KA Chemical Vapor Deposition (CVD)
Applied Materials Dry Etch System
Applied Materials Metal Films Deposition System
Applied Materials Dielectric Films Deposition System
Applied Materials G 6 450 Defect Review Scanning Electron Microscope (SEM)
Applied Materials RTO 2 Poly Gen Chamber 8"
Applied Materials 0010-20276 4" to 8"
Applied Materials P 5000 Spare Parts for Oxide Etcher
Applied Materials P 5000 Spare Parts for Metal Etcher
Applied Materials P 5000 Spare Parts for W Etcher
Applied Materials NAR 1200 Twin TFB Vertical In-Line Physical Vapor Deposition (PVD) Equipment "- GEN 5 and Lower with HOLDER
- Including 7 cathodes 140cm x 19cm RF ; 1 cathode 140cm x 27,2cm DC pulse ; 3 cathodes 48,9cm x 8,9cm DC pulse"
Applied Materials NAR 1200 Twin TFB Vertical In-Line Physical Vapor Deposition (PVD) Equipment Including 3 cathodes 140cm x 27,2cm DC ; 3 cathodes 140cm x 27,2cm DC pulse
Applied Materials P 5000 Mini Controller P/N: 0010-76036 M
Applied Materials Inductively Coupled Parallel Plate Semiconductor Dielectric Etch (IPS) Chamber 8"
Applied Materials Semvision CX+
Applied Materials 8110 Etcher
Applied Materials 8330 Etcher
Applied Materials 30 MW Printing with Cell Test & Sorting Machine
Applied Materials 30 MW Double Printer
Applied Materials 1080-01045 Break Motor Starter 24 VAC 75A
Applied Materials 4406 Gate Valve T-Bolt
Applied Materials 0010-00028 Power Supply 15VDC
Applied Materials 0010-00070 Motor Stepper 2 25in Vac
Applied Materials 0010-00071 Motor Stepper 2 25in ATMOS
Applied Materials 0010-00151 Sensor Cathode Position with Decal Flag
Applied Materials 0010-00183 Motor Stepping 3 4in
Applied Materials 0010-00215 Phase and Mag Detector
Applied Materials 0010-00218 Motor Wiper RF Match
Applied Materials 0010-00219 Motor Blade RF Match
Applied Materials 0010-00796 RF Match Choke
Applied Materials 0015-00094 Lead Screw With Bearing Indexer
Applied Materials 0020-00085 Bushing Guide Gate Valve Actuator
Applied Materials 0020-00299 Bumper Trailer Gate Valve
Applied Materials 0020-00346 Spring Brush RF
Applied Materials 0020-00354 Shaft Robot Extension
Applied Materials 0020-00695 Hexode Rotation Housing Seal
Applied Materials 0020-00781 Blade Grounding Water Box Hexode
Applied Materials 0020-00927 Window View Port 4 140x8 640
Applied Materials 0020-03459 Window Endpoint Assembly Used in sets of 2
Applied Materials 0020-03461 Plate Mounting Gripper 150mm
Applied Materials 0020-03462 Finger End Gripper "- 150mm
- Used in Sets of 3"
Applied Materials 0020-03463 Finger Gripper "- 150mm
- Used in Sets of 3"
Applied Materials 0020-03465 Spring Finger "- 150mm
- Used in Sets of 3"
Applied Materials 0020-03466 Spring Hub Used in Sets of 3
Applied Materials 0020-03470 Nut Finger Used in Sets of 3
Applied Materials 0020-03651 B Viewport Cover Operator
Applied Materials 0020-03652 Film Protective 5 25in Operator Viewport
Applied Materials 0020-04437 Plate Adapter Harmonic Filter
Applied Materials 0020-04468 Fitting for Gas Tube
Applied Materials 0020-04533 Flag Start Cassette 8300 Ease
Applied Materials 0020-06051 Plates Throttle Valve 2/Set
Applied Materials 0020-06432 Cassette Clamp Lock
Applied Materials 0020-06690 Hub Wave Generator
Applied Materials 0020-06826 Geneva Arm Pivot Shaft
Applied Materials 0020-06834 Geneva Locking Wheel Pin
Applied Materials 0020-06837 Geneva Locking Arm
Applied Materials 0020-06844 Gas Tube Cap
Applied Materials 0020-06893 Brush Electrical Contact
Applied Materials 0020-06902 Spring Support
Applied Materials 0020-06903 Spacer Brush Bearing
Applied Materials 0040-00186 Manifold Intin Gas Dist
Applied Materials 0040-00188 Tube Aux Connector
Applied Materials 0040-00249 Screen Turbo Port
Applied Materials 0040-00263 Screen Process Port
Applied Materials 0040-00290 Gas Tube
Applied Materials 0040-00350 Bellows Tube Manometer
Applied Materials 0040-00366 Screen Cryo Port
Applied Materials 0040-00390 Roller Flatfinder
Applied Materials 0040-00594 Tabe Gas Keyed
Applied Materials 0090-00014 Motor Flatfinder Used in Sets of 3
Applied Materials 0090-00017 Switch Bell Jar Open
Applied Materials 0090-00026 Switch Half Atmos
Applied Materials 0100-00001 PCB DC Power Supply Monitor
Applied Materials 0100-00002 PCB Output Fuse
Applied Materials 0100-00025 Power Supply 60v
Applied Materials 0100-00027 PCB Gripper Interconnect
Applied Materials 0100-00033 PCB DC Motor Driver
Applied Materials 0100-00034 PCB Assembly Power Supply
Applied Materials 0100-00035 PCB Assembly Mano Range
Applied Materials 0100-00041 PCB Cathode Position Decoder
Applied Materials 0100-00049 PCB Analog Signal Conditioning
Applied Materials 0100-00055 PCB Gate Heater Control
Applied Materials 0100-00056 PCB Pneumatic Panel Interconnect
Applied Materials 0100-00057 Interconnect Heater Gate Valve
Applied Materials 0100-00063 PCB Axis Home
Applied Materials 0100-00072 Gripper Flag Sensor PCB
Applied Materials 0100-00101 PCB RF Match Control
Applied Materials 0100-00106 PCB Opto Switch
Applied Materials 0100-00109 PCB ID Intcon Assembly
Applied Materials 0100-00110 PCB Flatfinder Motor Driver
Applied Materials 0100-00112 PCB Opto SW3 Assembly (Repair)
Applied Materials 0100-00195 PCB Endpoint Interface Smoother
Applied Materials 0100-00204 PCB Gas Panel
Applied Materials 0100-00206 PCB Sync Detect II Purchase
Applied Materials 0100-00208 PCB Gas Panel
Applied Materials 0100-11000 PCB Assembly Analog Input
Applied Materials 0140-00014 Harness Gate Valve
Applied Materials 0140-00019 Harness Shuttle
Applied Materials 0140-00025 Switch Cassette Present
Applied Materials 0140-00031 Heater Chamber Gate Valve Used in Sets of 2
Applied Materials 0140-00032 Motor Gripper 150mm
Applied Materials 0140-00115 Receiver Wiring Assembly
Applied Materials 0150-00112 Cable Assembly Ion Gauge
Applied Materials 0150-00160 Ribbon Cable Flat Assembly K
Applied Materials 0150-00223 Cable Emitter
Applied Materials 0150-00370 Cable RF Short
Applied Materials 0190-00086 Reservoir DCN Oil
Applied Materials 0190-00101 CRT Main Frame
Applied Materials 0220-06428 DCM Reservoir Re-Charge Kit
Applied Materials 0240-00875 Gate and Throttle Valve Heaters PIK
Applied Materials 0620-01018 Chamber Gate Flex Cable
Applied Materials 0680-01069 Breaker 5A
Applied Materials 0720-01170 Pins Gold Plated Crimp
Applied Materials 0720-01403 Connector 8 POS
Applied Materials 0840-01149 Diode LED Infared
Applied Materials 1010-01048 Lamp 12 8v
Applied Materials 1140-01054 Power Supply 15v
Applied Materials 1200-01018 Relay K1 24 VAC, 10A
Applied Materials 1270-00737 Switch Float Level
Applied Materials 1270-01268 Switch Flow 75-6 0
Applied Materials 1390-01226 Cord Heater Gate Valve
Applied Materials 3060-01020 Bearing Rotation Assembly Used in Sets of 2
Applied Materials 3060-01021 / L 420410 Bearing Cup Rotation Assembly Used in Sets of 2
Applied Materials 3060-01068 Bearing 2in Shuttle Blade "- 2 / Side 4 Total
- Used in Sets of 4"
Applied Materials 3060-01120 Bearing Robot Extension
Applied Materials 3060-01121 / 3060-01069 Bearing Shuttle Shaft 2 / Set
Applied Materials 3060-01131 Bearing Extension Pulley Flange 3 / 16ID 3/8 OD
Applied Materials 3080-01015 Belt Cathode Rotation Motor
Applied Materials 3080-01020 Belt Indexer Timing
Applied Materials 3080-01021 Belt Timing - 8330 Z Axis
Applied Materials 3080-01027 Belt Throttle Valve Timing
Applied Materials 3080 01040 Belt Extension Timing
Applied Materials 3140-01017 Bushing 1/2 Ball Bearing Linear Indexer Shaft Guide
Applied Materials 3150-01000 Cam Follower Shuttle Guide
Applied Materials 3150-01004 Cam Follower Gate Valve Track
Applied Materials 3150-01005 Cam, .50 Integral Seal, Geneva
Applied Materials 3295 B 01 PCB MVME 211
Applied Materials 3550-01051 PIN Dowel
Applied Materials 3630-01041 Indexer Shaft C-Clip
Applied Materials 3870-01022 Valve Bellows 2Way 3/8INVCO
Applied Materials 3870-01023 Fitting Valve Check 1/4in Sagelok
Applied Materials 3870-01034 Valve Needle Cont 0-2000
Applied Materials 3870-01196 Valve Pnue 3/4 NPT 3-Way B
Applied Materials 3880-01047 Shim for Shuttle Blade Washer Flat 315SSTX 010
Applied Materials 3880-01066 Washer Rotation Assembly
Applied Materials 4020-01038 Filter 2 Micron Hydrophilic Large
Applied Materials 4020-01039 Filter 5 Micron Hydrophobic Small
Applied Materials 630-01113 Capacitor Doorknob 25PF
Applied Materials ESVP-1002-NWB-A / 3870-01161 Valve Isolation Process Rough 1in ATO/ATC
Applied Materials MM 6000 PCB
Applied Materials Osaka Controller Turbo Heater
Applied Materials Centura Sputtering System
Applied Materials Reflexion 3600 CMP System 12" "- with Cleaning Station
- (2) Foup Feeders with Front End Robot
- 4-Head Cross, 4-Platens
- Clean Station (Dry In/Dry Out)"
Applied Materials AME 8130 Etcher "- 4""
- Main, Control Cabinet, Heat Exchanger, Cryo
- Spare Parts"
Applied Materials Printing Line - Dbl Soft Line
Applied Materials Printing Line - Dbl Rotary Line
Applied Materials P 5000 CVD Etcher 8" "- 1x Ch MxP Etch, 2x Ch WxZ CVD
- SBC Board Version: V 21
- Chamber Location : A/B/D
- Expanded VME - 20 Slots
- CRT Monitor
- Light Pen
- Hard disk
- Transformer: installed
- Mainframe Cover: removed
- Laminar Flow Hood: Installed
- Gas Panel: Expanded
- Robot Type: Phase 3 Type
- Robot Blade: 8""
- Storage Elv. Slots: 15
- AI/AO or AI/O boards: 4
- Stepper boards: 4 installed
- Di/Do or DI/D Boards: 5
- 5.35"" FDD"
Applied Materials Raider RE 10 O 2 ECD 0604 Plating 6"
Applied Materials Endura 2 Volta CVD Cobalt CVD Chamber 12" - Thin Film
Applied Materials 7800 RPX Batch Epi Reactor
Applied Materials 7810 Batch Epi Reactor
Applied Materials Centura AP AdvantEdge G 5 Dry Etch 12" "- Option system: AdvantEdge G 5 Plasma Chamber
- With 2 SMC INR-496-003D Chillers
- With 1 SMC INR-498-043A Chiller
- Handler System: FI Robot
- Main System: AMAT Centura
- Factory Interface: FOUP
- Handler System: MF Robot"
Applied Materials Original Profiler Carrier Profiler Carrier P/N: 0040-51791
Applied Materials Original Profiler Carrier Profiler Carrier P/N: 0040-51791
Applied Materials Original Profiler Carrier Profiler Carrier P/N: 0040-51791
Applied Materials Original Profiler Carrier Profiler Carrier P/N: 0040-51791
Applied Materials Profiler Membrane "- P/N: 0020-75115
- New and sealed in OEM bag"
Applied Materials Profiler Membrane "- P/N: 0020-75115
- New and sealed in OEM bag"
Applied Materials Profiler Membrane "- P/N: 0020-75115
- New and sealed in OEM bag"
Applied Materials Profiler Membrane "- P/N: 0020-75115
- New and sealed in OEM bag"
Applied Materials Profiler Membrane "- P/N: 0020-75115
- New and sealed in OEM bag"
Applied Materials Profiler Membrane "- P/N: 0020-75115
- New and sealed in OEM bag"
Applied Materials Profiler Membrane "- P/N: 0020-75115
- New and sealed in OEM bag"
Applied Materials Retaining Ring Grooved PPS AEP 300MM Profiler Retaining Ring 12" "- P/N: 0040-49965
- New in sealed OEM bag"
Applied Materials Retaining Ring Grooved PPS AEP 300MM Profiler Retaining Ring 12" "- P/N: 0040-49965
- New in sealed OEM bag"
Applied Materials Retaining Ring Grooved PPS AEP 300MM Profiler Retaining Ring 12" - P/N: 0040-49965
Applied Materials Coated Silicone 300mm Titan Profiler Membrane 12" P/N: 0020-75159
Applied Materials 200mm Lower Cross Cover includes Wafer Loss Sensor and PCB Lower Cross Cover 8" "- P/N: 0020-79378
- Includes wafer loss sensor and PCB"
Applied Materials 200mm Lower Cross Cover includes Wafer Loss Sensor and PCB Lower Cross Cover 8" "- P/N: 0020-79378
- Includes wafer loss sensor and PCB"
Applied Materials 200mm Lower Cross Cover includes Wafer Loss Sensor and PCB Lower Cross Cover 8" "- P/N: 0020-79378
- Includes wafer loss sensor and PCB"
Applied Materials 200mm Lower Cross Cover includes Wafer Loss Sensor and PCB Lower Cross Cover 8" "- P/N: 0020-79378
- Includes wafer loss sensor and PCB"
Applied Materials 200mm Lower Cross Cover includes Wafer Loss Sensor and PCB Lower Cross Cover 8" "- P/N: 0020-79378
- Includes wafer loss sensor and PCB"
Applied Materials 200mm Lower Cross Cover includes Wafer Loss Sensor and PCB Lower Cross Cover 8" "- P/N: 0020-79378
- Includes wafer loss sensor and PCB"
Applied Materials 200mm Lower Cross Cover includes Wafer Loss Sensor and PCB Lower Cross Cover 8" "- P/N: 0020-79378
- Includes wafer loss sensor and PCB"
Applied Materials
Applied Materials
Applied Materials
Applied Materials
Applied Materials EPI 300 Centura Deposition tool
Applied Materials Centura HDP Dry Etch 8" 2ch:SiO2 D/E CH-A/B
Applied Materials Producer GT Frontier Etcher 12" "3 Chamber:
- SiCoNi PME
- Frontier SMR Nitride
- Frontier SMR Poly"
Applied Materials P 5000 CVD 8" - 4 CVD Chambers
Applied Materials Centura XE+ Implant Anneal RTP 8" "- MOD 1 Platform
- Hard Disk will be removed
- LPT and Loadlock pumps will not be included.
- SMIF System: Asyst LPT 2200 (qty. 2)
- Mainframe Type: Divisional
- Plating Type: Electroless Nickel Plating
- Load Lock Type: Wide Body
- Handler System: HP robot with single reduced contact quartz blade
- Chamber A: XE+ ATM (Implant RTA)
- Chamber B: XE+ ATM (Implant RTA)
- Chamber C: NA
- Chamber D: SSCOOL
- Chamber E: NA
- Chamber F: SSCOOL
- Loadlock pump (AA20)
- Bay Voltex Chiller"
Applied Materials Tetra Z Dry Etch
Applied Materials Tetra X Dry Etch
Applied Materials Endura Chamber 12" - RMG Metal Dep (2x CVD Co - CH 2, CH 3)
Applied Materials Semvision 200 CX Optical Inspection Machine 6", 8"
Applied Materials Endura Spare Parts
Applied Materials P 5000 6"
Applied Materials P 5000
Applied Materials P 5000 CVD 8" "- 1x Silane Chamber
- SiH4, N2, Ar, O2"
Applied Materials SEMvision 200 CX Scanning Electron Microscope (SEM) 6", 8"
Applied Materials Mark II Sput Etch Chamber "Process Kits:
- 0020-10518 Pedestal
- 3690-01364 Graphite Ring
- 0200-09086 Pedestal QTZ Ring
- 0020-09933 GDP Anodized Aluminum
Turbo : Seiko-Seiki Edwards STP301CVB
Match Box: 0010-09416"
Applied Materials Mark II Sput Etch Chamber "Process Kits:
- 0020-10518 Pedestal
- 3690-01364 Graphite Ring
- 0200-09086 Pedestal QTZ Ring
- 0020-09933 GDP Anodized Aluminum
Missing Parts:
Turbo : Seiko-Seiki Edwards STP301CVB
Match Box: 0010-09416"
Applied Materials P 5000 Standard Mainframe with Argon Sputter Chambers (2)
Applied Materials / Semplastics Retaining Ring AMT MRA ACIES X3G 300mm Profiler Retaining Ring 12" "- For Amat Mirra
- Open in Bag
- P/N: S-9073"
Applied Materials / SPM Technology Retaining Ring AMT MRA ACIES X3G 300mm Profiler Retaining Ring 12" "- For Amat Mirra
- New in OEM Bag
- P/N: 30675"
Applied Materials / SPM Technology Retaining Ring AMT MRA ACIES X3G 300mm Profiler Retaining Ring 12" "- For Amat Mirra
- New in OEM Bag
- P/N: 30675"
Applied Materials / SPM Technology Retaining Ring AMT MRA ACIES X3G 300mm Profiler Retaining Ring 12" "- For Amat Mirra
- New in OEM Bag
- P/N: 30675"
Applied Materials / SPM Technology Retaining Ring AMT MRA ACIES X3G 300mm Profiler Retaining Ring 12" "- For Amat Mirra
- New in OEM Bag
- P/N: 30675"
Applied Microstructures MVD 100
Applied Microstructures 100 Molecular Vapor Deposition (MVD)
Applied Microstructures MVD 100 E - with pump
Applied Microstructures MVD 100 Vapor Deposition 6", 8"
Applied Precision PRVX 1 Prober
Applied Precision PRVX 1 Probe Card Analyzer -1280 Channels
Applied Precision
Applied Precision
Applied Precision
Applied Precision
Applied Precision
Applied Precision
Applied Precision
Applied Science & Technology Ax 8500 Ozone Delivery System
Applied Separations Spe-ed SFE 1000mL Supercritical
Aptcon Cleaner
Aptifab ED 115 Binder Oven Drying Cabinet
Aquarious P 502 DI Water Heater
Aquastar AQ 2000 Titrator "- 110V
- 60Hz"
Aqueaous Technologies DI DI Water Batch Cleaner
Aqueous Zero-Ion G 3-18
Aqueous Trident ZDO
Aqueous SMT Series
Aqueous SMT 800 LD Batch Washer "- 208 V
- 60 Amps
- 1 Phase
- 50 Hz"
Aqueous 7500 Wash machine
Aqueous AQ 400
Aqueous Technologies Model Zero Ion G 3 Contamination Tester
Aqueous Technologies Stencilwasher ECO Stencil Washer
Aqueous Technologies Trident III Cleaner
AR Blue Clean 118 Pressure Washer
Arbin BT 2043 Battery Tester "- 24 Channel (Expandable to 96)
- 110V 50/60 Hz
- 10A 1kVA
- With computer, monitor, and software"
Arburg Allrounder 270 C 300 Injection Molding Machine
Arburg Round Table Molding Machine
Arburg 270 s Injection Molding Machine "- 28 Ton
- 25mm screw
- 100,012 Hours"
Arburg 320 s Injection Molding Machine "- 55 Ton
- 25mm screw
- 102,455 Hours"
Arburg Allrounder Injection Molding
Arc AR 12-200/D-F Cabinet 32” x 32” x 78”
Arc Machine M 307 Orbital Welder
ARCA AM 3 VVAC CNC
ARCA GH 1440 A Geared Head Precision Lathe
Arch Genstream I/II Liquid Delivery Cabinet
Archers RPD S 200 RPD
Archimede Lines VHF FM LDMOS Power Amplifier
Arcogas Manual Flaming System
Arcturus PXL 200
Aremco Accu-Coat 3230 Screen Printer
Argos FVS 2 Automatic Test System "Includes:
- Test Station with CMOS Camera for the following: Siemens Schultz 2x8mm; 3x8mm; 12/16mm 24/32mm & Hover Davis Feeder type SSF 3x8mm, 12/16mm, 24/32mm
- Current Software 2.6.26 or higher upgrades
- High Quality PC & Monitor
- Keyboard & Mouse"
Argus Pharma Code Reader
Armstrong Hack Saw - 24" throat power
Arnold GmbH NC 559/600 Round Grinding Machine
Arnold Gruppe Glass Drilling and Reaming Machine (564/01) Pedestal Drill
Arnold Gruppe KA11-02635 Grinder
Arnold Industries NXQ 4006 Contact Mask Aligner
Aroyo 6310 Laser Diode Controller
Aroyo 6305 Laser Diode Controller
Arpeco Slitter Rewinder 16"
Arpeco Slitter Rewinder 20"
Arpeco Slitter Rewinder "- Longbed
- 16"""
Arpeco Rewinder - 16"
Array 3312 Communication Adaptor
Arrow F 554 CN Filter
Arsoma Wide Web Radio-Frequency Identification (RFID) Converting Machine
Artimecc IB 105 R Saw
Artos MTX 600 - set up for ignition applications
Artos CS 600 - set up for ignition applications
ASA 806 S Auto Molding System for D2PAK 50 Ton
ASA 808 Omega 60 "- 4 Ton
- 8 Chase"
ASA E 120 T Molding Machine
ASC SP 3 D ADC
Asco Red-Hat B 262 G 262 V Fuse Holder
Aseco Handler
Aseco S 130 Handler
Aseco 170 Handler
Aseco S 130 Handler "- Room - Hot Testing (125C)
- Tube to Tube
- Includes change kit for 28ld PLCC 11x11mm Package"
Aseco S 170 C Handler "- Temperature up to 125 C
- Parallelism 1
- Package & Size SOICN 150 Mil"
Aseco S 170 C Handler "- Temperature up to 125 C
- Parallelism 1
- Package & Size SOICN 150 Mil"
Aseco S 130 Handler
Aseco S 130 Handler
Asecos Cabinet
Ashby Cross GP 80 Adhesive Mixer
ASI 757 / 857 Developer
Asia LT 30 A DC Tester
Asia Neo Tech NHOC 1120 HX
Asian Chemicals & Engineering Wet Scrubber
ASIC SCSS EL
ASIC (Shanghai) Co., Ltd EL 1.4 MD-M EL Tester - 140W pixel double camera
ASIC (Shanghai) Co., Ltd EL 1.4 MD-AS EL Tester - 140W pixel double camera
ASIC Technology (Shanghai) EL-1.4 MD-M EL Tester
ASICCN SCSS-EL El Sorter
ASICCN SCSS 00486 El Sorter for Solar Cell
ASM iHawk Xtreme Bonder
ASM
ASM MCM 12 Die Bonder
ASM SF 50 Plasma-Enhanced Chemical Vapor Deposition (PECVD) System 1987
ASM APS 900
ASM AB 339 Eagle Gold Wire Ball Bonder
ASM AB 339 Eagle Gold Wire Ball Bonder Machine
ASM AD 889 Die Bonder 8" High Speed Automatic
ASM AD 889 Die Bonder 8" High Speed Automatic
ASM AD 889 Die Bonder 8" High Speed Automatic
ASM AB 339 Gold Wire Ball Bonder
ASM AB 339 Gold Wire Ball Bonder
ASM TIB 139 Twin Input Buffer
ASM IBE 139
ASM TIB 139 Twin Input Buffer
ASM TLB 139 Final Assembly
ASM AD 889
ASM IBE 139 Input Buffer Machine
ASM Eagle 60 Gold Wire Ball-Bonder
ASM Eagle 60 Gold Wire Ball Bonder As-Is, Parts System
ASM LBE 139 Final Assembly
ASM AS 899 IS Automatic Tester / Sorter "- Dual Linear Bond Hears
- Fast Pattern Recognition
- PC Based Control System
- Hi-PEC Motion control, wiht AC Servo Motors for the workholder bin table
- Package Handling System
- Rotary Testing Stage
- Eagle Vision System
- Click & Fit Bin Block Assembly
- Test Station"
ASM A 412 Low Pressure Chemical Vapor Deposition (LPCVD) As Dpoed Poly / TiN 12" - Chemistry TiCl4, NH3, NF3, Cl2, SiH4, AsH4
ASM A 412 Low Pressure Chemical Vapor Deposition (LPCVD) As Dpoed Poly / TiN 12" - Chemistry TiCl4, NH3, NF3, Cl2, SiH4, AsH4
ASM A 412 Low Pressure Chemical Vapor Deposition (LPCVD) Furnace 12" "- Twin LPCVD SiN Reactors
- LPCVD SiN & SiN
- Vertical"
ASM A 412 Low Pressure Chemical Vapor Deposition (LPCVD) Furnace 12" "Dual Furnace LPCVD Reactor
- Reactor A is LPCVD SiN (DCS) DF-NDP-12
- Reactor B is LPCVD SiN (DCS) DF-NDP-14"
ASM A 412 Low Pressure Chemical Vapor Deposition (LPCVD) Furnace 12" "- SiN & TEOS
Dual reactor LPCVD.
- Reactor A is LPCVD TEOS Oxide deposition
- Reactor B is LPCVD Silicon Nitride"
ASM A 412 Low Pressure Chemical Vapor Deposition (LPCVD) Furnace "Dual reactor LPCVD.
- Reactor A is LPCVD Silicon Nitride
- Reactor B is Phos Doped Poly silicon"
ASM 889 Die Attach Machine
ASM Eagle 60 Gold Wire Ball Bonder
ASM AD 862 H
ASM SWS
ASM AD 830 Die Bonder 6" - Stamping module
ASM SD 890 A Soft Solder DA
ASM Eagle 60 Wire Bonder
ASM AS-209-3 x Toggle Press System
ASM AS-209-3 x Toggle Press System
ASM BP 2000 Ball Placement Tool
ASM AD 830 Automatic Die Bonder
ASM AD 838 Automatic Die Bonder
ASM Twin Eagle Automatic Wire Bonder
ASM Eagle 60 Automatic Wire Bonder
ASM CS 900 Pick and Place
ASM AD 900 Automatic Flip Chip
ASM Harrier Wire Bonder
ASM AB 339 Eagle Automatic Wire Bonder
ASM AD 896 Automatic Die Bonder
ASM AD 898 Automatic Die Bonder
ASM 5000 Chemical Vapor Deposition (CVD)
ASM Eagle 60 AP Gold Wire Bonder for MCD
ASM Eagle 60 AP Wire Bonder
ASM Eagle 60 AP Wire Bonder for MCD
ASM Eagle 60 AP Wire Bonder for SOT 891
ASM Eagle 60 Gold Wire Ball Bonder
ASM Eagle 60 AP Gold Wire Ball Bonder
ASM Eagle Xtreme Gold Wire Bonder
ASM MP 209 2 in 1 Trim and Form & Singulation System
ASM MP 209 Mechanical Platform with Toolset
ASM Eagle Xtreme Gold Wire Bonder
ASM AB 339 Wire Bonder
ASM Eagle 60 AP Gold Wire Ball Bonder
ASM AD 896 IL 08 Die Bonder
ASM IBE 139 Snap Cure Oven
ASM Harrier Gold Wire Bonder
ASM AD 898 Automatic Die Bonder 8" High Speed
ASM DS 500 Precision Dispensing System
ASM Harrier Gold Wire Bonder
ASM Eagle 60 AP
ASM 339 AB
ASM F 1 Advanced Sorting Machine
ASM Hummingbird Wafer Stud Bumper up to 12" "- Cu/Au Bumping Capability
- Independently Controlled Heater with 4 Heater Rods
- 138 kHz Transducer"
ASM Eagle 60 Wire Bonder
ASM AD 830 Die Attach
ASM 898 Die Attach
ASM 898 IL 08 Die Attach
ASM AB 520 Wire Bonder
ASM iHawk Extreme Wire Boner
ASM 559 Wire Bonder
ASM Harrier Wire Bonder
ASM Eagle 60 Wire Boner
ASM Fico 36 M Molding Machine
ASM Mold System - Two Presses
ASM AB 339 Au Wire Bonder
ASM AB 339 Eagle Au Wire Bonder
ASM SD 8312 IGBT Die Bonder
ASM Eagle XT Gold Wire Bonder
ASM Pick and Place - Comes with 4 SWS units attached
ASM Epsilon 3200 Reactor Chamber - w/ Asyst Handler
ASM AB 559 A 06 Wedge Bonder
ASM AB 339 Gold Wire Ball Bonder
ASM Twin Eagle Wire Bonder 0858-1904 PLA
ASM Twin Eagle 60 Cu Stand Alone Wire Bonder Gold / Copper Mix
ASM Twin Eagle Wire Bonder
ASM Wire Bonder Copper Kit
ASM Twin Eagle 60 Wire Bonder
ASM Twin Eagle 1890 Inline Gold Wire Bonder
ASM SR 902 Oven
ASM Wire Bonder P100-1703-ASM E60AP
ASM Twin Eagle Stand Alone Gold Wire Bonder
ASM AD 9212 DH Flip Chip Bonder
ASM TFM 1 A Trim & Form System
ASM Twin Eagle Twin Head Wire Bonder
ASM ISP 189 Inspection Platform
ASM LBE 139 H Line Buffer
ASM DS 139 L Material Handling
ASM MC 139 Cure Oven
ASM DS 139 F Material Handling
ASM Eagle 60 AP Wire Bonder
ASM Eagle 60 AP Wire Bonder with programmable optic
ASM Eagle 60 Wire Bonder
ASM IBE 139 Twin Input Buffer
ASM CO 139 Snap Cure Oven
ASM TLB 139 Hybrid Twin Line Buffer
ASM Ideal Molding System
ASM AD 9012 A Flip Chip
ASM Eagle 60 Conversion Kit for Xfer MC
ASM AD 9012 Flip Chip
ASM AD 889 High Speed Automatic Die Bonder
ASM AB 339 Automatic Gold Wire Bonder
ASM Automatic Form System
ASM Inline LDTFS Mills System with Vivion SOIC 300
ASM AD 828 High Speed Automatic Die Bonder Includes SECS & Gem
ASM Eagle 60 Wire Bonder
ASM EM 649 Automold Mechanical System
ASM Ideal Mold Automolding System "- 4 Strips
- 80 Ton"
ASM Ideal Mold Press System
ASM Auto Mold Press - 1 Chase for 14N SOIC
ASM Twin Eagle 60 Wire Bonder Includes Upgrkit
ASM Eagle Wire Bonder
ASM Epsilon 3200 Reactor 12" "- With Asyst Handler/Spartan Handler
- Was used with Arsen and will be decontaminated before de-Installation."
ASM Eagle Xtreme GoCu Wire Bonder
ASM Eagle Xtreme GoCu Wire Bonder
ASM Eagle Xtreme GoCu Wire Bonder
ASM Eagle Xtreme GoCu Wire Bonder
ASM Eagle Xtreme GoCu Wire Bonder
ASM Auto Mold Press for 16W SOIC Matrix L
ASM Ideal Mold Press Retrofit and Mold Chase for 16W SOIC Invert
ASM MP TAB Trim & Form System
ASM SLS 230 T Plus Testing & Sorting System
ASM SLS 230 T Plus Testing & Sorting System
ASM SLS 230 T Plus Testing & Sorting System
ASM SLS 230 T Plus Testing & Sorting System
ASM SLS 230 T Plus Testing & Sorting System
ASM SLS 230 T Plus Testing & Sorting System
ASM SLT 400 Plus Testing & Sorting System
ASM SLT 400 Plus Testing & Sorting System
ASM DS 600 Dispenser
ASM DS 600 Dispenser
ASM DS 600 Dispenser
ASM CO 600 Gelation Oven
ASM CO 600 Gelation Oven
ASM CO 600 Gelation Oven
ASM Eagle XP CVD 12" "- w/ 2x Pulsar and 2x Emerald
- CIM: SECS/GEM
- Process: TiC/TiN
- Factory interface: FOUP x 3
- Handler System: FE and BE robots
- LL1, LL2, WHC and PM pumps and 1x scrubber (x8)"
ASM Ideal Mold 3 G 220 V, 50.0 A, 50/60 Hz, 3 Phase
ASM E 3200 Epi Deposition System 12" "- Gas Panel Notes :- All gas module components ( MFCs etc.) are installed.
- The only change is: GeH4 MFC size is 200 sccm
- EPI104 was used only for SiGe-Process.
- No dopant gases were hooked up.
- Software version: V7.63
- CIM: SECS/GEM
- Process: Epitaxie
- Foup: 2
- Handler system: Asyst FI
- Handler system: Genmark Transfer
- Options system: OS9"
ASM S Series Placement
ASM Snap Cure Oven & Buffer
ASM Snap Cure Oven & Buffer
ASM Harrier TE 18-093 H "- Process: Front End
- Parts Machine"
ASM Harrier Twin Head "- with Bonder
- Parts Machine"
ASM 829 A Automatic Epoxy Die Bonder
ASM AB 339 Gold Wire Ball Bonder
ASM IHawk Xtreme Gocu Ball Bonder "- Equipped heat tunnel
- Fully Programmable indexers and tracks
- Output indexer with lead frame jam protection"
ASM IHawk Xtreme Gocu Ball Bonder "- Equipped heat tunnel
- Fully Programmable indexers and tracks
- Output indexer with lead frame jam protection"
ASM IHawk Xtreme Gocu Ball Bonder "- Equipped heat tunnel
- Fully Programmable indexers and tracks
- Output indexer with lead frame jam protection"
ASM AD 9082 Chip Bonder
ASM Eagle 60 Die Bonder
ASM CVD System
ASM Eagle Extreme GoCu
ASM iHawk Xpress GoCu Au/Cu Wire Bonder "- 100-240 V 50/60 Hz
- Power Consumption: 1500 W
- Temp Range: 0-320*"
ASM AD 8912 R / PC 139 R 2 R Oven
ASM Eagle Xtreme Gold Wire Bonder
ASM DS 600 Dispenser
ASM CO 600 Gelation Oven
ASM Transformer Input = 380V / 415V / 480V
ASM Eagle 60 XL Wire Bonder The bonder starts up but has an error message about the RAM.
ASM AD 8930 Die Bonder
ASM AD 828 Die Bonder
ASM AS 896 Die Sorter
ASM MTC 2 Matrix Tray Changer
ASM AD 8930 Die Bonder
ASM AD 828 Die Bonder
ASM AS 896 Die Sorter
ASM PS 800 Lite Test & Sort
ASM SLS 230 T Test & Sort
ASM SLT 400 Taping
ASM SeaHawk AVI Machine
ASM SeaHawk AVI Machine
ASM SeaHawk AVI Machine
ASM SeaHawk AVI Machine
ASM Siplace CA 4 Wafer System "- High Volume Chip Assembly
- 4 Portal Microchip / SMD Hybrid Assembly system
- 4 Siplace Wafer Systems (SWS) 8"" incl. 8"" Wafer Expansion
- 4 Wafer Transfer Systems
- 4 LP-Kamera (TYP34) and bonding Head C+P20
Accessories:
- Vacuum Tooling Single Transport Chuck
- Chiller Cooling System
- Vacuum Pump X-Series"
ASM AD 828 High Speed Auto Die Bonder - Not working
ASM AD 210 Plus
ASM AD 210 Plus
ASM AD 210 Plus
ASM AD 210 Plus
ASM AD 210 Plus
ASM AD 210 Plus
ASM AD 210 Plus
ASM America XP 8 450 PECVD / PEALD (Plasma-Enhanced Chemical Vapor Deposition / Plasma-Enhanced Atomic Layer Deposition) System
ASM International ETW 450 Furnace "- Wet/Dry
- Oxide"
ASM Technologies DPSS 3515-50 Laser
ASML PAS 2500 / 40 (UV 400) Stepper 6 '' wafer / 5 " recticle "- UV lamp, 365 nm i-line
- Supply Voltage: 220 Vac +/- 10%
- Power (input: 2kVA
- Freq: 50/60Hz"
ASML YieldStar S-100 Overlay Measurement 12" - Color Wheel Motor Control Board down
ASML XT 1700 Fi Immersion ARF Scanner 12" "- Gas line: CO2,F2/Ar/Ne,Ar/Xe/Ne
Broken Parts:
- PWR SUP +5V/+15V-15V 14/4/4A
- PSDC 250/25 Premium 24vsafe
- PAAC 450/20 R PPCA
- 4-CH Power Amp CTRL01 PPCA
- LISAF 3P Assy
- PSDC 59/22 ASSY
- PADC 100/18 ASSY
- PAAC 550/25 1100V-FET ASSY
- Multi Channel PAC PPCA
- Ethernet Switch 10/100MB 24X
- Phase Measurement Board Phase 2
- SHB ECAB Component Box
- MCCB2 P3M7448B MK2.1 Assy
- Heidenhein Interpol PPCA V2.2
- High Encorder Resoresolution Brd 3
- WH PID MK3 PPCA
- RH LIOS Latch MK3+PPCA SW4.1+
- Shift-In Shift-Out CTRL3.1
- RH IRIS XT PPD Assy
- RS LNS COO (LCSA3) Assy"
Aspex Scanning Electron Microscope (SEM)
Asscon vp 1000-180 Vapor Phase Reflow Soldering Machine
Assembleon iFlex Feeder Trolley 34 Position
Assembleon Topaz X II
Assembleon Opal XII Pick & Place Machine - System powers up but does not operate
Assembleon PA 131716 SMT Pick & Place Machine
Assembleon PA 131716 SMT Pick & Place Machine
Assembleon PA 131716 SMT Pick & Place Machine
Assembly Technologies 1500 Dicing Saw
Asset 1
Asset 2
Asset 3
Associated Environmental ZSM-2105 D Thermal Shock Chamber with Honeywell Chart Recorder
Associated Environmental Systems BD-164 64 cu ft
Associated Environmental Systems Testing Oven
Associated Environmental Systems BHD 405 Temperature Humidity Chamber
Associated Environmental Systems ZBD 164 "- Liquid Cool (N2 – 12-40 PSIG)
- Temperature range -73°C to 220°C
- 208VAC, 3PH, 60Hz
- Full Load Amps – 35A
- Internal Dimensions 48 x 48 x 48
- External Dimensions 56W x 70D x 66H"
Associated Pacific Machine SY-622
Associated Research Hypot Ultra 3 DI Electric Analyzer
Associated Research Dielectric Strength Tester
Associated Research 3665 AC/DC Withstand Voltage Tester
Associated Research 3670 AC/DC Withstand Voltage IR Tester
Associated Research 3030 D Grould Bond Tester
Associated Research 3565 D AC/DC Withstand
Assorted Lab Equipment "- (1) Micromeritics 2200 A Rapid Surface Area Analyzer
- (2) Spectra Lab Optical Assembly 4812
- (1) Lower Pin Gauge Set"
Astechnologies Simplex 310 D Heated Press
Astex 80-S 09-UW
ASTI TR 48 MKIII Tape and Reel System "- 2 D Inspection
Equipment Configuration:
- ( 2-in-1/Integrated) Yes: Taping, Detape and Tray-to-Tray
- Top PKI - No
- Bottom PKI - No
- Tray to Tray - Yes
- Tray to TNR - Yes
- TNR to Tray - Yes (Detape Mode, parts picked from Tape back to Tray)
- AOI Capability:
- Top Inspection - Yes (Mark, Pin1 orientation and Lead Inspection capable)
- Bottom Inspection - No
- Side Inspection - No
- The parts ran on this were 3x3 up to 32mm only
- The machine is capable to run parts on up to 44mm carrier tape"
ASTM 5135 Abraser
ASTM Fox 200 Heat Flow Meter
ASTM Fox 314 Heat Flow Meter
ASTM Fox 50 Heat Flow Meter
ASTM Fox 800 Heat Flow Meter
ASTM C 1699 Pressure Plates 100 Bar
ASTM C 1699 Pressure Plates 14 Bar
ASTM E 96 Test Cups
Astrophysics XIS-7858
Asymtek S-920 N Underfill
Asymtek SL-940 E Ink Coating
Asymtek S-920 N Underfill
Asymtek SL-940 E Ink Coating
Asymtek S 920 Dispensing machine
Asymtek A 618 C Dispenser
Asymtek S-920 Dispenser
Asymtek Automatic Dispenser
Asymtek Liquid Dispenser
Asymtek X 1020 Dispensing System
Asymtek M 2000 Dispensing System - SMEMA-Compatible Multimove Conveyor Software
Asymtek S 820 Dispenser "- Includes:
* Computer
* Monitor
* Camera with Vision installed
* Internal circuitry diagrams
* Backup CD of OS
* Adjustable vacuum stage
* Dual heater for paraffin deposition
* Cleaning diaphragm kit"
Asymtek Century Dispensing System
Asymtek Century C 740 Conformal Coating machine - Dual application setup
Asymtek X 2-220 One vision System for AOI
Asymtek Axion 1020 Underfill
Asymtek M 800 with Loader and Unloader
Asymtek M 2000
Asymtek M 2000 Dispenser
Asymtek M-800 Jet Dispenser - With loader and offloader
Asymtek Century C 740 Conformal Coating Machine - Dual application setup
Asymtek D 585 DispenseMate Dispensing System 525MM
Asymtek D 595 DispenseMate Dispensing System 525MM
Asymtek S 820 B Adhesive Dispense Tool "Motion System:
- Type: Brushless DC servo motors, closed-loop with encoder feedback
- Encoder Resolution: 10 micrometer
- Positional Accuracy: ±0.075 mm (0.003 in.), 3 sigma
- Placement Accuracy: ±0.100 mm (0.004 in.), 3 sigma
- X-Y Acceleration: 0.25 g peak with S-curve jerk control
- X-Y Velocity: 500 mm/s (20 in./s)
- Z-Axis Velocity: 500 mm/s (20 in./s)
- X-Y Repeatability: ±0.025 mm (0.001 in.)
- Z-Axis Repeatability: ±0.025 mm (0.001 in.)
Dispense Area:
- Work Envelope: 350 x 350 mm (14 x 14 in.)
- Z-Travel: 75 mm
- Tool Payload: 3 kg
- Workpiece Payload: 2 kg
- Vision and Lighting:
- Vision: Vision system with Automatic Pattern Recognition
- Lighting: Programmable, on-axis, red/blue LED, 256 steps
Computer:
- Windows-based PC
- User interface: Color LCD flat-panel display; ASCII keyboard & pointing device; Ethernet network port
Software:
- User Environment: Fluidmove
- Operating System: Windows
- Air Supply: 621 kPa (6.1 atm, 90 psi) 3 CFM @ 90 psi (28 liters/hr = 1 SCFM)"
Asymtek Spectrum S 820 Dispenser "- w/ DJ 9000 dispensing head
- w/computer, software and manual"
Asymtek Automove 403 Automatic Epoxy Dispenser
Asymtek TCM 2200 Conformal Coating Line
Asymtek / Nordson SL-940 E Automated Spray Machine "- Laptop with East Coat software
- Batch tooling holder
- Solver-purge station with cups
- Front-access hatch with interlock
- Downdraft ventilation
- Stainless steel interior
- Single lane conveyor
- Vision system with fiducial find camera an patter recognition system
- Prime process capabilities
- Dual action bracket, double slide (75 mm z-axis clearance)
- Valve: DV-09 with 30cc syringe
- ESR, adaptor for DV-0x to mount onto 4 position tilt accessory
- Needle sensor
- ESR, hardware for print DV-0x with reservoir up to 6 oz
- Valve: Prime SC-280N, film coating fluid system (non-circulating)
- Valve: Price SC-300 tri-mode fluid system
- SC-300 4 position tilt accessory
- UV light (in addition to white light. Dual LED spots, switchable)
- Option, board pins with sensor
- Light beacon assembly"
Asymtek Nordson Exelsius UV Oven
ASYS TRM 02 Conveyor
ASYS FIFO
ASYS TRM 01 D Shuttle Transportation
ASYS STM 03 D Shuttle Transportation
ASYS TRM 01
ASYS XS 1 D
ASYS B 8 ICT Test System
ASYS PCB Loader
ASYS PCB Unloader
Asys TDM 08 Conveyor
Asys AEM 02 Conveyor
Asys TML
Asys STM 03
Asys HMS 01
Asys HES 01
Asys MPS 50 Magazine Buffer
ASYS TRM 01 Conveyor Length = 55
ASYS TRM 01 Conveyor Length = 55
ASYS TRM 02 Conveyor Length = 105
ASYS TRM 02 Conveyor Length = 105
ASYS TRM 02 Conveyor Length = 105
ASYS FPS 30 Buffer
ASYS LSB 03 Destaker
ASYS Cell Testing Machine
ASYS XS 2
Asys Insignum 4000 Laser Marking System
ASYS AMS 03 DC PCB Loader
Asyst CS-7100 S 4 Axis Robot "- 4 cassette stations
- 208 VAC
- 3 Phase
- 50/60 Hz"
Asyst Robot Support Documentation
Asyst SMIF Wafer Case Transfer Pod 8" "- Internal Wafer Transport Carrier: Entegris KA198-80MB STAT-PRO 3000, 25 slots
- : 4001-7102-01, 4001-4302-XX
- Carrier seems to be in good condition"
Asyst SMIF Wafer Case Transfer Pod 8" "- Internal Wafer Transport Carrier: Entegris KA198-80MB STAT-PRO 3000, 25 slots
- : 4001-7102-01, 4001-4302-XX
- Carrier seems to be in good condition"
Asyst SMIF Wafer Case Transfer Pod 8" "- Internal Wafer Transport Carrier: Entegris KA198-80MB STAT-PRO 3000, 25 slots
- : 4001-7102-01, 4001-4302-XX
- Carrier is pretty dirty and has a small crack in the side"
Asyst SMIF Wafer Case Transfer Pod 8" "- Internal Wafer Transport Carrier: Entegris KA198-80MB STAT-PRO 3000, 25 slots
- : 4001-7102-01, 4001-4302-XX
- Carrier case has some small cracks on the top"
Asyst SMIF Wafer Case Transfer Pod 8" "- Internal Wafer Transport Carrier: Entegris KA198-80MB STAT-PRO 3000, 25 slots
- : 4001-7102-01, 4001-4302-XX
- Carrier seems to be in good condition"
Asyst SMIF Wafer Case Transfer Pod 8" "- Internal Wafer Transport Carrier: Entegris KA198-80MB STAT-PRO 3000, 25 slots
- : 4001-7102-01, 4001-4302-XX
- Carrier seems to be in good condition"
Asyst SMIF Wafer Case Transfer Pod 8" "- Internal Wafer Transport Carrier: Entegris KA198-80MB STAT-PRO 3000, 25 slots
- : 4001-7102-01, 4001-4302-XX
- Carrier seems to be in good condition"
Asyst SMIF Wafer Case Transfer Pod 8" "- Internal Wafer Transport Carrier: Entegris KA198-80MB STAT-PRO 3000, 25 slots
- : 4001-7102-01, 4001-4302-XX
- Carrier is stained on the outside but seems to be in a working condition"
Asyst SMIF Wafer Case Transfer Pod 8" "- Internal Wafer Transport Carrier: Entegris KA198-80MB STAT-PRO 3000, 25 slots
- : 4001-7102-01, 4001-4302-XX
- Carrier seems to be in good condition"
Asyst SMIF Wafer Case Transfer Pod 8" "- Internal Wafer Transport Carrier: Entegris KA198-80MB STAT-PRO 3000, 25 slots
- : 4001-7102-01, 4001-4302-XX
- Carrier is stained on the outside but seems to be in a working condition"
Asyst SMIF Wafer Case Transfer Pod 8" "- Internal Wafer Transport Carrier: Entegris KA198-80MB STAT-PRO 3000, 25 slots
- : 4001-7102-01, 4001-4302-XX
- Carrier has some scuffs and staining but appears to be in good working condition"
Asyst SMIF Wafer Case Transfer Pod 8" "- Internal Wafer Transport Carrier: Entegris KA198-80MB STAT-PRO 3000, 25 slots
- : 4001-7102-01, 4001-4302-XX
- Carrier has some scuffs and staining but appears to be in good working condition"
Asyst SMIF Wafer Case Transfer Pod 8" "- Internal Wafer Transport Carrier: Entegris KA198-80MB STAT-PRO 3000, 25 slots
- : 4001-7102-01, 4001-4302-XX
- Carrier seems to be in good condition"
Asyst SMIF Wafer Case Transfer Pod 8" "- Internal Wafer Transport Carrier: Entegris KA198-80MB STAT-PRO 3000, 25 slots
- : 4001-7102-01, 4001-4302-XX
- Carrier seems to be in good condition"
Asyst SMIF Wafer Case Transfer Pod 8" "- Internal Wafer Transport Carrier: Entegris KA198-80MB STAT-PRO 3000, 25 slots
- : 4001-7102, 4001-4302-XX Rev C
- Carrier seems to be in good condition but has a stain on it"
Asyst SMIF Wafer Case Transfer Pod 8" "- Internal Wafer Transport Carrier: Entegris KA198-80MB STAT-PRO 3000, 25 slots
- : 4001-7102, 4001-4302-XX Rev C
- Carrier seems to be in good condition"
Asyst SMIF Wafer Case Transfer Pod 8" "- Internal Wafer Transport Carrier: Entegris KA198-80MB STAT-PRO 3000, 25 slots
- : 4001-7102, 4001-4302-XX Rev C
- Carrier seems to be in good condition"
Asyst SMIF Wafer Case Transfer Pod 8" "- Internal Wafer Transport Carrier: Entegris KA198-80MB STAT-PRO 3000, 25 slots
- : 4001-7102, 4001-4302-XX Rev C
- Carrier seems to be in good condition"
Asyst / AKT ACLS Chemical Vapor Deposition (CVD)
Asyst / Nanda Spark Semi-Micro Inspection Module
Asyst Technologies RMS 2150 INX/S Photomask Reticle Management System "- Software version: V 1
- SMIF"
Atago RX 7000 CX Digital Refractometer
ATC K 917003 Chiller - With Bws Transformer, Spare Filters
Atcor Cassette Washer - Capable of 6" and 8" Cassettes
Ateko A 6800 Burn-In
Ateko A 6800
Ateko A 6800 Burn In Chamber "- 3 Phase
- 208 Vac"
ATG Flying Probe Testers Not Functional
ATG A 2 NEO Test System
Athlete BM 1110 W Micro Ball Mounter
ATI Router
Atlantic HDE 330 Hydraulic Press Bake "- 330 Ton
- 10' Overall
- 2 Axis Automec (X and Y)"
Atlas Ci 4000
Atlas Copco SF 4 Air Compressor System
Atlas Copco FD 300 Air Dryer
Atlas Copco SF 11 Scroll Free Air Compressor
Atlas Copco SF 11 Compressor
Atlas Copco Motivair CD 60+ Compressor
Atlas Copco Motivair CD 35 + Compressor
Atlas Copco GX 2 Oil Injected Rotary Screw Air Compressor "208-230/460 V, 60Hz
53 Gallon Tank Mounted
Integrated Air Dryer
3 HP 8.5 cfm 125 psi"
Atlas Copco GX 11 Oil Injected Rotary Screw Air Compressor "Air cooled, 9.1 bar, 208-230-460 V, 60 Hz,
15 Horsepower 125 psi 57 cfm
Air Cooled 208-230-460 V 60Hz
Mark5 Controller
Receiver mounted
Integrated Air Dryer"
Atlas Copco GA 90 Variable Speed Compressor
Atlas Copco SF 4 Air Compressor
Atlas Copco GA 90 FF Compressor
Atlas Copco GA 75 FF Air Compressor "- 10 Bar
- 75kW
- Motor oil injected
- Integrated refrigerant dryer (to connect straight to a plant)"
Atlas Copco GA 160 Air Compressor
Atlas Copco FD 1250 A VSD Air Dryer
Atlas Copco GX 7-100 P Air Compressor "- 220/60Hz
-10 CV"
Atlas Copco GA 75 VSD Air Compressor
Atlas Copco GA 75 VSD Air Compressor
Atlas Copco EBL Drive Power Supply
Atlas Copco EBL Re-Drive Power Supply
Atlas Copco EBL 12 Electric Screw Driver
Atlas Copco EBL 12 RE Electric Screw Driver
Atlas Copco EBL 13 Electric Screw Driver
Atlas Copco EBL 14 Electric Screw Driver
Atlas Copco EBL 15 Electric Screw Driver
Atlas Copco GA 50 VSD-FF Compressor Dryer not working
Atlas Copco ZT 30 Compressor
Atlas Copco ZT 30 Compressor
Atlas Copco GA 50 VSD Air Compressor
ATM ATS-600 DIP Lead Straightner
ATM ATS 600 DIP Lead Straightner
ATM Vision OPTIcoat ST 60
ATMA GEM 7 K Exposure Machine With Accessories
Atmos-Tech Industries VFM 46 DD/GS/SP Air Clean Hood
Atomika Secondary Ion-Mass Spectrometer (SIMS)
ATON Sputter ARC
Atotech Cu 12 Plater Line
Atotech XL 510-30 Etcher Line
Atotech XL 510-30 / XL 230-30 Stripper Line
Atria Compresssed Gas and Sterility Testing
Atrump K 2 V Manual Mill
Atrump B 8 FC Manual Mill
ATS 3350 CA Furnace "- 40 Amp
- 230 Vac
- 60 Hz
- 1 Phase"
Atten TPEE 2002
Atto Diener Plasma Cleaner
ATV PEO-603 LPCVD - does not include pumps or scrubber
ATV PEO 601
ATV HT 303 D Hot Plate
ATX Computer Power Supply
Aucma BC/BD-390 FA Aucma Refrigerator
Audi B 8 Final Tester
Audio Control Industrial SA 3050 A
Audio Precision SYS 2722 System Two Cascade Audio Analyzer, Dual Domain
Audio Precision AUX 0025 / 0040 / 0100 Switching Amplifier Measurement Filters
Audion Audiovac VMS 53 Vacuum Chamber
August Strecker VM 00 F ST Thin Wire Electrical Joint Welding Machine - with microprocessor unit
Aurora Systems
Austin American X 30 A AAT Stencil Cleaner
Austin Scientific Cryopumps "- Flange Size: 10
- Flange Type: ASA"
Austin Scientific 450 Cryopump Compressor
Australian Photonics LPS 2 Laser Power Supply
Auto Vision Dpak Conv
Autoclean ISG-2000 Ultrasonic Cleaner
Autoroll Exactra 360
Autoroll Exactra 360 Screen Printing Press
Auto-Sert A-S 7.5
Autosplice Multisert Terminal Insertion machine
Autotronik BS 383 VI-V Pick & Place Machine "- Fully Automated
- Windows 2000
- Ball Screw System
- Universal CAD Conversion
- Vision on the Fly Alignment System
- Bottom Vision Alignment System
- Built-in Camera System with Auto Fiducial Mark Learning"
AutoWave VIS 300-01 A Microwave Furnace Designed to deposit kilograms of carbon nanotubes
Avalon Solder Level
Avanti Shredding Machine
Avco Chop Saw
Aven SPZ-50 LED Zoom Microscope
Avery 3205-COE Portable Platform Weighing Scale
Avery Dennison ALS 206 Labelizer Machine
AVEX Electronics Type 106 Model 4 F Shock Machine
AVIV UV/IR Spectrophotometer
Aviza Technology Celsior Mainframe 12" "- Mainframe with 2 Chambers: 2 x Aviza Celsior ALD chambers
- Width: 82.677 in (210.0 cm)
- Depth: 131.496 in (334.0 cm)
- Height: 103.937 in (264.0 cm)
- Weight: 3,086 lb (1,400 kg)"
Aviza Technology Pantheon Mainframe 12" "- 3 Chambers
- Width: 82.677 in (210.0 cm)
- Depth: 131.496 in (334.0 cm)
- Height: 103.937 in (264.0 cm)
- Weight: 3,086 lb (1,400 kg)"
Axcelis Fusion PS 3 UVH 12"
Axcelis HC 3 High Current Implanter 12" "- Software version: 2.7.1
- CIM: SECS GEM
- FOUP: 4
- Handler System: Buffer Robot
- Subfab PD Compressors Chillers: 4
- Power supply not working"
Axcelis Purion M High Temperature SiC Ion Implanter 8" "- 335 KeV Terminal Voltage Module
- TDK TAS300 LP with Mapping
- 200mm ESC
- Moly ELS Source, Spr Clamp, VAp, Lined
- (2) AEF Cryo Pumps
- Cryo Pump Kit: 3 x FX Cryos
- Cryo Compressor IS2000
- Heat Exchanger Remote 50 Feet
- Gas Panel Assy with #7 Bottle
- CGA 580 1/4"" Fitting
- Process Chamber Liners
- Machine Overhead Exhaust Kit
- Terminal RP1 Floor Exhaust"
Axcelis Optima OHDxT Ion Implanter 12" "- Load ports: 4
- Missing parts: robot pick on EFEM robot, loadport"
Axcelis HE 3 High Energy Implanter 12" "- 5 degree end station
- Software: 4.7.1
- CIM: SECS GEM
- Factory Interface: FOUP x 4
- Standard handler
- Cryos and compressor pairs (x 6)
- Power Distribution Box"
Axcelis Optima HDxT Ion Implanter 12" "- Missing parts: Robot pick on EFEM Robot. Loadport
- 4 loadports
- Software version: 2.9.0
- SECS/GERM interface
- Protocol Zones: FEOL, MOL
Roughing Pumps:
- Edwards IGX100L
- Edwards iGX100M
- Edwards iGX600M
Cryo Pumps:
- (2) Brooks: 320FE
- (1) Brooks: 250FE
Turbo Pump:
- Edwards STP-XA2703CV
- Edwards STP-A1303CV
Chillers:
- Affinity J Chiller Model: GWN-ZRMK-BE55CBS6
- Affinity F-Series Model: FWA-032K-DD19CBD4
Cryo Compressors:
- (2) Brooks: IS-1000"
Axcelis NV-GSD / 200 E Medium Current Ion Implanter 8" "- Energy Range: 180KeV
- Software Version: 6.4.4
- Workstation: Main only
- Cell Controller: 177 partnr 11002540
- SECS/GEM: Sec Network Card
- Mechanical Frame: Bolted
- Filament P.S: EMS 10-60 - Lambda - P/N: 1214110
- Cathoden P.S: Lumina Power Inc - P/N: 120005295
- Arc P.S: EMS 150-7 - Lambda - P/N: 1204990
- Source Controller: P/N: 1184800
- Dose DI:UDC 2 - P/N: 11028840
- Source Assy: Eterna ELS4
- Source Bushing: Red old - P/N: 170111300
- Extraction Electr: ODE: P/N: 1181634
- Source Injector Bleed: P/N: 11020570
- Extraction Suppression Supply:Glassman - PS/NV-15NN33 (15KV 33mA) - P/N: 2200158
- Extraction Supply: HiTek Power - OL8000/104/05
- EMER:P/N: 1190500
- Aperture / Flag Faraday: P/N: 11019550 - with Aperture
- Disk Faraday (fixed/TSDF): MidField - P/N: 1199240
- E-SHWR/SEF/PEF:PEF Xenon - P/N: 11049260
- Electron Flood Gas Panel: P/N: 11051430
- Beamline Turbo (P8): Leypold Turbovac 1000C - P/N: 31001620466
- Post Accel PS: Advance HiVolt
- Post Accel Manipulator: P/N: 11028640
- Source Isolation Trans:XFMR (5kVA) - P/N: 240000590
- Terminal Isolation Transformer: P/N: 2400116
- Cooling: Affinity 11025010
- Wafer Transfer: P/N: 11026600
- Macobot:P/N: 11026570
- Load Lock: P/N: 1176550
- Gyro:P/N: 11023110
- Rotary Drive Controller: Direct Drive - P/N: 11026580
- Y-Scan Controller: P/N: 11026580R
- Flowhood: no
- Disk Vac DI: Present (DISK VACCUM DI) 1195140
- Light tower: Present (3COLOR)
- Process Disk: 200mm - ALU (non Si coated)
- Cassette Table:P/N: 11016690
- Aligner:P/N: 11016300
- Vac Cassette/Stand: P/N: 11027730
- In Air Robot: P/N: 4693-0031-0001C (non metal pick)
- Wafer Holder: P/N: 110091240
- In Vac Arm: P/N: 110047380
- V3 Bellows: Yes
- G/P Controller (E/S): 360 Stabil
- E/S IG3 Stabil/Glas: 360 Stabil
- Gas Box: P/N: 11035500
- Mod Gas Modul: Modular (2 HP,2 SDS)
- MFC Type As: SDS – MKS
- MFC Type PH: SDS – MKS
- MFC Type BF3: MKS 10sccm
- MFC Type Ar:MKS 10sccm
- P1: PFEIFFER HiPace 2300 UT - PM P04 546 B
- P1 Safety Ring (Seiko 2203) installed: No
- P8: yes - see above for type
- Cryo Pump: Remote
- Freq. Converter: Yes
- Type Cryo Compressor: CTI Cryogenics Helix Technologies 9650 P/N 8135911G001
- Cryo Compressor P2/P3 9650: P/N: 11026530
- Cryo Interfacebox: P/N: 8113048G003
- HYT: No
- Beamguide Gas: No
- Air interface box terminal: SMC 1913100
- Air interface box in vac: P/N:1909770 (SMC 1909770)
- Air interface 2 (near InVac-Arm) : P/N:1909440 (SMC 1909440)
- Air interface1 (above IG2) : P/N: 1909430 (SMC 1909430)
- LeadFloor: No
- Slot To Slot: No
- Bar Code Reader: Yes
- Burn Thru Sensor: P/N: 1177460
- Smif: No
- UPS: No
- Remarks: Flow hood missing, no roughpumps included
(Edwards setup), lower machine front panels missing"
Axcelis / Eaton 9355 UPS 3 Phase
Axcelis / Eaton Nova 6200 Spare Parts
Axcelis / Fusion UV Lamp
Axcelis / Fusion 150 PC UV Bake
Axcelis / Fusion Compact II Oven 12" - C4 processing
Axcelis / Fusion 200 PCU DUV P/N SC4959A
Axcelis / Fusion Gemini PCU Polo DUV 8"
Axcelis / Varian Optima HDxT Ion Implanter 12" "Roughing Pumps:
- Edwards IGX100L
- Edwards iGX100M
- Edwards iGX600M
Cryo Pumps:
- Qty (2) Brooks: 320FE
- Qty (1) Brooks: 250FE
Turbo Pump
- TP1: Edwards STP-XA2703CV
- TP2: Edwards STP-A1303CV
Chillers:
- Affinity J Chiller Model: GWN-ZRMK-BE55CBS6
- Affinity F-Series Model: FWA-032K-DD19CBD4
Cryo Compressors: Qty (2) Brooks: IS-1000"
Axcellis / Fusion Gemini 202 MCU Plasma Asher
Axcellis / Fusion Gemini 202 MCU Plasma Asher
Axic Benchmark 800 Chemical Vapor Deposition (CVD)
Axitron Slot Valve for Load Lock
Axon EZ-2-300-ABM Bottle Bander - with a Gilbreth Heat Tunnel for full body banding of bottles
Axon EX 2-300 Sleeve Applicator
Ayumi "LC 100 L " LC Filling
Aztech BSR-4010
B Bunch Ticket Fan Folder
B&G Machine Tank "- 30.25 Diameter
- 31.5 H
- +100 Gallons"
B&G Machine Tank "- 30.5 Diameter
- 31.5 H
- +100 Gallons
- 13.29 cu. ft"
B&K 4292 Anechoic Test Box
B&K 1692 Power Supply
B&K 2860 A Digital Multimeter
B&K 879 B LCR Meter
B&K Precision 1761 DC Power
B&M WH 50 H 3 N Air Compressor
Babcock Lower Power Microscope
Baccini Printer Island
Baccini Printer
Baccini Walking Beam
Baccini Italy I-Print + I-Cure: Line Loader Module
Baccini Italy I-Print + I-Cure:Printing Machine
Baccini Italy I-Print + I-Cure: Automated Optical Inspection (AOI) Module
Baccini Italy I-Print + I-Cure: Loading Unit for I-Cure Drying Oven Cassettes
Baccini Italy I-Print + I-Cure: I-Cure Drying Curing Oven with Inert Atmosphere
Baccini Italy I-Print + I-Cure: Unloading Unit for I-Cure Drying Oven Cassettes
Baccini Italy I-Print + I-Cure: Line Unloader Module
Baccini Italy I-Print + I-Cure: Line Loader Module
Baccini Italy CTM: Line loader Module
Baccini Italy CTM: Printing Machine
Baccini Italy CTM: Automated Optical Inspection (AOI) Module
Baccini Italy CTM: Thermal Oven Module
Baccini Italy CTM: Line Unloader Module
Bacher FAPS 600 - 8780 HI
Bacher Systems Automatic Exposure Machine
Bachere Exposure Machine
Baileigh SW 443 Iron Worker "- 220V
- 3 Phase"
Baileigh MB 60 CNC Tube Bender
Baker E 40 B Fork Truck
Baker Solar Photovoltaic Cell - Pilot
Balaman BMS 150 Block Handling
Baldor Motor
Baldor EM 2333 T AC Motor "- HP-15
- RPM-1765
- V230-460
- A36.2/18.1
- PH3
- HZ60"
Baldor EM 2394 T AC Motor "- HP-15
- V230/460
- A35/17.5
- PH3
- HZ60"
Baldor FM 3311 T AC Motor "- HP-7.5
- RPM-1725
- V208-230/460
- PH3
- HZ60"
Baldor 3218 T AC Motor "- HP-5
- RPM-1725
- V208-230/460
- A14.8/14/7
- PH3
- HZ60"
Baldor VJMM 3314 T AC Motor "- HP-15
- RPM-3500
- V208-230/460
- A39-36/18
- PH3
- HZ60"
Baldor Super E AC Motor "- HP10
- RPM 1770
- V208-230/460
- A25.4 - 24/12
- HZ60
- PH3"
Baldor M 93 EABTSSECA Pump "- 1725RPM
- 230/460V
- 60Hz
- 3 Phase"
Baldor VM 3545 Motor "- 60Hz
- 3 Phase"
Baldor 35 CB 3500 CIP Pump/Skid
Baldor Reliance Pump 10 HP
Ball FD 1515 220 2 Vibratory Table / Drive
Balston A 915 A DX Filter - Max Temp 55*C
Balston A 917 A 95 Filter - Max Temp 55*C
Balston A 915 BX Filter - Max Temp 55*C
Balston 45 S 6 Filter
BalTec 50 KN Hand Press
Baltimore Air Coil (BAC) Cooling tower
Balzer PX 1000 E 8 3709 Automatic Plasma Clean Machine
Balzer Inficon FLC 150 Stand Alone Chiller
Balzers Diff 500 Diffusion Pump
Balzers 710 - with Polycold
Balzers BAE 301 Freeze Fracture Unit
Balzers TMH 260 Turbo Pump
Balzers TMU 260 Turbo Pump
Balzers BAK 640
Balzers Compressor
Balzers BA 710 A E-Beam
Balzers LLS 900 Sputtering System "- 7 chambers
- 4 sputter stations and 1 etch station
- Control rack
- Generator lack
- Polycold 650 chiller unit
- Spare parts, pumps, electronic parts, manuals"
Balzers Electrical Cabinet - For a BAK 700
Balzers Helium Compressor Part # UC 010 915-T
Balzers Helium Compressor Part # UC 010 915-T A
Balzers Duo 100 Vacuum Pump
Balzers Blower Pump
Balzers LLS 801 Sputter System 6"
Balzers BAK 500 - Electrical components and settings not working
Balzers EEC 420
Balzers EFS 200
Balzers BAK 1052 Evaporation System for Vacuum Coating "- with 2 E-beam evaporation sources (SiO and TiO) with crucibles and coils
- N*2 shutter, heater with 2 resistors right/left
- Optical measure system (missing the quartz system)
- Carousel with its motor
- Pumping group: roots (to be revisioned / overhaul) Not rotative
- No. 2 E-beam filament drivers (needs to be revisioned / overhaul)
- E-beam rack with triode
- N*2 EKS 110; no high voltage board; missing some dischargers, N*1 TA (current meter) and the high voltage main switch
- Cooling system: Needs to be refurbished. All the flowmeters are missing (Kobold)
- PC RACK: Some optical boards and PCB MM420 and PCB 8043 are missing
- Machine has a setup for installing Meissner and Baffle"
Balzers SCS 821 Split Chamber System - Single shutter and rotation cylinder
Balzers BAV 1250 Evaporation System
Balzers BAK 760 Evaporator 6" "- BPU420 E et VAX420
- EHV 110 Power supply,
- 1 multi crucible ESQ113 (4 pockets),
- 1 single crucible ESQ110,
- 2 EKS 110,
- 2 ETS 110 for crucible rotation,
- 1 QSK 300 head (mono quartz),
- 1 TPG300 gauges controller
- The tool was used to deposit
Aluminum
Titanum
Nickel
Gold
It was used for 6""
-3 platen of 12 positions in 6"" = 36 wafers for 1 run
Platens are manually loaded out of the tool
Possible to use 3 platen of 5 positions for 8"""
Balzers / Evatec BAK 600
Balzers / Evatec LLS EVO Spare Parts "(QTY: 11) Anoden Frame/LLS EVO
(QTY: 4) Cover Top/LLS
(QTY: 8) Cover Bottom/LLS EVO
(QTY: 2) Solenoid valve for LLS EVO
(QTY: 1) Segment cage for LLS complete
(QTY: 6) Side covering set MC
(QTY: 10) Shutter Housing/LLS EVO
(QTY: 6) Shutter Shield/LLS EVO
(QTY: 7) Thermocouple PT100 Meissner New
(QTY: 8) Target covering set MC
(QTY: 4) covering set LC
(QTY: 5) covering set MC Boden
(QTY: 2) water valve complete flow line
"
Balzers / Evatec BAK 741 Evaporator
Balzers / Evatec MSP 1501 Batch Sputter Tool 8" High Throughput
Baratron 626 B Absolute Pressure Transducer
Barco SilverWriter MF 860
Barco Crescent 40 Photo Plotter
Barco Photo Plotter
Barnbay Scoring Machine
Barnstead NanoPure Infinity Water Purifier
Barnstead SHKE 4450 Shaking Incubator
Barnstead / Thermolyne A 442012
Barnstead / Thermolyne HPA 2235 M Hot Plate "- 1 Phase
- 1500 Watts
- 13.3 Amps
- 120 V"
Barnstead Thermolyne LN 2 Dewers
Barnstead Thermolyne Furnace
Baron Blakely Vapor Degreaser
Baron Blakeslee ZL 1422 EW Vapor Degreaser Propyl Bromide
Baron Blakeslee MSR 280 LE PF SMH 50 Cleaner
Baron Blakeslee MVR-215 Degreaser
Baron Blakeslee Large Format Degreaser -Flux removal after SMT operation
Barry Wehmikller ALS 206 LH Labelizer Machine
Basler Basler Scout Camera scA 640-70 fm
Bathon Transport Unit
Bathon Frontside Metallization
Bathon Transport
Bathon Transport
Bathon "- Frontside metallization with Buffer AU 908
- flipunit AZ 901
- Fingermetallization AW 909
- Transport AW 911 and screen process"
Battenfeld Plastic Moulding
Baty SM 350 Comparator
Bauer Air Compressor
Bauermeister UT 13 SL Pin Mill
Baumuller Nurnberg DAFF 225 K 23 R 17-5 Electric Generation
Bausch & Lomb Stereozoom 7 Lower Power Microscope
Bausch & Lomb Lower Power Microscope
Bausch & Lomb Microscope Stereo Zoom 4
Bausch & Lomb Microscope
Baxter N 7595 1
Baxter DK 63 Constant Temperature Oven
Bay Voltex MC 150 E 1 P 2 Chiller
Bay Voltex Tempryte PT Liquid Recirculator
Bayco E-2 NG Burn-Off Oven
Bayspec EDFA / ASE Source
Bayvex Circulation Chiller
Bazell Technologies Microseparator CF 80 M Centrifuge "- Basket Style
- 3 hp, 480VAC, 3p power
- No rubber liner for the bowl. Bowl is bare metal"
Bazell Technologies CF 85 NHS Centrifuge "- Basket Style
- 3 hp, 480Vac, 3p power
- No rubber liner for the bowl. Bowl is bare metal"
BBP
BBS TGP 500 C Grinding and Polishing 3 in 1
BD Bioscience C 6 Flow Cytometer
BD Biosciences BD LSR II Cytometer
Beahm C 85 Mandrel Remover
Beamworks 100 Selective Solder Machine
Beaver VBRP Machine Tool Mill
Beckman J 2-MI Centrifuge 4 rotors (JA-14, JS-13, JA-20, and JA-10)
Beckman PPS 300 Power Supply 40V / 7.5A
Beckman 360 Digit Multimeter, Bench
Beckman J 2 M 1 Centrifuge
Beckman J 21 C Centrifuge
Beckman DU 640 Spectrophotometer
Beckman J 2-HC Centrifuge
Beckman GS 6 KR Centrifuge
Beckman GS 6 Centrifuge
Beckman Centrifuge
Beckman Expandomatic SS-2 Ph Meter
Beckman HD 110 Multimeter
Beckman Avanti 30 Centrifuge
Beckman Coulter DU 800 Spectrophotometer With Dell PC
Beckman Coulter J-6 B Centrifuge
Beckman Coulter Avanti J-25 XP Centrifuge
Beckman Coulter J 6 M Centrifuge
Beckman Coulter Reverse Osmosis System
Beckman Coulter Z 1 Cell & Particle Counter
Beckman Coulter J 2-MC Centrifuge
Beckman Coulter Avanti J-25 Centrifuge
Beckman Coulter J 6-MC Centrifuge
Beckman Coulter Allegra X-30 R Centrifuge
Beckman Coulter Centrifuge
Beckman Coulter N 5 Submicron Particle Size Analyzer With Computer
Beckman Coulter J 2 HS Centrifuge
Beckman Coulter Optima XLA Analytical Centrifuge - with 2 rotors
Beckman Coulter Allegra X 22 Centrifuge
Beckman Coulter Met One 3423 LLD Particle Measurement
Beckman Coulter Allegra X 22 Centrifuge
Beckman Coulter Allegra X 22 Centrifuge
Beckman Coulter 367160
Beckman Coulter 368826
Beckoff K-line Hydraulic Press
Beckoff Transfer Robot 160 ton
Beckwood Press "- 480VAC, 3PH, 60Hz
- 15 Amps"
Bectochem Homogenizer
Becton Dickinson FACSCalibur Flow Cyometer
Becton Dickinson FACScan Flow Cytometer
Bede QC 200 Diffractometer
Bede D 1 X-ray Diffractometer
Behlman PA-20-2-A1-1-3844B
Beijin BeFirst Technology F 20 Film Thickness Gauge
Beijing Omori Changkong Packaging Machinery Pouching Machine
Beijng RS 950 L Automatic Tangential Stripper
Bekaert Magnetron Rotary Feedthrough Drive Assembly Includes accessories
Belco BM 2020 Tray Sealer
Bell + Howell A 397 C 4 Inserter
Bell Tech Maxtron Circut Board
Bell Teck Maxtron Max 9800 Universal Tester
Bellco Biotechnology Mini Roll-in Incubator
Bellgroup BE-TH-150 M 8 Low/High Temperature Testing Chamber
Bellheimer SYS-351-2016.5-NT Vertical Carousel
Bellingham RFM 970 Refractometer
Beltron Belt Oven
Beltron Film Photo Machine
Bemco FW-AMB / 110 F - 238 Environmental Chamber
Bemco LDF 100 / 350 27 S Thermal Chamber
Ben Yuanxing LSA-504 Automatic Sealing Machine - Main Power Supply = 220V
Benchman MX Mill
Benchman XT High Speed CNC Milling Machine
Benchmaster Press
Benchmaster SGR Press
Bendix 566-2 Psychrometer (T)
Benshaw CFMVRSM 18 900 4160 1 Soft Starter 4200 Vac Controller M183C Medium Voltage
Bensonic 20 2025 T Ultrasonic Wave Press
Bensonic 20-2025 T Ultrasonic Wave Press
Benteler Calandering Machine DLF dimensions (width 2.6), 2 niproll, 1 preheating section and 3 heating sections
Benteler 2500 C 4/0 E Grinding Line
Benton Harbor Formsmaster 8003 Printer
Berg Skid Mounted chilled Water System "- Non Metallic fiberglass cooling tower
- Stainless Steel Tank
- Fully Integrated Control panel with back up pump
- Automated H2O Treatment
- 50micron centrifugal filter
- 350GPM
- Nominal Capacity 170 Tons @95/85/78F
- Nominal Flow 510GPM @ 95/85/78F
- Design Capacity 110Tons @89/82/76F
- Design Flow 363 GPM @89/82/76F
- Dimensions 95""X95""X145""
- Fan Motors 15HP
- Water Connections 4"" & 8""
- Shipping 3500lbs
- Operating Weight 7100lbs
- Tower Capacity 900 gallons
- Tower Pump 10hp
- Flow and Pressure 363gpm
- Process Pump 20hp
- Flow and Pressure 363gpm @ 60psi
- Combined Standby Pump 20hp
- Full Load 58.8A
- Tower Dim 65""x92""x74""
- Berg Centrifugal Action Stainless Steel Particle Filtration System
- BERG System Model BT-9636-15
- TOWER BERG Model TT-546-1(10)x1(20)
- 460VDC 3 phase 60hz"
Berger 30 MW Solar Light Simulator
Berger 30 Mw Pulse Solar Load Machine
Berger PSS 10 II Pulse Solar Load Machine
Berger PSS 10 II Solar Simulator
Berger Module Tester
Berghof Speedwave Microwave Digestion system with Built-in, Non-Contact
Berkeley Lights Beacon
Berkeley Nucleonics 625 Function Generator
Berstead Thermolyne Hot Plate
Besco Molding Machine
Besi CS 1250 Pick and Place Die Sorter
Besi CS 1250 Pick and Place Die Sorter
Best Flex 200 Expandable Conveyor 24" x 24'
Best Flex 200 Expandable Conveyor 18" x 24'
Best Flex Conveyor Belt
Beta Lasermic Control System
Betenbender Shear 12-1/4
Better Pack 333 Plus Teipera
Beuhler 47-1750-160 Polisher
Bhavani Industries Standard Weights for Calibration
BHS Sonthofen SS 316 Rotary Pressure Filter
Bianco 370 A Automatic Horizontal Band Saw
Bianco 280 SA Horizontal Band Saw
Bias HS-7 v 2 B-40/100 Cabinet 32” x 32” x 78”
Bid Service DX Bonder
Bid Service DXB Bonder
Biddle 210800 Megohm Meter
Bielefeder union Washing Machine for Laminated Application 2.6 width
Bieleferder Union Washing Machine for PLF Dimensions Pfor Laminated application, hard and soft brushes, deminarized water.
Bielomatik K 8100 / 251 Hotplate Fuel Tank Welding Machine
Bikor ACX 509 Drill Press
Binder Controlled Humidity Oven
Binder APT 115 Humidity Chamber
Binder
Binder Drying and Heating Chamber
Binder ED 115 Drying Cabinet
Binder Oven
Binder Maintenance Oven
Binder KB 400 Oven
Binder KB 720 Oven
Binder Precision WTB BINDER 1805330000202 Precision Oven
Binder-Labotal MKF 115 Oven
Binks Paint Spray Booth
Binks Wash Booth
Bio Analytical CV 50 Voltammetric Analyzer
Bio Rad Gel Doc XR Imaging System
Bio Rad CMMS 134
Bio Rad iCycler 582 BR Thermal Cycler - with optical module 584 BR
BioDot AD 2030 Aspirate/Dispense Platform
Biolin QSense Omega Auto Quartz Crystal Microbalance (QCM) - Automated and fully integrated turn-key system for rapid start-up and low hands-on time- Real-time and label-free technology for convenient measurement
Biomicro Systems Maui Microarray / Glass Slide Wash system
BioProTT FlowTrack Plus Ultrasonic Flow Meter
Bio-Rad DL 8010 Deep Level Transient Spectrome
Bio-Rad 583 Gel Dryer
BioSafe Work Platform 3 Steps, 316 L SS, 36" W x 63" H, 63" Deep
BioScan PlateChameleon Microplate Reader
BioTek ELX 405 R
Bird 500-WA-MFN-20 RF Attenuator 500 W
Birmingham YCL-1660 Lathe
Birmingham Mill "- 220Volt
- Single Phase"
Birmingham YCL 1440 GH Lathe "- 3-inch and 4-inch jaw chucks
- Live end stock and Tool holder
- Collet Tooling System"
BK 1743 B Precision Power Supply
BK Precision Oscilloscope
BK Precision 1660 DC Power Supply
BK Precision 9110 DC Test Power Supply
BK Precision 1670 A DC Switching Power Supply
BK Precision 4017 Function Generator With Manual
BK Precision 389 Multimeter
BK Precision 4040 A Sweep / Function Generator 20 MHz
BK Precision 1851
BK Precision 1627 A DC Regulated Power Supply
BK Precision 3030 Sweep Func Generator
BK Precision 1670 A DC Supply
BK Precision 3011 B Function Generator
BK Precision 4010 A Function Generator 2MHz
BK Precision 875 B LCR Meter
BK Precision 5491 A Multimeter
BK Precision 886 LCR / ESR Meter
BK Precision 2704 C Digital Multimeter (DMM)
BK Precision 2706 B Digital Multimeter (DMM)
BK Precision Autoranging Capacitance Meter
Black & Webster BS Electro Punch
Black & Webster SAB 6 Protector
Black Diamond Drill Sharpener
Blanchard 11
Blanchard 18
Blanchard EML 3
Blanchard 20 AD-36
Blanchard 1 8 Mill Machine - 120" wide x 48" deep
Blanchard 1 1 Mill Machine - 83" wide x 49" deep
Blanchard Model 22-42 Grinder
Blanchard 11 Rotary Surface Grinder - 16" rotary grinder
Blanchard Surface Grinder
Blanchard Machine 11
Bliss Industries EDMF 4840 TFADE Hammer Mill
Blue Gear Pump - No Motor
Blue Air 021-0025 Receiver Tank
Blue M POM-136 G-1 Oven
Blue M Oven
Blue M OV-500 C-2 Oven
Blue M POM 7-16 BI-E/F Oven
Blue M Oven
Blue M OV-490 A 2 Oven
Blue M DDC-206 CY Oven 8"
Blue M Oven
Blue M AC-7702 HC-1 Environmental Chamber
Blue M ETC-09 DH-G Environmental Chamber
Blue M AC-7702 TDB-1 Environmental Chamber
Blue M OV 520 C 2 Oven
Blue M OV 490 A 1 Oven
Blue M OV 18 A Curing Oven
Blue M OV 490 A
Blue M E SP 400 C Burn-In System
Blue M E SP 400 B Burn-In System
Blue M OV 185 A Stabil-Therm Gravity Oven
Blue M 246 Industrial Batch and Batch Truck Oven
Blue M BTC 4 100 C Oven
Blue M Explosion Proof Oven
Blue M M 01450 SA Electric Oven
Blue M 1002-3-2 TPB-X 88
Blue M 1002-3-2 TPB-XXX
Blue M ESP-400 B/c-9 Temp range 500F 208v 1 phase
Blue M OV-510 A-2 "-120volt
-100-500 Temp range coupled With Micro Instrument Model 2010 208 30amp 1phase High Voltage Burn-in-Rack"
Blue M DCC-206-B-MP 550 -Temp 250C 208volt 1phase 17amp
Blue M Oven
Blue M POM 7-256 C-3 Oven (IP)
Blue M Esp 400 BC-4 Bake Oven
Blue M Esp 400 B Bake Oven 6" "- Range: +200C, 208V/1Ph/60Hz
- Line Current: 13.5A
- Rated Heat Input: 2.5Kw, 3 Timers Attached
- Footprint: 27X22X51
- Chamber: 19X15X18
- Promis Id: Oven24"
Blue M CC-05-C-P-B Oven
Blue M Environmental Chamber
Blue M Box Oven
Blue M Oven
Blue M SPX DCC 206 F MP 350 Clean Room Oven
Blue M Bake Out Oven
Blue M Humidity Chamber
Blue M OV 472 A 3 Oven
Blue M OV 475 A 2 Oven
Blue M DCC 206 C C Oven "- Internal Oven Dimensions: 16 x 18 x 20” Maximum Temperature: 250oC
- Single Setpoint Digital Temperature Controller
- Over Temperature Protection Controller Stand Included; Stainless Steel Interior Power: 208/240V 1PH 18/20A"
Blue M DCC 256 E Oven "- Power: 208V, 27A/phase, 3phase
- Heater capacity: 9kW Temperature: 316oC
- Workspace: 5.8cu. ft.
- Dimensions: 25”Wx20”Dx20”H"
Blue M Oven
Blue M DCC-1406 CY Oven - 250°C
Blue M CW 6680 G MP 550 Oven
Blue M CFR-7652 C-4-B Environmental Chamber, Temperature and Humidity
Blue M ESP-400-C-7 Benchtop Oven, High Temperature Storage
Blue M DC 256 Oven
Blue M Pro Master Inert Gas Oven
Blue M Ink Oven
Blue M DC 326 KY HP Oven
Blue M Despatch Oven
Blue M Industrial Batch Oven
Blue M DCA 206 CY MP Basic Oven with Option 2 to 11
Blue M LAC 388-4 Despatch Oven
Blue M Despatch Oven
Blue M MP 1406 LY-HP Oven
Blue M DCA 206 C Mechanical Convection Insert Gas Oven with Parts
Blue M DCA 206 - 3495 Oven
Blue M DCA CY MP HP Inert Gas Oven
Blue M MP 1406 LY HP Oven with 12 Multi-Point Recorder
Blue M DCA 206 NY MOC Electric
Blue M POM 203 A 1 Oven "- 400° F max
- 120V / 1ph / 60Hz, 26A"
Blue M Oven
Blue M OV 490 A 2 Constant Temperature Cabinet
Blue M Batch Oven "- Class A
- Not working"
Blue M Oven
Blue M POM 7-20 Oven
Blue M Pre Bake Oven
Blue M Pre-Bake Oven
Blue M Oven
Blue M Oven
Blue M Stabil-Therm Oven
Blue M Power O Matic 70 Oven
Blue M OV 18 A Oven
Blue M Stabil-Therm Oven Not working
Blue M TF 55030 A 1 Tube Furnace 2"
Blue M Electric SPX Oven
Blue-M CC-05 C Airflow Oven 8" 250°, N2, Water cooling, mechanical convection horizontal
Blume & Redecker Winding Machine
BMA TC 05
BMG Labtech FLUOstar Omega Microplate Reader
Bobifil Winding Machine
Bobrick 44 Series Compact Hand Dryer
BOC Edwards Zenith MOVCD PN: N68075040
Boeckeler Instruments 1-M Junction Depth Measurement System
Boekel Digital Dry Bath Incubator
Bogen M 100 A Amplifier
Bograma BSR 550 Basic Rotary Die Cutter "- With pile feeder for single sheets or thin leaflets max. 8.000 AT/ h min.
- Format 297 x 210mm max.
- Format 550 x 750mm
- Connection for a extern vacuum valve
- Transferable ULT 550 for sheets from a folder"
Bohlin CS 50 Rheometer
Bold Sulfuric Strip Sink "- 208 V
- 3 Phase
- 33 A"
Bold Technologies Auto Heater-Chiller P/N 192-0001
Bold Technologies Auto Heater-Chiller P/N 940-2200
Bold Technologies Auto Heater-Chiller P/N 940-2201
Bold Technologies Hood Chiller P/N 940-1230
Bold Technologies Implant Source Rebiuld Hood With Di Water Inlet-Outlet & Fire Sprinkler Line Od: 68 x 47 x 81
Bomem Spectrometer
Bonnot 2 1/4 XTRDR Pilot Plant Extruder
Bontec 6400
Boonton 57518 Power Sensor
Boonton 4532 Power Meter
Boonton 4531 RF Power Meter
Boonton Electronics 72 BD Capacitance Meter
Boost Solar BSL 2336 OAC Laminator includes Laminator System, Cooling system, loader conveyor and unloading conveyor.
Boost Solar Framing Machine
Boost Solar Laminator
Boost Solar EL Tester
Boostsolar BSL 2236 OAC-III Laminating Machine
Booton 9200 A RF Millovoltmeter
Bora 1300 Nitrogen Generator
Boreas B 100 Cryo Cooler
Borg Warner Automatic Assembly Machine Custom
Bosch 0840001002 Drill Press
Bosch Monitor
Bosch KKE 2500 Tablet Check Weigher
Bosch GLM 40 Range Finder
Bosch KKE 2500 Tablet Check Weigher
Bosch KWE 400 Packaging Equipment
Bosch Manesty Tablet Press Machine
Bosch Rexroth SB 305 Torque Tool Controller - Software version 1.6
Bosch GKF 700 Capsule Filling Machine
Bosch Rexroth 1140 160 10 Actuator "L = 3450mm
Belt Drive Ratio 1:1
Drive Shaft D=18
Carriage 260mm
With External Lp90 5:1 Ratio
With Adapter For Ormec Db025l/I Mtr"
Bosch Rexroth 1375 Statie Pinchecker
Boscien Final Cleaning Machine
Bossar B 1400 Pouch Filler
Bostomatic 300 Mill
Boston Gear Beta II Planetary Polisher
Bottero Unloading Machine capacity max 400 kg
Bottero Squareness Conveyor and Crane for Glass Assembly width 2.6m
Bottero Unwinding Area for PVB Rolls Equipment to laydown PVB on glass, width 2.6 m
Bottero Unwinding Machine "- 12 Positions
- 2.6m width"
Bottero Loading Section area DLF dimensions, weigth max : 700 kg
Bottero 308 A Double Edger -For flat glass
Bourn & Koch 1400 VG Gear Grinder
Bourn & Koch 1000 VG Gear Grinder
Bourn & Koch 500 VG Gear Grinder
Bourn & Koch P 1200 CNC Hobber
Bourn & Koch PE 1000 CNC Hobber
Box Voltex Chiller
Boy 30 M Molding Machine
Boy Machines 15 S Injection Molder
Boyar Schultz 2 A 618 Hydraulic Surface Grinder
Boyar-Schultz 2 A 618 Hydraulic Surface Grinder
Boys Machine Boy 50 Injection Molder "- 220 Volts
- 3 Phases
- 60 Hz
- 2320 PSI
- Includes Technical Manual and Machine Schematics"
BP Microsystems BP 1600 Prom Programmer (IP)
BPR CP 40 Pipe Roller
Brabender Technologie F W 80/5 Plus-200 Mixer
Brabender Technologie DDW-MD 3-DSR 28-10 ISC Mixer
Bradtech Cargo Trailer 53"
Brady BBP 33 Label Printers
Brain Domain Buff 1001 V 1 0 Buffing Machine
Brain Domain Buff 1001 V.1.0. Buffing Machine
Brain Power Mini Color 2/4 Color 9 Lens Tinting Station System
Bramidan Baler
Brandenburg 139 D Digit Multimeter High Voltage
Brandenburg 139 D Voltmeter High Voltage
Brandenburg 149-02 Meter High Voltage
Brandenburg 149-02 Digit Multimeter High Voltage
Brandenburg 139 D Digit Multimeter
Brandenburg 139 D Digit Voltage Meter (Repair)
Brandt Tech PC 510 Vacuum Pump
Branson 8510
Branson 101620-25-18
Branson Digital Sonifier
Branson Ingot Debonder
Branson Wafer Cleaner
Branson S 8500 Ultrasonic Cleaning
Branson 452 Degreaser - Sub Zero Button
Branson Barrel Etcher
Branson Ultrasonic Degreaser
Branson Ultrasonic
Branson 8510 E-DTH Ultrasonic Cleaning system
Branson Benchmark Modular System for wafer cleaning
Branson 3 State Modular System For Wafer Cleaning
Branson 214-3 Frequency Ultrasonic Generator "- 750W Operating Frequenzy
- 106Khz"
Branson Modular System for Fixture Cleaning with Heating System
Branson Agitation and Control Panel Modification
Branson SBUBHADM 1216 LED DC Burn-In System Oscilloscope "- 415V
- 50Hz
- 3 Phase"
Branson Reactor Center 53075 11020 Asher
Branson SBUBHADM 1216 High Performance Hot Air Dryer
Branson Aqueous Ultrasonic System
Branson 1216 Benchmark Ultrasonic Cleaning System
Branson S-3100
Branson B 250 Degreaser
Branson / IPC P 3075 Plasma Asher
Branson / IPC PM 21018 Plasma Asher
Branson / IPC 2000 Plasma Asher
Branson / IPC PM-11020 Plasma Asher
Branson / IPC 5210 DTH Ultrasonic Cleaner 12" "- Tank capacity 9.5 liters
- Technical specifications: 230 V, 50-60 Hz, 469 W
- Temperature: up to 60ºC
- Housing (D)x(H)x(W): 40 x 36 x 39 cm
- Interior (D)x(H)x(W): 26 x 15 x 32 cm"
Branson / IPC Plasma Cleaner 6"
Branson Ultrasonics DHA 1000 Ultrasonic Cleaner
Braun MB 150 M Glove box with gas purification
Brecknell SBI 505 Floor Scale "- New MAX 5000 Class 3
- 5'x5' Deck"
Brencor 1127 Chamfer Check 90-127 DEG
Brencor 1127 Chamfer Check 0-127 DEG
Brew JF 937 B
Brewer Science 300 XD IPA Cleaner
Bridgeport F-X 362-00-70
Bridgeport 5-5-09-443 Mill
Bridgeport
Bridgeport J 38045 Vertical Mill Machine - 57" wide x 50" deep
Bridgeport Vertical Mill Machine - 63" wide x 57" deep
Bridgeport Vertical Milling Machine
Bridgeport CNC Series I Vertical Mill
Bridgeport VMC 800 22 CNC
Bridgeport Mill
Bridgeport Textron
Bridgeport Base of Vertical Mill
Bridgeport Series 1 Milling Machine
Bridgeport Vertical Mill
Bridgeport Converter Mill
Bridgeport
Bridgeport 108379 Vertical Mill
Bridgeport EZ-Trak CNC
Bridgeport Mill
Bridgeport Mill
Bridgeport Vertical Mill
Bridgeport Grinder
Bridgeport XA 900 Vertical Mill
Brierly Drill Grinding Machine
Brinkman 682 Automatic Titrater
Brocade BR-VDX 6748 T-48-F
Brocade MLX
Brookfield TA 1500 LFRA Texture Analyzer
Brookfield DV II + Viscometer Digital
Brookfield LVTD Viscometer (IP)
Brookfield Thermosel
Brookfield DV-II+ PRO Viscometer
Brookfield Viscometer
Brookfield LVDVE Viscometer
Brookfield Rheometer
Brookfield Engineering Lab PFT 3115 Powder Flow Tester
Brooks 001-2980-56 Aligner
Brooks 001-4130 Air Regulator Panel, Aligner
Brooks 201600 11 Robot
Brooks MTX 4000 Wafer Sorter
Brooks / Zygo Zaris Sorter
Brooks Automation FabExpress Wafer Handling Robot
Brother TC 22 B 0 VMC
Brother HL-2230 Laser Printer
Brother BEM 530 Electric Typewriter
Brothers Pharmatech (India) LVP Leak Testing Machine
Brothers Pharmatech (India) Visual Inspection
Brothers Pharmatech (India) LVP Labeling Machine
Brothers Pharmatech (India) CAP Placing Machine
Brown & Sharpe 576-4518 Height Gage 18"
Brown & Sharpe 599-1-2 Micrometer 1 X .0001"
Brown & Sharpe 496 Protractor, Vernier
Brown & Sharpe 599-5831 Riser Block 9"
Brown & Sharpe 176 Micrometer 1", Multi-Anvil
Brown & Sharpe 599-30-108 Micrometer
Brown & Sharpe 599-40-108 Micrometer
Brown & Sharpe 599-50-108 Micrometer
Brown & Sharpe 599-60-108 Micrometer
Brown & Sharpe 599-1-108 Micrometer
Brown & Sharpe 599-20-108 Micrometer
Brown & Sharpe 30-108 Micrometer 50-75mm
Brown & Sharpe 40-108 Micrometer 75-100mm
Brown & Sharpe 40-50-108 Micrometer 100-125mm
Brown & Sharpe 40-60-108 Micrometer 125-150mm
Brown & Sharpe 1-108 Micrometer 0-25mm
Brown & Sharpe 20-108 Micrometer 25-50mm
Brown & Sharpe 7035-3 Indicator, Dial .20" Travel
Brown & Sharpe 599-542-6 Square, Precision 6"
Brown & Sharpe 7033-3 Indicator, Dial .00005 X .008"
Brown & Sharpe 599-1025 Gage Amplifier
Brown & Sharpe 618 Micromaster Surface Grinder
Brown & Sharpe Optiv Multi Sensor Vision Measurement System 400 x 400 x 300mm
Brown & Sharpe Gage 2000 - Parts Machine
Brown & Sharpe MicroXcel 454 PFX CMM Coordinate Measuring - Hoses will need to be replaced
Brown & Sharpe Ultramatic Screw Machine
Brown & Sharpe MXCEL 7-6-5 5 P
Brown and Sharpe GAGE 2000
Brown and Sharpe 523-1224-263
Brown Boggs 14 LWA Walsh Press
Brown Boggs Walsh Press
Browne and Sharpe GAGE 2000 Coordinate Measuring Machine (CMM) "Software used: Reflex version 2.4 or higher
Measurement Capacity: 18’X, 20”Y, 14”Z
"
Bruce 7607 Furnace Interface Mod
Bruce 7351 C Furnace Processors, Controllers, Scanners, flowmeters, fans and other components
Bruce 7354 M Temperature Control Unit
Bruce 7354 N Control Unit
Bruce BDF 4 Low Pressure Chemical Vapor Deposition (LPCVD) Furnace 4" A25 dry pump available
Bruderer BSTA 60 VL Precision High Speed Straight Side Punch Press "- Tonnage near bottom of stroke: 60 tons
- Bolster area front to back: 25.50""
- Bolster area right to left: 37.20""
- Bed opening front to back: 8.00""
- Bed opening right to left: 35.75""
- Shut-height, stroke down, adj. up at 1"" stroke: At Order
- Adjustment of ram (power adjustment): 2.50"" (Rapid Lift)
- Thickness of bolster: 4.00""
- Opening in bolster (standard): At Order
- Speed strokes per minute: 100 - 1120
- Adjustable stroke: .625"" to 2.00""
- Strip Inlet Height, adjustable: 2.36"" to 5.50""
- Motor, 220/440: 25Kw"
Bruderer BSTA 30 Stamping Press - 1600 hours
Bruel & Kjaer 4294 Calibration Exciter
Bruel & Kjaer Nexus 2692-0 S 4 Conditioning Amplifier
Bruel and Kjaer V 850-440-SPA 32 K Shaker "- Load rating: 5000 lbf sine/random
- Armature size: 17 in.
- Displacement: 2 in. peak-to-peak continuous
- 30 x 30 in. Oil Film Slip table
- Pneumatic isolation
- Includes control system
Head Expander
- Custom designed for this machine
- Allows attachment of hardware up to 52 x 25 in.
- Capable of whole human body vibration of sitting, standing, or reclining subjects"
Bruker D 2-Phaser Diffractometer System
Bruker D 8 Discover Diffractometer 8"
Bruker S 8 Fabline T Total Reflection X-ray Spectroscopy (TXRF) Metrology System
Bruker 4.7 Tesla MRI
Bruker MALDI Microflex Spectrometer
Bruker DRX 500 NMR Spectrometer
Bruker Contour GT-K 3D Optical Microscope / Profiler "includes:
-custom Minitec anti vibration table
-Dell touch screen monitor minus the aluminum fixture"
Bruker D 8 Advance X-ray Diffraction Equipment
Bruker EDS Detector
Bruker S 1 Titan 600 X-ray Fluorescence Spectrometer "- Pre-calibration for all standard alloys
- Pre-calibration for bismuth telluride alloys
with sample kit"
Bruker S 8 Fabline-T Total Reflection X-ray Spectroscopy (TXRF) Metrology System
Bruker Fourier Transform Near-Infrared (FT NIR)
Bruker JVX 5200 T Thin Film Measurement System, X-Ray Reflectometer
Bruker Discover D 8 Micro - XRD - There is a likelihood the Turbo not working
Bruker Matrix-I FT-NIR Spectrometer - Diffuse Reflectance Test Kit
Bruker Xflash 6 10 EDX Detector
Bruker FT-NIR Spectrophotometer
Bruker Prodigy Cryoprobe
Bruker D 8 Fabline Metrology Tool 12"
Bruker Dimension 3100 Atomic Force Microscope (AFM)
Bruker Fabline D 8 X-Ray Diffractometer 6", 8" "Wafer Size Range:
- Minimum 150 mm
- Maximum 200 mm
- Set Size 200 mm
Wafer Mapping: YES"
Bruker InSight 3 D- DR Mask House Photomask Inspection Atomic Force Microscope (AFM) "- Software Version: 8.91b36
- Factory Interface: SMIF"
Bruker D 8 Fabline X-Ray Diffractometer 12" "- Handler system: Asyst EFEM Spartan
- E84 Standard for Overhead Load System
- i300 load port version"
Bruker D 8 Fabline X-ray Diffractometer 12" "- High Brightness (2KW) Bruker Rotating Anode HB-TXS
- SMIF System: Spartan EFEM dual load port for 300mm wafers (2) Brooks Automation
- Handler System: UMC 300 wafer stage with short tracks on primary side
- Options: Primary optics for micro diffraction, TXS X-ray system, LynxEye detector for secondary side + mount, Waferchuck
- Pattern recognition software
- Keyence laser triangulation module for fast height alignment
- Software version: 77-2.3A-6A-5A-5A-R6A
- CIM: E84, SECS/GEM, GEM300"
Bruker Galileo G 8 Oxygen, Nitrogen, Hydrogen Analyzer
Bruker Microflex Matrix Assisted Laser Desorption Ionization-Time of Flight (MALDI-TOF) "- New 10kV power supply for lens
- New 20kV power supply for ion guns
- Computer running Windows 7"
Bruker Nano 3 DAFM Atomic Force Microscopy (AFM) System
BT Tech NC 25 Flux Cleaning Machine
BTI 4 Stack Horizontal Furnace 5"
BTI BDF-41 Horizontal Furnace "- 7680 boatloaders and controllers (4)
- Atmospheric gas panel (3)
- Empty gas panel (1)
- Intempos temperature controller (4)"
BTM 61.41 SA DS Horizontal Band Saw "- 16"" x 24""
- 60 Deg. Miter
- 1-1/4"" Blade"
BTU TCAS 181-8-81 E 36 Controlled Atmosphere Furnace 12" "Controlled atmosphere belt furnace with temperature range up to 400°C and with various process atmospheres including hydrogen and nitrogen. Inline controlled atmosphere furnace the following applications:
- Flux-free Hydrogen wafer bump reflow
- Heat-treating
Features:
400°C maximum
temperature rating
• Air/Nitrogen/Hydrogen
capable
• FEC (fully enclosed coil)
heaters
formed into ceramic
insulation panels
• Water cooling
• Gas tight muffle
• Cross belt temperature of
±2°C for belt
• Independent overtemperature
control in each zone
• WINCON™ Multi-Language
Control Software
• Closed loop belt speed control
• Atmosphere safety NFPA
86C compliant"
BTU TFF 142-7-126 A 48 Tunnel Kiln
BTU Pyramax Inline Oven
BTU VIP 70 A Reflow Oven - 5 zones
BTU Pyramax 125 N Oven
BTU Pyramax 125 N Oven
BTU Pyramax 98 A Oven 8 Zone welding folder
BTU Reflow Oven
BTU Pyramax 98 A Reflow Oven - Right-to-Left Flow
BTU Pyramax 98 A Reflow Oven - Right-to-Left Flow
BTU Pyramax 150 N X 5 Reflow Oven "- SW Version: wincon 3.x
- 10 Heating Zones
- 2 Cooling Zones
- Chain Transport
- Single Lane"
BTU Pyramax 150 N X 5 Reflow Oven "- SW Version: wincon 3.x
- 10 Heating Zones
- 2 Cooling Zones
- Chain Transport
- Single Lane"
BTU Pyramax 100 N Reflow Oven
BTU PYRAMAX 98
BTU Pyramax 125 N Reflow Oven "- N2 system not functioning
- Flux management not functioning
- Water cooling system not functioning
- Damaged rear panel"
BTU Paragon 98 Reflow Oven
BTU TFF 252-7-126 A 48 Conveyer Furnace
BTU TFF 252-7-126 N 48 G 7 Conveyer Furnace
BTU Pyramax 125 N Reflow "- Left to Right
- 230V, 3 Phase, 18000W
- PCB Size: 51x457mm"
BTU VMCA 141-6-72 N 66 Anneal Furnace
BTU Pyramax 100 A Reflow Oven "- Max Board Size: 24""
- 480V
- 3 Phase
- 92Amp"
BTU Pyramax 100 A Reflow Oven "- Max Board Size: 24""
- 480V
- 3 Phase
- 92Amp"
BTU Pyram 98 X Reflow Oven 8 hot air heating zones
BTU VIP 70 N Ball Mount Reflow
BTU P 98 Ball Mount Reflow
BTU VIP 70 Ball Mount Reflow
BTU Engineering VQ 41 578 N 36 Y Transheat Belt Furnace
BTU International Pyramax 150 SMT Reflow Oven
Buchanan MS 3198-1 Crimp Tool, Pin
Buchi R-Series Rotary Evaporator
Buchi R 125 Rotavapor
Buchi V 100 Vaccum Pump
Buchi V-500
Buehler IsoMet Saw Low Speed
Buehler Ecomet-3000 Grinder / Polisher 12"
Buehler Ecomet-3000 Polisher 12"
Buehler Ecomet-3000 Polisher 12"
Buehler Ecomet 3 Polisher
Buehler BETA
Buehler Fiberpol 3000 Polishing Machine
Buehler Phoenix 4000 V / 2 "- 3 Phase
- 50/60 Hz
- 230V"
Buehler Isomet 1000 Silicon Saw
Buehler Silicon Saw Low Speed
Buffalo Forge 10203 Drill Press
Bullard Manual VTL
Bumill BIUV 3000 A UV Oven "- Left to Right
- 220V, 3 Phase, 6600W
- PCB Size: 51-300mm"
Bumill BIUV 3000 A UV Oven "- Left to Right
- 220V, 3 Phase, 6600W
- PCB Size: 51x300mm"
Bumill BIUV 3000 A UV Oven "- Left to Right
- 220V, 3 Phase, 6600W
- PCB Size: 51x300mm"
Bumill BIUV 3000 A UV Oven "- Left to Right
- 220V, 3 Phase, 6600W
- PCB Size: 51x300mm"
Bumill BIUV 3000 A UV Oven "- Left to Right
- 220V, 3 Phase, 6600W
- PCB Size: 51x300mm"
Bunting HS 9050 Metal Separator
Burgener Centrifuge Discharge
Burke E. Porter Dyno Rolls
Burkle Laminate Machine "(QTY: 1) Burkle CHK 100 / 200
(QTY: 1) Burkle unknown"
Burleigh WA-1100-EI Wavelength Meter
Burleigh WA 7000 Multi-Line Wavemeter
Burleigh WA 1000 Wave Meter
Burndy MR 4 C Crimp Tool, Lug
Burndy Y 14 MTR Crimp Tool
Burndy MR 8-4 Cromp Tool, Lug
Burnley CO 75 Over Wrapper
Burnsco BTHC-3 P Environmental Test Chamber
Busch 021 336 Vacuum Motor
Busch Cobra DS 80 With WY 0250 Booster, Pump Rack
Busch RA 0255. D 506.1001 Vacuum Pump
Busch / Cobra DS 80 Vacuum Pump
Bushman Flip Rite Positioner "- 480 V
- 2.5 tons"
Buss Quantic 96 EV -10 C 200-4 Kneader System
Bussmann BM 6031 B Fuse Holder
BV Thermal Systems MCLT 100-A 1 E 1 BV Water Cooled Recirculating Chiller
BWT Septron Line 60 Pro DI Water Generator "- 2500 L/Hr
- 400 Volts
- 50 Hertz
- 3 Phase
- Capacity Size: 5.7 KW
- Capacity Speed: 2500 L/Hr"
BWT Septron Line 5 N DI Water Generator "- Production capacity: 1200 l/h
- All modules renewed in 2013"
Bystornic SH 1200 K 70
Bystronic GSRA "- 1500 Kg + IG Support Top and Bottom
- Straight Movement Only"
Bystronic SH 1200 K 70 250 Kg Straight
Bystronic GSRA "- 1500 Kg
- IG Support Top and Bottom
- Straight Movement Only"
Bystronic Portal Crane "- 9 'L' Racks
- 14 'A' Racks
- L40m * W18m"
Bystronic XYZF 92 R Cutting Float Line "- R->L X Brake
- Exit table 7.4*3.3m Thickness 2 - 19 mm"
Bystronic XYZ 84 Cutting Float Line "- L->R X Brake
- Exit table 2,7*3,6m Thickness 2-10 mm"
Bystronic Bystar 3015 Laser - Turbo blower needs to be replaced
C Sun SMO-6 S Burn-In Oven
C Sun SMO 2 D S Oven
C Sun MO 1 H Oven
C Sun MOL 5 S Oven
C Sun SMO 3 Oven
C Sun PRS Etching Machine
C Sun QMO 2 Oven
C&D Semiconductor 8100 Track System
C.A. Picard Film Punch
C.W. Brabender D 52 Polymer Extruder
C.W. Brabender FE 2000 Mixer
CAB Maestro 4 M Cortadora
CAB Maestro 4 Cortadora
CAB Maestro 4 M
Cadence Palladium 3 "The system was configured as one large 256M gate system
Capacity: 256M Gates"
Cadence Palladium PD 3 Emulator
Cadmach CTX-26
Cadmach CMC-CM Cad Mill
Cadmach Compression Machine Capacity: 16 STN
Cadmach CMRC 200 / 150 Roll Compactor
Cadmach Colloidal Mill
Cadmach CMRC 200 / 70 Roll Compactor
Cadpress 55 Station Compression Machine
Caesa LTDA Transformer "- 380x200 V
- 550 KVA"
Caffee CF K 290
California Instruments 101 T AC Source
Caliper 5'x3' Optical Breadboard
Camalot Gemini II Dispensers
Camalot Gemini I Dispensers
Camalot 1414 Dispensing System
Camalot 1414 Dispensing System
Camalot 3700 Dispenser With 1190 / 1290 Handlers
Camalot Vortexx 3950 Dispenser
Camalot 1130 / 1230 Handler
Cambridge Nanotech AE 101 Eyelet Machine
Cambridge Scientific Sorvall Fresco Centrifuge
Camco Ferguson 601 RDM 12 H 24 15 C Indexing Unit "- 12 stop
- Mesa indexora"
Cameca IMS-4 F "- 8-Sample Air Lock System
- To enable a transfer lens zoom, there is a individual Spellman supplies attached to two of the three transfer lenses. This gives a 60um image field that is ideal for analyses. It's easily transferred back to the Cameca power supplies if preferred: a 60 second job.
- Residual Gas analyser on the specimen chamber"
Cameca IMS 6 F
Cammax DB 600 Die Bonder
Camtek Falcon 5 LED-S Automated Optical Inspection System
Camtek Orion 806 AOI
Camtek Falcon 200 S Optical Wafer Inspection System With Controller Unit
Camtek Orion 806 AOI System
Camtek Falcon 620 Plus Automation Inspection System
Camtek Falcon 520 PD Plus Automatic Defect Inspection Machine
Camtek Falcon 620 Plus Automation Inspection System
Camtek Falcon 520 PD Plus Automatic Defect Inspection Machine
Camtek Falcon 820
Camtek Orion 806 Automated Optical Inspection (AOI) system
Camtek Dragon Elite AOI
Camtek Verification System
Canadian Purcell 4 VX 3 B-5.5 RIX air-cooled three-stage compressor
Cannon CT 500 Temperature Bath
Cannon Industries DPI 2-HD Drill Jumbo
Canon OCE VP 2090 Printer
Canon irc 5235 Printer
Canon FPA 2500 I 3 Stepper 8"
Canon PLA 501 FA 5"
CAnon Pixma All-In-One Wireless Printer
Canon PLA 501 F Mask Aligner 4"
Canon PLA-50 Mask Aligner
Canon PLA 5 OF Wafer Aligner
Canon Aligner 6"
Canon Printer Cum Scanner
Canon APT 5800 Atmospheric Pressure Chemical Vapor Deposition (AP-CVD) system 8"
Canon APT 5800 Atmosphere Pressure Chemical Vapor Deposition (CVD) 8"
Canon APT 5800 Atmospheric Pressure Chemical Vapor Deposition (AP-CVD) system 8"
Canon APT 5800 Atomosphere Pressure Chemical Vapor Deposition (CVD) (NSG) 8"
Canon CXDI 55 G Flat Panel Detector
Canon CPS 4000 L Soft Solder Die Bonder
Canon FPA 5000 ES 4 Stepper 12" "- SW version V54.06E.04
- Illumination
- Scan illumination
- Flatness
- Z-Spin
- Wafer type: Notch
- Cymer ELS 7300 KrF Scanner laser, 248 nm
- Right side console
- Surface illumination (Standard): 36000 W/m²
- R Type inline direction
- Reduction ratio: 1:4
- Resolution: 120 nm
- Alignment accuracy: 20 nm
- AGA (8) Shots 110 wafer/hour
- Step pitch: 26 nm x 33 mm
- (64) Shots, 12""
- Exposure amount: 300 J/m²(3)
- 0.80/σ0.80 Conv
- 0.80/σ0.40 Conv
- 0.80/SiB2
- Projection lens NA: Variable 0.55-0.80
- Exposure range (Scan field): 26 x 33 mm
- Wafer alignment: AGA (Off axis scope)
- Reticle library: Maximum (7) sheets"
Canon Bestem D 02 High Speed Epoxy Die Bonder 300mm Capability
Canon C 7010 VP Printer
Canon FPA 5500 iZa Stepper "- Rear Panel computer not working
- Replacement lens required due to distortion and large C9 spherical aberration.
- OAS needs replacement"
Canon MPA 600 Mask Aligner
Canon Machinery Bestem D 01 Die Bonder
Canon Tokki Small Elvess 040 Clustertool Projekt OLED Lighting
Captain Fume Hood and Cabinets
Captor LSN 212 Line Stabilization Network
Carbolite VST 12 / 200 Vertical Split Tube Furnace 1200C
Carbolite Tubular Furnaces "- 2 Horizontal
- 1 Vertical"
Carbolite Tubular Furnace "- Maximum operating temperature of 1200°C
- Designed to accept process tubes up to 6.5 inch OD
- Six different heated lengths of 18, 24, 30, 36, 42 and 48 inch provide for multiple working and uniformity requirements.
- Insulating end vestibules improve chamber uniformity and incorporate interchangeable tube adapters"
Carbolite LHT 6 / 120 Oven
Carbolite LHT 6 / 60 Oven
Carbolite PF 30 Oven - up to 300*C
Carbolite LHT 5/30 Oven - up to 600*C
Carbolite HT 628 CR 2416 OTC High Temperature Convection Oven "With:
- Eurotherm E3508P1 Digital Controller
- 2 Switchable Needle Valve Controlled Gas Inlets
- Tmax: 600*C"
Carbolite - MRC PF 120 Oven
Carbolite - MRC PF 60 Oven
Carbolite Gero GmbH HTRV-A 70-500 / 17 Sintering Furnace
Carbonlite PF 800 Oven
Cargocaire Honeycomb Dehumidifier
Carl Zeiss EVO HD-MA 15 Scanning Electron Microscope (SEM)
Carl Zeiss CLSM EMMI Confocal Laser Scan Microscope "Includes:
- (2) Zeiss PL 10 x 25 44-40-34 Eyepieces
- (1) Zeiss XYZ Bedienpult LSM 45-24-51 Scanning Stage
- (1) Spectra-Physics LSM 7300 R2-8 Laser Power Supply
- (1) Spectra-Physics 7910YR106 Laser Sy"
Carl Zeiss 673 Microscope
Carl Zeiss 68592 Microscope
Carmenna PSSP 0027 Semi Auto Test Handler "- Single Phase
- 3 Wire
- 50/60Hz"
Carrera High Voltage Power Supply
Carrier 42 TSN 012 Air Condition
Carrier 30000 BTU Air Condition "(QTY: 1) Carrier 42VFE / 42VFT
(QTY: 13) Carrier 38LB015SC-D
(QTY: 4) Carrier unknown
"
CarriTape Tape Forming
Carritape / Seong Han Tech SHT-W 44 PL
Carritape / Seong Han Tech SHT-TR 2 A 4 B
Carver Laboratory Press
Carver Laboratory 2702 Press
Cascade FWT Autoloader
Cascade Probe Station
Cascade Summit B Probe Station 8" Semi Automatic
Cascade REL 4100 Probe Station 6" - Includes Newport Vibration Table
Cascade Summit 12000 Probe Station
Cascade / Alessi REL 4500
Cascade / Alessi REL 5000 Probe Station 8" "- No computer
- Motorized jog"
Cascade / Alessi Probe Station
CAST SGX GPS Signal Generator
Castek SDNC 16 PE EDM Hole Popper
Castek SDNC 16 PE EDM Hole Popper
CAT 2 T 7435 Generator 1770 KW
Caterpillar Forklift
Caterpillar C 6.6 Generator
Cats DV 210 DVDS Tester
Cats DV 240 GS DVDS Tester
Cats LV 0010 ZFC UIL Tester
Cats LV 0030 FC UIL Tester
Cavalla Rotary Powder Press
CCE Inc DelTech 857
CCTV ZL 2015 Freezer "-240 x 340
-internal Compartment Size: 34cm xss 24cm x 3cm"
CDI 10002 MRMHSS Torque Wrench 1000 in-lbs
CDI 10 T-ICDI Torque Wrench 215 in-lbs Preset
CDI 6004 MFRMH Torque Wrench 600 ft-lb
CDI 10 T-ICDI Torque Wrench 215 in-lb
Cedal R.B.M. Pinless Single Drawer Machine
Cedel Equipment Adara Press System
CEE 1000 Bake Plate
CEE 1000 Benchtop Spin Coater Parts
CEE 1100 FX Hot Plate
CEE Wet Bench
Cee Spin Coater
CEE 200 XD Developer
CEE Hot Plate & Spinner
CEIA THS PH 21 Tablet Metal Detector
CEM Discover SP D Microwave Digestion System
CEM Discover-SPW / ActiVent
CEM Perma-Cyl 700L HP Tank
CEM Discover SP With ActiVent
CEM Discover Microwave Peptide Synthesizer
CEM PCEM 2 Strip Plater
Cemas Elettra 911 PH Plastic Welding Machine with Preheating
Cemco Quicksilver Hot Air Leveler
Cemco Quicksilver Hot Air Level Lead Free
Cenco CP 75425 00 Ballistic Pendulum
Cencorp BR 1000 Router - Missing Gripper
Cencorp TR 1000 Automatic Depaneling System
Centellax SCS 16000 Stressed Clock Synthesizer
Centorr Vacuum Base Centurion Furnace
Centorr Vacuum Industries Sintervac 3710 Graphite Vacuum Furnace "• Max Temp = 2250°C
• 24 x 48 x 18” Work Zone
• Graphite Hot Zone
• Horizontal Front-Loading
• Pyrometer/TC Control
• PC Data Logging
• 460V, 3ph, 60 Hz
• 375 KVA Water Cooled Power Supply
• Cooling Fan
• Custom Work
Insertion/Removal Die Lift Cart
• Capable of 10-3 Torr
• Automated Atmosphere Control
- Gas Back Fill Circuit
- Partial Pressure Controls
• Kinney CB-4015 (150/400 CFM) •Rotary Piston Vacuum Pump with Blower
• Main, Bypass & Debind Manifolds
• Gas Plenum
• Graphite Retort
• Vacuum System Particulate Filter
• Multiple Furnaces Available"
Centorr Vacuum Industries CA "- Hz 60
- Amp 40"
Central Air 9649 BTU Air Condition
Central Air "(QTY: 2) 12000 BTU
(QTY: 1) 12161.05 BTU
(QTY: 2) 25927.47 BTU
(QTY: 8) 9000 BTU"
Central Machinery 47158 Micro Mill / Drill Machine
Centralab 1570 High Voltage Calibrated DC Power Source
Centrifugal Old Pump on Pallet
Centrother 30 MW Diffusion Furnace
Centrother E 2000 HT 410 4 Plasma-Enhanced Chemical Vapor Deposition (PECVD)
Centrother E 2000 HT 300 5 Diffusion Furnace
Centrotherm 400 4 T
Centrotherm 400 5 T
Centrotherm 500 4 T
Centrotherm 500 5 T
Centrotherm E 2000 HT 410 4 Plasma-Enhanced Chemical Vapor Deposition (PECVD)
Centrotherm FFF Single Lane, Dual Lane
Centrotherm CT BW H 300 K 2 S Wet Scrubber "- with spare parts
- (2) Swagelok Teflon Hoses
- (3) Unions, 62L x 40W x 96H"
Centrotherm E 1200 HT 260-3 Furnace
Centrotherm E 2000 HT 300-5 Oxidation Furnace
Centrotherm E 2000 HT 300-5 Chemical Vapor Deposition (CVD) SIN Furnace
Centrotherm E 2000 HT 300-5 Diffusion Furnace
Centrotherm E 2000 HT 410-4 Chemical Vapor Deposition (CVD) SIN Furnace
Centrotherm POCL 3 E 2000 HT Diffusion Furnace
Centrotherm DO-FF-HTO-13.400-470 Fast Firing Furnace
Centrotherm Chemical Vapor Deposition (CVD) Furnace Abatement
Centrotherm DO DIFF HSS 7.500-900 Inline Dopant Drying Furnace
Centrotherm DO DIFF 18.500-900 Inline Diffusion Furnace
Centrotherm DO HTO Backside Dryer
Centrotherm DO HTO Frontside Dryer
Centrotherm DO FF Fast Firing Furnace
Centrotherm Drying Furnace P Deposition
Centrotherm Diffusion Furnace
Centrotherm Backside Dryer - 2 Lane
Centrotherm Frontside Dryer
Centrotherm Fast Firing Furnace
Centrotherm Implant Activation Anneal
Centrotherm "- 114
- 4 Tube"
Centrotherm "- 280
- 4 Tube"
Centrotherm "- 400
- 4 Tube"
Centrotherm Single Lane
Centrotherm E 2000 HT 300-5 Five Tube Oxide Furnace - with spare parts
Century K 48 M 2 N 110 C 2 With Pump SS 519 Y
Century 6-357719-01 Motor "- 1745RPM
- 208-230/460V
- 60Hz
- 3 Phase"
Century Design CD 5870-24
Cepheid SmartCycler
Cermex SL 11 Case Packer
CFS PowerPak 420 Form, Fill & Seal Machine
CHA SE-1000 Bell Jar System "24 x 40 Stainless Steel Bell Jar
4 pocket E–Gun
Planetary System with 4 planets with a maximum capacity of 8” diameter each
CV-8 Power Supply
Glow discharge
4 thermal-evaporation sources controlled by two SCR power controllers
Each controller controls two sources w/selectors.
Sycon TM-100 thickness monitor
DP 10 Diffusion Pump
Leybold Hereas Mechanical Pump
5 ton Chiller "
CHA Bell Jar Thermal Evaporator
CHA SR-10 Power Supply
CHA Chamber
CHA 88085 Gold Evaporator
CHA SEC 1000 RAP
CHA SEC-1000-RAP Vacuum Evaporator "- Non-E-Beam System
- Includes CTI-Cryogenics 10"" cryo-torr with 8200 compressor
- Electrical Req: 110-208/3/60, 5 Wire, 125 Amps
- Water: 4.0 GPM
- Air: 90-110 PSI
"
CHA 600 with cryo 8200 helium compressors
CHA Evaporator
CHA Solution Evaporator With Cryo Pump
CHA SEC 1000 RAP Evaporator "- 4 Pocket e-gun
- 8"" substrates
- 3x 4 wafer planetaries for 12 wafer runs
- 2x lamps"
CHA Evaporator "- Thermal sources 3KW
- Cryopumped
- Al
- Ag
- Au
- Ni
- Cr
- Cu
- Ge"
CHA Mark 50 Vacuum Evaporator 4", 6" "- Double stack
- 6 pocket
- Handles 57 100mm and smaller substrates
- Can deposit films up to 3.0 microns thick"
CHA SEC 600 E-Beam Evaporator "- 4 Targets
- Custom-designed for the lift-off process"
CHA 600 High Vacuum Evaporator
Challenge CAU 91608 Angle Iron, Right 9 X 16 X 8 in
Challenge HF Paper Drill
Champion 15 EWTR 45 Compressor
Champion Cart Washer
Chaoind Chaoying Swing Arm Punch Machine
Chapman MP 2000 + Noncontact Surface Profiler - Computer is failing
Chapman MPT 1000 Wafer Thickness Measurement System
Charles Ross & Son Double Planetary Mixer
Charles Ross & Son LDM 4
Charles Ross Son Tank "- 100 Gallon
- 24"" Diameter
- 24"" H
- 47 Gallon"
Charles Water Ion pump
Charmiles Technologies Robofil 2020 Wire Cut Electric Discharge Machine
Charmilles Roboform 200 Sinker EDM Machine "with System 3R Tool Changers
- Table: 22 x 16 x 21""
- Max Table Load: 1,102 lb
- Longitudinal traverse (x-axis): 12 1/2""
- Cross traverse (y-axis): 9""
- Inside: 38 x 22 x 12""
- Way rapid feed (z-axis): 12 1/2""
- Max. electrode weight: 485 lb
- Power: 64A
- Power Req: 5 kVa"
Charmilles Roboform 200 Sinker EDM Machine "with System 3R Tool Changers
- Table: 22 x 16 x 21""
- Max Table Load: 1,102 lb
- Longitudinal traverse (x-axis): 12 1/2""
- Cross traverse (y-axis): 9""
- Inside: 38 x 22 x 12""
- Way rapid feed (z-axis): 12 1/2""
- Max. electrode weight: 485 lb
- Power: 64A
- Power Req: 5 kVa"
Charmilles Robofill 290
Charmilles Technologies Robofil 310 EDM "- 5 axis
- Auto wire feed
- with cartridge feeder"
Chatilliom DFG 2 Digital Gram Gauge
Chatillon LR 5 K Digital Test Stand
Chatillon LTCM-6 Pull Tester
Chatillon DPP-1 Dial Push / Pull Gage (T)
Chatillon 509-250 Scale, Spring 250 GM
Chatillon DPP 50 Dial Push / Pull Gage 50#
Chatillon DFS-R-ND Force Gage, DIG 500 lbs (Spring rm)
Chatillon LTCM 100 Pull Tester
Chatillon LTCM 100 Pull Tester
Chemcut Deburr Machine
Chemcut Etcher
Chemcut 121 A
Chemcut Copper Milling and Washing Machine
Chemcut UV Cure System
Chemcut CC 8000 Etch
Chemcut Developer Line
ChemGARD FH 4 Fume Hood with Base Cabinet
ChemGARD FH 6 Fume Hood with Two Base Cabinets
Chemical Safety CST 216 / 9 ACL Chemical Process Station
Chemical Safety Tech CST 72 Corrosive Wet Bench
Chemical Safety Technology (CSTI) CST-55/2-ACD-R Dispense System "-Voltage: 120VAC
- Amps: 10
- Phase: sgl
- Hz: 50/60"
ChemWest Boat Cleaner
Cherusal TM 111 MKIII R Reflow Solder Hot Bar
Cherusal 61 M 0141 Reflow Solder Hot Bar
Cherusal DT 250 PH Reflow Solder Hot Bar
Chesapeake Microwave Technologies (CMT) HPA-1900-D 56 W
Chess Laser Marker
Chester Vertical Milling Machine
Chester Vertical Drilling Machine
Chevalier FSG-3 A 818 Surface Grinding Less than 10 hours of runtime
Chevalier CGP-816 Cylindrical Grinding Less than 10 hours of runtime
Chevalier Grinder
Chevalier Grinder
Chicago Apron SB 12 Handbrake
Chicago Apron 812 Manual Brake
Chicago Laser Systems CLS 33
Chicago Pump AC Motor "- HP-7.5
- RPM-1750
- V208
-220/440
- PH3
- HZ-60"
Chick Quick-Lok Double Vises With special steel adjustable jaws and movable stops
Chick MJQ 1550-150.50 1550 Jaw Set
Chick MJQ 15-150.50.120-F Fixed Jaw 150mmX50mmX120mm
Chimer
Chimer 50 NZ
China-Scicooling Science & Technology Co CTE SG 7550 02 F Temperature Chamber -75 C to +150 C
China-Scicooling Science & Technology Co CTE SE 7525 05 F Standard Temperature Chamber -75 C to +150 C
China-Scicooling Science & Technology Co CTE-SG 7506-02 F Chamber -75 C to +150 C
Chinee CNIN-2 P-2 D Oven
Chinup Technology LL-MT 0 1 A
ChipBlaster HV 40 High Pressure Coolant System
Chipscale Robotics
Chiron Automatic Curve Tracer
Choong Automation Wafer Ring Expander
Christ Alpha 1-4 LSC Freeze Dry System
Christopher EC 1824 PCB Packing Machine
Chroma 19032 Electrical Safety Analyzer
Chroma 58620 LD Characterization Test System
Chroma 58173 LED Chip Level Tester
Chroma 3710 HS Wafer Inspection
Chroma 58173 Weaver Tester
Chroma 8000 ATS Hardware & Outsourcing items
Chroma 8000 ATS System Integration Charge & HASP Keypro
Chroma 6330 A DC Electronic Load
Chroma 8020 ATS Machine
Chroma 61501 Programmable AC Source
Chroma 63201 DC Electronic Load "- 30A/300A
- 16V/80V
- 2.6KW"
Chroma 19052 AC/DC/IR Hipot Tester
Chroma 6430 Programmable AC Source
Chroma 6011 Timing / Noise Analyzer
Chroma 6334 DC Load Main Frame
Chroma 6404 Programmable AC Source
Chroma 6530 Programmable AC Source
Chroma 6532 Power Analyzer
Chroma 61604 Programmable AC Source
Chroma 63301 DC Load 4A/40A.16V/80V.200W
Chroma 63302 DC Load 2A/20A.16V/80V.100W
Chroma 63303 DC Load 6A/60A.16V/80V.300W
Chroma 62012 P 100 50 Programmable DC Power Supply
Chroma CSC 8000 224 Auto Test System
Chroma CSC 8000 156 Auto Test System
Chroma Rack
Chroma 6530 Programmable AC Source
Chroma 6000 Tester
Chroma 8000 Tester
Chroma 650 Switcher Analyzer "- 100V, 50A, 300W
- 20 MHz"
Chroma 650 Switcher Analyzer "- 100V, 50A, 300W
- 20 MHz"
Chroma 3760 Solar
Chroma ATE SLT ASFT 3260
Chromalox 2030 2284 Environmental Chamber
Chromalox Electrical Resistance tool
Chromatopak Hydrogen Generator
Ci Science Torus 300 K Etch 12" "- Load port: Right MFG RP-2100
- Load module: Ci science torus 300
- Robot(LM): TAZMO SW0008
- Process module: Ci science torus 300
- Load lock module: Ci science torus 300
- Gas box: Ci science torus 300
- RF generator(13.56MHZ): AE AFG-1251
- AC power box: Ci science torus 300"
CI Systems WetCon 100 Ammonia Sensor P/N: A 8504112421-100
Cibrapam Temperature and Humidity With 2 MC Dry Humidity Meters
Cincinati Sub Zero Zp-32 Temperature Chamber
Cincinnate ZH 32 2 2 H/AC Chamber Oven
Cincinnati 30 HC Mill
Cincinnati 30 HC 5-axis Profiler (Gantry Mill)
Cincinnati Talon 210 Lathe
Cincinnati MT Grinder
Cincinnati Hawk Lathe
Cincinnati Tool Master Mill
Cincinnati 60 CBII Brake Form Tooling 4'
Cincinnati Sub Zero ZP 32 Chamber
Cincinnati ID Grinder
Cincinnati 20 V Profiler Vertical Mill "- 5 Axis
- 3 Phase
- 60 Hz
- 460 AC Volt"
Cincinnati 1806-R Power Sheer -72" Table
Cincinnati Viper 3000 CNC Fiber Placement
Cincinnati Viper 3000 CNC Fiber Placement
Cincinnati Viper 3000 CNC Fiber Placement
Cincinnati NC Lathe
Cincinnati 15 Tray Top Metal Lathe
Cincinnati CSZ Sub Zero ZHS-8-1-1-H/AC Environmental Chamber
Cincinnati 135 CBII "10' bed
- Controller will need to be repaired or replaced."
Cincinnati Mill
Cincinnati Lamb CFV 1050 Si Milling Machine
Cincinnati Milacron 630 4-Axis Mill
Cincinnati Milacron Arrow 1000 CNC Vertical Mill
Cincinnati Milacron Sabre 1000 ERO Vertical Mill "- 60Hz
- 30kVA
- 24VDC"
Cincinnati Milacron T 40 4 Axis Horizontal Mill "- 3 Phase
- 60 Hz
- 450 AC Volt"
Cincinnati Milacron LL 14 Grinder "- 3 Phase
- 60 Cycles
- 440 AC Volts"
Cincinnati Milacron 220 8 Centerless Grinder
Cincinnati Milacron Lancer 1000 Die Mold "- 30x50x30 Machining center
- 4th axis 15”
- Cat 50 6000 rpm spindle
- 300psi thru spindle coolant
- Chip conveyors
- Full enclosure
- 22,500lb table payload max
- Mits 330 control
- 30 tool side mount tool changer
- 15” 4th axis
- Probing ready
- Usb, ethernet"
Cincinnati Milacron CDC 200 Dryer
Cincinnati Milacron Centerless Grinder With carbide guides and extension supports
Cincinnati Sub Zero CSZ LBS 1.8 15 SCT / WC Industrial Liquid Bath
Cincinnati Sub Zero Z 8118 AC
Cincinnati Sub Zero VTS Thermal Cycling (Shock) Chamber -40C to 175C
Cincinnati Sub Zero ZH 2 633 033 H/AC Chamber
Cincinnati Sub Zero ZP 8 2 SCT/AC Environmental Chamber
Cincinnati Sub-Zero 2-8-1 H/AC Temp Cycle Chamber
Cincinnati Sub-Zero MCBH-1.2-.33-.33-H/AC Climate Unit
Cincom E 32
Cinogy CL 200 HP-HS Laser Beam Profiler
Circuit Automation TC 120 Conveyor Tunnel Oven
Circuit Automation DP 1500 Vertical Screen Printer "- Min. panel size: 200 x 300 mm
- Max. panel size: 600 x 750 mm
- Panel thickness range: 0.254 - 6.35 mm
- Frame size: 939 x 1183 mm
- 220 V - 1 Ph - 50 / 60 Hz - 10 A
- With ink pump, screens"
Circuit Automation TC 120 Conveyorized Oven
Circuit Specialists 3644 A DC Power Supply
Circuit Specialists 3711 A DC Electronic Load
Cisco 3750 Network Switches
Cisco Catalyst 6509 Core Switch "- 2 Cisco Catalyst 6500 Supervisor Engines – 2T 80G SYS-XL
- 2 Cisco Catalyst 6500 48-port POE+ Ready 10/100/1000 modules
- Cisco Catalyst 6800 16-port 10GE with integrated DFC4
- Cisco Catalyst 6500 48-port 100Base-X-MOD Req SFP
- 2 Cisco Catalyst 6500 6000W AC=P/S Fac Inst
- Cisco Catalyst 6500 E-FAN Tray ISBU
- 9 slots (6 with modules, 3 empty)"
Citizen L 16 CNC
Citizen L 25 Swiss Turning Machine "- with 165 collets and bar feeder
- Machine powers on
Known issues:
- Machine light switch is not in good condition, causing CP2 to trip
- Breaker damaged & needs replacing
- Feed rate override switch does not work properly
- X2 brake does not work properly"
City Crown Auto Flow Lens Turner
CKD VP 3000 SPI Machine
Claind N 2 Maxi 1 Dual Head Nitrogen Generator
Claind N 2 Maxi Nitrogen Generator
Claisse M 4 Fusion Instrument - with natural-gas conversion kit
Clark TM 25 Forklift
Clark ESR Calibration Bar
Clarostat 250 Power Resistance Decade
Clarostat 240 C DEC Box, RES
Clarostat 240-C Power Resistor/Decade Box
Clausing Drill Press
Clausing Startrite HB 3305 A Saw
Clausing J-S 540 Surface Grinder
Clausing / Colchester CNC 300 Lathe Turret needs rebuilding, oil pump needs replacement and draw bar leaks
Clausing and Colchester Storm 80 CNC Lathe - w/ Bar feeder
Clausing Kondia Vertical Milling Machine with Proto Trax
Clausing Metosa C 1765 V 5 Manual Lathe "- 10"" Chuck
- 2 Axis"
CLC Script 75 Laser
Clean Air Products CAP 303 830 30 H Bench
Clean Air Products Pass Through - 77 1/4" wide x 50" deep x 39 1/4" high
Clean Air Products Clean room pass through
Clean Tech / Centrothe CT BW K 4 Waste Gas Abatement System
Cleanomat Parts Washer
Cleanroom Plastics Implant Clean Assembly Hood With Hepa Forced Air Filter Od: 72X37X98
Cleansorb CS 200 PS Bed Dry Absorber
Clemco BNP 55-7 Zero Blast-n-Peen Sandblaster With Dry Filter Reclaim Unit
Cleveland 7 KL 4-5 M-18-A-0-1-0 Gun Drill
Cleveland Mixer 5447 Mixer for 100 Gallon Reactor
Climats AV 490 V Dry Oven
Climats 410 Oven
Climats 420 Oven
Climats 120 CTR / 5 Thermal Shock Chamber
Climats 120 CTR / 5 Thermal Shock Chamber with Sensor
CLS AWHB T 50 100 - Mono
Clyde Apac HWS 120 Laminar Flow Hood
CM Lodestar Hoist "- 3 Ton
- with Power Trolleys"
CM 7010 F Hoist - 3 Ton
CM Comandos Lineares Perfection TI Stabilizer
CMC HSA 50 20 Line Auto Gluing Machine
CMC HSA 50-20 Cover Gluing Machine
CMI "90-230Vac
50-60Hz"
CMP Forni Ecospray Man Solder Mask Unit
CMP Forni Forno HL 3.0 Tunnel Oven Unit
CMS MBB 3 T / 700 TX 3 Router
CMS Poseidon 38 / 100 DB KX 5 Z 1300
CNC 1206 DVA CNC 3 Vision Measuring Machine
CNC Vturn A 26 Lathe Machine
Coatema LS 17 Linecoater
Coatema BC 37 Basecoater Inert
Coatema SM 21 Multifunctional Coating Line
Coatema Easycoater
Coats Dry Film Developer
Cogitate Technology Tray Tape & Reel Machine system
Cognex TI C-6000 Canister Verification System
Coherent Innova 300 Yag Laser
Coherent AVIA 355-7000 UV Laser System
Coherent Modemaster PC for NIR, Low Divergence Beams
Coherent Antares 76 YAG Laser
Coherent Satori Ultrafast Dye Laser
Coherent Power Source
Coherent Vitesse Laser
Coherent FAP LX Scientific / DUO FAP Dual Fiber Optic Diode Laser System "- Wavelength: 980nm and 920nm
- Notes:
- Unit powers up and passes self tests
- Both laser chill plates are working and chill the lasers to 5 degrees Celsius
- Actual wavelength of laser was not measured, (laser has a specific fiber optic connector size that was unavailable inhouse). Connector cable believed to be SMA905."
Colboit Blue Stabilizer - 473 mm
Colboit Samba Stabilizer - 532 nm
Colby Instruments Pg-1000 A Pulse Generator
Colchester Bantam 1600 Lathe
Colchester 1600 Lathe
Cold Jet MicroClean Dry Ice Machine
Cold Jet i3 Micro Clean CO2 Cleaner
Cole Palmer CBFL 517 C
Cole Palmer CBFL 517 C
Cole Palmer H 08376-60
Cole Parmer EW-28615-05 Rotary Evaporator System
Cole Parmer 92800-00 Digital Thermometer
Cole Parmer 2020 Recorder, Temperature (IP)
Cole Parmer Masterflex 77601-00
Cole Parmer Masterflex 7529-39
Cole Parmer Masterflex 77200-62, Easy-Load II
Cole Parmer 12107-20 Digital Polystat Temperature Controller
Cole-Parmer Temp Humidity Recorder
Colight Exposure system 3kw
Colight 1630 Exposer With A/C
Colight DMVL 1530 Exposer
Colight 1330 Exposer 5kw
Colight 930 Exposer
Colight DMVL 1530
Colite Light Source
Collette 300 High Shear Mixer
Collin W 110 E Roll Mill
Collomix Visco 500 Paste Mixer
Columbus McKinnon Lodestar Hoist
Combi 1 CE Drop Packer
Comco MicroBlaster Blaster
Comco DF 1400-1 Sandblast Machine
Comco Procenter CTR 200 1 Micro Abbrasive Sand Blaster
Comet VXP-160.15 X-Ray
Comet HB 50 Bridge Mill "- 22,500lb table payload max
- Mits 330 control
- Additional cast bolstering table
- Cat 50 4500 rpm spindle
- 2 Right angle head attachments
- 300 & 1000 psi coolant
- Spindle chiller
- Air blast
- Side mount tool changer
- Chip conveyors
- Full enclosure"
Comicondor HT / GMP 800 / 350 Centrifuge, Peeler "- Drum Diameter 800mm
- Control Panel
- Drain Valve
- Central Switchboard not included"
Commonwealth Scientific Sputter System "- With Roughing Pump (Leybold Trivac D40B) & Cryogenic Pump (Oxford Cryoplex 8)
- Chamber interior: 18 x 18 x 24""
- Substrate holder: 8"" diameter
- Water cooled
- Ion Beam Source Type and Size: Commonwealth Scientific 6” RF (Primary), Commonwealth Scientific 4” RF (Secondary)"
Compair 5000 Compressor
Compair Egatta 16 Compressor 90 Kw 72700 Hr
Compair L 22 Compressor 22 Kw
Company Detail Company Assets Tab
Compaq IPAQ CPU
Compaq M-S 48 a Mouse
Compomec Cable Machinery Compo PTL FM 109 Inhol Flattening Machine Includes Deltatherm Water Pump
Comtech UM 218-100
Comtor 0.0001 Comtor Set
ConAir Hopper Loader
Conair CGW-1424 Grinder/Shredder
Conair D 400 Material Dryer
Conair EP 2 A 15 Chiller
Conair EP 2 Chiller
Conair HTR-1-460
Conair HTR-1-460 - Issue: Leaks
Conceptronic HVA 70 Reflow Oven
Conceptronic HVC 102 Reflow Oven "- 440 Vac
- 90 Amp
- 50/60 Hz
- 3 Phase"
Condor HB 24-.2 A+ Power Supply
Condot Printer
Condot Systems Inkjet Printer
Confederate Technology B-643-XA-NR-PTT-XI-UE "- AC 110V
- 1 Phase
- 60Hz"
Conical V Powder Blender
Connecticut A 24 V Band Saw
Connors Haas CCTV system
Conrad Autoclave - No Cooling Exchanger Available
Consew CN 1150 H/C Knife Stripper Cutter
Consolidated Electronics Corporation Type 24-210 Leak Detector
Contac RS e 30
Contact Systems CS-400 E
Conti Complett 235 Coating Machine
Contrast 988769 Batch Dispense System
Control Air D-9-L-SM-UM-MOD 184 Air Lift Spare Parts "Spare Parts - CFM 1800, CFM OMNI, Diaphragm Air Cylinders
New Old Stock, Original Packaging Lot of 20
- D-9-L-SM-UM LIFT,MODEL#184 TEST PSI.=100 PSI,DECAY = 0 PSI/ # OF CYCLES =5"
Control Micro Systems CMS 1050 C Laser Marker
Control Micro Systems CMS 1050 C Laser Marker
Convac Aptcon Reticle Cleaners
Convac Aptcon Reticle Cleaners - for parts
Conveyor Technologies FIFO-1.3 M-50-G/B-1719
Conveyor Technologies WIET-.6 M-0640
Conveyor Technologies LG 48 I-1-0640 Conveyor "- Max Ampere: 3
- Largest Motor HP: 1/125
- Enclosure Type 1
- 120V, 60Hz"
Cooper VR 32 Voltage Regulator
Cooper Instruments LGP 310 2 B 30 K Load Cell 30,000 lbs
Cooper Instruments LGP 310 2 B 75 K Load Cell 75,000 lbs
Cooper Power Systems Transformer
Coperion pi FLOW p, piPREMIUM1200, pleated filter 04, hose connection-Nozzle Ø 102 (4 "), volume 56L, conical discharge opening withFluidization, Control VU EP-1, Antistatic & AL, manual DE
Corbest TQFP 100 Trim / Form Machine - Capacity: 1.5 - 2.5 tons mechanical press
Corbest TSOP 44 L Trim / Form Machine - Capacity: 1.5 - 2.5 tons mechanical press
Corbett Robotics CAS 4200 Automated Pipetting System
Coretek CT 75 Component Tester
Coriolis Promass 83 P 15 Mass Flow Meter
Coriolis
Coritech Toptech VRS Verismart Verification System
Cornelius CH 1503 A Chiller "- 19,800 BTU/h
- 460 V/ 60 Hz / 3-phase, 6 A Electrical Rating
- 8 GPM @ 30 psi
- 1.5 HP"
Cornell Roll Up Doors
Corning PC 400 D Hot Plate
Corning Stirrer Multiple Position 4 x 1L
Corning 440824
Corning Tropel 128892 4180 WIN Flatness Tester
Corning Tropel UltraFlat 150040 Blank Flatness Measure
CoronaJet Corona Treatment
Cortek CT 750 CS Component Tester
Cortest Containment Vessel
Cortex Imaging VIT C 250 Automatic Visual Inspection
Cosmo LS 1842 Air Leak Tester
Cosmos DH 15000 High Frequency Generator
Costruzione Machine Attrezzature (CMA) ALE 056 Boring Machine "- Supply 400V - 50Hz
- Aux 110 - 50 Hz
- 55A"
Costruzione Machine Attrezzature (CMA) ALE 052 Boring Machine "- Supply 400V - 50Hz
- Aux 110 - 50 Hz
- 55A"
Cotterman 21 BH
Coventry Centre Lathe
Coverting Technologies CUIR 14 Electrical Control Panel
Cowin LQFP 7x7 MTX Fully Automatic DTFS Servo Mechanical System
Cowles IVG Small Mixer
CP CP 9882 Air Riveter
CP CP 9883 Air Riveter
CPA Sputtering System
CPA 9900 Sputter System
CPA 9900 Sputter System
CPS HVP 250 High Voltage Probe
CR Technology CRX-1000 X-Ray Machine
CR Technology SV 7500 Automated Optical Inspection (AOI) "- 120 Volt
- 50/60 Hz
- 20 A"
CR Technology CRX 1000 Inspection System XRF
Craftsman 925481 Router Table
Craftsman 119-224010 Band Saw
Craftsman Band Saw
Craftsman Toolboxes
Creative Automation Champion Fluid Dispensing System
Creden ISP 3000 Optical Inspection System
Creden Mechatronic ISP 3000
Cremer TQZ-2425 Counting Machine
Cress C 136 920 Oven - 18 Amp
Crest Ultrasonic Cleaning System
Crest CP 2600 D Ultrasonic Cleaning system
Crest CP 2600 D Ultrasonic Cleaning system
Crest 500 D Ultrasonic Cleaning system
Crest Ultrasonic F 100-1813-C
Crest Ultrasonics Cleaning
Crest Ultrasonics
Crest Ultrasonics OC.1014.9.STA Ultrasonic Machine
Crest Ultrasonics F 100 1812 C Cleaner
Crest Ultrasonics F 200 2016 C
Crest Ultrasonics F 200 2016 C Vapor Degreaser
Crest Ultrasonics 690 DA Tru Sweep Ultrasonic Cleaner
Crest Ultrasonics Cleaning System
Crest Ultrasonics Tru-Sweep Genesis Cleaning system
Crest Ultrasonics Aqueous Cleaning System
Crest Ultrsonic Cleaning Station With Solvent Dryer
Critical Dimension Automated CD Measurement System - uses Nikon Optiphot 200 Microscope
Critical Systems Gas Cabinet "- Dual Cylinder
- Auto Switch"
Critical Systems Gas Guard AP 3 Gas Cabinet Boron Trichloride
Critical Systems Gas Guard AP 3 Gas Cabinet Chlorine
Critical Systems Gas Guard AP 3 Gas Cabinet for RIE
Critical Systems Gas Guard AP 3 Gas Cabinet
Crotech TT 3203 A DC Power Supply Triple Output Reg
Crown WAV 50-118 WAV (Work Assist Vehicles)
Crown RR 5200 Reach Rider Truck
Crown PE 4500-60 Rider Pallet Jack
Crown WP 2335-45 Pallet Jack
Crown L 7 Elevator Boxes
Crown / Simplimatic 36" Conveyor
Crown Simplimatic 8170 Flat Belt Conveyor
Cryo DW 70 L 256 N 1300 Vacuum Dewar - for holding liquid helium or nitrogen
Cryofab CMSH-60 Dewar
Cryomech CP 640 Compressor
CryoSnow CS-SJ 10 CO2 Snow Jetting Machine
CryoSnow SJ 10 CO2 Cleaning Machine
CryoSnow SJ 10 CO2 Snow Blasting Machine
CryoSnow SJ 10 CO2 Snow Blasting Machine "- Compressed air consumption 0.3 to 2 m³/min (11 to 71 scf/min)
- Compressed air operating pressure 5 to 16 bar (72 to 232 psi)
- Compressed air connection customer-specific
- Compressed air quality dry, oil and particle free
- Liquid CO2 consumption 0.1 to 0.3 kg/min (0.2 to 0.7 lb/min)
- Liquid CO2 operating pressure 20 to 100 bar (290 to 1,450 psi)
- Liquid CO2 connection customer-specific
- Blasting pressure 2 to 16 bar (29 to 232 psi)
- Sound power level 80 to 110 dB(A)"
Crystal EF-6000-CP Lifter for Sintering Furnace
Crystal EF-6000-CP Lifter for Sintering Furnace
Crystal FZ-T-10000-H-IH-ES-PO-PC Inclined Mirror Furnace
Crystal FZ-T-10000-H-IH-ES-PO-PC Inclined Mirror Furnace
Crystal FZ-T-10000-H-IH-ES-PO-PC Inclined Mirror Furnace
Crystal Mark C 115 LV 1 Bead Blaster - Includes fume extractor
CSAT 6335 / 600 CSAT Foil Printing Machine Printing capacity: 20-25 kg aluminum foil per day
CSC Isothermal Titration Calorimeter
CSI Power Capacitors Part # 75 PP 0001
CSP Automatic Test Equipment (ATE)
CST RoboFlex II SODIMM DDR 3 Handler with Tester
CST RoboFlex II UDIMM DDR 3 Handler with Tester
CST SP 3000 Tester 533 DDR
CST SP 3000 Tester DDR 400
CST Boat Cleaning Station
CST RoboFlex 2 Handler "- 15 ~110* F
- 80 ~ 100 PSI @ 5 CFM Regulated Air
- Input 100 /250 Vac, 50 /60Hz
- Output 12 VDC @ 3A
Module Type Supported :
- 240pin,184pin & 168pin DIMM
- 204pin,200pin,144pin SODIMM (Opt)
- 100pin DIMM ( Optional)
Module Size :
- Height : 1” to 1.75 “
- Length : 5.25” +/- 0.05”
- Thickness : 0.05”
Number of DIMM Per Input Tray :
- 60 ~ 100 TSOP/BGA DIMM ( Single)
- 40 ~ 60 TSOP/BGA DIMM ( Double)
Output Stacker :
- 60 ~ 100 TSOP/BGA DIMM ( Single)
- 40 ~ 60 TSOP/BGA DIMM ( Double)
Handler Throughput : Load + Transfer + Stacking ( 5 sec) / ( Zero Test Time)
Test Contacts :
- Heavy Duty Test Sockets ( Yamaichi)
- Life Span ( above 10,000 insertions)"
CST RoboFlex 2 Handler "- 15 ~110* F
- 80 ~ 100 PSI @ 5 CFM Regulated Air
- Input 100 /250 Vac, 50 /60Hz
- Output 12 VDC @ 3A
Module Type Supported :
- 240pin,184pin & 168pin DIMM
- 204pin,200pin,144pin SODIMM (Opt)
- 100pin DIMM ( Optional)
Module Size :
- Height : 1” to 1.75 “
- Length : 5.25” +/- 0.05”
- Thickness : 0.05”
Number of DIMM Per Input Tray :
- 60 ~ 100 TSOP/BGA DIMM ( Single)
- 40 ~ 60 TSOP/BGA DIMM ( Double)
Output Stacker :
- 60 ~ 100 TSOP/BGA DIMM ( Single)
- 40 ~ 60 TSOP/BGA DIMM ( Double)
Handler Throughput : Load + Transfer + Stacking ( 5 sec) / ( Zero Test Time)
Test Contacts :
- Heavy Duty Test Sockets ( Yamaichi)
- Life Span ( above 10,000 insertions)"
CSUN CSL A 25 Cut Sheet Laminator
C-Sun QMO 9 WS Anneal
C-Sun VL A 28 Patterning (Lamination)
C-Sun MOL 3 Oven
C-Sun MOL 3 Oven
C-Sun
CTG Asia Washing Machine "- 50KA
- 104A"
CTI 8200 Compressor
CTI 1020 R Cryopump Compressor
CTI 1020 RW Cryopump Compressor - No pressure gauge
CTI 8500 Cryopump Compressor
CTI 8200 Compressor
CTI SC Compressor
CTI 8 Cryopump
CTI Cryo Tees
CTI Temp Indicator
CTI 8200 Cryogenic Compressor "- 2 are water cooled
- 1 is air cooled
- with braided hoses"
CTI Cryo Pump
CTI 9600 Compressor
CTI 1020 R Compressor
CTI 8500 Cryopump Compressor "- 98/250, 3-phase
- Maximum power: 5.75W
- Rated FL/LR current: 20/82A
- Factory test pressure: 350 PSIG"
CTI 10 F Cryo Pump P/N: 8116196G002
CTI CT 10 Cryogenic Pump
CTI CT 8
CTI 9600 Compressor
CTI 10 On-Board Cryopump "with:
- 10"" inlet
- onboard mounted display/control panel"
CTI Cryogenics SC 8032224 Compressor
CTI Cryogenics SCW Compressor
CTI Cryogenics IS 1000 Compressor "- 200V-230V
- 50/60Hz
- 3 Phase
- Supports up to six On-Board IS-8F Cryopumps
- Integrated cryopump power frequency converter
- With an On-Board IS Remote Display module P/N 8186260G001"
CTS C 40 60 Temperature Humidity Oven
CTS C 40/60 Temp / Humidity Oven "- 400V
- 50Hz
- Temp Range: -40 to 180*C"
CTX S 700 Monitor
Cubic Communications SPA 400 D- 2 B RF Generator
Culatti Lab Mill
Cumberland Granulator "- 10x12
- 10hp
- 240V"
Cumberland 2024 TF Grinder
Cumberland
Cure-Tex C.T-18-60 D Dryer Oven
Curtis E 57 Compressor 5 hp
Custom UV Curing Laminator
Custom Scientific Instruments CSI-209 Newark Flex Tester "- Part No. 1990000215
- 32"" (W) x 26"" (H) x 27"" (D)
- 175 lbs"
Custom Systems and Controls (CSC) SDFN 5.11
Cut Master GT 2080 Manual Lathe "- 12"" Chuck
- 2 Axis"
Cutler Hammer Nema 3 Transformer "- 2500 kva
- with 4000 amp disconnect"
CVC SC-5000 Evaporator
CVC 601 Sputter Deposition "-Advance Energy ID 3500 Ion Beam Drive
-We have two: Advance energy MDX magnetron Drive (one in tool, and one
spare)
-CVC Scienctific Products KR1 and KR3 RF sputtering power supplies and matching networksTargets:
· Al
· Al / 1%Si
· Al / 1%Si / 4%Cu
· Co
· CoFeAl
· Cr
· Ir
· MgO
· Mo
· MoSi2
· Nb
· Si
· Ti
· TiW
· W"
CVD Equipment Chemical Vapor Deposition (CVD) Reactor
CVD First Nano N 0458 N 1299 Chemical vapor deposition system
CWP 810 Printer
CWP 215 Printer
CWU CDU 2 Drum
CWU 2 Drum
CWU 2 Drum
Cybeq Wafer Sorters
Cybeq 3800 Polisher
Cyberoptics MX 600 Automated turn-on test plus accept/reject equipment for memory cards
Cyberoptics QX 500 D Automated Optical Inspection Unit
Cyberoptics QX 500 D Automated Optical Inspection Unit
Cyberoptics SE 300 Solder Paste Thickness Testing Equipment
CyberOptics CyberScan 250 Profilometer
Cyberoptics Ultra SE 300 Solder Paste Inspection System
CyberOptics 8008901 - Machine is under maintenance. Parts can be used as spares.
Cyclonaire Blower
Cyklop Developer Kit for Plastic Tapes with 2 unrollers for plastic ribbons
Cylinders 960 Press
Cyril Bath V 50 Stretch Form
Cytomation MoFlo Flow Cytometer
Czchrolski Crystal Grower
DAC 150 FV K Speed Mixer
Daewoo Puma 200 CNC
Daeyoung 730 E Plastic Welding Machine
Daeyoung Ultrasonic DVW 715 E Plastic Welding Machine "- 50/60Hz
- Input Power: 220/380V
- Output Power: 18.5Kw"
Dage 4000 HS Bond Tester
Dage 4000 HS Metrology 8"
Dage 22 A Micro Tester
Dage Series 400 PAX 1 8"
Dage MCT 15 Microtester
Dage PC 2400
Dage BT 22
Dage BT 28
Dage Series 3000 Wire Pull Tester
Dage BT 22 Ball and Wire Pull Tester
Dage XD 7600 NT X-Ray Inspection System "- 3D capturing and imagining
- X Plane"
Dahlih DL V 1600
Da-ichi Seiko GP-PRO SP 80-8-MIN Mold system "- 80 Ton
- Used for PDIP Package Molding"
Daifuku ASRS System & Racking
Daiichi GP ELF Automold 10x10
Daiichi GP PRO 6 SP 80 N Auto Mold Press for 16x SOIC 3 Chases
Daiichi GPPRO 06 08 T Automold for 8L SOIC 3 Chases
Daiichi Jitsugyo TVIS EX 2 Optical Inspection
Daiichi Seiko GP PRO SP 120 Mold
Daikin SUT 10 D 60 L 21-20
Daikin AKC 569 K 212 B Chiller
Daimer XTreme Power HSC 13000 A Floor Vacuum Cleaner - 12" 4-jet wand
Dainippon (DNS) 200 W Interface 8"
Dainippon (DNS) SD-80 R Developer 8"
Dainippon (DNS) SVG 80
Dainippon (DNS) SK 200 W DUV Coater / Developer 2x Coat, 3x Develop, R to L
Dainippon (DNS) SK 200 W Coater / Developers 2x Coat, 3x Develop, R to L
Dainippon (DNS) SK-200 W DUV "- ASML L Shape IFB, Left side Indexer
- Parts Machine"
Dainippon (DNS) LA 4000 Flash Anneal Single Wafer
Dainippon (DNS) DT 4000 Sokudo Duo Track
Dainippon (DNS) SSU 4000 Wet Clean Brush Scrubber System
Dainippon (DNS) SSU 4000 Wet Clean FEOL (Front End of Line) SWC Single Wafer
Dainippon (DNS) SSU 4000 Wet Clean BEOL (Back End of Line) SWC Single Wafer
Dainippon (DNS) 80 B Track Interface For Nikon Stepper 5"
Dainippon (DNS) Rapid Thermal Annealer (RTP) 6" "- AC Pwr Box
- Lamp Pwr Box (2) & Cabling
- (Rtp04602, Old A/N 930299) Ht Fully Crated"
Dainippon (DNS) HP-80 BW-AVE Track System
Dainippon (DNS) SU 3100 Wafer Cleaner 12"
Dainippon (DNS) TE 506 G BOE Wet Etch
Dainippon (DNS) SC W 80 A Track System 8" - Load on the Left, Cure Furnace is on the Right
Dainippon (DNS) FL 820 L IPA Dryer
Daitron NM 300 TT-A
Dake 909215 Hydraulic Press 25 Ton
Dake Parma Trade Master Vertical Bandsaw "- 20""
- Adjustable speed
- Table tilt
- Chip clearer funnel
- Powered w/ foot pedal control and lock"
Daksh Cell Testing Machine
Daksh Module Tester
Dale Senford SIO 4 MDL 9310
Danaher 2 RB Stage, Motor, Coupler
Danfysik 866 R-600 Current Cross Datacenter Replication (XDCR) system
Danfysik 600 A Current Cross Datacenter Replication (XDCR) system
Daniels M 22520 / 1-01 Crimp Tool, Pin AF 8
Daniels M 22520 / 2-01 Crimp Tool AFM 8
Daniels M 22520 / 5-059 Crimp Tool
Daniels WA 22 Crimp Tool Pneumatic (IP)
Daniels M 22520 / 5-02 Crimp Tool Coax
Daniels M 22520 / 5-01 Crimp Tool Coax Cable
Daniels M 22520 / 23-02 Crimp Tool Pneumatic, WA 23
Daniels M 22520 / 10-01 Crimp Tool
Daniels M 22520 / 1-01 Crimp Tool
Daniels M 22520 / 10-X 164 Crimp Tool
Daniels M 22520 / 5-059 Crimp Tool HX 4
Danielson Tribodyn 100 / 16 Vacuum Pump
Danielson Tribodyn 30 / 16 Vacuum Pump
Danson
Danson Hartig 175 D 24 Extruder "- 3BZ
- 3DZ"
Dantai CW 100 Edge Bead Remover "- Module YM5 driver communication failure
- Loadport detect function failure
- Robot and paddle damage"
Data IO PSV 5000 IC Programming Machine
Data Precision 8200 Calibrator (33-017) 6 1/2" Digit
Data Precision 2480 Multimeter
Data Precision 2430
Datacolor 550 Spectrophometer "- 12.3"" Wide X 13.2"" Tall X 16.4"" Deep
- 38 lbs"
Datacon APM 2200 Die Bonder
Datacon 2200 APM Die Bonder
Datacon APM 2210 "Equipment Module
- Modular Machine Concept
- Rigid Cast Aluminum Construction
- Dynamic XYZ Theta Servo Motors
- Datacon Pattern Recognition System with Edge, Gray Level, Pattern and Ink-Dot Recognition
- Programmable Lighting Systems
- Teach-In Programming, Menu-Driven by Integrated VME Process Computer and X-Windows GUI
- Stereo Microscope
- Documentation: CD-ROM (English)
- CCD Substrate Camera/Optics/Illumination
- Upward-Looking Camera
- Theta Axis Rotary Bond-head, Theta Range: 0-360*, Increments 0.05m
Component Presentation Systems
- Standard Wafer System with Frame Holder, 2200 apm+
- Automatic Wafer Transfer System, 2200 apm+ (requires DC1054 or DC1004)"
Datacon PPS 2211 Underfilling Machine
Datacon APM 2200
Datacon APM 2200 Die Attach
Datacon PPS 2210 Sorter 6", 8" "- rotating table for P&P-tools (ok)
- wafer- + substrate cameras (ok)
- wafer exchanger (ok)
- entry line (ok – one transport)
- output line (ok - rolls)
- 1 module / bondhead - has a slight tilting after a crash, still operating and we used it with an offset adjustment.
- cross hair glass plate missing
- wafer illumination does not work (external light used)"
Datacon DS 11000 LED C-C Die Sorter
Datacon 2220 APM 4090 Flip Chip Die Bonder
Datacon PPS 2211 Multichip Die Bonder - Chip thickness: 90-150um
Datacon 2200 APM Multichip Die Bonder - HDD corrupted or PC is faulty
Datacon 8800 Quantum Flip Chip Bonder "- No temperature option
- Flux dipping process"
Datacon 8800 Chameo Flip Chip Bonder "- No temperature option
- Flux dipping process
- Double gantry system
- Standard wafer table (w/out stretcher and rotation)
- Wafer transfer system
- Wafer centering station
- Wafer lift
- (2) flip units
- (2) Up-looking cameras and (2) substrate cameras
- Wafer camera with twin-field optics
- (2) Bond heads with theta rotary axis
- Wafer barcode scanner
- Automatic eject tool changer"
Datacon PPS 2210
Datacon / Besi 2200 EVO Multichip Die Bonder "- Dual head
- Bond head (Heated 155 C)
- Substrate Camera
- Uhura Camera
- Uplooking Camera
- Wafer Camera
- Substrate and Wafer Reader
- Ejection Tool
- Bond Force Reader
- Wafer Table
- Wafer Lift
- Bond Zone
- Loader Unloader Buffer Track"
Datacon / Muehlbauer DS 11000 Die Sorter "- 208 Vac
- 1 Phase
- 8 Amp
- 50/60 Hz
- Air: 8 bar"
Dataforth Signal Conditioners
Datalogic Griphon BT 100 Scanner
Datalogic Griphon BT 101 Scanner
Datametrics 10003-B Flow Sensor
Datapulse 110 B Pulse Generator
Datapulse 101 Pulse Generator
Datastick Vibtation Spectrum Analyzer
Datum Precision Wafer Separating Device 20mm Cylinder
Datum Precision Wafer Air Remover Device
Daven Attenuation Network
David Kopf Model 720 Vertical Pipette Puller
Davis DS 20 Standard Extruder
Davis Standard 100 / MM 35 Extrusion
Daw Willet Enterprise Board Flatting
Daymarc 717 Handler
Daymarc 717 Handler
Daymarc 3287 Test Handler
Daymarc 717 Tri Temp Handler
Daymarc 3287 Test Handler
Dayton 4 Z 141 Grinder Plate
Dayton 1 MDU 9 Motor
Dayton 4 Z 499 Gear Reducers 51:1
Dayton 2Z810 C Shaded Pole Generator
Dayton 3 Z 983 Buffer
Dayton Hoist
Dayton 9 K 836 Pump "- 3450RPM
- 115/230V
- 60Hz
- 1 Phase"
Dayton 2 JGA 3 Pump "- 115V
- 60Hz
- 12Amp"
Dayton 3 N 236 F Pump "- 3450RPM
- 208-220/440V
- 60Hz
- 3 Phase"
Dayton 3 N 681 Pump "- 3450RPM
- 60Hz
- 3 Phase"
Dayton 9 HK 93 Pump "- 3450RPM
- 115V
- 60Hz
- 1 Phase"
Dayton 3 M 847 Motor "- 1075RPM
- 115V
- 60Hz
- 1 Phase"
Dayton 3 M 851 Motor "- 115V
- 60Hz
- 1 Phase"
Dayton 3 N 237 E Motor "- 208-220/440V
- 60/50Hz
- 3 Phase"
Dayton 3 N 649 Motor "- 3450RPM
- 230/460V
- 60Hz
- 3 Phase"
Dayton Electronic Vibrator Motor
DBS Strumenti Scient PGH2-100 Series 2 Hydrogen Generator "List of items included:
- 1 x Hydrogen generator
- 1 x Instruction manual
- 1 x Deionizer triangle bag
- 1 x Water drain with flexible tubing
- 1 x Power cable"
DBX SC 32 Digital Matrix Processor
DCB Automation Supervision MK III Automatic Optical Detector (AOI)
DCG Systems Inc dProber Exclude Keithley Parametric Analyzer "1. 6 Probes System
2. Loadlock
3. Optimizer
4 Heat Stage"
DCM IG 280 SD Surface Grinder
DDM Novastar Wave Solder
DDM Novastar GF-B-HT High Temperature Mini Reflow Oven "- Max Board Width: 12"" x 12"" (305mm x305mm)
- Max Board Height: 1.250"" (32mm)
- Heating Zones: One (1)
- Max Temperature: 600°F (315°C)
- Heat Tunnel Length: N/A
- Cyclonics™ (forced air): One (1)
- Conveyor Extensions: Dual Board Shuttle System
- Cooling Station(s): Two (2)
- Venting: 4"" (102mm) flange with integral fan
- Cooling Zone Venting: N/A"
DDM Novastar EWS 310 Wave Solder Machine
DDS Development
DEA Misral Slant Coordinate Measuring Machine (CMM)
Deckel GK 21 Pantograph Machine
Deckel Maho DMU 80 P 5 Axis Machining Center
Dedietrich ANFD
DeHaart EL-20 Screen Printer
DEI PDG 2510 Digital Delay Pulse Generator
Dejong Hot Water Tank - 55 ltr
Dek 260
Dek FP Platform PPI Screen printer
Dek 265 Horizon Screen Printer "- Full Load Amps
- PSF 5/8 BAR
- 1 Phase with Smema Inline Blue Under Stencil Wipe
- Fiducial Alignment
- 29 x 29 frame Size
- Pressure Feedback
- Pinch Valve
- Advanced Stencil Insp"
Dek 248 PCB Screen Printer - Sony XC-ST30CE CCD video camera system
Dek ProFlow Print Head
Dek 260
Dek 260
Dek VF 35 Vacuum
Dek ELA Plus Solder Paste Printer
Dek INF Solder Paste Printer
Dek Horizon Screen Printer - Missing card
Dek Horizon Screen Printer "- Non-functional
- Needs SW update"
Dek Europa Screen Printer
Dek Europa Screen Printer "- Automatic Stencil Alignment
- SW Version: 09 SP13 P02
- Print Cartridge with squeeze close loop pressure control
- Auto program defined width adjust
- QC Calc
- Hawkeye
- Rising Table Motor
- Nextmove ES Card
- PC Model: H81
- Digital Vision"
Dek Europa Screen Printer "- Automatic Stencil Alignment
- SW Version: 09 SP10 P02 RTC
- Print Cartridge with squeeze close loop pressure control
- Auto program defined width adjust
- QC Calc
- Verification Option
- Hawkeye
- Rising Table Motor
- Nextmove ES Card
- PC Model: H81
- Digital Vision"
Dek Europa DL RTC Screen Printer "- Automatic Stencil Alignment
- SW Version: 09 SP10 P02 RTC
- Print Cartridge with squeeze close loop pressure control
- Auto program defined width adjust
- QC Calc
- Verification Option
- Hawkeye
- Rising Table Motor
- Nextmove ES Card
- PC Model: H81
- Digital Vision"
Dek Europa Screen Printer "- Automatic Stencil Alignment
- SW Version: 09 SP13 P02
- Print Cartridge with squeeze close loop pressure control
- Auto program defined width adjust
- QC Calc
- Hawkeye
- Rising Table Motor
- Nextmove ES Card
- PC Model: RoHS
- Digital Vision"
Dek Horizon 02 i Screen Printer "- Left-to-right flow
- Fixed front rail
- Hawkeye 1700
- Camera occasionally does not see fiducial marker properly, resulting in alignment problem"
Dek Printer
Dek 265 HOZ Paste Printer
Dek HOZ Paste Printer "- Machine Alignment Capability:>2 Cpk @ +/- 12.5μm, 6 Sigma
- Process Alignment Capability:>2 Cpk @ +/- 20μm, 6 Sigma
- Maximum Print Area:510mm * (X) x 508.5mm (Y)
- Substrate Handling Size (minimum): 50mm (X) x 40.5mm (Y)
- Substrate Handling Size (maximum): 620mm (X) * x 508.5mm (Y)
- Substrate Thickness:0.2mm to 6mm
- Substrate Weight (maximum):1kg
- Print Pressure:0kg to 20kg
- Print Speed:2mm/sec to 300mm/sec
- Print Gap:0mm to 6mm
- Substrate Separation Speed 0.1mm/sec to 20mm/sec
Distance 0mm to 3mm"
Dek Horizon 03 Screen Printer
Dek Horizon 03 iX Screen Printer
Dek Horizon 03 iX Screen Printer
DEK 265 Horizon Screen Printer
Dekker Vacuum Technologies DV 0035 B Liquid Ring Vacuum Pump "- 60HZ
- 230/460V
- 2.2KW
- 3HP
- 7.8/3.9A"
Dektak V 200 SI Surface Profilometer - Manual Load
Del Electronics RLPS 10-300 R
Delatech CDO 895 Water Gas Scrubber
Delbo ES 90 P Electric Screw Driver
Delta TBC Laser Cutting Machine
Delta WIS 2400 SC
Delta FS Dual Lane, Triple Lane
Delta 11990 Drill Press
Delta ASA 04 002 Load / Unlead Auto Machine
Delta ASA 04 155 Cassette Exchanger
Delta 9911
Delta 2300 CN
Delta 9386
Delta 31-280 Bench Top Grinder 115 V
Delta Sorter
Delta Castle MX 32 Handler
Delta 9039 Hot / Cold Chamber with 9010 Controller
Delta 9039 Hot / Cold Chamber with 9015 Controller
Delta WES 3000 MM
Delta WES 5000 SC
Delta Design 3900 CN Thermal Chambers
Delta Design Turbo Flex IC Test Handler
Delta Design Turbo Flex IC Test Handler "- Output: 90 SCFH
- Input: 90 SCFH
- Storage: 190 SCFH"
Delta Design 717 Handler
Delta Electronics Het 200 PC B Air to Air Thermoelectric Assembly
Delta Electronics
Delta Elektronika SMX 722 O-D Power Supply
"Delta Elektronika BV
" SM 7020-D Power Supply Controller
Delta F Corp FA 35550 A Trace Oxygen Analyzer
Deltaflex Handler
Deltaflex Handler
Deltaplast DVH 30:24 1 1/2"
Deltaplast DVH 30 24
Deltech Air Dryer
Delvo 6830 Wire Bonder
Delvotec 6319 Wire Bonder
Demag Ergotech Molding
Demag Ergotech Molding
Deman KBK "- Exitline 2
- capacity 1T
- dimensions ~12*12m"
Demin DMP 523 Preheater
Denision Tensile Tester
Denison WUPA 2 TR Multipress
Dennison S 087 MC 201 D 267 C 221 A 72 A 235 A 59 Press 220V / 3ph, 12 stroke, cycle bore 3.25
DEN-ON RD 500 SII BGA Rework Station
Denso Automated Optical Inspection (AOI) system
Denta PV 2.5 Tumbling tool
Denton SJ-20 "- Interior 20”
- Stainless box
- Hi Vac Gate valve
- CTI 8 Cryo and Compressor (not installed)
- Roughing Valve with pump sequencer
- CVC Sequencer & CVC Pressure Gauges, mounted in 19” Rack
- No Mechanical Pump included
- 4 Small planetary liftoff type substrate rotation
- Multiple feed thru ports
- Two view ports on door
- No egun included"
Denton SD-10
Denton 502 A Multi PVD Tool (e-beam + single cathode) "- All power supplies – custom built – very little use
- Large custom chamber with a steel door, window and water cooling
- Sputtering head
- Thermal evaporation
- E-beam evaporation (3 pocket – externally rotatable)
- Shutter
- Film thickness monitor
- Rotatable sample stage
- Evaporation sources cannot be used all at once
- Diffusion pumped"
Denton Explorer 14 Sputtering Tool "- KYKY 600 l/s turbo-molecular pump (6.0” inlet flange, particulate screen, water-cooled).
LN2 trap:
- Varian DS 402 two-stage, rotary vane pump (14 CFM):
- Chamber: 12” (high) x 18” (wide) x 18” (deep)
- (3) 3.0” diameter, internal “stalk-mount”, planar magnetron sputter source:
- RF/DC capability
Configuration: either straight down sputtering or con-focal sputtering
- DVI 12” diameter copper plate, water-cooled, substrate stage assembly
- Xantrex DC power supply (600 volts, 4 amps).
- 600 Watt Seren RF power supply coupled to an automatic matching network.
- Substrate rotation: (0 - 20 RPM)
- Interfaced to system PLC for remote on/off operation.
- ProcessProTM control software configured for specific delivered hardware"
Denton Explorer 14 E-Beam
Denton DV-502 A Sputtering Evaporator
Denton Integrity 36 E-Beam Vacuum Chamber "- Automated Controls
- SynSys Cryo Pump and Compressor"
Denton E-Beam Chamber "- Manual Controls
- Cryo Pump and Compressor"
Denton Desk II Sputter Coater
Denton SJ 20 Chamber "- No pumps
- 251 Size e-gun included"
Denton I 39 Thermal Evaporator Chamber "- Includes: Pump Stack, Control Rack
- Machine was used for Range of IR and visible optical coatings
- Materials Used: Standard optical coating evaporation materials such as SiO2, Ti2O3, MgO, ZnS, YF3, Ge"
Denton DV 502 A Evaporator
Denton Vacuum Sputter Coater
Denton Vacuum DV 502 A Evaporator
Denton Vacuum Phoenix Sputtering Tool
Denver Instruments DI 2200 Scale
Denver Instruments TP 1502
Derpan TSK 5200 N 2 Reflow
Desco Bench Top Ionizer
Desco A 98250 Wrist, Foot ESD Strap Tester
Desco 19250 Combo Wrist Strap / Footwear Tester
Desco Wrist Strap / Footwear Tester
Desco ESD Wrist / Foot Strap Tester
Desert Cryogenics Probe Station
Design Center Carton Overprinting Machine
Despatch LND Oven
Despatch LND1-42 Oven
Despatch Cure Oven
Despatch Inline Oven
Despatch FFF Single Lane
Despatch TPQ 63 30 O Telepacific Oven
Despatch TPQ 63 30 O Telepacific Oven
Despatch Baker 2000 Special Pyrolysis Cure Oven
Despatch Baker 2000 Special Pyrolysis Cure Oven
Despatch PBC 2 16 Burn-In Oven
Despatch PBC 16 Burn-In System
Despatch PCB * 30 x 120 x 14-1 E Oven "- Heater Type: Electric
- Heater Amps: 38.5A
- Line Voltage: 480V 3ph 60hz"
Despatch Curing Oven
Despatch Flat Industrial Oven
Despatch PBC 2-16 Oven
Despatch 30 MW Fast Firing Furnace Machine Operating temperature from zone1~zone6 about 400 ° C to 900 ° C
Despatch CF 7214 Fast Firing Furnace Machine
Despatch Protocol Plus Oven
Despatch 924 1 1 D 0 120
Despatch Oven
Despatch Box Oven
Despatch TFD 3 10 1 E Walk In Oven
Despatch LFD 1-42-3 Furnace
Despatch CDF 7210 B C 00 Sintering Furnace
Despatch 600 Dry Oven
Despatch CDF SL Infrared Drying and Annealing Furnace
Despatch PNC 2 16 Oven
Despatch PBC 2 16 Oven
Despatch LOB Series Oven 400* F Max
Despatch Drying Oven
Despatch PSD 3-77 S 1 G Oven "- BTU - Gas pressure: 8” to 10” W.C., 1000 BTU/cubic foot natural gas
- Temperature range - Operating range: 66°C to 343°C (150°F to 650°F)
- Electrical - Standard Power utilities: 480 Volts, 3 phase, 60 Hertz 12"
Despatch TED 3 21 1 E Electric Oven "- Heater Capacity: 72 KW
- Temperature range: max 343°C (650 °F)
- Electrical - 480 Volts 3 Phase 60 Hertz 102.9 Full Load Amps (main switch 125A)
- Controls: 120 Volts 1 Phase 60 Hertz"
Despatch - Dual Lane
Despatch - Single Lane
Despatch LAC 1 67 8 Oven
Despatch CT 200 Litho
Despatch V Series Oven
Detroit Broach & Machine GNP 6 25 Vertical Power Broach "- 24"" vertical power broach
- Koike control
- Hypertherm 200 amp high def source"
Deutsche Rolfen Laser Technology Laser Engraving Machine Unable to run double-sided PERC
Devilbiss 445 Air Compressor with Baldor Motor
Devilbiss ProAir Air Compressor
DeVilbliss Air Compressor 5hp
Devlieg 4 k-72 Jig Mill
DI DM 1900 N Burn In Tester
Di-Acro Houdaille 2 Punch With: Wilton 20720 Strand Drill Press
Diamond Engineering D 6000 Turntable System "With
- Controller
- Tripods
- RF Cables
- Accessories"
Diamond Engineering DFSM 5-18 Sperical Mount
Diamond Wire Material RTD 6800
Diantai STS 300 Cutting Machine
Dias IS 33 A Series 1500 8811 3rd Optical
Dias IS 33 A Post Bond Inspection System
Dias IS 33 Post Bond Inspection System
Diazo Dart XL 80 Film Machine
Dic 7000 Z Desoldering Tool
Dickson TH 802 Chart Recorder
Dickson TH 8 7 F Chart Recorder
Dickson THDX Humidity and Temperature Meter - Untested
Dickson THDX Humidity and Temperature Meter - Untested
Dickson THDX Humidity and Temperature Sensor
DiCon Fiberoptics GP 700 General Purpose Fiberoptic Switch "- Version: 1.40
- Device powers up and passes self test"
DiCon Fiberoptics GP 700 / GP 700 M / GP 700 P General Purpose Fiberoptic Switch "- : 97410
- Version: 2.13
- Unit powers up and passes self tests
- Contains 5 modules, all are marked as ""GP700P"": 2x PM-2X4/LN-13/16-9-FC, 2x PM-2X12/LN13/16-9-FC, 1x PA-15-9-FC"
Dicso DFD 640 Wafer Saw "- Width 53.150 in (135.0 cm)
- Depth 45.984 in (116.8 cm)
- Height 48.622 in (123.5 cm)
- Weight 2,866 lb (1,300 kg)"
Diebel 60 Ton Press "- 100 SPM
- Shuthheight to bolster: Max 10 1/4""
- Ram adjust: 2 1/2""
- Ram stroke: 1 1/2""
- Bolster L-R: 37""
- Bolster F-B: 22 1/2"""
Diener Tetra 30 LF-PC
Diener Tetra 30 Plasmaoven
Diener Electronic Nano DI Nano Plasma System
Die-Sep Mold Separator 10K weight capacity, 8K capacity on the tilt axis
Dietz Motoren DR 71 C / 2 Q
Dietz Motoren HR 071 - E - 71
Digilab Contact Printer with PC Control
Digilab PreSys
Digilab MicroGrid
Digilab Hummingbird
Digilab / Agilent FTS 7000 PEM-IRRAS attachment
Digital Electronics Pro-Face HMI
Digital Frontier DF 1740 Burn-In
Digital Instrument MANO-SCOPE 3 A 12"
Digital Instruments Nanoscope III a
Digital Instruments / Veeco Dimension DUVx 210 Atomic Force Microscope (AFM)
Digital Matrix SE 1003 Electroplating System
Dimension SST 768 3D Printer
Dimep Henry Ratchet Kit with 2 ratchets
Dimplex Thermal Solutions Indy Kool HEX 00034 PR-NF Chiller
Dionex ASE 150 Accelerated Solvent Extractor
Dionex Parellell Ternary Gradient
Dionex Chromatography Workstation "Includes:
- Software
- Userguide
- Sp10 Autoneutralizer
- Lc20 Chromatography Enclosure (2 Units)
- Cd20 Conductivity Detector (3 Units)
- Gp40 Gradient Pump (2 Units)
- Dell Trinitron Color Monitor & Mmp Computer"
Dionex LC 16 High-Performance Liquid Chromatography (HPLC)
Dionex DX 500 Anion Ion Chromatography System
Dionex DX 500 Pyrophosphate Ion Chromatography System
Dionex AS-DV Autosampler
Dionex ASE 200 Accelerated Solvent Extractor 32 Cells, 33 screw nuts
Dionex Corp ICS 3000 EG
DIS PRS 057 Pinless
Disco DFG-83 H/ 16 Grinder 5"
Disco DFG 83/6 Grinder "- 12 vacuum chucks
- One or two of the three spindles does not work.
- Automatic loader/unloader is disabled.
- The software upgrade to EProms
- Spare Parts, wheels and manuals"
Disco DAD 2 H / 6 T Dicing Saw 6"
Disco DFL 7020 Laser Scriber
Disco DFL 7340 Dicing Laser Saw
Disco DAD 2 H/ 5 Dicing Saw
Disco Dicing Saw
Disco 2 H 6 Wafer Sawer
Disco DFE 8040 Plasma Etchers 8"
Disco DFD 670 12"
Disco NET 6930 AEX
Disco Filter Stack
Disco DAC 551 Dicing Saw 6" "- With Cooler
- 220mm x 160mm x 25mm wafers
- 2"" Blade"
Disco DAD 332 Dicing Saw 6"
Disco DTU 1531 Kanto Seiki Chiller
Disco EAD 6750
Disco DAD 320 Dicing Saw Parts Only
Disco DAD 321 Dicing Saw "- Includes NCS
- Does not include BBD"
Disco DFD 641 Wafer Saw
Disco DFD 650 Dicing Saw
Disco DFD 651 Dicing Saw
Disco DFD 651 Dicing Saw
Disco DTU 152 "- Water Temperature Control Unit
- CO2 Bubble Supply
- Isolate Transformer"
Disco DFD 651 Dicing Saw "- 3 Phase
- AC 200 V"
Disco DFD 651 Dicing Saw "- 3 Phase
- AC 200 V"
Disco DCS 140 Wafer Cleaner "- Single Phase
- 240 V"
Disco DCS 141 Wafer Cleaner "- Single Phase
- 240 V"
Disco Megcon PRC II-2000 ACD "Includes:
- CO2 Regulator
- Buzzer System
- Capacity: 300-2000L/Hr
- Size 520w x 400d x 1150h mm"
Disco DAD 2 H 6 T Dicing Saw
Disco CC DFU 2 GR-C Water Filter
Disco DTU 152
Disco DFG 821 F / 8 Backside Grinder 8" "- Handler System: Automated handling 200mm cassette to 200mm cassette
- Options system: peripherals included, vacuum unit, demister, chiller, 1ea."
Disco DAG 810 Grinder
Disco Parts
Disco P/N LJLR-910054-1 14L-019 Ceramic Vacuum Chuck Table 10"
Disco P/N: LJLR-910054-1 14L-033 Ceramic Vacuum Chuck Table 10"
Disco P/N: LJLR-910054-1 14L-024 Ceramic Vacuum Chuck Table 10"
Disco P/N: MAJB001 00062628CP FKJS-510001-20 Wafer Chuck Spinner Table - 2"
Disco P/N: MAJB001 00062628CP FKJS-510001-20 Wafer Chuck Spinner Table - 4"
Disco T2 Pad 4"-8" T2 Arm 2 Wafer Vacuum Transfer Chuck Table Pad "- P/N: LJAT-910052-1 11E-001 10F8A
- New, not sealed
- This part is made for a DFG8540/8560 Wafer Surface Grinder"
Disco P/N LJLT-910231-1 T2 Pad 8" Ceramic Wafer Vacuum Chuck Second P/N 14J-002K
Disco DFG 8540 / 8560 P/N 407081P Robot Wafer Pick Hand Detector
Disco DFG 8540 / 8560 P/N 405151P Robot Wafer Pick Hand Detector
Disco P/N HR-5104-25 Die Bonding Film with Wafer Attached Second P/N N98908-11D4
Disco P/N HR-5104-25 Die Bonding Film with Wafer Attached Second P/N N98908-25D3
Disco P/N HR-5104-25 Die Bonding Film with Wafer Attached Second P/N N98908-24E0
Disco P/N HR-5104-25 Die Bonding Film with Wafer Attached Second P/N N98908-23E5
Disco P/N HR-5104-25 Die Bonding Film with Wafer Attached Second P/N N98908-22F2
Disco P/N HR-5104-25 Die Bonding Film with Wafer Attached Second P/N A00096-01D1
Disco P/N HR-5104-25 Die Bonding Film with Wafer Attached Second P/N A00096-02C4
Disco P/N HR-5104-25 Die Bonding Film with Wafer Attached Second P/N A00096-03B7
Disco P/N HR-5104-25 Die Bonding Film with Wafer Attached Second P/N A00096-04B2
Disco P/N HR-5104-25 Die Bonding Film with Wafer Attached Second P/N A00096-05A5
Disco DAD 321 Dicing Saw
Disco 2 H 6 Semi-Auto Dicing Saw
Disco DAD 321 Semi-Auto Dicing Saw
Disco DAD 341 Semi-Auto Dicing Saw
Disco DFD 640 Fuly-Auto Dicing Saw
Disco DFP 8140 Back Grinder
Disco DCS 141 Cleaner
Disco DTU 152 Chiller
Disco DFL 7160
Disco DFD 6340 Fully Automatic Dicing Saw
Disco DFD 641 Automatic Dicing Saw
Disco DFD 641 Automatic Dicing Saw
Disco DFD 641 Automatic Dicing Saw
Disco DFD 641 Automatic Dicing Saw
Disco Wafer Mounter - Not working
Disco DAG 810 Automatic Grinder 4"-6" "- 1 Wheel Grinder
- Dry Polish"
Diversified Flash Track Hot Air Oven 18/1
DMG Mori DMU 50 Milling Machine
DMG Mori DMU 50 Milling Machine
DMG Mori 40 eVo Milling Machine
DMG Mori UNO 20/40 Measurement Machine
DMG Mori UNO 20/40
DMS Malaysia SPG Trim & Form
DMS Malaysia SPG Trim & Form
DNIV 977 D Wafer Coating System "- 220Vac
- 50/60Hz"
DNR MicroChemi Bio Imaging System
Do All 2012-D 12 Vertical Bandsaw "- 154"" Blade Length
- Includes Machine Vice & Ingot Jaws
- 240V
- 3 Phase
- 50 Hz
- 480V-240V Transformer"
Do All Vertical Band Saw - Needs new bearings, blade, and gears
DoALL DTR-28 Drill "The transmission has been modified to only run at 560 or 1120 mp
"
DoALL 2013-V Band Saw 154” band length, 230V/3 phase
DoALL SCC 300 Surface Grinder
DoAll 2013 Band Saw
Doall 2013 V Vertical Band Saw
DoAll 2013-20 Saw
DoALL Contour Machine
DoAll 2012 D 12 Bandsaw
Doall Metalmaster Bandsaw
DOD Technologies XP-703 D Lead Detector
DOD Technologies Cosmos PS 7 Ammonia Gas Detector
Dometic ML 155 Fridge
Dometic ML 305 C Refrigerator, Freezer
Domino D 320 i
Domino D 550 Plus Laser Printer
Domino D 550 Plus Laser Printer
Domino / Purex Lx Inkjet 400 Fume Extraction System
Donaldson VS 3000
Donaldson DFO 3-12 Cartridge Filter with Hepa System
Donaldson ultracool 0100 SP Chiller
Donaldson Ultrafilter DV 2300 AP Air Filtration System
Donaldson DF Dust Collector
Donaldson Torit 2 DF Dust Collector "- 50Hz
- 220V"
Dong Taixiang Winding Machine
Donner Conveyor
Doosan Infracore LYNX 220 LMSA Horizontal Lathe
Dorc ZX-1 Mini Interferometer - Without PC (needs repair)
Doric Instruments Temperature Data Logger
Dork D 1 Fiber Optic Interferometer
Dorner AquaPruf 7400 LPZ Conveyor "- Z-Frame cleated sanitary conveyor
Width 16”
- with a 45 degree incline conveyor"
Dorner 3200 Series
Dorner Conveyor 321M12000A0902
Dorst TPA 15/3 Mechanical Powder Press
Dorst DACS 15 Powder Compacting Press "Mechanical High-Efficiency Automatic Press
Pressing Force: Max. 150kn
Ejection Force: 50kn
Die Support in Pressing Position: Max. 150kn
Return Force of Lower Punch: Max. 32kn
Closing Force of Upper Punch: Max. 12kn
Upper Punch Stroke: 90mm
Upper Punch Adjusting Travel: 60mm
Stroke Rate: 8...40strokes/Min"
Dortor Tretsky T 3002-FC 3
Doss Migg Visual Inspection Machine
Dou Yee Metal Boat Auto Loader / Unloader
Dou Yee Enterprise SMDS CV 1 300 Jetting System
Dou Yee Enterprises (Thailand) SM 300 DS-3 A-01-SMARTRAC Glob Top Robot
Douthit Exposure Machine
Dover Grinder "220V
Parts Only"
Dover Grinder Parts
D-Pak-S Jienaga Singlation System
D-Pak-S Jienaga Test Handler
D-Pak-S Passfeeder Pallet
D-Pak-S Shengji Auto Frame Loader
DPS Surface Cleaning
DPSS 3530 UV Nanosecond Pulse Laser 3 W
DPSS Lasers "Samurai UV Laser Marking
System"
DPSS Lasers 3515-50 Laser with power supply, cable, and chiller
DR Laser DR PLP FA 40
Dr. Fritsch DSP 515 Hot Press "Includes:
- electrical pre-transformer 200-240V
- software and controller
- Delta cooling tower and systems
with fans
- graphite tooling, parts and frame systems
- graphite spare parts and thermocouple
ready for automation"
Dr. Muller Fundabac 912 L Candle Filter
Dr. Webb Red Devil Furnace
Dr.-Ing. Werth 600-2 Profile Projector "- Width 39.370 in (100.0 cm)
- Depth 78.740 in (200.0 cm)
- Height 88.976 in (226.0 cm)
- Weight 441 lb (200 kg)"
Drais Mannhein PML H/V Mill
D-RAN NI Aging Chamber
D-RAN NI Aging Chamber
D-Ran BIC 672 Aging Chamber
Dranetz 646 3
Dranetz 206 A Digital Tone Burst Timing Generator
Drytek Quad Reactive Ion Etch (RIE)
Drytek Quad 481 Reactive Ion Etch (RIE)
Drytek Model 100 Plasma Etcher
Drytek Megastrip 6 HP Plasma Wafer Asher / Etcher "- Power: 208V, 3phase, 30A/line
13.56MHz RF power
- Chamber holds 3 - 100 mm metal cassettes to remove oxide on silicon."
Drytek Mega Strip 6
Drytek Megastrip 6 Dry Etch Chamber
DT Packaging B 12 P 3 ANC Swift Pack Bottle Filling Machine Capacity: 20-35 BPM
DT Peers Robot Cells
DTC Polymix MMA 98:2 Methacrylate Airless Spray System
DTM Sinterstation 2500 Plus Sintering Machine
Du Pont Instruments 910 Differential Scanning Calorimeter
Dukane 92990 Welder
Dukane 92692 Welder
Duma Optronics Tomographic Beam Profiler
Duma Optronics EAC 1012 Autocollimator
Duo Seal 1397 Vacuum Pump
Duocom HS 3640 Clean Oven "- 4 Chamber
- 220V
- 60Hz
- 3 Phase
- 6 Point Video Recorder x 4 Sets
- Auto Purge / Nitrogen
- Thermocouple: K-Type
- Insulation: Fiber Glass Wool
- Attachments: Flow Meter (Unit LPM), Alarm Device, Sensor (5 Points/each), Caster (Fixed)
- Water Cooling System
- Emergency Button
- Sliding Shelve"
Duocom HS 3640 Clean Oven 4 chamber
DVT 630 C 3 E 40 Sensor Camera
Dykman Electrical PA 7300-4400-N 1 TECO 400HP 585A 480VAC VFD
Dymax UV Cure System
Dymax Bluewave AS UV Curing Light
Dymax 39080 UV Conveyor System UVCS-D5-2B-120 Version 2 DWG# 2974 Rev H Eco# 2158
Dymax Bluewave 200 UV Curing Spot Lamp
Dymax 3010 EC UV Curing Spot Lamp
Dymax UVCS D 5 2 B 120 UV Curing Oven
Dymax UV Caring Light Source, Light Shield
"Dymax
" Curespot 50 UV Curing Light
Dyna Myte 2400 Mill Drill
Dyna Tech DT AUV 1200 UV Cure 8"
Dynachem Film Laminator
Dynachem 1500 B Cut Sheet Laminator
Dynaco D 311 Automatic Doors - 400 AC Volts
Dynamic Micro M 204 DMS Pod Washer
Dynamotion / ATI 204 BB Board Router / Driller
Dynapace Conveyor
Dynapath CNC
Dynapower CHOW 1408164 Power Supply
Dynatex DTX Scribe and Break
Dynatex DXB 525 01 Bonder
Dyno
Dysec MM 818
E&A Automation 28 FE Front End Die Bonder
E&A Automation 2 C FE Front End Die Bonder
E&A Automation Front End Die Bonder
E&A Automation MTR Front End Die Bonder
E&A Automation 2 A FE Front End Die Bonder
E&A Automation 2 A FE Front End Die Bonder
E&A Automation 2 B FE Front End Die Bonder
E&A Automation Front End Wire Bonder
E&A Automation Front End Buffers
E&A Automation Front End Mini Buffer
E&R LM-101 LT Laser Trench
E&R CS-101 A Auto Brush
E&R LM-101 AL Laser Trim
E&R LM-201 LC Laser Cutting
E+K TUZU 11 200 Feeder
E3 Systems Parts Assembly/Clean Hood 6" With General Exhaust
EAM Sensormatic Tagger
Easson ES 8 Geared Head Milling and Drilling
Eatcon PW 3105 700 Surge Protector
Eaton Transformer "- 380x380 V
- 550 KVA"
Eaton UPS 3000 ABC / PCM-1000-1 Pressure Calibrator 0-1000 PSIG
Eaton Tester
Eaton Nova 3206 Implanter 5"
Eaton Wright Workbench
Ebara Electroplate UFP-300 A Wafer Electroplating Tool 12" "- Ni Cu PbSn
- Lead Tin"
Ebara Electroplate UFP-200 / 300 A Wafer Electroplating Tool 12" "- with 3 chemistry capability
- PbSn, Lead Tin
"
Ebara UFP 300 A Auto Plater 12"
Ebara A 10 S Dry Vacuum Pump
Ebara F Rex 450 Chemical Mechanical Polish System (CMP)
Ebara Vacuum Pump 150x40
Ebara ERD 5 M Vacuum Pump "- 40x20
- With Controller"
Ebara ERD 5 M-N Vacuum Pump "- 40x20
- With Controller"
Ebara Uerr 6 M Vacuum Pump "- 50x20
- With Controller"
Ebara Aal 10 Vacuum Pump
Ebara A 10 S Dry Vacuum Pump
Ebara 40 X 20 Pump
Ebara A 10 S Pump
Ebara A 150 W-M Pump
Ebara A 70 W Pump
Ebara A 70 WN Pump
Ebara AA 100 W Pump
Ebara AA 100 WN Pump
Ebara AA 20 Pump
Ebara AA 20 N Pump
Ebara AA 30 H Pump
Ebara AA 40 W Pump
Ebara AA 70 W Pump
Ebara AA 70 WN Pump
Ebara AAL 10 Pump
Ebara AAS 100 WN Pump
Ebara AAS 200 WN Pump
Ebara AAS 70 WN Pump
Ebara EST 100 WN Pump
Ebara EST 200 WN Pump
Ebara EST 300 WN Pump
Ebara A 25 S Dry Pump "- Pumping speed: 3000 l/min
- Ultimate pressure: 4x10-2 mbar
- Water consumption: 3.5 l/min
- N2 consumption: 12 SLM
- Voltage: 200-220V
- Motor power: 3.7 kW
- Weight: 528 lbs
- Inlet/outlet flange: DN 40 KF"
Ebara A 25
Ebara A 70
Ebara SA 70 W
Ebara AA 100 WN Pump
Ebara AA 70 WN Pump
Ebara AAS 100 WN Pump
Ebara AAS 200 WN Pump
Ebara AAS 70 WN Pump
Ebara AAS 200 WN HT Pump
Ebara AA 100 W Pump
Ebara Heat Wrap & Controller
Ebara FREX 200 Chemical Mechanical Planarization (CMP) system 8" "- Bottom configuration for: Slurry; CDA; N2; DIW; raw water; chilled water; wastewater
- Top configuration for: Polish and cleaner exhaust
- Load ports: 4
- Polishing unit:
- Topring: GenII 5 Zone carrier with PEEK plate
- Buff station: Round type 10”
- Waferloss sensor: CCD type
- Dresser: Light Weight Dresser 30-300N
- Table: Stainless steel materials
- End point monitor: TCM: L Side, R Side
- Zone Pressure Sensor Kit
- Top ring rotary joint (new 200mm CMP version: RJ with NO lip seal)
Slurry Supply:
- Slurry line: 1 x Roller pump system, 1 x Tokyo Keiso Flowcontroller / per side
1st Stage Cleaner 1:
- Roll sponge (Upper and lower roll)
- Megasonic
- 6 Waferroller, Hardness Duro90
- 2nd Stage Cleaner
- Pencil Sponge + SRD,
- Megasonic
Software System:
- Front, L Side, R Side Touch Monitors, 15""
- Windows 2000 Professional
Upgrades:
- Interlock and software for fluorescent lamp
- Single side recovery
- Slurry flow and error detection for slurry flow on GUI
- Mixed route
- Head: G 2"
Ebara 4.8 CryoCompressor "With:
- Cryomech CP 830-1831122 He Compressor
- Cryo-Torr Helium Supply/Return Line"
ECA Sinters Microbattery Test Bays - with Voltage Measuring Bays (x2)
ECD 6307 Semi-Aqueous Cleaning System
ECI QL-10 Stand Metrology
ECI Tech ZMQFDSE 2840 FM-008 Quali-Fill Chemical Monitoring System 6"
ECI Tech QFDS 2000 E Chemical Monitoring System
Eckel Anechoic Sound Chamber "dimension W=30""
H=37""
D=37""
The outside dimension
W=56""
D=56""
H=64"" + 3"" isolation feet"
Ecobrisa Evaporative Fan
Ecom J 2 KN Sensors need replacement
Econochill RCU 050 A-21 Chiller 5 Ton
Econocorp Spartan Cartoner
Econoline Sand Blaster
Ecoprogetti Tabber and Stringer 1200 cells / hr
Ecosys Vector Ultra Point of Use Scrubber
Edax EDS Detector "- Liquid N Cooled
- with Operational PC"
Eddy Sys-28 A E-Beam Evaporator
Edgar 1001
Edge H 1 SB 4-12 ISB STD Stamp Press
Edge Technologies Turbo 3-380 Bar Feeder "with Front Steady Rest
- Bar diameter capacity-no bar preparation: 3 mm to 35 mm (.118” to 1.378”)
- Bar diameter capacity-with bar preparation: 3 mm to 38 mm (.118” to 1.500”)
- Maximum bar length: 3800 mm (12 feet 5 inches)
- Minimum bar length: 1000 mm (39.400”)
- Maximum remnant length: 450 mm (17.700”)
- Magazine rack capacity: 11”
- Bar loading cycle time: 24 seconds for 12-foot bar
- Material straightness specification: .007” TIR/foot of material (V blocks, 3 points equidistant)
- Feed force (pusher torque): max 450 N, adjustable
- Forward feed rate: 1000 mm/sec max, adjustable
- Return feed rate: 2000 mm/sec
- Power consumption: 2.0 kW – (2 kVA)
- Operating voltage: 200-460V/60Hz 3-phase
- Control voltage: 24V DC
- Oil capacity: 80 liters (22 gallons)
- Oil viscosity: ISO 150 cST
- Compressed air supply: 6 bar (90 psi)
- Compressed air consumption: approx. 10 liters per loading cycle"
Edgertronic SC 1 Camera "- Highspeed
- Includes lens kit
- 882 Frames/second
- 720P Resolution"
Edgwick Centre Lathe
EDM Hole Popper
EDM Solutions Sparkmaster Plunge Cut
Edwards IQ
Edwards IQDP 80 Pump
Edwards QDP-80 Pump / Blower Package
Edwards EXT 255 H Turbo Pump
Edwards Nepture Abatement for Chemical Vapor Deposition (CVD)
Edwards iXH 4550 HT Pump
Edwards iXL 500 Q Pump
Edwards E 2 M 80 Vacuum Pump 2 Stage
Edwards iXL 120 Dry Pump
Edwards RV 8 Vacuum Pump
Edwards RV 12 Vacuum Pump
Edwards DF 80 MKII Ash Rough Pump
Edwards DF 80 MKII Dry Star Buffer Rough Pump
Edwards DP 80 MKII Dry Star Rough Pump
Edwards DP 80 Vacuum Pump
Edwards E 2 M 80 Vacuum Pump
Edwards EH 250 Booster for Vacuum Pump
Edwards QDP 40 Vacuum Pump
Edwards 40 Vacuum Pump 2 Stage
Edwards RV 3 Vacuum Pump
Edwards Scancoat
Edwards E 2 M 40 Vacuum Pump 2 Stage
Edwards Tempest Wet Scrubber
Edwards M 150 Gas Reactor Column (GRC)
Edwards QMB 250 Blower
Edwards A 52844430 Interface Box
Edwards QDP 40 Pump
Edwards QDP 80 Pump
Edwards IQDP 80 Vacuum Pump
Edwards A 553-22-240 Gas Reactor Column (GRC) "- 208V
- Fitted with temperature management system
- Audible alarm
- Inlet pressure sensor
- Leak test
- Control unit on top of enclosure"
Edwards IQDP 80 Vacuum Pump
Edwards QDP 40
Edwards QDP 80
Edwards IQDP 80
Edwards E 2 M 28 Pump
Edwards E 2 M 30 Pump
Edwards Vacuum Pump
Edwards QDP 40 Mech Pump
Edwards IL 70 Dry Pump
Edwards IQDP 40 Dry Pump
Edwards IQDP 40 Dry Vacuum Pump
Edwards 6 i Screw Vacuum Pump
Edwards QDP 80
Edwards XDS 35
Edwards E 2 M 275 Pump
Edwards E 1 M 275 Pump
Edwards EH 2600 Pump
Edwards Vacuum Pump 2 Stage
Edwards E 1 M 275 Rotary Pump Two Stage
Edwards E 1 M 275 Rotary Vane Single Stage Mechanical Vacuum Pumo Hydro
Edwards 275 Rotary Vane Single Stage Mechanical Vacuum Pump
Edwards Auto 306 Thermal Evaporator - Diffusion "- Diffusion pumped
- Glass cylinder chamber
- Chamber lift assist"
Edwards Auto 306 Turbo & RD LV400 Thermal Evaporator - Turbo "- Single thermal evaporation source Using RD Mathis LV400 capable of 400 Amps.
- Turbo pumped
- FL400 Chamber"
Edwards D 38655000 Active Gauge Controller
Edwards IL 70 Dry Pump
Edwards QDP 40 Mech Pump
Edwards IQDP 40 Dry Pump
Edwards Furnace Chiller 30 Ton
Edwards DP 80 Dry Pump "- Multi Stage
- Includes: Edwards EH 500 Mechanical Booster with Hydrokinetic Drive"
Edwards IQDP 80 + QMB 500 Pump + Blower
Edwards Wide Range Gauge
Edwards EXT 70 H Turbo Molecular High Vacuum Pump
Edwards SP 16 K SpeedValve
Edwards Oil Pump
Edwards 100 L Vacuum Pump
Edwards Gate Valves - Misc Dry Pump Plumbing (25 Cartons)
Edwards GVSP 30 Oil Free Dry Scroll Vacuum Pump
Edwards RV 3 Rotary Vane Vacuum Pump
Edwards TCU 40/80 Chiller
Edwards XDS 10 i Dry Pump
Edwards STP A 3503 C STP Pump
Edwards STP A 1303 CV 2 STP Pump
Edwards Pirani Penning 1005
Edwards Auto 306 NXE 084000 Thermal Evaporator - Works with lift-off metallization process
Edwards 18 Vacuum Pump 2 Stage
Edwards E 2 M 275 Vacuum Pump and Blower
Edwards RV 12 Vacuum Pump
Edwards E 2 M 275 Pump with EH1200 booster
Edwards EXT 75 Turbo Vacuum Pump with controller
Edwards EXT 70 Turbo Vacuum Pump
Edwards EXT 250 Turbo Vacuum Pump with Interface Dampeners
Edwards Zenith P/N: N68075040
Edwards Auto 500 Evaporator "- EB 3 Power Supply
- CTI 8200 Compressor (Air Cooled)"
Edwards QDP 80 Dry Pump
Edwards E 2 M 80 Dry Pump
Edwards iQDP 80 Vacuum Pump
Edwards QMB 1200 booster / QDP 80 Dry Pump Stack
EG & G Princeton Applied Research 1470 A Gas Analyzer - Missing Computer Ribbon & Coax Cables
EG&G Princeton FLS 610 Fiber Optics Pump Laser
EG&G Princeton 5209 Lock-In Amplifier
EG&G Rotron DR 623 AY 72 Roton Blower "- 220/280V
- 50/60 Hz"
EGS Handling Robot
E-H Research Laboratories 137 A Pulse Generator
Eichhorn+Haumann (E+H) MX 203-6 Measurement Tool 6"
Eidschun / ASI TT 24 Etcher
Eidshun Parts Washer
Eidshun Engineering ET 90 T Vertical Unloader 50 Panels
Eightech Tegtron Reflow Oven
EIN 4406 A RF Power Amplifier 35 Watts Linear
EIP 575 Microwave Counter
EIP 575 B Microwave Counter
Eisbar DAS 23 Dehumidifier
Eisco ESR DS 1337 Discharge Tube Power Supply
EIT MicroCure Radiometers, Data Reader
EJ Systems Burn-In Oven
EJ Systems PBC 2 16 Burn-In Oven
Ekra E 5 Solder Paste Printer
Ekra XACT X 4 Screen Printer
Ekra E 5 Stencil Printer
Ekra E 5 Stencil Printer
Ekra Conveyor
Ekra X 4 Printer
Ekra X 5 Screen Printer - Compressed air tubing needs replacement
Ekra X 5 PRO Paste Printer
Ekra X 5
Ekra X 5
Ekra X 4 Printer
Ekra Screen Printer
Ekra Screen Printer
Ekra X 5 Prof Printer
Ekra X 5 Prof Printer
Ekra X 5 Prof Printer
Ekra XL 45
Ekra E 5 HSP Soldering Screenprinter
Ekspla NT 341 Laser
EKT CV 500 Conveyor
EKT CV 500 Conveyor
EKT CVY 08 Transfer Conveyor 1500MM
EKT CVY 07 Transfer Conveyor 2020MM
EKT 330 BSB Aging Chamber
EKT CSA 01 Automatic Copper Sheet Assembler
EKT MUSB 01 Micro USB Terminal Semi-Auto Assembler
EKT USB 01 USB Terminal Semi-Auto Assembler
EKT 330 BSB Wave Soldering Machine
EKT 900 Cable Auto Cutting and Stripping Machine
EKT USB SM 01 Cable / Harness Tester With Jig
El Soft EL 4700 EA 101 Color Test
Elastocon EB 07 Stress Relaxation Test Equipment
Elchrom 2100 E Origins Electrophoresis Gel Box
Elder instrument Shipper Weighing Machine
Electoglas Prober
Electro O-450 L Migration Tester
Electro PowerPacs 1274 ARC Lamp Power Supply
Electro Scientific Industries 5390 Laser Cutter
Electroglas 2001 X Prober 4" & 5" & 6" 110V 20A
Electroglas 3001 X Manual Wafer Prober 8"
Electroglas 4090 Wafer Prober 8" Volts: 115VAC
Electroglas 4090 Wafer Prober 8"
Electroglas SVTR Manual Wafer Prober 8"
Electroglas 2001 CX Prober
Electroglas 2001 CX Prober
Electroglas 2001 CX Prober
Electroglas 2001 X Prober
Electroglas 6000 Prober - The top can accommodate soft dock, conventional dock, and direct dock.
Electroglas 1034 XA 6 Wafer Prober 6"
Electroglas 2001 CXE Prober
Electroglas EG 4090 u Wafer Prober 8"
Electroglas EG 4090 u Wafer Prober 8"
Electroglas EG 4090 u Wafer Prober 8"
Electroglas EG 2001 X Wafer Prober
Electroglas 2001 CX Prober
Electroglas 4090 u "- with Tempronic TPO 3000
- Operating System: DOS
- Probe Card Holder: Circular
- Power Supply: 110VAC
- EGCMD-SP2-7.3.8-0025a
- Chuck Type: Nickel
- Clean Type: Aux Pad
- Monitor: LCD
- Hot Chuck: Tri Temp
- OCR"
Electroglas 4090 u Prober "- Probe Card Holder: Circular
- Power Supply: 230VAC
- Chuck Type: Nickel
- Clean Type: Wafer
- Monitor: LCD
- OCR"
Electroglas 2001 CX PPC Prober 4", 5", 6" "- 115 VAC (220 V transformer can be included if required)
- Hot chuck included
- Microscope, ring light, inker included"
Electroglas 4090 Prober
Electroglas 2080 Prober "- Gold chuck
- Cognix vision module"
Electroglas 4090 u Prober
Electroglas 4090 u Prober "- AVS upgrade
- Software version 9.5.0 SPC2 RC1
- 15 inch elo touch screen monitor
- HP4062 air drive manipulator
- Small cleaning block
- EG OCR
- Temptronics air cool chuck
- eg network capability enabled"
Electroglas 4090 u Prober "- AVS upgrade
- Software version 9.5.0 SPC2 RC1
- 15 inch elo touch screen monitor
- HP4062 air drive manipulator
- Small cleaning block
- EG Ocr
- Temptronics air cool chuck
- eg network capability enabled"
Electroglas 2001 Prober "- Probe card not included
- Issue with automated loading
- Spare transfer belts included"
Electroglas 4090 u
Electroglas EG 4085 Prober
Electroglas 4090 U Prober 4", 5", 6", 8" "WAFER HANDLING
- 1 cassette of 25 or 26 slots
- Sequential
- Programmable access controllable via external I/O
- Auxiliary wafer tray
- Quick single wafer insertion and extraction
- Cross slot wafer detection
- Quick loader station
- Intelligent quick load pipelining
ACCURACY
± 4μm (system accuracy includes X, Y, Ø and Z)**
**definition available upon request
XY POSITIONING
- Travel – 19.80″ (503mm) X, 9.42″ (239mm) Y
- Maximum Speed – 10.0 in/sec (254.0 mm/sec)
- Maximum Acceleration – 1.1G X axis, 0.53G Y axis
- Resolution – 0.01 mils (0.25μm)
- Repeatability – 0.01 mils (0.25μm) CHUCK TOPS
- Standard – ambient probing
- Hot – ambient to 130ºC"
Electroglas 4090 U Prober
Electroglas EG 4090 Prober
Electroglas 4090 u Prober "- EG OCR
- Software version: 7.3.8-0025 a
- 15"" elo touch screen monitor
- HP 4062 air drive manipulator
- Small Cleaning Block
- Temptronics air cool chuck
- EG network capability enabled"
Electroglas 4090 u Prober "- EG OCR
- Software version: 7.3.8-0025 a
- 15"" elo touch screen monitor
- HP 4062 air drive manipulator
- Small Cleaning Block
- Temptronics air cool chuck
- EG network capability enabled"
Electroglas 4090 u Prober 8" "Known Missing Parts:
Vision module
Main power supply
Hard drive
a2 boardIt does have the eprom for the a2 board that matches the stage"
Electroglas 4090 u Prober "- Tool was functional when powered down. Appears to be missing a lot of parts, not possible to inventory
- Missing parts"
Electroglas 4090 u Prober "- Tool was functional when powered down. Appears to be missing a lot of parts, not possible to inventory
- Missing parts"
Electroglas 4090 U+ 6", 8" "- Automatic loading with wafer ID reader (OCR)
- 1 cassette of 25 slots
- +4 um; system accuracy includes X, Y (linear), theta (rotational), and Z (up/down)
- Automatic probe position verification
- Probe-to-pad optimization (PTPO)
- Probe mark inspection (PMI)
- Ink dot inspection (IDI)
- Self teach auto align
- Automatic probe cleaning and continuity pad
- RS232C, TTL (parallel I/O), GPIB (IEEE-488)
- PCI bus ethernet PCB providing 10 MHz and 100 MHz networking speeds
- Windows NT based, modular, object-oriented software system
Non-functional parts:
- Bridge camera
- PDAR board
- Displayer
Missing parts:
- DCM VGA card
- Vision control board"
Electroglas 6000 Prober
Electroglas 4090 u Wafer Prober "- 5"" - 8"" Wafer Chuck with (no specific type or material) - with hot chuck fitted
- EGComander Version 7.3.8 or higher (Fully Licensed)
- Win2k OS
- Flat Panel Touch Screen Monitor
- Standard Ring Carrier, RC-2 OR RC-12
- Standard Ring Insert with Probe Card Holder, RTGR-2
- PZ-7 Z Stage
- APTPA (Automatic Probe to Pad Alignment) and PTPO
- Tester Interface"
Electroglas EG 4090 u Prober
Electroglas EG 2001 Prober
Electrolab TDT 208 L Dissolution Tester
Electrolab Dissolved Oxygen Meter
Electrolab ESDT 3 Suppository Tester
Electrolab EF 2 Friability Apparatus
Electrolab TDT 08 L Dissolution Test Apparatus
Electrolab ED – SAPO Disintegration Test Apparatus
Electroless Plating Line
Electrolite ELC 2541
Electro-Lite ELC-2542 Curing System - Pulsed UV
Electro-Meters Load Module 80A, 80V, 400W
Electronic Measurements TCR 40 S 15-1-0573 Power Supply "105-125VRMS
50-60Hz"
Electronic Visions 1 EVC 01 ABI-PVS
Electronic Visions BAL 4 Bond Aligner
Electronix X 15 LP X-ray
Electrophysics 7290 IR Micron Viewer
Electrophysics 7290 A Micron Viewer
ElectroPhysics
Electroquip V Blender
Electrotech ET 680 Evaporator
Electrotech Plasmafab 508 Plasma Etching
Electrovert Omniflex 10
Electrovert Vectra 450 F Wave Solder
Electrovert Omniflo 10 Reflow Oven
Electrovert Omniflo 10 Reflow Oven
Electrovert Electra "- The pot is currently full of leaded solder (Alpha Metals)
- The fluxer unit from USI is currently not operational"
Elenco XP 581 A - Quad Power - Four Linear Regulated Supplies
Elenco Precision XP 656 Variable Regulated Power Supply
Elenco Precision XP 581 Power Supply
Elenco Precision Quad Power Supply
Eletrovert Vectra 450 F Wave Soldering Machine
Elgar SW 5250 A-1-3-1 Power Supply AC-DC and AC-AC either 1 phase output or 3 phase output power source
Elionix 8900 Field Emission SEM
Elite Cameron EW 600 Slitter Rewinder - 10 hours of operation
Elite Engineers Automated Spacer Line Customized
Elite Engineers S 120054 Epoxy Rollout System
Elite Engineers EERRO-50 ALSS-1 Epoxy Rollout System
Elite Engineers EEH 135 AL 5 S 3 Hot Plate Sealing System "- 230Vac
- 13A"
Elite Engineers EEW Etching Wet Bench System
Elite Engineers EERT 70 ALSS 1 Ring Transfer System "- 230VAC
- 13A"
Elma Transsonic T 700 / H Sonicator
Elma Ultrasonic Automatic Washing Line "6 Tanks:
- (Qty 2) 30 litter tanks with automatic soap dispensers, 35 K Hz or 130 KHz ultra sound and mechanical oscillation of the washing basket
- (Qty 1) 30 litter RO water (soft water) rinse tank with 35 K Hz or 130 KHz ultra sound and mechanical oscillation of the washing basket
- (Qty 1) 30 litter DI water rinse tank 35 K Hz or 130 KHz ultra sound and mechanical oscillation of the washing basket
- (Qty 1) 30 litter DI water rinse tank with slow pull out
- (Qty 1) Warm air drying zone· A separate temperature, filtering (0.2 micron filters fitted) and recycling station for DI water including conductivity measurement and UV light treatment
- The system is fully automatic (easily user programmable with Siemens PLC). Contains a robot for transport of washing baskets between the loading zone and the six stations. Multiple baskets can be in process at the same time.
- Located in a closed cabinet with HEPA downflow mounted over the last three stations. The unit is from 2005 and fully operational. All electrical drawings are available.
- The unit is laid out for 3 x 400 v AC, 25 KVA"
Elsoft EL 4700 EA 530 EM 336 Manual FUT Tester
Elsoft EA 535 Orion Tester
Elsoft Auto Panel Tester
Elsoft Auto Panel Tester
Elsoft Auto Panel Tester
Elsoft Auto Panel Tester
Elsoft Auto Panel Tester
Elsoft Auto Panel Tester
Elsoft Auto Panel Tester
Elsoft Auto Panel Tester
Elsoft Auto Panel Tester
Elsoft Auto Panel Tester
Elsoft Auto Panel Tester
Elsoft AOQC
Elsoft EA 902 Tester
Elsoft EA 106 Auto Panel Tester
Elsoft EA 105 Automated Panel Test Handler
Elumatec DG 79 Double Mitre Saw
Elumatic SB2 130
Em Science C 2000 Coulometric Moisture Titrator Aqua Star
EM Tech SM 61 Laser Mark
E-Machines T 3304 Desktop AMD PC / Controller "- with Viewsoinc P 815 Monitor
- 2GHz"
EMC Cyberclean 3000 32 RF Stencil Wash
EMC Global Technologies Cyberclean 100 WTS Washer
EMC Test Design RFP 04 H 0 Smart Field Meter
EMC Test Design RFP 04 HF Smart Field Meter
Emco 5407 RF Chamber
EMCO 3810/2 LISN 500 Ohm, 50/250 Uh
EMDEP BR 205 Screwing and RFA Station
Emit 50766 ESD Tester
Empire PF 3648 Pro Finish Pressure Cabinet
Emplex MPS 6340 Band Sealer
Enco 11.0-2034 Lathe
Enco DS 20 Disc Sander
Encon N 66 V 1-60 C Evaporator
Enercon Corona Treater
Enercon LM 1279 06
Enercon Industries Corona Treater 60"
Enercon Industries Corona Treater 54"
Enerpac RC 256 Hydraulic Cylinder
Enersys E 13 HL 4 Y Power Supply
Engel CL 250 4550 250 Mac PET Injection Molding Machine
Engel ES 330 / 80 Horizontal Injection "- Electric consumption: 112A
- Min/max mould distance: 120
- Heatingplate: 400 x 370
- Injection 154cc"
Engel ES 10000/750 Injection Molding "- RC90 Robot included
- 750 Ton
- Clamp Stroke: 35.43""
- BarrelCapacity: 259 onces
- Daylight: 23.62""-70.25""
- 4 Aux Hydraulic Circuits"
Engel ES 14000/1200 DK Injection Molding "- RC 90 Robot
- 1200 ton
- Clamp stroke: 47.24""
- Daylight: 31.49""-93.75""
- 4 Aux Hydraulic Circuits"
Engel Elast 430 / 200 VC US Injection Press
Engelberg Sander
Engelke Compress Air Tank 3 M3 < 15 Bar
Engis Hard Polish 2" to 4"
Engis 910 TFN 2 AL Copper Polishing Machine
Engis EJW 400 IFN Chemical Mechanical Planarization (CMP) "- Up to 4"" Diameter Sic and GaN
- 200V, 3Phase, 20A
- 0.4MPa Air Pressure
- Installation and User Manuals Available
Accessories Included:
- Tygon Flexible Tubes for Slurry of several diameters
- CMP Pad Hard Material Ø380mm (x3)
- CMP Final Pad 545N-380 Ø380mm (x9)
- CMP Standard Pad 545N-380 Ø380mm (x5)
- CMP Pad 530N2700-380 Ø380mm (x5)
- DMP Pad (used with diamond slurry)
- Slurry metallic dispensing tube IDØ 1mm
- Slurry metallic dispensing tube IDØ 2mm
- FUJIMI Slurry 0901 Part A Prod.Date 03/09/2017 (12kg)
- FUJIMI Slurry 0902 Part A Prod.Date 10/04/2017 (12kg)
- FUJIMI Slurry 0901 Part B Prod.Date 03/08/2017 (12kg)
- FUJIMI Slurry 0902 Part B Prod.Date 10/05/2017 (12kg)
- Dupont Krytox Performance Lubricants (1kg)
- ENGIS Colloidal Silica Polishing Compound (0.05um) 1 gallon
- Superabrasives TSW-600 SINMAT 4000ml
- CMP Weight Set for wafer chuck
- CMP wafer membranes and 2 inch adapter
- CMP Metallic table
- CMP Conditioner (x2)"
Engis EJW 400 IFN CMP Machine "- Up to 4"" diameter SiC and GaN
- 200V, 3phase, 20A
- 0.4MPa air pressure
- Includes manuals"
Engis Aisa Pacific EJ 460 IFN Pneumatics Station
Engris EKDA 600 Hyprez Double Sided Fine Grinding System
Engris Bore Finishing System
Engris Bore Finishing System
Engris Bore Finishing System
ENI 1140 LA Power Amplifier
ENI DCG 100 DC Plasma Generator
ENI RPG 50 Power Supply
ENI ACG 3 RF Generator
ENI OEM 12 Solid State Power Generator
ENI OEM 6 Solid State Power Generator
ENI Pl-2 Hf-11451-55 Plasmaloc 2-Hf Low Frequency Generator Rev-A (Novellus Tested, P/N 27-00196-00 Rev A)
ENI OEM 12 A-21041-51 RF Generator
ENI OEM 12 L RF Generator
ENI RPG 50
ENI DCG 100
ENI OEM-28 B Plasma Unit
ENI 3100 L RF Amplifier "- 50 dB / 250kHz-105MHz
- This amplifier is working. Last calibration was by Tektronix in October of 2019. Test signal of 1 MHz at -20 dBm was amplified by 54.5 dB (amplifier gain is a nominal 55 bB)"
Enshu ES 450 VMC Mill "- Spindle Speed: 12,000
- Spindle Taper: CAT 40
- Spindle Motor: 20 Hp
- Number of Tools/Turrent Stations: 21 ATC
- Key Option: Controller Fanuc 18i-M"
Enshu JE 80 HMC Mill "- 2 Pallets
- Spindle Speed: 13,000
- Spindle Taper: CAT 40
- Spindle Motor: 25 Hp
- Number of Tools/Turret Stations: 120 ATC
- Controller Fanuc 18i-M"
Enshu JE 60 S HMC Mill "- Spindle Speed: 13,000
- Spindle Taper: CAT 40
- Spindle Motor: 25 Hp
- Number of Tools/Turret Stations: 60 ATC
- Controller Fanuc 18i-M"
Enshu JE 60 S HMC Mill "- Spindle Speed: 13,000
- Spindle Taper: CAT 40
- Spindle Motor: 25 Hp
- Number of Tools/Turret Stations: 60 ATC
- Controller Fanuc 18i-M
- Known Issue: Monitor light is dim, tool changer door needs some repair"
Entec (Engineering Technology Corporation) MTW Material Test Winder
Entegris HCT 8020 SMIF Pod Box Washer 8" Voltage 3 phase: 208VAC 60Hz
Entegris P 1 / P 2 MAC Multi Application Carrier 18"
Entegris P 1 / P 2 FOUP Front Opening Unified Pod 18"
Entegris PGPS 4 HMT Re-Gen Purifier - Hydrogen
Entegris PGPS 4 IMT Re-Gen Purifier - Nitrogen
Entegris PGPS 4 SK Re-Gen Purifier - Ammonia
Entegris EGPS Ammonia Purifier
Entegris 01-026052-13 FOUP 12" - FM4911 Compliant 25 wafer FOUP
Envirco 69514 006 Robot, Rail, and Robot Enclosure
Envirco 69514 006 Fan Filter Units
Envirco Cassette Port Enclosure
Environmental Hydrotech Reverse Osmosis System
Environtronics Mechanical Refrigeration Chambers
Envirotronics Endurance C 340
Envirotronics PVH 324-1-3-WC
Envirotronics E 27-H Burn-In Oven "- 208V
- 1 Phase
- 60Hz
- 25Amp"
Envirotronics ST 8 Environmental Test Chamber
Envirotronics ST 8 Environmental Test Chamber
Envisiontec Ultra 3D Printer
Envron PSV 511 Pressure Cooker
Envron RF 447 IR Oven
Envron RF 447 IR Oven "Includes
- MultiCore SoldaPro calibration kit for Envron
- Software, PC and Monitor"
EO LMC 3200 Wafer Saw
EO Technic LMC 3200 Laser Saw
EO Technics BM 2264 G Laser Back Printer
EO Technics Dust Collector
EO Technics BMC 502 P Laser
EO Technics LMC 3200 C Grooving Machine
EOLT Final Test Machine
Eoplex Phoseon RX Starfire Dual Array Max LED UV Exposure
Eoplex Phoseon RX Starfire Dual Array Max LED UV Exposure
EOS M 290 Metal 3 D printer
EOS M 280 3D Printer Stainless
EOS M 290 3D Printer Ti
EOS Power Sieve
EOS M 290 Printer
EOS M 290 Printer
EOS M 290 Printer
EOS M 290 Printer
Epcon E 10108 300 Electric Fired Oven "TYPE ELECTRIC FIRED OVEN
CLASS B
MAX TEMP 300 DEGREES
HEAT CAPACITY 120 KW
CONTROL PANEL NEMA 12
WORK DIMENSIONS 10' L X 10' W X 8' H
OVERALL DIMENSIONS 15'-4"" L X 13'-2 W X 9'-7"" H
WEIGHT 10,000 LBS
VOLT 480V
FAN DATA - RECUR
CAPACITY 20,000 SCFM
FAN DATA - EXHAUST
CAPACITY 1,500 SCFM
PLC WATLOW F4T CONTROLLER - PURCHASED & INSTALLED NOV 2016"
EPE EP T 600 W Power Cycle
Epoxy & Equipment Technology CFM 1000 Fluid Centrifugal Aerator
Eppendorf 5810 Centrifuge
Eppendorf 5424 Microcentrifuge Refrigerated
Eppendorf 5424 R Microcentrifuge Refrigerated
Eppendorf 5341 Mastercycler epGRadient Thermocycler
Eppendorf 5340 Mastercycler PCR Machine
Eppendorf 6321 Mastercycler PCR Machine
Eppendorf 5418 Centrifuge
Eppendorf Research Plus Pipettors 2.5, 10, 20, 100, 1000
Eppendorf 5810 R Centrifuge
EPRO 142 AX
EPSI Isostatic Cold Press
Epsilon Technology 13-059 Surmordic Coating
Epson Robot
Epson L 655 Printer Defective
Epson NS 6040 Handler
Epson NS 6040 Handler
Epson NS 6040 Handler
Epson Seiko E 2 H 853 S-UL Robot Arm
ERCO 470 Shrinker
ERCO HYSP-1-75 Stretch Form
ErgoFlex MB 450 SP Industrial Manipulator
ERI Automation Robot 8000 Robot for Plate Loading
Erickson 105 ZHH / 2 Big Feeder
Ericson EFC 11 PM Fiber Cleaver
Ericsson FSU 995 FA Fusion Splicer
Ericsson Line Test Automation Conveyor
Ericsson FSU 995 FA Fusion Splicer
Eriez Manufacturing N 4/12 Agitator Magnetic Drum Separator 15" X 12"
Erowa ERS-2044 Robot
Ersa N-Wave 330 Wave Solder Machine