首页 > 产品中心 > 备件清单732
产品中心
备件清单732
型号:1
品牌:进口
应用:工业设备
单价:¥1
产品描述

Ersa    Ecocell    Selective Soldering Machine       
Ersa    Versaflow    Selective Soldering Oven       
Ersa    Power Flow R N 2    Wave Soldering Machine       
Ersa    Hotflow 5    Refusion Oven       
Ersa    Ersascope 2    Inspection System       
Ersa    Hotflow 5           
Ersa    Hotflow 5           
Ersa    N-Wave 400    Wave Soldering Machine       
Erweka    SBT    Suppository Hardness Tester       
Erweka        Leak Test Apparatus       
Erweka    T-109    Hardness Tester       
Erweka        Vacuum Leak Tester for Blisters       
ESAB        Welder Machine       
Escal Technologies    HPS 280    Substrate Machine       
Escal Technologies    HPS 260    Strip Washer       
Escil    ESC 300 GTL    Polisher       
ESD Systems    42721    Static Field Meter       
Esec    3100 Optima    Wire Bonder        QFN Package
Esec    3100 Optima    Wire Bonder        QFN Package
Esec               
Esec    2006 HR/X    Die Bonder       
Esec    3008    Wire Bonder       
Esec    2007 HS    Die Bonder       
Esec    2007 HS    Die Bonder       
Esec    3008    Wirebonder       
Esec    2007 HS    Die Bonder       
Esec    3008    Wire Bonder       
Esec    3088    Wire Bonder       
Esec    2007 HS    Die Bonder       
Esec    E 3006 FX    Gold Ball Wire Bonder       
Esec    3006    F/X Wire bonder       
ESEC    8003    Dicing Saw       
Esec    3088    Automatic Wire Bonder       
ESEC    3088    Ball Wedge Bonder        "- Max Bonding Area: 52x70mm
- Dimensions (mm) 800.5 x 1000 x 1700
- Weight (kg): 520
- 130 Khz"
Esec    2008 XP    Die Bonder        Dispenser is not working
ESEC    2005    Die Bonder        - Operational with lacking parts
ESEC    2005    Die Bonder       
ESEC    SSI Plus    Die Bonder       
ESEC    2008 HS 3 Plus           
ESEC    Tsunami W 3100    Gold Wire Bonder       
ESEC    Tsunami W 3100 Plus    Gold Wire Bonder       
ESEC    D 350 2007 SSI+    Die Bonder       
Esec    2007 HS    Die Attach System       
Esec    2007 HS+    Die Attach System       
Esec    2007 NIL    Die Bonder       
Esec    2008 D 168    Die Bonder       
Esec    2008           
Esec    2008 XP 3    Die Bonder       
Esec    3006    Wire Bonder       
Esec    3006 W 114    Wire Bonder       
Esec    3006 F/X    Wire Bonder       
Esec    3088    Wire Bonder       
Esec    3088    Wire Die Bonder       
Esec    3100    Wire Bonder Machine       
Esec    3100    Wire Bonder       
Esec    3088    Wire Bonder       
Esec    2007 HS    Die Bonder       
Esec        Die Bonder       
ESEC    2007 SSI    Die Attach        "- Wafer ID automatically scanning
- Input handler, Stack Power\ Power Lead frame Loader
- Soft Solder Dispenser SSD Module
- Soft solder indexer, stepping UPH 3.5K/H
- Pick & Place , BH  actual maximum rotation angle of the adhesive head is 270 degrees, and there is 5 degrees automatic calibration"
ESEC    2007 SSI    Die Attach        "- Wafer ID automatically scanning
- Input handler, Stack Power\ Power Lead frame Loader
- Soft Solder Dispenser SSD Module
- Soft solder indexer, stepping UPH 3.5K/H
- Pick & Place, BH  actual maximum rotation angle of the adhesive head is 270 degrees, and there is 5 degrees automatic calibration"
ESEC    2007 SSI    Die Attach        "- Wafer ID automatically scanning
- Input handler, Stack Power\ Power Lead frame Loader
- Soft Solder Dispenser SSD Module
- Soft solder indexer, stepping UPH 3.5K/H
- Pick & Place, BH  actual maximum rotation angle of the adhesive head is 270 degrees, and there is 5 degrees automatic calibration"
ESEC    2009 SSI E    Diebond       
ESEC    2100 DS    Diebond       
ESEC    Micron 2    SMD / Die Handler       
Esec    Micron 2    Bonder       
Esec    2005 HR           
Esec    2007 SSI           
Esec    2008           
ESEC    3088    Wire Bonder       
Esec / Besi    2100 hs    Substrate Bonder       
Esec / Zevatech    CT 2000    Automatic Die Attach       
ESI    9835    Laser        75kHz
ESI    2050           
ESI    2050           
ESI    88    Test System        "Includes:
- With Digital Pdp11/23 Plus
- Qualogy 885-8
- Industrial Pc Iac-C800A
- Esi Power Supplies:
       29248 - 12V
       83561 - +5V
       83562 - +/- 15V
       1 Pallet Of Sot 23 Adapters & Misc Parts"
ESI    8000 D    Laser Processing System       
ESI    8000 C    Laser Processing System       
ESI    9200 HT Plus    Laser Processing System       
ESI    ICP 5650    Laser Drilling System        - Laser needs to be replaced
ESI    5200    Laser       
ESI    5210    Laser uVia Drill       
ESI    4219 R    Laser       
ESI    9350    Laser Repair System       
ESI    9350    Laser Repair System       
Espec    ENU 99-15 CWL    Environmental Chamber        99 cu ft Walk In
Espec    LHU-113    Humidity Chamber       
Espec    EHS-211 M    Highly Accelerated Stress Testing Chamber        "- Power: 200v
- Source: 50/60hz
- Weight: 190kg"
Espec    EHS-221 M    Highly Accelerated Stress Testing Chamber        "- Power: 208v
- Source: 50/60hz
- Weight: 230kg"
Espec    LC 212    Baking Oven       
Espec    LC 223    Baking Oven       
Espec    LC 123    Baking Oven       
Espec    EL 04 KA    Chamber       
Espec    SSETH-Z-062 UF    Chamber       
Espec    SETH-A-040 L    Chamber       
Espec        High Temperature Humidity Chamber       
Espec    HC-120    Fast Temperature Cycle Chamber       
Espec    ETS 04 - 2 SA    Thermal Test Chamber       
Espec    EPL 3 H    Cold Temperature & Humidity Chamber       
Espec    ESL 2 CA    Cold Temperature & Humidity Chamber       
Espec    EPL 2 HW    Environmental Chambers       
Espec    PV 331           
Espec    PV 231    Environmental Chamber, HTOL Chamber       
Espec    PVC 212 M    Cleanroom Temperature Oven       
Espec    PH 202 M    Temperature Chamber        "- 50/60Hz
- 130kg"
Espec        Oven       
Espec    ESX 4 CA    Oven        - Problem with the cooling capacity
Espec    PVC 331 M    Oven       
Espec        Temperature and Humidity Chamber       
Espec        TCT Chamber       
Espec    ESX 4 CA    Environmental Chamber        "- Large Chamber A
- 016049"
Espec    ESC 2 CA    Environmental Chamber        "- Small Chamber C
- Hours: 25,955"
Espec    ESC 2 CA    Environmental Chamber        "- Small Chamber B
- Hours: 24,529"
Espec    LKS 4 B    Environmental Chamber       
Espec    TSA 101 L A    Thermal Shock Chamber        "- Temperature range: 65°C to 200°C
- Utilities required: 220/3/60 60 FLA
- Ramp rate: 5min for 2.5kg, 10min for 5kg"
Espec    ETS 13 3 CA    Thermal Cycle Chamber       
Espec    ETS 13 3 SA    Thermal Cycle Chamber       
Espec    PL 3 KP    Temperature and Humidity Chamber       
Espec    PSL 2 KP    Ultra Low Temperature & Humidity Chamber       
Espec    PSL 2 KP    Ultra Low Temperature & Humidity Chamber       
Espec    PSL 2 KP    Ultra Low Temperature & Humidity Chamber       
Espec    PSL 2 KP    Ultra Low Temperature & Humidity Chamber       
Espec    PSL 2 KP    Ultra Low Temperature & Humidity Chamber       
Espec    PSL 2 KP    Ultra Low Temperature & Humidity Chamber       
Espec    PSL 2 KP    Ultra Low Temperature & Humidity Chamber       
Espec    PSL 2 KP    Ultra Low Temperature & Humidity Chamber       
Espec    PLS 2 KP    Ultra Low Temperature & Humidity Chamber       
Espec    FTP 4 KP T           
Espec    PHH 402 M    Temperature Chamber        "- 45 to 300°C
- 110 to 572°F
- 1000 L (35.3 cu. ft.)
- Stainless steel interior
- LED display
- Two-step programmability with functions for automatic start, stop, ramp, and repeat
- Visual and audible alarm with diagnostic fault code
- Paperless recorder
- Specimen power supply control terminal: turns specimen off if chamber faults
- Four-tiered safety system for overheat conditions
- Two shelves included standard, rated for 40 lbs. or more
- Exhaust damper for ventilating oven and fast cool-down"
Espec    PLA 3 GP           
Espec    EPL 2 H            208/230V; 3Ø; 31A
Espec    ESU-4 CW    Chamber        "- 208VAC, 3PH, 60Hz
- Full Load Amps – 31"
Essar Engineering        Pressure Vessel       
Essar Engineering        Mixing Vessel       
Essemtec    RO 300 FC    Three Stage Reflow Oven       
Essemtec    CSM 7000    Automatic Pick and Place       
Essemtec        Printer       
Essemtec    SP 500    Screen Printer        - 110V
Essemtec    SP 150 SV Plus    Printer       
Essemtec    FLX 2011 LV    Pick and Place       
Essemtec Fino    F 230084    Screen Printer       
ESUN        Scribe       
E-Sun        Pod Cleaner       
Etan    D 5    Hotstamping Carrier       
ETC    8 XX    Test Automatic Test Set       
E-Tek    FCPW 2000    Fiber Coupler Production Workstation        With MSLS 1000 Multi Channel Stabilized Laser Source (2)
Etel    DSB 2-152    Control / Module       
Etel    DSB 2-132    Control / Module       
Etel        Controllers for AKT Physical Vapor Deposition (PVD) system        "There are 5 Etel modules total:
3 of the DSB2-152 that when we originally purchased our spare from Amat were 21,492.43 each
2 of the DSB2-132 that when we originally purchased our spare from Amat were 17,266.39 each,
So we have potentially 100 K in controllers.
Then the rack with the power supply, and a big, heavy transformer."
ETQ    DG 4 LE / DG 6 LE    Generator       
Eubanics    9800.01    Cable Cutting Machine       
Eubanks    7400-01           
Eubanks        Wire Cutter Stripper       
Euclid Coating Systems (ECS)        Gravure Coating Machine       
Eunil        Bare Board Loader       
Eunil        Printed Circuit Board (PCB) Stackers       
Eunil        Conveyors        - Lot of Conveyors
Eunil    ECC 600    Conveyor       
Eunil    EUD 500    Feeder Conveyor       
Eunil    WT 100    Conveyor       
Eureka    UKA 450    Wafer Transfer       
Euro Clone    isos@fe 1.2           
Euroklimat    IPE 15           
Euromac    AV 220 / 6    Corner Notcher       
Euroman    75 T    Press       
Euromatic    370 PP    Dake Automatic Cold Saw       
Europe Industrie Service        Cassette Rocker System       
Europlacer    Finesse            "With:
- 10 trolleys (trolley can hold 32 8mm feeders)
Feeders:
- 146  8mm
- 31  16mm
- 12  24mm
- 5  32mm
- 1x Belt feeder
- 1x Stick feeder"
Eurotherm        Temperature Controller       
Evapco    LRW    Cooling Towers       
Evatec    BAP 801    E-Beam Evaporator        "- Plasma source missing
- Cryo Pumped
Power Supply:
- Triode Tube
- High Voltage Min: 6kV
- High Voltage Max: 10kV
- No. of Guns Controlled: 2
- Electron Source Type: Four Pocket
- Gas Inputs: 2
- Film Thickness Monitor
- Residual Gas Analyzer"
Evatec    BAP 801    Evaporation Tool        "- Vacuum System: Cryo Pumped
- Power Supply Type: Triode Tube
- High Voltage Min/Max: 6kV/10kV
- Electron Source Type: Four Pocket
- 2 guns
- Gas Inputs: 2
- Film Thickness Monitor
- Residual Gas Analyzer
- With Polycold  PFC 672HC, EHV215, 2xEFS, 2xECS, Cryo Compressor, Plasma Rack, Water Distribution, Khan Software"
Evatec / Balzers    BAK 1401    Evaporator    6"    "- Right Hand Open System
- (QTY: 2) Six pocket E-Guns
- 2 sources
- Holds Approx 48 6"" wafers
- QCM and Coptical In-Situ thickness monitoring system
- Paramount 6013 6kW RF Gen
- Gases:  O2, HPN, Argon, N2, and one open
Pumping System:
- CTI Cryogenics on board system and Edwards Turbo, and 2 Kashiama 602 dry pumps"
Evatec / Oerlikon    Starline 300    Backside Metal Tool    12"   
Evatec Process Systems    6009    Sputter System       
Evatech    15040 R    IR / UV / CP PVI       
Evatech    SR 8102 0029 CAV           
Everett Industries    12 MA    Saw       
Everite    UG 824    CNC Electrochemical Surface Grinder       
Everite    UG 824    Electrochemical Surface Grinder Featuring Fanuc Series 0iMC CNC Controller        "Large 8"" x 24"" work envelope
Stationary table-easily adapts to robotic automation
Linear guide ways allow for higher speeds with greater positioning accuracy
10"" HMI and barcode scanner for program loading via custom barcodes
Centrifugal Filtration System
Point Grinding Fixture"
Evermed Medical    BioGen    Upright Lab Combo Fridge        - 3 Door
Evermed Medical    BioGen    Upright Lab Combo Fridge        - 2 Compartments
Evertech    LS 300 DE    Automatic Strip Laser Marking       
Evertech    LS 338 DE    Laser Marking System       
Evey    SD 2500    Pump       
EVG    101            "-Fully automated, but requires manual loading.
-Autoclean capabilities
-Up to 6"" wafers
-uL resist pump
-EBR dispenser
-Full teflon body"
EVG    520    Bonder       
EVG    AL 6-2 RG           
EVG    850 DB / 200    Wafer Debonder    6"   
EVG    620    Contact Aligner        "- The tool has backside alignment and it has the bonding alignment feature.
- The lamp is a 350 W mercury ARC lamp.
- Operating System is Windows 98
- The exposure area is capable of up to 6 inch wafers. The chuck we have is for 4 inch and the mask holder is for 5 inch. That is the only chuck/holder combination "
EVG    501    Bonder        - 4" and 6" Tooling
EVG    150            "- 2x Coat / 1x Dev
- 4 HP / 2 CP"
EVG    850 TB / 200        6"   
EVG    640           
EVG    Gemini    Production Wafer Bonder    Up to 8"   
EVG    420    Mask Aligner    6"   
EVG    620    Mask Aligner       
EVG    520    Hot Embosser        - Set up for both 4" and 6"
EVG    120    Spray Coater    6"    "- 2 bays available, 1st bay configured spray coating.  2nd bay plumbed to accept develop module as field install.- - Robotic wafer handling
- EVG CIM framework software
- Cassette load station with material mapping unit
- X/Y programmable Omni spray coat module
- Spray coating atomizer
- Spinner chuck
- Syringe dispense system
- Spray coat resist dispense system
- Programmable exhaust
- (1) Bake plate
- (1) Chill plate
- (1) HMDS bake plate
- Chemistry cabinet for up to (2) chemicals
- Vapor prime supply unit"
EVG    Gemini 200    Wafer Bonder        With Second Bond Chamber
EVG    IQA 0047    Aligner        "- Semi-Auto
- Double Side
- With Power Supply"
EVG    IQA 0048    Aligner        "- Semi-Auto
- Double Side"
EVG    IQA 0049    Alignment System for Wafer Stacking        "- Semi-Auto Arm
- Semi-Auto Stage Flip"
EVG    640    Mask Aligner        - Backside and front side alignment
EVG    IQA 0045    Mask Aligner        "- Semi Auto
- Double Side"
EVG    IQ    Mask Aligner        Semi-Auto Double Side
EVG    640    Precision Alignment System        "- 3 Phases
- 220V
- 15 Amps
- 50Hz"
EVG        Spray Coater    6", 8"    "- Spin coating chamber (x1)
- Spray coating chamber (x4)
- Nano spray coating chamber (x1)
- Bake (x7) / Chill (x3)"
EVG    150 N    Automated NanoSpray Coating System        "- Coating chamber (x2)
- Bake (x4) / Chill Plate (x2)"
EVG    301    Single Wafer Cleaning System       
EVG    420    Mask Aligner        "- Requires scheduled maintenance
- Lamp needs to be replaced"
EVG    620    Mask Aligner       
EVG        Debonder       
EVG    420    Aligner        "- Standard Photolithography
- Double Side
- Wafer to Wafer
- Shadow Mask (modified)"
EVG    EV 501 S    Bonder        "- Anodic
- Pre-Fusion
- Glass Frit
- Thermal Compression
- Both Ambient and Vacuum Bonding Capabilities"
Evirontronics    EV 92-1-30    ESS Chamber - Water Cooling       
Evirontronics    EH 64-2-3    ESS Chamber - Air Cooling with Liquid Nitrogen Capable       
Evirotronics    EV 70 L N 2    ESS Chamber - Liquid Nitrogen Cooling       
Evirotronics    WPH 440-2-15    ESS Chamber - Water Cooling       
Ewald    Series 10    Welding Machine       
Ewamatic    106    Multi Axis Grinder       
Exact    605    Waveform Generator       
Exakt    80 E    Rolls Miller       
Exakt    80 E    Rolls Miller       
Exatron    5000 SMD    Laser Mark System       
Exatron    5000    SMD Laser Mark System       
Exatron    3000 B    LCC Machine       
Exatron    900 Series    CSP Machine       
Exatron    3000 B    LCC machine        with High Temp
Exatron    3000 BASE    Handler       
Exatron    Fast Track 8000    Handler       
Exatron    11000 / 120           
Exatron    11000-240    D/S Tester       
Exatron    11000 240    O/S Tester       
Exatron    11000            240 Pin
Exatron    902    Linear Pick & Place        "With:
- Dual Vision Inspection System
- Gamma Scientific 0.5 Meter Optical Integrating Sphere and Spectrometer
- Manual available upon request"
Excel    661 UC           
Excello        Mill        Style 602
Ex-Cell-O        Polisher       
Excellon    2000    Drill       
Excellon    2000    Drill       
Excellon    2000    Drill       
Excellon    EX 200    Drill / Route       
Excellon    EX 110    Drill / Route       
Excellon        Scope Drill       
Excellon    Mark VI    Driller       
Excellon    Mark VI    Router       
Excellon    XL 3    Driller Router       
Excellon    424 R    Routing Machine       
Excellon    Mark VI    Drilling Machine       
Excellon    Mark IV    Drill / Router        - 4 head
Excellon Automation               
Ex-cello-o    602    Ram Turret Milling Machine        "- Table: 46"" x 9""
- RPM: 85-4000 variable speed head
- Motor: 230/460
- Wiring: 3 phase, 220"
Excelta    MB 1    Mini Beeper       
EXFO    FTB 500 OTDR    Mainframe        - Boot failure
EXFO    EXFO FTB-7400 E-0234 B-E-CWCL    OTDR Module        - 1310nm, 1550nm, 1625nm
EXFO    FTB 500    OTDR Mainframe        -Boot Failure
EXFO    FTB-7400 E-0234 B-E-CWCL    OTDR Module        - 1550nm, 1625nm
Exfo        Wavelength Reference Absorption Cell       
Exfo    FVA 3100    Variable Attenuator       
Exfo    IQ 203    Optical Test Machine       
Exfo    IQ 206    Expansion Unit       
Exfo    Novacure    Radio Meter       
Exfo    FVA 3100    Variable Attenuator       
Exfo    FVA 80    Optical Variable Attenuator       
Exicon        Test Systems        "Lot of 3:
- Model EX 7100 (qty: 1)
- Model EX 8100 (qty: 2)"
Exide    3000    Battery Charger       
Existech        Detaper       
Exitech    MS 13    EUV Micro Exposure Tool       
Exitech    M 2000 E    Excimer Laser Micromachining System       
Expert Steel Fabricators    M 304    Round Hopper       
Expert Steel Fabricators    M 403    Round Hopper       
Expert Steel Fabricators    M 354    Round Hopper       
Explorer Ohaus        Weighing Scale       
Exsaf    T 0 N 90 B with ES 2000    Flammable Gas Alarm Device       
Exsaf    T 0 N 90 B with ES 2001    Flammable Gas Alarm Device       
Exsaf    T 0 N 90 B with ES 2002    Flammable Gas Alarm Device       
Exsaf    T 0 N 90 B with ES 2003    Flammable Gas Alarm Device       
Exsaf    EP 200-1    Portable Gas Detector       
Exsaf    EP 200-1    Portable Gas Detector       
Extech    SDL 800    Vibration Meter        - with probes
Extech    DP 1001    Temperature Scanner       
Extech    Multitec 320    Multimeter       
Extech Electronics    7440    IV Tester / Electrical Safety Compliance Analyzer       
Extraction Sys    ESI 0008902    TMB 150 Amine Monitoring       
EZ Router    Scorpion    3-Axis Table Router       
F 1 J 3260 B        DC Power Supply        FIXED, 80A,80V,400W
F Lli Ferrari    ART 561 N 1 A L 6 L 60    Exhaust Fan       
F&K    G 5    Wire Bonder        "- Dual Head
- Single Frame
- Qty: 3"
F&K Delvotec    6200    Bonder       
F&K Delvotec    5600 C    Auto Wire Pull/Sheer Tester       
F&K Delvotec    G 5 64000    Wire Bonder       
F&K Delvotec    5432    Wire Bonder       
F&S Bondtec    5810    Ball Bonder       
F.D. Hurks    24 D    Micro-Vu       
FA Systems Automation    TMD 27711    Test Handler       
FA Systems Automation    FA-CM 559    Kapton Taping T&R Machine       
FA Systems Automation    FA-CM 560    Kapton Taping T&R Machine       
FA Systems Automation    FA-CM 568    Kapton Taping T&R Machine       
FA Systems Automation    FA-CM 567    Kapton Taping T&R Machine       
FA Systems Automation        Spot Ionizer at Punch Module        with Mount Bracket
FA Systems Automation    CM    Kapton Taping NT&R Machine        "- 1 Phase
- 20A
- 50/60Hz"
Fabco Air    HPS 100 26    Pancake Cylinders       
Fabco Air    HPS 100 29    Pancake Cylinder       
Fabco-Air    030           
Fabtech Services        Gas Cabinet       
Fadal    EMC    Vertical Machining Center        "- 3 Phase
- 240Vac"
Fadal    VMC 3016 FX    CNC       
Fadal    VMC 4020 HI    MC       
Fadal    VMC 4020 B II           
Fadal    4020           
Fadal    5020           
Fadal    6030           
Fala Technology    F 30222 RTT    Reticle Handling System       
Famar    A 315 15/7    Importer Carell        4.9' x 1/4" Capacity
Famar    A 39 13/2.5    Importer Carell        4' x 12 Gauge
Famco        Punch Press       
faMS    FusionAid M 100    Metalorganic Chemical Vapor Deposition (MOCVD)    Up to 6"    "- MOCVD/ALD designed to deposit titanium or tantalum nitride
- 3 gas lines; Ar, O2, N2"
Fancort    F-1 B/3 A    Lead Former       
Fancort        Hydraulic Press       
Fanen    Springer 320 E    Baking       
Fanuc    M-16 i B 20    Robot       
Fanuc    M-16 i L    Robot       
Fanuc        Motors       
Fanuc    Mate 100 i    Robot       
Fanuc        Robot        - Includes end of arm tooling, riser
Fanuc    A 05 B 2490 C 175    Robot Pendant       
Fanuc    A 660 2005 T 137 L 22 R 03 A    Cable for Robot Pendant        22 meters
Fanuc    S-420 i    Robot       
Fanuc    M 10    Robot       
Fanuc    M 20 iA    Robot       
Fanuc    Robodrill A-T 21 iD    CNC Machine       
Fanuc    A 05 B 2255 C 12    Robot Pendant       
Fanuc    A 05 B 2600 K 162    Robot Pendant       
Fanuc    A 05 B 2490 C 175    Robot Pendant       
Fanuc    A 05 B 2500 K 162    Robot Pendant Cable        20 meters
Fanuc America    A 290-7329-T 515    ISO Flange Robot        Wrist Assembly
Fanuc America    A 290-7329-T 501    ISO Flange Robot        Wrist Assembly
Fanuc America    A 06 B-6107-H 002    Servo Amplifier        - 6 Channel
Fanuc America    XGMF-22913 / A 97 L-0218-0877    Robot       
Fanuc America    ISR 30 / 3000    Robot       
Fanuc America    22 / 30001    Robot       
Fanuc America    XGMF-21502A 05 B-2518-H 200 #EMH    Robot       
Fanuc America    GBJ 3-M 710 I-RMH    Robot       
Fanuc America    R 2000 IA/ 165 F    Robot       
Fanuc Robotics    6 AX    Servo Amp       
Fanuc Robotics    A 06 B-0267-B 6605    Robot       
Fanuc Robotics    A 290-7124-T 501    Robot       
Fanuc Robotics    XGMF-11094    Robot       
Fanuc Robotics    XGMF-11096    Robot       
Fanuc Robotics    XGMF-11097    Robot       
Fanuc Robotics    XGMF-11098    Robot       
Faraday        Enclosure        12'L x 8' H x 8' D, 7' Door hatch, Copper mesh
Farley        Plasma Table        "- 40"" x 20""
- Air duct system"
Farley Laserlab    Trident    Plasma Cutting CNC        "- Plasma, Oxy and Drilling Options
- PDFTS Control
- Up to 20m/min Profiling Speed
- +/- 0.3mm Accuracy
- +/- 0.15mm Repeatability
- 3m to 70mm Cutting Lengths
- 2.5m, 3.6m to 6m Cutting Widths
- 1 to 250mm Material Thickness
BT40 Drill:
- Automatic Tool Changer
- Drilling Capacity to 40mm Diameter
- 75mm Max Plate Thickness
- Tapping Function"
Farnham    1258 E    Roll Form        12'
Faro        Laser Line Probe       
Faro        Gauge       
Farr        Airflow Hood        110V
Fasco        Motor        "- 115V
- 60/50Hz
- 1 Phase"
Faxitron    43855 A    X-Ray        "- Single-cabinet X-ray system
- 10-110kV output voltage
- 3mA continued current
- 25 mil BE-WINDOW X-ray tube
- Sheilded cabinet
- Interlocks
- 25 inches focal distance
- 117V - 60 HZ operation"
Faxitron X-ray    CS 100 AC    Circuit Scan Industrial X-ray Inspection System        "- With:
- XY Table
- Motion Auto Manipulator
- Sample Motion Toggle
- X-Ray Control Interlock
- FOV Zoom Camera
- Focus Detector
- Red Warning Light
- (2) Keys for Power On/Off Switch"
FDU    408    Link Bench Test        - with MTB
FEASA        LED Analyzer       
FEC    Scan 16    Fixture       
FEC        Tester       
FEC    100    Tester       
Fedegari    XFSW 7/Q 0 E 2    Steam Washer       
Fedegari    F 0 F 2 / C    Autoclave       
Fedegari Auto        Autoclave SpA, Terminal Sterilizer       
Fedegari Autoclavi SPA    XFSW 7 / Q 0 E 2    Steam Washer        "NOMINAL DIMENSIONS OF INLET (W x H) 1200 x 1200 mm
CHAMBER DEPTH 1200 mm
DOOR TYPE Vertical sliding door system
VOLTAGE 400 V 3PHASE
FREQUENCY 50 Hz"
Federal    350    Vibratone Horn       
Federal        60 Ton Press        "- 23 SPM
- Shuthheight to bolster: Max 11""
- Ram adjust: 2 1/2""
- Ram stroke: 8""
- Bolster L-R: 32""
- Bolster F-B: 21"""
Federal Pacific    36 B    Electric Transformer       
Feedmatic        Vacuum Sealer    8"   
FEI    VectraVision    Circuit Edit    12"   
FEI    Micrion Vecta 986    Circuit Edit Focused Ion Beam (FIB)        "- Upgraded with latest 40kv version of the Next Gen Ga+ ion column and the WDR (working distance reduction) collar & mezzanine changes 2013
- Original beam scan / detector system replaced with IET (Image Engine Transplant) 2009.
- Imaging & scan supplemented by Fibics, Inc. FIB-ASSIST (blue box) 2009.
- Unix operating system / computer replaced with latest version of Microsurgery for Linux (now PC based).
- Upgraded Hamamatsu / Olympus InGaAs IR imaging system 2004.
*WARNING* The ITAR restricted camera cannot ship outside of the United States!"
FEI    Tecnai 20 S-TWIN    Transmission Electron Microscope (TEM)        "Equipped with:
 -Super TWIN lens
 -1K Gatan Multiscan CCD camera (Mod. 794)
 -EDAX EDX-system (SiLi detector ultrathin window 133eV resolution)
- 5-axis motorized stage
- Single tilt holder
- No STEM
- Point resolution 0,24nm
- Line resolution 0,14nm"
FEI    CLM-3 D           
FEI    1265    Dual Beam Focused Ion Beam (FIB)    12"    "- Electron beam image resolution: 3nm-5nm, 1-30kV beam voltage
- Ion beam resolution: 5nm-7nm, 30kV beam voltage
- Full digital control through Windows environment
- Stage Accuracy: 1.5 µm over 300mm
- FE-SEM with through-the-lens detection
- 1 Load Lock - allows loading of the following: a 200mm wafer, a 300mm wafer, and a 200mm multi-stub holder (for single die, and other small die samples)
- GISs - allow for PD (Platinum Deposition), IEE (Insulator Enhanced Etch) and ID (Insulator Deposition)
- EDX Analysis - on defects (down to 0.2 microns in size)
     *This facility requires LN2, the EDX is supplied/serviced by Oxford Instruments.
- No Load Ports
- SECS/GEM Capability
"
FEI    Vectra+    Focused Ion Beam (FIB)       
FEI    XL ESEM TMP    Scanning Electron Microscope (SEM)        "- Operating System: Windows NT 4.00.1381
- Software: Microsoft Control v6.0
- Software Imaging System (SIS): XL Docu v3.1"
FEI    Tecnai G 2 T 12    Transmission Electron Microscope (TEM)        "- Windows XP Operating System with the Tecnai User Interface (TUI) software + the FEI scripting interface installed. The camera Computer is also a PC that controls the microscope/camera via SerialEM  
- TUI software version: 4.5.1 build 8403
- 120kV
- Fitted with cryo-box
- Twin lens system
- Comes with 1 standard holder
- Currently fitted with a LC1100 4k x 4k CCD camera from Direct Electron
- Includes roughing pump
- Does not include chiller"
FEI    Quanta 200 FEG    Scanning Electron Microscope (SEM)        "- Neslab TF 900 Chiller
- XDS 10 Pre-Vacuum Pump (x2)
- HWL Active Vibration Isolation System
- EDAX EBSD Detector (non-functioning)"
FEI    Tecnai F 30    TEM       
FEI    DA 300    Dual Beam Microscope       
FEI    DA 300    Dual Beam Microscope       
FEI    XL 835    Dual Beam Microscope       
FEI    XL 30    SEM       
FEI    Quanta    3D FEG       
FEI    CLM    TEM Preparation Tool        - w/ Chiller
FEI    Tecnai F 30 FEG    TEM        "- EDX Edax
- Gagan Ultrascan 4k x 4k CCD (Model US4000)
- STEM HAADF
- Super Twin Lens
- High Voltage (300 kV)
- Emitter: Schottky
- HRTEM (spatial resolution: 0.18 nm)
- STEM (spatial resolution: 0.20 nm)
- Single tilt holder
- Normal double tilt holder
- Low background double tilt holder
- Nanoindenter and STM holder"
FEI    Quanta 3 D            Includes: Filament Quanta ESEM column and FEI Magnum FIB Column
FEI    Verios 460 L    Field-Emission Scanning Electron Microscope (FESEM)        - Damaged Z axis
FEI    Helios 400           
FEI / Micrion    M 9500    Focused Ion Beam (FIB)    8"    "- Aperture strip recently replaced.
- Tungsten for metal deposition.
- Chlorine for metal etch (aluminum).
- XeF2 for insulator/silicon etch.
- TMCTS and O2 for insulator depositions."
FEI Micrion    2500    FIB       
FeinFocus Rontgen System    FXS    X-ray Inspection System       
FEL    V 150-1    Bellows       
FEL    V 100    Lift Motor        With Brake
Fellows        Tooth Index Measurer       
Fellows    12 H    Lead Measuring Instrument       
Fellows        Grinder       
Fellows        Lead Measuring Instrument       
Femco    BMC 110 R 2    Boring and Milling Machine        "-35 HP Horizontal Boring & Milling Machine With Opt Manual Angle & Universal Milling Heads and 4.33"" Diameter Spindle
-4 Axes
-Single Column
- CNC
-CNC Brand: Fanuc 18M-B
-Max. Workpiece Weight: 13,900 lbs
-Primary Tool Carrier : ATC
-Number of tools: 32"
Ferrotec        Electron Beam Bun Power Supplies       
Ferrotec    50-103361 J    Ferro-Fluidic Feedthrough       
Ferrotec    51-133198 A    Ferro-Fluidic Feedthrough       
Festo        Flat Panel Display glass substrate transfer robot        - Gen 4.5 glass plate transfer
FET    3401 E    Tester       
FET    3602 E    DC Tester       
Fet Test    9460 Multiplexer           
Fet Test    9405    Digital Volt Meter (DVM)       
Fet Test    RS 12    Capacitance Station        With Boonton Capacitance Meter
FHR    1100 V 7    In Line Sputtering       
FHR    1100 V BM    In Line Sputtering       
Fiber Control Industries    PS 700    Polarization State Scrambler       
Fiber Control Industries    RCPS 600 B    Resonant-Coil Polarization Scrambler       
Fiber Labs    AMP FL 8611 OB 20    Fiber Optic Amplifier       
Fibre Extrusion Technologies (FET)        Extruder       
Fichet        Safe       
Fico    MMS-12 M           
Fico    AMS-W 40-306    Molding       
Fico    AMS-36-M 2    Auto Mold       
Fico    Mold Code 1350 1538    Mold Tool       
Fico        Mold Tool       
Fico    1112    Mold Tool       
Fico    MMS-12-M    Manual Moulding       
Fico    M 1    Molding Machine       
Fico        Mold System for FBGA 62x233mm Substrate Outline Refere       
FICO    MISS II    Saw Singulation        "- Edge 58um
- Blade: 3"""
Fico    MMS 12 M    Mechanical Molding System       
Fico Netherlands    AMS 24    Mold System        "- Width 64.961  in  (165.0 cm)
- Depth 94.488  in  (240.0 cm)
- Height 84.646  in  (215.0 cm)
- Weight 8,818  lb  (4,000 kg)"
Fico Netherlands    Fico    Mold Die    6" Min    "- 50 Hz
- 3 Phase"
Ficontec    FL 300-OTP 3    Alignment System       
Ficontec    FL 300 OTP 3    Alignment System       
Fielder    PMA 400 EX    Granulator       
Fielder    PMA 400 Ex    Granulator       
Filter Specialist Inc.    FSPZ - 1506    Stainless Steel Filtration Unit       
Filter Specialist, Inc.    FSPZ - 3500    Stainless Steel Filtration Unit       
Finesun        Laminate Machine       
Finetech        Flip Chip Bonder       
Finish Thompson    ATEX DB 11 P-E-M 209    Vacuum Pump        "- With WEG Electric Motor
- 3PH
- 3430RPM
- 60HZ
- 208V"
Finmac    BT 6600 LP           
Finn-Aqua    1000-SS-1    Steam Plant       
Finnigan    Mat 262 TIMS    Mass Spectrometer       
FirstNano / CVD    EasyTube ET 6000    Atmospheric System    6"    "- Tube # 1 – Atmospheric Wet or Dry Oxidation using Nitrogen,
Oxygen, Hydrogen and a Trans-LC Bubbler at temperatures
up to 1200° Celsius
- Tube # 2 – LPCVD of Silicon Nitride using Nitrogen, Ammonia
and Dichlorosilane at temperatures up to 850º Celsius
- Tube # 3 – LPCVD of Silicon Dioxide using Nitrogen, Oxygen
and a TEOS Bubbler at temperatures up to 800º Celsius
- Tube # 4 – LPCVD of Polysilicon using Nitrogen and 2%
Silane at temperatures up to 650º Celsius
System Features
- Through-the-Wall Sleeve Assembly
- Process up to twenty five (25) - 150mm or 100mm diameter
wafers in each tube
- Microprocessor Control System
- Mass Flow Controlled Gas System
- Low Pressure Vacuum Control System for Tubes 2, 3 and 4
- Trans-LC Liquid Source Vapor Delivery System for Tube # 1
- TEOS Liquid Source Vapor Delivery System for Tube # 3
- Standby State for LPCVD Tubes – Allows LPCVD tubes to
be kept at low temperature and to minimize Nitrogen usage
- Laminar Flow HEPA filtered Loading Station
- Cantilevered Loading – no particulate generation
- Comprehensive Safety System
- SEMI S2, S8 and CE Compliant"
FirstNano / CVD        Horizontal Diffusion Furnace        "- Triple-Stack
- Ambient High temperature (oxidation, boron diffusion)
- Automated Wafer Loading System"
Fischer    GF 6    Tube Cut Off Saw       
Fischerscope    XDL 210    X-Ray Fluorescence Spectrometer       
Fischione        Plasma Cleaner       
Fischione    IBT 1010    Ion Mill       
Fischione Instruments    1030    Automated Sample Prep System       
Fisher    418 F    Baking Oven       
Fisher        Isotemp       
Fisher        Chiller       
Fisher    Scientific           
Fisher    281    Isotemp Vacuum Oven       
Fisher    77    Slide Warmer       
Fisher Scientific    FED 720    Oven    8"   
Fisher Scientific    910    Mobile Temperature Controller Unit       
Fisher Scientific        Isotemp       
Fisher Scientific        Dry Bath Incubator       
Fisher Scientific    05-090-128    Centrifuge       
Fisher Scientific        Lab Dishwasher       
Fisher Scientific        Jumbo Magnetic Stirrer       
Fisnar    F 7900    Robot Glue Dispensers       
Fisnar        Dispenser Robot       
Fitel    S 122 M 12    Fusion Splicer       
Fitel Cleaver    S 321    Optical Fiber       
Fitzmill        Comminutor Mill       
Fitzpatrick    D 6 B    Milling Machine       
FKV    Milestone    Oven       
FlacTek    DAC 800 FVZ K    Speed Mixer       
FlacTek    DAC 800 FVZ    Speed Mixer       
Flex Link    F 1500    Shuttle Gate Conveyor       
Flex Products        OVD Viewer       
FlexiClean        Baghouse from Rubber Lab       
Flexicon    FP 50    Tabletop Filling and Stoppering Machine       
Flexicon               
Flexifab        Resist Coater    8"   
Flexifab        Developer    8"   
Flexifab        Resist Coater    6"   
Flexifab        Various Coater-Developer Spare Cabinets and Parts       
FlexLink        Destacker       
Flexstar    F 60 B    Chamber       
Flexstar    F 20 E    SAS/SATA Environmental Chamber        - 64 Slot 12 G
Flextek        Flat Belt Conveyor       
Flextek        Wave Flat Belt Conveyor       
Flextek        Board Handling       
Fliesen Productions        Production Line for Tiles        "- Production performance approx. 4,500 qm/d
- Production capacity approx. 4,500 qm/d"
Flip Top        Film Exposing Unit       
FLIR    SC 6700    MWIR INSB Infared Camera       
Flir    E 50    Thermal Imager       
Flir    A 325    Infrared Camera       
Flojet    0284000    Pump       
Flojet    2000-871-115    Pump        "- 115V
- 50/60Hz
- 0.5Amp"
Florod    LFA    Laser Cutter       
Florod    LMT    Laser Mask Trimmer       
Flourcarbon    Megasonic            110V
Flourish    FL-802 S    Components Preform Machine       
Flourish    FL-802 S    Components Preform Machine       
Flow    20 XD-55    Waterjet Machine       
Flow    Paser ECL Plus    Waterjet Machine        "4' x 8' table with a 50 HP Hyplex Pump
Machine Hours: 24,984.7"
Flow Autoclave Systems        Isp Press       
Flow Sciences    FS 1600 VBSE FS 2020 LEV    Vented Balance Safety Enclosure       
Flow Sciences        Hood/ Balance Enclosure       
Flow Track    Flowave 400 F    Wave Soldering Machine       
Flow Track    Flowave 400 F    Wave Soldering Machine       
Flowserve    SIHIdry V 250    Vacuum Pump       
FlowTrack    E 01068-01    Conveyor       
FlowTrack    E 01067-01    Conveyor       
Fluid Automation    S 4 5 M    Fluid Automation Mixer       
Fluke    6080 A / AN    Signal Generator       
Fluke    45    Dual Display Multimeter       
Fluke        DVM VF Test       
Fluke    115    Multimeter       
Fluke    87-7    Digital Multimeter       
Fluke    37    Multimeter       
Fluke    8600 A    Digital Multimeter       
Fluke    PM 3394 A    Autoranging Comboscope        200Mhz, 200Ms/S
Fluke    Datapaq 4    Date Logger System for Reflow Furnace       
Fluke    187    Multimeter       
Fluke    52    Electronic Thermometer       
Fluke    6060 B    RF Signal Generator        10 kHz - 1050 MHz
Fluke    8842 A    Multimeter       
Fluke    2680    Data Acquisition System       
Fluke    2680 A-FAI    Fast Analog Input Module       
Fluke    2680 A-PAI    Precision Analog Input Module       
Fluke    2680 A-DIO    Digital I/O and Relay Module       
Fluke        Digital Connector Module       
Fluke        Universal Input Module       
Fluke    2680 A    Blank Module       
Fluke    3050    Digital Test Station       
Fluke    8500 A    Digital Multimeter       
Fluke    8505 A    Digital Multimeter       
Fluke    8050 A    Digital Multimeter       
Fluke    8842 A    Multimeter       
Fluke    408 B    High Voltage Power Supply       
Fluke    8846 A    Precision Multimeter        6.5 Digit
Fluke    5500 A    Calibrator       
Fluke        Metrology Well       
Fluke        Digital Multimeter       
Fluke    8010 A    Digital Multimeter       
Fluke    8012 A    Digital Multimeter       
Fluke    8050 A    Digital Multimeter       
Fluke    8026 B    True RMS Multimeter       
Fluke    PM 6304    Automatic RCL Meter       
Fluke    5450 A    Resistance Calibrator       
Fluke    45    Multimeter        with Dual Display
Fluke    6802 II    Digital Thermometer       
Fluke    8845 A    Precision Multimeter        6.5 Digit
Fluke    BT 521    Battery Analyzer        "Version: 1.2
Analog Board Version: 0.58
Device name: FLUKE-BT521-6FD13
Other items included: i410 AC/DC Current Clamp, BTL21 Battery Test Leads, BTL10 Battery Test Leads, BTL-A, TL175, Case, Calibration Board"
Fluke        Equipment Lot        "Includes:
- 1 Digital Psophometer Model 1072
- 1 PM 5418TX Color Pattern Generator"
Fluke        Equipment Lot        "Includes:
- 1 Hipot Vitrex 944i
- 1 Hipot Zentech 9032"
Fluke        Multimeter       
Fluke    3050    Tester       
Fluke    8502 A    Digital Multimeter       
Fluke    Hydra Series II           
Fluoraware    8221    Spin Dryer       
Fluoraware        Spin Dryer       
Fluoraware    8221    Spin Dryer       
Fluorocarbon        Spin Dryer       
FM        MCP Polisher    12"   
FMH    BestFlex 200    Expandable Conveyor        "- 18"" W
- 6 ft to 24 ft
- 28.5″  to  41.5″  adjustable conveyor height"
FMH    BestFlex 200    Expandable Conveyor        "- 24"" W
- 6 ft to 24 ft
- 28.5″  to  41.5″  adjustable conveyor height"
FMH    NestaFlex 275    Expandable Conveyor        "- 24"" W
- 8 ft to 32 ft
- 28″  to  36″  adjustable conveyor height"
Focus Microwave    iCCMT-3620    RF Tuner       
Focus Microwave    MPT-3620    RF Tuner       
Fogale    Lenscan LS 200    Measurement System       
FOI    Rydeen 10000    Wafer Descum Tool       
Foilmaster    KU-3    Hot Stamp Machine       
Fongex        Chip Conveyor       
Fonuc    R 200 iA / 165 F    Robot       
force make    force model            force config
Foresight    FP10-PVCC-1112           
Form Labs    Form 2 SLA    3 D Printer       
Forma Scientific    1128    Hood       
Forma Scientific    3033    CO2 Incubator       
Formech    508 DT    Vacuum Former        "Includes the following accessories:
2x Reducing Windows
Cart with Castors and locking wheels
Spare Parts Kit"
Forster        Welding Table with Accessories       
Fortix    FWTS 300    Wafer Transfer System       
Fortix        Automatic Loading and Unlo       
Fortrend    Lamina 206    Mask Sort / Transfer       
Four Dimensions    101 C    Six Point Prober Meter       
Fox    SGJ 01    Drying Machine       
Fox        Laser       
FPSC    SC DF 25    Freezer       
Framos        VLG       
Franklin    1020    Hot Stampine Machines       
Franklin Electric        Heavy Duty Mechanical Vacuum Pump       
Franklin Electric    1303 Q 42110    Pump        "- 3450/2875RPM
- 208-230/460V
- 60/50Hz
- 3 Phase"
Freeman Schwabe    215191    Die Cutting Press       
Freeman Schwabe    F 45    Hydraulic Press        220 V
Freiberg    MDPpro            "- 110-230V, 6A
- Max size of silicon brick: 210 x 210 x 500mm"
Freiberg    MDPingot    Electronic Device        "- 230B, 6A
- Max size of silicon brick: 210 x 210 x 500mm"
Frequency Devices    9016    Programmable Multichannel Filter       
Frewitt    MF 2    Mobile Granulator       
Frewitt        Mobile Granulator       
Fritsch    Pulverisette 2    Ball Powder Mill       
Fritsch    Pulverisette 5    Ball Powder Mill       
Fritsch    Pulverisette 5    Orbital Pulverizer       
Frothingham Electronics    FEC 200    Electrical Characteristics Tester       
Frothingham Electronics    PLS 400 RB    Forward Surge Tester       
FRT    MicroProf 200 TTV MHU    Flatness Measuring Instrument       
Fryer    MB 15    Manual Mill       
Fryer    MB 14    4th Axis CNC Mill       
Fryer    MB 16    CNC Milling Machine        "- 3 Axis
- BT40 Spindle
- 5,000 rpm
- X=60"" (1525), Y= 25"" (635), Z= 24""(610)
- Control is Anilam 3000"
FSA    ATH 5000    Turrent Handler       
FSCurtis               
FSCurtis    NX    Dryer / Compressor       
FSI    Orion    Surface Preparation System    12"   
FSI    Phoenix    Spin Rinse Dryer (SRD)       
FSI    Excalibu    GPS ISR       
FTA / SIM        Reloader with Buffer       
FTS    AirJet XE           
FTS    Thermojet    Temperature Forcing Unit       
FTS Systems    Dura-Stop MP    Microprocessor Control Stoppering Tray Dryer       
FTS Systems    Dura-Dry MP    Microprocessor Control Corrosion Resistant Freeze-Dryer       
Fuchs    2001 SS    Barrel Mixer        - Barrel not included
Fuel View    DFM 100 CD    Differential Fuel Flow Meter        100 L/H
Fuel View    DFM 100 D K    Differential Fuel Flow Meter        100 L/H
Fuel View    DFM 250 A K    Fuel Flow Meter        250 L/H
Fuel View    DFM 250 CD    Fuel Flow Meter        250 L/H
Fuel View    DFM 500 D K    Differential Fuel Flow Meter        500 L/H
Fuel View    DFM 500 C K    Fuel Flow Meter        500 L/H
Fuji    GP-551 E    Screen Printer       
Fuji    CP 6    Feeders and CP Feeder Carts       
Fuji    CP 6    Feeders       
Fuji    GL-541 E    Glue Dispenser       
Fuji    IP-III 5000           
Fuji    IP-III           
Fuji    FRNF 50 C 1 S-6 C    Electric Inverters       
Fuji        Coolant Pumps       
Fuji    QP 3    Power Feeder       
Fuji        E-Spray (U-Coater)       
Fuji    CP 643 E    Placement       
Fuji    IP 3    Placement       
Fuji    CP 643    Feeders        "- 0802 E (16)
- 0802 P (67)
- 0804 E (165)
- 0804 P (395)
- 1204 E (40)
- 1208 E (89)
- 1604 E (3)
- 1608 E (54)
- 1612 E (17)
- 2404 E (1)
- 2408 E (3)
- 2412 E (10)
- 2416 E (6)
- 2424 E (1)"
Fuji    IP 3    Feeders        "- IP 12 MM (41)
- IP 16 MM (49)
- IP 24 MM (41)
- IP 32 MM (13)
- IP 44 MM (5)
- IP 56 MM (3)
- IP 8 MM (9)"
Fuji    1 KVA UPS            PN: 039-002660-1
Fuji    W 12    XP Feeder       
Fuji    W 16    XP Feeder       
Fuji    W 24    XP Feeder       
Fuji    W 32    XP Feeder       
Fuji    W 44    XP Feeder       
Fuji    W 56    XP Feeder       
Fuji    W 72    XP Feeder       
Fuji    BTU Pyramax 125    Reflow       
Fuji        Feeders        "- Comum Emboss Mechanical Feeders for Fuji CP or XP Machines
- W 8 x P 2 (x4)
- W 8 x P 4 (x38)
- W 12 x P 4 (x2)
- W 12 x P 8 (x2)"
Fuji        Feeders        "- Comum Paper Mechanical Feeders for Fuji CP or XP Machines
- W 8 x P 2 (x78)
- W 8 x P 4 (x52)"
Fuji        Feeders        "- Electronic Feeders for Fuji XP 243 E Machines
- W 8 D (x1)
- W 12 (x11)
- W 24 (x13)
- W 16 (x10)
- W 32 E (x6)
- W 44 (x4)
- W 56 (x2)"
Fuji        Feeders        "- Splicing Emboss Mechanical Feeders for Fuji CP or XP Machine
- W 8 x P 2 (x3)
- W 8 x P 4 (x8)
- W 12 x P 8 (x6)
- W 16 x P 4 (x3)
- W 16 x P 8 (x4)
- W 16 x P 12 (x2)
- W 24 x P 8 (x2)
- W 24 x P 12 (x2)
- W 24 x P 16 (x3)"
Fuji        Feeders        "- Splicing Paper Mechanical Feeders for Fuji CP or XP Machines
- W 8 x P 2 (x32)
- W 8 x P 4 (x56)
- W 16 x P 8 (x1)"
Fuji    CP 642    Pick and Place       
Fuji    IP III    Pick and Place       
Fuji    CP 642    Pick & Place       
Fuji    IP 3    Pick & Place       
Fuji        Conveyor        0.5m
Fuji    NXT    Pick and place        "- 1 base NXT 8 M
- 5 M3 H 12 S/ 2 M 3 M 08/ 1 M 3 H 001
- 8 Feeder pallet with bucket"
Fuji    AIM    Pick and Place       
Fuji    CP 643 E    Pick & Place Machine        "- Max Board Size: 16 x 18""
- 480V
- 3 Phase
- 30Amp"
Fuji    QP 643 E    Pick & Place Machine        "- Max Board Size: 16 x 18""
- 480V
- 3 Phase
- 25Amp"
Fuji    QP 643 E    Pick & Place Machine        "- Max Board Size: 16 x 18""
- 480V
- 3 Phase
- 25Amp"
Fuji    CP 65    Pick & Place Machine        "- Max Board Size: 18 x 20""
- 480V
- 3 Phase
- 25Amp"
Fuji    IP 3    Pick & Place Machine        "- Max Board Size: 18 x 20""
- 480V
- 3 Phase
- 15Amp"
Fuji    MTU 4 R    Tray Exchanger        "- Max Board Size: 16 x 18""
- 480V
- 3 Phase
- 7.5Amp"
Fuji        Feeder        - CP, 8mm, Red
Fuji        Feeder        - CP, 8mm, Yellow
Fuji        Feeder        - CP, 8mm, Blue
Fuji        Feeder        - CP, 12mm
Fuji        Feeder        - CP, 16mm
Fuji        Feeder        - IP, 8mm
Fuji        Feeder        - IP, 12mm
Fuji        Feeder        - IP, 16mm
Fuji        Feeder        - IP, 24mm
Fuji        Feeder        - IP, 32mm
Fuji        Feeder        - IP, 44mm
Fuji        Feeder        - IP, 56mm
Fuji        Feeder        - IP, 72mm
Fuji    CP 6    Pick & Place Machine       
Fuji    CP 642           
Fuji    NXT II    Pick & Place Machine        "- 220V / 40A
- Single lane
- Head type: (qty. 4) V12, (qty. 1) of the following: H12HS, H12S, H04
- 7 modules (6 x M3, 1 x M6)"
Fuji    AIM    Pick & Place Machine        "- Head type: 4 modules 4 H4
- 220V / 40A"
Fuji    Head H 01    Pick & Place Machine       
Fuji    Head H 01    Pick & Place Machine       
Fuji    Head H 01    Pick & Place Machine       
Fuji    Head H 04    Pick & Place Machine       
Fuji    Head HF 0 B 1    Pick & Place Machine       
Fuji    Head V 12    Pick & Place Machine       
Fuji    IP III    Placer       
Fuji    IP III    Fine Pitch Placer       
Fuji    IP III    Fine Pitch Placer       
Fuji    IP III    Fine Pitch Placer       
Fuji    IP III    Fine Pitch Placer       
Fuji    IP III    Fine Pitch Placer       
Fuji    QP 242 E    Placer       
Fuji    QP 242 E    Fine Pitch Placer       
Fuji    AIM           
Fuji    Roadrunner    Feeder        - Has mechanical issue
Fuji    GL V            - 3 Phase
Fuji    CH 643 E            - Need to replace card
Fuji    CP 643 E           
Fuji    CP 643 E           
Fuji    IP III            - Home position problem
Fuji    IP-II           
Fuji    MTU 4 R           
Fuji    IP II           
Fuji / Luxel    Finalproof 5600           
Fuji / Luxel    F-6000           
Fuji BC Engineering    FK 2 MLM 3 08    Portable Facing System       
Fuji BC Engineering    KF 2 M LM 3 08    Portable Facing System       
Fuji Electric    Ups M-Ups100 J 22 L-Ul (Wtel06Wa)           
Fuji Seiki    ECB 10016    Wet Slurry Deflashing       
Fujifilm Dimatix    DMP 5000    Ink Jet Deposition System        "- Flat substrate, xyz stage
- User-fillable, piezo-based 16 nozzle ink jet print cartridges
- Syringe fed or ink delivery system for the 128 nozzle print heads
- Built-in drop jetting observation system
- Upward looking camera for nozzle inspection and head angle calibration
- Substrate inspection system.
- Variable jetting resolution and pattern creation PC-controlled with Graphical User Interface (GUI) application software
- Capable of jetting a wide range of fluids
- Heated vacuum platen
- Print head cleaning station
- Includes PC, monitor, and software
Mechanical System:
- Printable area
- Substrate size 0.5 - 25 mm thickness: 300 mm x 300 mm
- Repeatability: ± 1mm
- System positional accuracy: ± 5 mm
- Substrate holder
- Vacuum platen
- Temperature adjustable; ambient to 60° C
- System footprint: 1400 mm x 1400 mm
- Power: 200-240 VAC 50/60 Hz 375W maximum
- Fuse @ 250 V 2A SLO-BLO 5 x 20 mm
- Operating range 15-40° C at 5-80% RH non-condensing
- Altitude up to 2000 m
16 Nozzle Printhead:
- Type: Piezo-driven jetting device with integrated reservoir and heater
- Usable Ink Capacity: Up to 1.5 ml (user-fillable)
- Materials Compatibility: many water-based, solvent, acidic or basic fluids
- Number of Nozzles:16 nozzles, 254 µm spacing, single row
128 Nozzle Printhead:
- Type: Piezo-driven jetting device
- Ink Capacity: syringe or ink delivery system
- Materials Compatibility: many water-based, solvent, acidic or basic fluids
- Number of Nozzles: 128 nozzles, 508 µm spacing, single row
Control PC and Software:
- Pre-loaded patterned templates
- Pattern preview
- Editors: Pattern, piezo-driven waveform, cleaning cycle, substrate setting
- Bitmap (1 bit) files accepted
- DXF, DWG, Gerber, GDSII and OASIS file conversion to Bitmap"
Fujikara    FSM 40 S    Fusion Splicer       
Fujikikai        Wafer Sand Blaster       
Fujikoshi    PFM 19    Copper Table Facing & Grooving Machine        "- Mitsubishi GOT 1000 Graphic Operation Terminal
- Orion RKE 1500 B1 VW 6kw Invertor Chiller"
Fujikoshi    LSL 191 A    Copper Plate Single Side Polishing Machine        "- 4 Station
- c/w Mitsubishi GOT1000 Graphic Operation Terminal
- Orion RXE 1500 B1-VW-G2 DC Inverter Chiller
- TSP Step Down Transformer"
Fujikoshi    LSL 19 A    Polishing Machine        "- Copper Plate
- Single Side
- 4 Station
- Includes Mitsubishi GOT1000 Graphic Operation Terminal
- Orion RXE 1500 B1-VW-G2 DC Inverter Chiller
- TSP 480v-200v Step Down Transformer"
Fujikoshi    PFM 19    Copper Table Facing & Grooving Machine        "Includes:
- Mitsubishi GOT1000 Graphic Operation Terminal
- Orion RKE 1500 B1 VW 6kw Invertor Chiller"
Fujikura    FSM-60 S    2008 New Type Fiber Fusion Splicer       
Fujikura    FSM-60 S    2008 New Type Fiber Fusion Splicer       
Fujikura    FSM-60 S    2008 New Type Fiber Fusion Splicer       
Fujikura    FSM-60 S    2008 New Type Fiber Fusion Splicer       
Fujikura    FSM-60 S    2008 New Type Fiber Fusion Splicer       
Fujikura    FSM-60 S    2008 New Type Fiber Fusion Splicer       
Fujikura    FSM-60 S    2008 New Type Fiber Fusion Splicer       
Fujikura    FSM-60 S    2008 New Type Fiber Fusion Splicer       
Fujikura    FSM-60 S    2008 New Type Fiber Fusion Splicer       
Fujikura    FSM-60 S    2008 New Type Fiber Fusion Splicer       
Fujikura    FSM-60 S    2008 New Type Fiber Fusion Splicer       
Fujikura    KL-300 T    2008 New Type Fiber Fusion Splicer       
Fujikura    Fujikura Ltd. FSM-60 S    Fusion Splicer       
Fujikura    Fujikura Ltd. FSM-60 S    Fusion Splicer       
Fujikura    Fujikura Ltd. FSM-60 S    Fusion Splicer       
Fujikura    Fujikura Ltd. FSM-60 S    Fusion Splicer       
Fujikura    Fujikura Ltd. FSM-62 S    Fusion Splicer       
Fujikura    FSM-30 R           
Fujikura    FSM-60 R           
Fujikura    ARC Fusion FSM 20 PM II    Splicer       
Fujikura    ARC Fusion FSM 40 PM    Splicer       
Fujikura    FSM 20 PM II    Fusion Splicer       
Fujikura    FAT 04    Fiber Ribbonizer       
Fujitsu    Celsius M 470-2    Working Station       
Fujiwa        Mold Machine       
Fujiwa    TEP 235 70    Manual Mold       
Fullshare                "- 336
- 4 Tube"
Fullshare    LP            "- 1000
- 4 Tube"
Fulton    ICS 10    Steam Boiler       
Fulton        Thermal Fluid Heater       
Fumer        Acid Fume Hood       
Furnas    18 48    Press Break        "- 4'
- 12 Ton
- 60 Hz
- 203 Volts"
Futans        Ultraviolet Illumination Meter       
FW Bell    CG 100 A    Current Gun       
FWTD    300    Wafer Transfer System       
G Winner    51492 JY Li    BS Industrial Vacuum Cleaner       
G&L Motion Control    MMC    Motion Control System       
G.R.A.S.    40 HL    Reference Microphone       
Gaertner    L 115 A    Ellipsometer       
Gaertner    L 116 S    Ellipsometer        with Marble Table
GAIA Science    HT 100    Auto Tablet Tester       
Galanz    GLR 35 BKER    Refrigerator        - 3.5 cu.ft. capacity
Galaxy    183 91772700    Refrigerator       
Gallay Systems        Bin       
Gallenkamp    Plus II    Oven       
Gallenkamp    Plus II    Oven       
Gam Laser    EX 10 Excimer    193 nm ArF Laser System       
Gama    3059    Feeder and Mouthpiece Hopper       
Gambro    Phoenix    Dialysis Machine       
Gamet        Lathe       
Gamma Scientific    GS-1190    RadOMA LED Spectrometer        "- Computer
- Integrating Tube"
Gamry        Potentiostat       
Gannicott    9370    Stacker / Batch Counter       
Gannicott        Stacker       
Gansons    HSMG 400    Rapid Mixture Granulator        Capacity: 400L
Gansons    GMP    Fluid Bed Dryer       
Gaoce    GCQP 700    Diamond Wire Crystalline Silicon Slicing Machine        "- Capacity: 220kw
- Max ingot's length: 820mm
- Max cross section: 166 x 166mm"
Gaode    8000 A    High Wave Welder       
Gaode    8000 A    Plastic Welding Machine        High Frequency
Gaotech    GT 7303 A    Plug Roller Experiment Machine       
Gardner        Double Disc Grinder       
Gardner    1937    Color Test       
Gardner Denver    EAH-99-A    Air Compressor        "50 Hp
Run Hours: 630
480V"
Gardner Denver        Air Compressor        40 HP
Gardner Denver    RNC 150 A 1    Compressed Air Dryer       
Gardner Denver    VPL 10-12    Industrial Air Compressor        10 Horsepower
Gardner Denver        Air Compressor        200 HP
Gardner Denver    BN 10253926002 / 0715    Vacuum Pump       
Gardner Denver    V-VC 303    Pump       
Gardner Denver    V-VC 303    Vacuum Pump       
Garvens        Checkweigher       
Garvey    9600    Canister Power Roller 90 degree Conveyor       
Gasmet    SAM-SYS-002    Portable Sampling System (PSS)        with Oxygen Analyzer
Gasmet    DX 4000    Fourier Transform Infrared Spectroscopy (FTIR) Gas Analyzer       
Gasonics    Iridia 4800 DL    Asher    8"   
Gasonics    Iridia    Asher    8"   
Gasonics    Iridia    Asher    8"   
Gasonics    Iridia    Asher    8"   
Gast    DAA-V 516-ED    Vacuum Pump       
Gast    0523-101 Q-G 582 Dx    Rotary Vane Oil Free Pump        Missing Anchor For Out-Fliter
Gast    DOA P 135 AA    Pump       
Gasung Pak    GBD 45 E    Sealing Machine       
Gasung Pak    SF B    Sealing Machine       
Gatan    600    Dual Ion Mill       
Gatan    600    Dual Ion Mill       
Gateway    KB 2961    Keyboard       
Gateway    KB 2962    Keyboard       
Gateway    M-S 69    Mouse       
Ga-Vehren Engineering    Modular 912           
GB Mills    Hydrotek 575    Distillery       
GCA    6300    Auto Stepper       
GCA    6300 C    i-Line Stepper       
GCA Vacuum Industries    8820    Oven       
GE    9 T 23 B 3877    Transformer       
GE    AKTA Crossflow           
GE    Akta 900    Purifier       
GE        Power Transformer        80/105/129 MVA HV-69kV, LV 34.5 kV, TV 9.96kV. (Connections Y-Y-D). (De Energized Tap Changer on HV).
GE    Biacore X 100    Surface Plasmon Resonance (SPR)        "- Provides real-time insights into protein function & biological mechanisms
- Enables Kinetics, affinity, specificity and concentration analysis in one system
- Allows the study of a wide range of molecules in different sample environments"
GE        Vacuum Pump       
GE    eXplore speCZT    CT System       
GE        Bioreactor       
GE    Isovolt Titian    E X - Ray Generator        "- Generator
- Controller
- Heat exchanger
- Primary power conversion transformer"
GE    AK-3 A-75-1    Switchgear       
GE    AKR-5 A-50    Switchgear       
GE Healthcare    Typhoon Trio           
GE Lighting    IS EMEA Series            "- 240 V
- Ac 50 - 84 W"
GE PC    MV 3000            "- 2500 KW
- 690 V"
GE Phoenix    Nanome    X-ray       
GEA    NA 7 06076    Centrifuge       
GEA        Discharge Station for IBC       
GEA    T 6    Wet Granulation Suite       
GEA    Type 0855    IBC        2000 Liter
GEA        Mixer for Parlodel Binder Paster        Wet Granulation Ln3
GEA        Granulation Binder Solution Jacketed Vessel in Ln1       
GEA                "- Wet Granulation Ln3
- Dual Cylinder-2016/995-P SA-202638 P"
GEA        Spare Exhaust Fan & Motor for Fluid Bed Dryer       
GEA        Binder Vessel Motor + Impeller       
GEA        Mill Discharge Cone and Product Chute        Wet Granulation Ln3
GEA        Mill Discharge Cone and Product Chute       
GEA Whisperfuge    CTC 1-06-107    Continuous Flow Centrifuge       
GEAS    I 2025 07 2    Download Machine        "- 50Hz
- 10A"
GEAS    I 2004 08 1    Download Machine        "- 50Hz
- 10A
- 230V"
GEAS    I 2004 08 2    Download Machine        "- 50Hz
- 10A
- 230V"
Gebhardt        Conveyer System       
Gechter    56 wN LP Spice Flex    Clearance Device       
Geiger Handling    K 700    Robotic Arm       
Geka    Hydracrop    Iron Worker       
Gelbar    PG 7 A    Centerless Boule Grinder       
GELON LIB        Glove Box        "- Creates inert
- Environment with 2 ppm level
- 120v/60Hz"
Gem    DD 556 AA    Monitor       
Gem    DD 770 FA 3    Monitor       
GeMe Tec    Elymat III    Electrolytical Metal Analysis Tool    12"    "- Voltage: 110VAC
- Current 3A
- Measurement Precision -Diffusion length:  5% over 10 repeated measurements
- Measurement Accuracy -Diffusion length:  10% compared with similar techniques"
GeMe Tec    WSPS 53    Gas Analyzer    12"   
GeMeTec    WSPS-NG    Wafer Surface Preparation System        - Computer is failing
Gemetec        Auto Scanner       
Gemini    III    Epi Reactor       
Gemini        Vibration Shaker Machine       
Gemini        Vibration Shaker Machine       
Gemini        Vibration Shaker Machine       
Gemini    Spiratron    Vibration Cleaning Machine       
Genei        Electrophoresis       
General Air Products    ACHCH 400-S TS 1    Cold / Hot Water System       
General Electric        A C Motor        "- HP 1
- FR 56
- V 115 / 230
- Ph 1
- RPM 1725"
General Electric    5 K 213 AL 306 A           
General Electric    5 K 215 AG 601 T           
General Electric    CMMS 2033           
General Electric    5 K 182 BC 218 A    AC Motor        "- HP-3
- RPM-1755
- V230/460
- A9.0/4.5
- PH3
- HZ60"
General Electric    5 K 35 JN 259 A    AC Motor        "- HP-1/3
- RPM-1725
- V208-230/460
- PH3
- HZ60"
General Electric    5 KS 444 JS 1003    AC Motor        "- 200HP
- RPM 3580
- V460
- A208
- PH3
- HZ60"
General Electric        Vacuum Pump       
General Electric GE    9 T 83 B 3871    Transformer       
General Signal    Blue M    Electric Lab Oven       
Genesem    AMPS-2000    Mold Machine       
Genesem    GAMS-2000    Substrate LaserMark       
Genesem    AVIS 2000           
Genesis Systems Group / Fanuc        Robotic Welding Cell       
Genetix / Molecular Devices    QPix           
Genevac    HT 4-X    Evaporator System       
Genie    GS 1930    Scissorlift       
Genie    S 65    Lift       
Genie    Z 20 / 8 N    Boom Lift       
Genie Corp    Genie 600           
Genlab    OV 150 SFD    Lab Oven       
Genmark        Robot       
Genmark        Robots        With Controllers
Genmark    GB 3    Robot, Elevator, Controller       
Genrad    1658    RLC Databridge       
Genrad    2287    In Circuit Tester        19 D/S
Genrad        Board Tester       
GenRad    GR 2287 L    ICT System       
Geo Knight        Heated Press        42" x 42" 60 ton
Gerber    DCS 1506    Drag Knife Cutter        Bed Size 6' x 7'
Gerber    SY 251    Spreaders       
Gerber    Crescent 30    Laser Photo Plotter       
Gerhardt    Soxtherm 2000    Rapid Extraction System        The solvent extraction systems comes with two controllers as well
Gerry    GP PD 520 KT           
Giacomet    Giomax    Boiler       
Giant    GD 3612    Dryer       
Giant Force Instrument Enterprise    GTST-050-40-AW            "Range: 60~150/0~-40℃
Refrigerant: R-404A R-23
Power: AC 220 V
Phase: 3¢ ; 60 Hz
Amps: 75 A"
Giant Fu    GSST 108    Salt Spray Box Test Equipment       
Gidding & Lewis    PC 50    Horizontal Boring Mill       
Giddings & Lewis    MMC    Ethernet Module       
Gigamat        Fine-Polish    2" to 4"   
Gigatronix    1026    Signal Generator        - Not Functional
Gilbreath        Verical Baler       
Gilbreth    7102 TC    Heat Tunnel       
Giles Scientific    Colony    Electronic Zone Counter       
Gilson        Lab GC Equipment        - Including Pump
Gilson        Fraction Collector       
Gilson        Fraction Collector       
Gilson    SS 15    Sieve Shaker       
Girondin Sauer    WP 311 L    Compressor        268 M3 / H 24 Bar
GJC Instrument    2000    Digital Flow Meter       
GLA        Wafer Transfer System       
Glassman    PS / LH 003 R 1.7171    Power Supply       
Glassman        HV Amp Unit       
Glassman    Series FX    High Voltage Power Supply Unit       
Glatt    Z 504600    Sieving Line        Includes Hammer Mill
Glatt        Vibratory Sifter        "- Manual Dispensing
- With Laminar Flow Booth"
Glatt        Mini Vibratory Sieve       
Glatt        Drum Tipper for Sieving Line       
Glatt        Vacuum Lifter       
Glatt        Drum Adaptor       
Glatt        Sack Chute for Sieving and Butterfly Valve       
Glatt        Drum Ramp for Drum Adaptor       
Glatt    GSW 290    Conical Sieve       
Glatt    GSW 290    Conical Sieve       
Gleason    24 A    Straight Bevel Coniflex Generator / Finisher       
Gleason    641    Hypoid Generator, Bevel Gear Cutting Machine       
Gleason    116    CNC Bevel Gear Cutting Machine       
Gleason    641    Hypoid Generator       
Glegg        RO System       
Glen Dimplex        Chiller       
Glenbrook    RTX 113    X-ray Machine       
Glenbrook    RTX 13    X-Ray       
GlenBrook Technologies        X-ray Inspection System       
Glenbrook Technologies    RTX 113    Microscope        "- Operating Voltage: 120v, 60hz or 220v, 50hz
- Contrast Resolution: Can resolve .001 gold wire
- Spatial Resolution: 20 lp/mm (with MicroTech™ option, up to 100 lp/mm)
- Anode Voltage: 35-52kV
- Anode Current: 20-50 microamps (internal adjustment)
- Focal Spot to Image Plane Distance: 4-6 inches
- X-ray Activation Mode: foot pedal or timer switch
- X-ray tube replaced 2016"
Glenbrook Technologies    RTX 113           
Global Finishing        Mechanical Bond Oven       
Global Industrial        Mezzanine Gate       
Global Specialties    1504    Isolated Variable Ac Line Supply       
Global Specialties    1510    Variable Ac Source       
Global Specialties    6000    Frequency Counter       
Global Specialties    4001    Plus Generator       
Glsun    1310/1470-1610    Desktop Stabilized Light Source       
Glsun    1270-1410    Desktop Stabilized Light Source       
Glsun    1450-1610    Desktop Stabilized Light Source       
Glsun    1450-1610    Desktop Stabilized Light Source       
Glsun    1270-1430    Desktop Stabilized Light Source       
Glsun    1270-1430    Desktop Stabilized Light Source       
Glsun    1450-1610    Desktop Stabilized Light Source       
Glsun    LST-13/15 (DFB)    Desktop Stabilized Light Source       
Glsun    SUN-OPM-3 C    Handheld Optical Power Meter       
Glsun    SUN-OPM-3 C    Handheld Optical Power Meter       
Glsun    SUN-OPM-3 C    Handheld Optical Power Meter       
Glsun    SUN-OPM-3 C    Handheld Optical Power Meter       
Glsun    SUN-OPM-3 C    Handheld Optical Power Meter       
Glsun    SUN-OPM-3 C    Handheld Optical Power Meter       
Glsun    SUN-OPM-3 C    Handheld Optical Power Meter       
Glsun    SUN-OPM-3 C    Handheld Optical Power Meter       
Glsun    SUN-OPM-3 C    Handheld Optical Power Meter       
Glsun    SUN-OPM-3 C    Handheld Optical Power Meter       
Glsun    SUN-OPM-3 C    Handheld Optical Power Meter       
Glsun    SUN-OPM-3 C    Handheld Optical Power Meter       
Glsun    1 * 8    Optical Power Meter Automatic Test Equipment       
Glsun    SUN-OPM-3 C    Handheld Optical Power Meter       
Glsun    SUN-ORL-3    Desk Type Optical Power Meter       
Glsun    SUN-ORL-3    Desk Type Optical Power Meter       
Glsun    SUN-LSU-13/15/85/13    Rack Mounted Light Source       
Glsun    SUN-LSU-13/15/85/13    Rack Mounted Light Source       
Glsun    SUN-LSU-13/15/85/13    Rack Mounted Light Source       
Glsun    SUN-LSU-13/15/85/13    Rack Mounted Light Source       
Glsun    SUN-LSU-13/15/85/13    Rack Mounted Light Source       
Glsun    OPM-3 C    Handheld Optical Power Meter       
Glsun    OPM-3 C    Handheld Optical Power Meter       
Glsun    OPM-3 C    Handheld Optical Power Meter       
Glsun    OPM-3 C    Handheld Optical Power Meter       
Glsun    OPM-3 C    Handheld Optical Power Meter       
Glsun    OPM-3 C    Handheld Optical Power Meter       
Glsun    OPM-3 C    Handheld Optical Power Meter       
Glsun    OPM-3 C    Handheld Optical Power Meter       
Glsun    SUN-ORL-3.1    Insertion Loss Return Loss Test       
Glsun    SUN-ORL-3.1    Insertion Loss Return Loss Test       
Glsun    SUN-ORL-3.1    Insertion Loss Return Loss Test       
Glsun    SUN-ORL-3.1    Insertion Loss Return Loss Test       
Glsun    SUN-ORL-3.1    Insertion Loss Return Loss Test       
Glsun    SUN-ORL-3.1    Insertion Loss Return Loss Test       
Glsun    SUN-ORL-3.1    Insertion Loss Return Loss Test       
Glsun    SUN-ORL-3.1    Insertion Loss Return Loss Test       
Glsun    Test    Computer       
Glsun    Test    Computer       
Glsun    Test    Computer       
Glsun    Test    Computer       
Glsun    Test    Computer       
Glsun    Test    Computer       
Glsun    Test    Computer       
Glsun    SUN-OPM-3 C    Handheld Optical Power Meter       
Glsun    SUN-OPM-3 C    Handheld Optical Power Meter       
Glsun    SUN-OPM-3 C    Handheld Optical Power Meter       
Glsun    SUN-OPM-3 C    Handheld Optical Power Meter       
Glsun    SUN-OPM-3 C    Handheld Optical Power Meter       
Glsun    SUN-OPM-3 C    Handheld Optical Power Meter       
Glsun    SUN-OPM-3 C    Handheld Optical Power Meter       
Glsun    SUN-OPM-3 C    Handheld Optical Power Meter       
Glsun    SUN-OPM-3 C    Handheld Optical Power Meter       
Glsun    SUN-OPM-3 C    Handheld Optical Power Meter       
Glsun    5 * 80 Road    Rack Mounted Splitter (Black)       
Glsun    1 * 2    Handheld Optical Switch       
Glsun    1 * 2    Handheld Optical Switch       
Glsun    1 * 2    Handheld Optical Switch       
Glsun    1 * 2    Handheld Optical Switch       
Glsun    1 * 3    Handheld Optical Switch       
Glsun    LASER SOURCE HLS-1    Handheld Red Light Source       
Glsun    LASER SOURCE HLS-1    Handheld Red Light Source       
Glsun    LASER SOURCE HLS-1    Handheld Red Light Source       
Glsun    LASER SOURCE HLS-1    Handheld Red Light Source       
Glsun    LASER SOURCE HLS-1    Handheld Red Light Source       
Glsun    LASER SOURCE HLS-1    Handheld Red Light Source       
Glsun    LASER SOURCE HLS-1    Handheld Red Light Source       
Glsun    RP-150 W    Heat Tray       
Glsun    RP-150 W    Heat Tray       
Glsun    RP-150 W    Heat Tray       
Glsun    RP-150 W    Heat Tray       
Glsun    RP-150 W    Heat Tray       
Glsun    RP-150 W    Heat Tray       
Glsun    RP-150 W    Heat Tray       
Glsun    RP-150 W    Heat Tray       
Gluco    PM-18           
Glunz OG Jensen    0505 Y    Film Processor       
GLW    FC 200 / 250           
GMC    HS-0808 M    Heavy Duty Hydraulic Shear       
GMM    GM 620    Substrate Interlayer Hot Press Machine       
GMN        Flat Grinder       
GMN    MPS 2 R 300 DS    Wafer Grinder    4"   
GMP        Filtration Skid       
GMP Tech    1520    Deep Freezer       
GMP Tech    28    Cooling Incubator       
Gnubi    EPX 100    Clock Source Module       
Goal Searchers        Copper Filtering Machine       
Goatech    GT 7303 A    Plug Roller Experiment Machine       
Gold Tool    DPS 150 A    DC Power Supply       
Gold Tool    SPS 250 A    Switching Power Supply       
Goldman        Perimeter       
Gom    ATOS III    3D Scanner       
Gonio    CCDI    Imaging System       
Good Will Instruments    Gfc-8131 H    Intelligent Counter       
Good Will Instruments    Gpm-8212    AC Power Meter       
Good Young        Ultrasonic Clean Machine       
Goodman    GSX 130363 AA    3-Ton AC       
Gorbel        Bridge Crane       
Gottscho    Go-Jet Basic    Ink Jet System       
Goulds    SP 035 MC    Pump        "- 115V
- 60Hz
- 3Amp"
GP Group    GRE 5 K    Exposure Machine        With Accessories
GP Group    GPEC 5 K    Exposure Machine        With Accessories
GP Group    GPE 7 KB    Exposure Machine        With Accessories
GP Group    GPE 7 K    Exposure Machine        With Accessories
GP Group    GPE 5 K    Exposure Machine        With Accessories
GPD    856 VS    Peelback Force Tester       
GPD    MiniMax    Dispensing Machine        "- Precision needle, conical tip, no foot, 1/4"" long @ 30 AWG
- New controller board"
GPD        Peel Force Tester       
GPD    22200025    Solder Paste Machine       
GPD    22200015    Solder Paste Machine       
GPD    22200015    Solder Paste Machine       
GPD    22200018    UV Dispensing Machine       
GPD        Peel Force Tester       
GPM    ILM 290    Laser Marking       
GPM    SE 24    Laser Marker       
GPM    Echo 500    Molding       
Graco    Monark    Pressure Tan Aid Brush       
Graco    PR 70    Meter Mix Dispense System for Silicon Matl       
Graco    ProMix Easy    Paint System       
Graco        Adhesive Invection system       
Graco One        Epoxy Dispencing Pump       
Gramme Digital        Shipper Weighing Balance       
Granite Microsystems    IAC C 800 A    Power Supply Unit       
Granville Phillips    270    Gauge Controller       
Granville Phillips    270    Gauge Controller       
Granville Phillips    307    High Vacuum Controller       
Granville Phillips    Series 303    Vacuum Process Controller       
Granville Phillips    Series 303    Vacuum Process Controller        - Not working properly, needs repair
Graphene Square        CVD System        - floor standing model with 4 inch chamber
Graphene Square    TCVD 100 A    Chemical Vapor Deposition (CVD)        "- Dual chamber
- 4"" chamber
- Dbl walled water cooled end caps
- 4 MFCs (Ar, H2, CH4, Spare for inert gas such as N)
- Vacuum pump"
Grass    7 P 122 C    Low Level DC Amplifier       
Gray        Horizontal Boring Mill       
Graziano Tortona    Sag 12           
Greco Brothers        Ultrasonic Vapor Greaser        with Branson Series 8300, Drive and Hoist
Greenerd    3 1/2    Arbor Press       
Greenerd    H 56 D    Arbor Press       
Greenlee    1818 R    Benders       
GreenLee        Conduit Bender       
Grenzebach        Offline Particle Inspection System       
Grenzebach    Custom    Flat Glass Conveyor       
Gretagmacbeth    The Judge II    Lighting Booth       
Grieve    HD-243624-HT-ATM    Box Furnace       
Grieve        Ovens       
Grieve    NB 350            110 Volt
Grieve        Boat Dryer       
Grieve    HA-700    Oven       
Grieve    NB 550    Oven       
Grieve    XTR 1000    Industrial Oven       
Grieve    NBS 400    Bench Oven        "- Max Temp: 400 F
- Work Space: dims 28"" x 24"" x 18""
- 7 Cu Ft.- Insulation: 2""
- Watts: 4000"
Grieve    HT 17    Oven        "- Size: 36 cu. Ft
- Temperature range: 120 – 400 deg F"
Grieve        High Temp Pilot Oven        "- Inner chamber dims: 3'x4'x7'
- Oxygen analyzer may need new cell"
Grieve    1250    Oven       
Grieve    1250    Heat Treat Furnace       
Grieve Hendry    SA 350-E           
Grieve Hendry    MT 550    Industrial Oven       
Grob    4 V 60    Band Saw       
Groninger        Filing & Sealing M/C       
Group Up    GFR 1    After Cooler Machine       
Group Up    GEM 5 KC    Exposure Machine        With Accessories
Grove    SM 2232 E    Scissor Lift       
Grovers    OCB 5000 L    Blender        5000 Liter
Gruenberg    KT 45 C 204    Walk In Oven        "54Kw input,
450F Max Temp.
440 Volt,
3 Phases"
Gruenberg    235 H 5.06    Oven       
Grundfos    CRN 64-2    Industrial Pump       
Grundfos    CR 8    Water Pumps       
Grundfos    CR 3-19 A-FGJ-A-E-HQQE    Pump       
Grundfos        Pump        "- 115V
- 60Hz
- 1 Phase
- 1.7 Amp"
Grundfos    MTH 2 60/3 A W A AUUV    Coolant Pump       
Grundfos    MTR 10-22/22 B-W-A-HQQE    Pump        "Included:
- IP55 three-phase frequency converter (11.0 kW)
- Sine wave filter
- Oversized 11kW motor"
Grundfos    CM 5-5    Centrifugal Pump       
GS Tempress               
GS Tempress    Omega Junior System    Doping Furnace       
GS Ultratech    UltraStep 1000    Stepper       
GSI    M 430    Laser Fuser       
GSI    UltraDep 2000    PECVD    4", 6"    "- Load locked tool, single wafer load
- 400C max process temp
- Dual Frequency RF supply for stress control (13.56 MHz and 200 KHz)
- Microprocessor/computer controlled
- Configured with Helium, nitrus oxide, ammonia, silane, TEOS (direct liquid inject), PH3/He dopant mix, B2H6/He dopant mix, hydrogen, oxygen and CF4 gases.
-This gives the capability of Silane Oxides, TEOS Oxides, Stress controlled Silicon Nitrides, Doped oxides (PSG, BPSG, BSG), amorphous silicon and doped amorphous silicon films
- spare parts also included (MFCs, hot plates, etc.)"
GSI    UltraDep 1    PECVD    4", 6"    "-TEOS   silicon oxide: normal & low stress
- Silicon oxide
- Silicon nitride: stoichiometric & low stress
- Amorphous silicon: doped and undoped
- Oxynitrides: 1.46 - 2.0 refractive index range
- TEOS Oxide
- PSG,BSG, and BPSG"
GSI Lumonics    WH 4100        200"   
GSI Lumonics    W 678    Laser Trimmer       
GSI Lumonics    JK 703 H    Laser Welder       
GSI Lumonics    Lightwriter XL           
GSM        Feeders       
GSM    Genesis GX 11           
GSM / Genesis    GC 60            "- Part Verification System-PVS
- Board size ;
     : 450mm(L) x 560mm(W) (17.7"" x   22"")
- Min component size;
:  (0201) standard
-  UPS + operating Software  ( Windows 2K )
-  HSC 60 spindles  Placement Head"
GT    GTS 305261    Ingot Furnace       
GT    G 6    Ingot Furnace       
GT    G 5    Ingot Furnace       
GT    GTS 305261    Ingot Furnace       
GT Solar    DSS 450 HP    Ingot Casting Furnace        "- 165 KVA
- 1575 Celsius maximum heating temperature"
GT Solar        Electronic Measurement Device        "- 220-240B, 2,2A
- Measurement range: 0,8-300mkc
- Max size of silicon brick: 210 x 210 x 300mm"
GT Solar    PN 1000096    Lifetime Measurement Device        "- 220-240B, 2,2A
- Measurement range: 0,8-300mkc
- Max size of silicon brick: 210 x 210 x 300mm"
GT Solar    GTS 302314    Furnace for Multi Crystalline Silicon Growth       
GTA                Mixing Machine
GTA        Mixing Machine       
GTAT        Toss Lathe       
GTI    MiniMatcher MM 2 e    Light Booth       
GTO    EVO 2 C    Pad Printer       
GTS    DG 150 WW    Wafer Washer       
GTS        Hydro Pneumatic Press       
GTX Marketing        Wet Bench    8"   
Guan Kui    SZ 6000 P    Testing Machine        - Main Power Supply = 110V
Guan Kui        Sky Wafer Test System       
Guan Kui    SZ 6200 R    Testing Machine        - Main Power Supply = 110V
Guan Kui    SZ 6210 P    Testing Machine       
Guan Kui    TVR 6000    DC + Reverse Surge Test Machine       
Guan Quan    UA 1200 E    Air Blower       
Guanghua Technology    8315-SH    Pick & Place Machine    Below 6"    - Main Power Supply = 220V
Guangzhou    RTS 2 A    4 Point Probe       
Guangzhou    SXJ II    4 Point Probe       
Guifil    PE 15-35    38.5 Ton Hyd Brake       
Guifil    PE 6-16    Press Brake       
Guilin    XS 5750 B    Milling Machine       
GUK    Cartonac 91    Leaflet Folding Machine       
GVB    SN 14    Proxy Test       
GW    PEL 300    Programmable Electronic Load       
GW Instek    GDM 8145    Digital Multimeters       
GW Instek    SPS 606    Power Generator       
GWC Instruments        Synchronous Sampling Demodulator       
Gwinstek    GDM 8246           
Gwinstek    GDM 8261 A    Multimeter Measurement       
Gwinstek    GDS 1022    Storage Oscilloscope       
H & H        Resistance Welder        "50 KVA
-Soft controls installed 2011 for safety."
Haake    RS 1    Rheometer       
Haas    VF-0           
Haas    VF 3    Vertical CNC       
Haas    VF-2    CNC Vertical Mill       
Haas    VF 1           
Haas    ST 20           
Haas    VF 0            "- Problem with spindle: It seems to be vibrating, may need to replace motor
- Problem with electronics in the back: maybe mocam card or servo card"
Haas    Mini Lathe    CNC        - with LNS Hydrobar Express 332 Barfeeder (2008)
Haas    VF-3    CNC Mill       
Haas    HL-4            "- Tailstock
- 10"" chuck
- Chip Auger
- Tool Presetter
- Rigid Tapping
- 2 Speed gear box"
Haas    Minimill    CNC       
Haas    VF-2    CNC       
Haas    TL 15    CNC       
Haas    HL 4    CNC Lathe        - Spare HMI included
Haas    TM 2    Mill        "Includes:
- 24 pull studs, CT40
- Work light
- Tool tray for collets, holds 17-ER32, 10-ER16, 20-DA180, 15-DA200
- Y/Z axis covers
- 20-station CT40 automatic tool changer
- Rigid tapping
- 3.5"" floppy drive and interface 1.44MB
- Coolant pump kit
- Full table chip and coolant guard"
Haas    VF 2    CNC       
Haas    VF 3    CNC       
Haas    VF 3    CNC       
Haas    EC 400 1 DEG    Horizontal Mill       
Haas    VF 3           
Haas    VF 0           
Haas    VF 3           
Haas    VF 4           
Haas    VF 4           
Haas    Super Mini    Mill       
Haas    VF 0           
Haas    VF 2 D           
Hackett        Auto Coin Press       
Haco Atlantic    HDS    Power Squaring Shear        5/8" x 12'
Haefely        Oil Transformer       
Hai Bin Technology        Wdmfr Semi Automatic Alignment System       
Hai Bin Technology        Wdmfr Semi Automatic Alignment System       
Hai Bin Technology        Wdmfr Semi Automatic Alignment System       
Hai Bin Technology        Wdmfr Semi Automatic Alignment System       
Hai Bin Technology        Wdmfr Semi Automatic Alignment System       
Hai Bin Technology        Wdmfr Semi Automatic Alignment System       
Haier        Mini Refrigerator       
Haitian    HTF 800 W 2    AIM Molding Machine       
Haitian    HTF 800 W 2    AIM Molding Machine        "- 50Hz
- 380V
- 3AC
- 290A"
Haitian    HTF 530 W 2    AIM Molding Machine        "- 380V
- 50Hz
- 3AC
- 140.75kw"
Hakko    FX-888 D    Soldering Station       
Hakko    493    Fume Extractor       
Hakko    808    Desoldering Kit       
Hakko    927    Iron       
Hakko    472 B    Rework Airon       
Hakko    850 B    Hot Air Station       
Hakko    FG 100    Tip Thermometer       
Hakko    FG 100    Soldering Iron Tester       
Hakko    FR 801    Hot Air Station       
Hakko    FX 301 B    Digital Solder Pot       
Hakko    153    Machine Material Preparation       
Hakko    191    Tip Thermometer       
Hakko    937    Soldering Iron       
Hakko        Kit        "- 1 Test ESD 3M -745
- 1 Ionization Kit - Static Sensor 3M -718
- 1 Electrostatic Locator Type SS - 2x
- 1 Hakko 498"
Hakko    FR 803    Hot Air Station        "With
- Hakko 850B Rework Station
- ERSA Welding Iron Station"
Hakko        Soldering Iron Kit       
Hakko        Soldering Irons       
Hakko    936    Soldering Iron Kit       
Hakko    936    Soldering Iron Kit       
Hakko        Soldering Iron Kit       
Hakko    FA 430            with FR803 Hot Air Station
Hakko        Equipment Lot        "Includes:
- Hakko 8508 Hot Air Station
- Magnifying Glass
- Hakko 474 Soldering Iron"
Hakko    936    Soldering Iron        "Includes:
- Hakko 701 Rework Station
- Magnifying Glass"
Hakko Corp    493-10    ESD Safe Smoke Absorber       
Hako    470 B    Desoldering Tool       
Hako    493-10    Solder Fume Filter       
Hakuto    Mach 760 UP    Automatic Cut Sheet Laminator       
Hally Instruments        Water Bath       
Halm    IUCT 1800    Solar Light Simulator       
Hamamatsu        IC Backside Polishing System       
Hamamatsu    PHEMOS-200    Emission Microscope       
Hamilton    SafeAire    Large Fume Hood       
Hamilton    SafeAire    Small Fume Hood       
Hamilton    Safeaire    Fume Hood    8"   
Hamilton    Safeaire    Fume Hood       
Hanbell    PS 902 A    Vacuum Pump       
Handworker    HWA 510 1    Piston       
Hang    150 07    Binders Crimping Machine       
Hang Zhou Ming Zhe    MZM 3040    Magnetizer        "- With D55 H 100 Magnetizing Coil (2 units)
- With MZLA 40 F Chillier"
Hang Zhou Ming Zhe    MZLS 40 F    Chiller       
Hang Zhou Ming Zhe    D 55 H 100    Magnetizing Coil       
Hangzhou Sanhai Electronic        High Temperature Reverse Bias (HTRB) System       
Hangzhou Sanhai Electronic    SPFP T    High Temperature Reverse Bias (HTRB) System       
Hangzhou Sanhai Electronic    SPTB GF    Oplife System       
Hangzhou Sanhai Electronic    SPTB G    Oplife System       
Hangzhou West Lake    Z 516    Bench Drill       
Hangzhou West Lake    SWJ 12    Tapping Machine       
Hankison International    DH-115           
Hanmi    3800 LD    Sawing & Placement        "- 220V
- 3 Phase
- 60 Hz"
Hanmi    3800 LD    Sawing and Placement Singulation Tool        "- Magazine load and offloading to Jedec tray
- Dual pick & place mechanism
- Dual chuck design and dual spindle, one blade / spindle
- Vision system with reject units sorting function
- Inspection includes (but not limited to): substrate orientation and substrate 2D code reader, device marking quality, broken devices, inked dot, package size X-Y, solder bumps quality Substrate size: 178 x 127 mm (MAXIMUM)"
Hanmi    2512    Wafer to Tray Sorting System       
Hanmi    VP 3000 L    Tapeless Saw        "- with Handler
- Tube Loading Output
- Spindle: 1.8 kW
- Chuck Table: 125mm x 75mm leadframe
- Input: Magazine for QFN leadframe (125mm x 75mm)
- Output: Currently metal tube unloading (standard tray unloading available)"
Hanmi    2000    Wafer Marking System        - Equipment has a bug in the laser software
Hanmi    3500 F    Sawing & Placement System        "The following parts need refurbishing:
- Servo Driver X 2
- HCFH5517A1
- 750W
- Board Sensor of Servo Driver Turn Feeder
- Comizoa
- CeDI32N
- QC.C-J06-9897
- EDI32113
- Board communicator (loader)
- QC.C-J07-12899
- ENMPE114"
Hanmi    BGA    Vision Inspection System       
Hanmi        Sing PBGA       
Hanmi    S&P 300    Auto Sawing & Placement System        - with Disco 3000D Vacuum Pump
Hanna Instruments    HI 903    Titration System       
Hannan Industries        Vacuum Forming Machine        "a. This piece of equipment has not been used in over 3 years and it does not have the necessary safety features that would allow it to be used in a normal manufacturing environment.
b. This item has been crated and is ready to be delivered.
c. The dimensions with the crate are 66”x56”x65” "
Han's    DR 50 S    Laser       
Han's    DR 50 S    Laser       
Hans Laser    YAG 50    Laser Marker       
Han's Laser Science & Technology    20 W    Fiber Laser Marker       
Han's Yueming Laser    HL-KN 95 A    Mask Production Line        "- Fully automatic
- 2.8T steel fabricated body
- 20mm SS platform to ensure anti-vibration tolerance
- 6 servo motors through EtherCAT
- 3~6 layers material auto-feeding
- Material roll stacking device
- Nose wire contour welding system
- Auto ear loop belt welding system
- Edge folding, press forming & die cutting system
- Working area: 280mm*endless
- Speed: 35~50/min
- Overall power: <15KW
- Ear loop welding accuracy: +1mm
- Edge Seal Accuracy: +1mm
- Mask size: L130mm
- Material type applicable: non-woven fabric, cotton, filtering materials, active carbon
- Fabric thickness of the mask: 1~3mm
- Power & pressure req: 220V/50HZ/single phases, 0.8~1Mpa
- Work environment: Temp 10~35*C, humidity: 5-35%HR, w/out flammable corrosive air & dust (cleanliness no less than 100,000)"
Hanseo        Cure Oven       
Hanseo    HS 2954    Oven       
Hansvedt        Plunge EDM       
Hanxuan    ADS-3-AS FS 8032    Diamaflow       
Hanyue    HAD 15 NF    Air Compressor       
Hao Mian Technology        "Automated Optical Inspection (AOI)
+ Taping System (SECS / GEM)"       
Hao Mian Technology        "Automated Optical Inspection (AOI)
+ Taping System (SECS / GEM)"        "- 2 Head
- 2D Barcode Reader"
Hao Mian Technology        "Automated Optical Inspection (AOI)
+ Taping System (SECS / GEM)"        - Open / Close Pickup Option
Hao Mian Technology        Auto AOI System Machine       
Hao Mian Technology    HVS-3000 B    Automatic Visual Inspection Machine       
Hapco    X 11            120V @ 60Hz
Hardinge    HP 5, DSM 59    Lathe       
Hardinge    DV-59    Manual Lathe       
Hardinge    HLV-H    Lathe       
Hardinge        Lathe       
Hardinge        Manual Lathe       
Hardinge        Mini Lathe       
Hardinge        Lathe       
Hardinge    ML           
Hardinge / Elgin        Polisher       
Harig    612    CNC       
Harig    612    CNC       
Harig    618    Ballway       
Harig    618 Autostep    Surface Grinder       
Haring    618    Auto Step Grinder       
Haring    618            with updated controller
Harmotec    WPO-67-B    Wafer Packing    8"   
Harrier        Gold Wire Bonder       
Harris    SLT 21 V-85 DBA-80    Freezer       
Harris Tuvey (HT)    9464    Isolation Voltage Tester       
Harrison    M 400    Lathe       
Harrison    6204 B    DC Power Supply       
Harrison    6521 A    DC Power Supply       
Harrison    390    Lathe       
Harrison Laboratories    865 B    Power Supply        "- 0-0.05 Amps
- Needs Calibration"
Harro Hofliger        Wallet Equipment       
Harrop        Kiln       
Harrop        Production Equipment       
Harsiddh    HAVC 100    Bottle Cleaning MAchine        Capacity: 40-120 BPM
Harting    09890400000    Semi-Automatic CPM Crimping Machine        "- Maximum Pressure: 100KN
- Upgraded with latest system and touchscreen"
Harvard    55-0715    Large Animal Ventilator        "- Single-Animal
- For animals from 1.5 to 50 kg (3.3 to 110 lb) in weight
- Volume adjustable from 30 to 750 cc/stroke
- Variable Inspiratory: Expiratory Ratio
- 115 VAC"
Haskel    AG 75    Booster Pump        "- Single Acting
- Single Stage
- Gas In 20000, Gas Out 20000"
Haskel    AG 75    Booster Pump        "- Single acting
- Single stage
- Gas in 11250, gas out 11250
- Air drive 150"
Haskel    32762    Booster Pump        "- Two stage booster
- Gas in 5000, gas out 9000
- Air drive = 150"
Haskins    R 175    Chiller        - 20 A 250 V 1 Phase
Haskris    WW 1    Fluid to Fluid Heat Exchanger       
Haskris    R 75    Heat Exchanger Recirculating Chiller Bath       
Haskris    CWS 3    Water Backup System       
Haskris        Air Cooled Water Chiller       
Haskris    R 300    Chiller       
Haskris    R 100    Chiller       
Hass    VF 6    Mill       
Hassel               
Hast        Pressure Pot / Shock System       
Hastest    HOT-900 YUCF    Temp Oven       
Hastest    HOT-252 XTCD-HTS    Constant Temperature Chamber       
Hastest    HPCT (r 10)-252 QTUT-PC    Programmable Temperature Chamber       
Hatsuta        Cabinex-Wt Fire Suppression System        "- Me#4118 & Qi#14287
- Wtel02Wd"
Hauman Vision System    HVS 1000    Automatic Optical Inspection Machine       
Haver & Boecker    Haver EML 200 Digital Plus T    Test Sieve Shaker       
HCT    400 SD    Wire Saws       
HCT    300 E 12    Slurry Wire Saw        "- Double cutting head 2 x 150mm ingot diameter, length up to 300mm
- Slicing speed up to 1000um/min
- Wire tension up to 30N
- Maximum wire length 300km
- Wire speed up to 15m/s
- Wire diameter up to 0.18mm
- Main drive power 2 x 15kW
- Slurry tank capacity 150l
- Pumping capacity 40l/min"
HCT Shaping Systems    Squarer KV 02           
HCT Shaping Systems    500 SD B/5    Wire Saw        "- Replacement of 8 bearing boxes assembly is required;
- There is a clearance in linear bearings of feed table. Replacement of guide and linear bearings is required;
- Pneumocylinder for holding the feed table is worn out and can not provide sufficient force; it should be replaced;
- Failure of screwed joint of thrust washer with tapered spool. Tapered spool replacement or factory repair are required;
- The tightness of the abrasive cooling heat exchanger is broken. Heat exchanger needs to be replaced;
- Replacement of industrial computer is required (routine replacement in according with operating hours).
- There is ball screw wear of stackers in a spool unit, it should be replaced."
HCT Shaping Systems    500 SD B/5    Wire Saw        "- Replacement of 8 bearing boxes assembly is required;
- There is a clearance in linear bearings of feed table, replacement of guide and linear bearings is required;
- Control panel replacement is required;
- Replacement of circulation pumps for the internal cooling circuit is required;
- Coolant regulators replacement (shimming, incorrect adjustment) is required;
- Replacement of industrial computer is required (routine replacement in according with operating hours)."
HD Semitech        Hydraulic GW & ST Lead Conditioning System        "- Fully Automatic
- 4-Stage Forming/Cutting Progression"
HD Semitech    NVIS 2500    Vision Inspection Measuring System        "- Tray to Tape Capability
- Top Mark Vision
- Leads Vision
- Tube to Tube"
HD Semitech    SUAT 001    Full Auto DTFS System       
HDI        Surface Scanner       
Headway    LS 22    Large Substrate Spinner        "The spinner is operational and programmable by PWM32 Sequence Controller.
The flexible aluminum sheet is customize and it can take out then bend.
This is a heavy duty spinner, it was used to spin 30lb metal substrates.

"
Headway        Low Temperature Oven for DF       
Headway Research        Spin Coater Controller       
Headway Research    SC 45    Spin Cleaner       
Heateflex        Heated Tank       
Heateflex    Aquarius GDI 9140 2 V P 646    Ultra-Pure DI Water Heater       
Hebei Hao Technology        Laminator        Single layer double cavity
Hebei Yi Heng Technology    YIHENG TDCZ-Y-4    Laminating Machine       
Hebei Yi Heng Technology    TDCZ-Z-4    Laminating Machine       
Hebei Yiheng Science & Technology    TDCZ-Y 4    Laminating        - single layer
Hebei Yiheng Science & Technology    TDCZ-Z 4    Laminating        - single layer
Heck    VA 400    Bevel Mill       
Heckert        Vertical Mill       
Heckert        Vertical Mill       
Hegla    LG 29 L 248    DLF Side Grap        Max Load 2800Kg
Heidelberg    DWL-66           
Heidelberg    DWL 66    Mask Writer       
Heidelberg    DWL 66 FS    Direct Writing Lithography System       
Heidelberg    DWL 66    Lithography System        "With Kimmon IK Series He-Cd Laser
- w/ spare lasers"
Heidelberg        Windmill Feeder       
Heidenhahn        Stage       
Heidolph        Rotary Evaporator       
Heidolph    LABO ROTA 20 S    Rotary Evaporator        "- AC 1x230 V
- 50/60 Hz
- Bad-Temp: 20-100*C"
Heidolph    MR Hei Tec    Magnetic Stirrer with Heating       
Heim    3 OBI    Press        "- 32 Ton
- 4 Stroke
- 70 Strokes/min
- Shut Height 9.50"
Heim    S 250    Press       
Heina    Tumble Tester II           
Heina        Tumbler Test       
Heise        Digital Pressure Gage        0-5000 PSI
Hekenhai        Positive Pressure Vertical Floor Console        - Main Power Supply = 110V
Hekenhai        Positive Pressure Vertical Floor Console        "- Main Power Supply = 110V
- Three-speed windmill"
Heldolph        Recirculating Chiller       
Heldolph               
Heller    MC 16    Horizontal Machining Center    "including FMS (Flexible Manufacturing System)
630mm work envelope,
2 pallets 400 x 500mm with standard
NC rotary table and 40-tool capacity magazine
"   
Heller    1500           
Heller    1809 EXL    Reflow Oven       
Heller    1912-EXL    Reflow Oven       
Heller    1912-EXL    Reflow Oven       
Heller    1900 EXL    Reflow Oven       
Heller    1800 EXL    Reflow Oven       
Heller    1900 EXL    Oven       
Heller        Snap Cure Baking Oven       
Heller    Hooke    Cure Oven       
Heller        Kelvin Cure Oven       
Heller        Baking Oven        - With KIC Profiler & Micros
Heller    1809 EXL    Reflow Oven        "- Non-functional
- Without mainboard and two temperature control cards"
Heller    1809 EXL    Reflow Oven       
Heller    1800 EXL    Reflow Oven       
Heller    1912 EXL    Reflow Oven        "- Maximum Board Width-
    : 508 mm  (20”)
- Forced Convection Zones    
    :  12 Heating Zones
- Protection
   : Temperature auto alarm
       triggering and Blower failure detection feature
   :  Back up UPS
- N2 Capable"
Heller    1800 W    Reflow Oven       
Heller    1810 EXL    Reflow Oven        - 10 zones
Heller    1912 EXL    Reflow Oven       
Heller    1912 EXL    Reflow Oven       
Heller    1500 SX    Reflow Oven       
Heller    1800    Reflow Oven       
Heller    1800 EXL    Reflow Oven       
Heller    1707 MK 5    Reflow Oven        "- Intel (R) Core (TM) i5-7400 CPU
- 64 bit operating system
- 5 Channel
- Windows 10 Pro"
Heller    1809 MK III    Reflow Oven        "- Right to left
-  Up to 400mm PCB Width"
Heller    1809 EXL    Reflow Oven       
Heller    1809 EXL    Reflow       
Heller    1809 EXL    Reflow Oven       
Heller    1500 SM    Oven       
Heller    1810    Reflow Oven       
Heller    1810 MK III    Reflow Soldering       
Heller / Zevatech    1700 SX           
Hengli Eletek    RSK 2506    Oven       
Hennecke                He-WI-03
Hennecke    He WI 03    Wafer Tester Sorter       
Henschel    KM 2200    Cool Mixer        "- Container Volume 2130
- Operating Temperature Max 180 °C"
Hepa        Mini Environment       
Heracell    150    Incubator       
Heraeus    Megafuge 1.0           
Heraeus    T 5402 E    Baking Oven       
Heraeus    Xenotest           
Heraeus    DK 45    Vacuum Pump       
Heraeus    DK-90    Vacuum Booster       
Heraeus    UT 6060           
Heraeus    T 6030           
Heraeus    Z 3067    Conveyorized UV Processor        "• 36"" Wide open mesh UV resistant conveyor belt, 48"" long infeed and outfeed (approx).
• Unit designed for use in a CLASS 10,000 cleanroom.
• CSA approved
• 1 – DRS-310Q Conveyorized UV Processor
• 1 – LH10MKII-30 UV Curing System (UVA, UVB,UVC, UVIS, option of filtering the UVB and C)
• 3 – Blowers (supply/2-exhaust)
• 1 – HEPA Filter Assembly – New (has not been in use)."
Heraeus    VT 6025    Vacuum Oven       
Heraeus / Votsch    VM 04/100    Climatic Chamber       
Heraeus Instruments    VT 6060 P Vacutherm           
Herbert Arnold    72 / 856    Chamfer Grinder       
Herbert Arnold    72 / 860    Surface Grinder       
Hercules    ETA 1    Power Supply        480 VAC
Hermes Microvision (HMI)    eScan 320    E-Beam Defect Inspection and Review System    12"    "- Integrated front-end
- Dual Pod 300mm FOUP
- 2- High quality flat panel displays
- GUI/Image computer XEON Dual CPU 3.2GHZ, 2GB Memory
- Host computer Pentium IV 3.2 GHZ, 2GB Memory
- DBDB (Detection, baseon Design Base) function
- E-chuck wafer holder
- Active Damping
- TFE electron beam emission source sub-system
- Electron=optice column sub-system
- HV power sub-system
- Programmable wafer biasing & charge balance control.
- SE and BSE high speed detection "
Hermle    Z-360    Centrifuge       
Hermle    Labnet Z 206 A           
Hermle    UWF 1202 H            "- Cat 40 6000 rpm spindle
- Vertical or horizontal head orientation
- 300psi coolant
- Chip conveyors
- Full enclosure
- Multiple tables
- Heidenhain 425 color 15” control
- Additional cast bolstering table
- USB, Ethernet
- 49 tool changer"
Hermle Labnet    Z 206 A           
Hesse & Knipps (H&K)    Bondjet BJ 855    Handler        "- Workholder Config: Pin Type (4) / Gripper Type (10)
- BH Config: 60 degree / fine wire"
Hesse & Knipps (H&K)    Bondjet 931            "- Power Requirements = 190-240 VAC, single phase, 50/60 Hz, UPS included
- Power Consumption=12,5 A @ 230VAC, 3kVA
- Air:compressed air 4 bar, 150 l/min"
Hetanke    A 2 ED 1-2 WS    Deduster for Compression 3412       
Hetran-B        Roll Straightener        :   .591" - 3.342"   Diameters,  4' - 22' lengths
Hetran-B        Bar Turner        .125" - 3.25" Diameters,  10' - 22' lengths
Hettich    Rotina 420           
Hettich    Rotina    Centrifuge       
Hewlett Packard    "4275 A
"    "Multi Frequency Inductance, Capacitance, Resistance (LCR) Meter                   
"       
Hewlett Packard    4261 A    LCR Meter       
Hewlett Packard    4195 A    Network Analyzer        10Hz-500MHz
Hewlett Packard    E 3630 A    DC Power Supply       
Hewlett Packard        Spare Parts       
Hewlett Packard        Lab GC Equipment       
Hewlett Packard    Q 6687 A    Printer       
Hewlett Packard (HP) / Agilent    6890 N    Gas Chromatograph (GC)        "- 5973 Mass Selective Detector
- 7683 Series Injector"
Hewlett Packard (HP) / Agilent    6890    Gas Chromatograph (GC)        - 5973 Mass Selective Detector
Hewlett Packard (HP) / Agilent    5890    Gas Chromatograph       
Hewlett Packard (HP) / Agilent    6890    Gas Chromatograph       
Hewlett Packard (HP) / Agilent    6890 / 5973    Gas Chromatography-Mass Spectrometer (GC-MS)       
Hewlett Packard (HP) / Agilent    6850    Gas Chromatograph       
Hewlett Packard (HP) / Agilent    1050    High-Performance Liquid Chromatography (HPLC) Quatropump       
Hewlett Packard (HP) / Agilent    5890 Series II GC-MS    Chromatograph       
Hewlett Packard (HP) / Agilent    5890 Series II    Gas Chromatograph       
Hewlett Packard (HP) / Agilent    3245 A    Universal Source       
Hewlett Packard (HP) / Agilent    6632 A    DC Power Supply       
Hewlett Packard (HP) / Agilent    6634 A    DC Power Supply       
Hewlett Packard (HP) / Agilent    34420 A    Nano Volt / Micro Ohm Meter       
Hewlett Packard (HP) / Agilent    54100 A    Digital Scope       
Hewlett Packard (HP) / Agilent    1260 Infinity            "- G1311B 1260 Quat Pump
- G4212B 1260 DAD
- G1316A 1260 TCC
- G1329B 1260 ALS
- G1330B 1290 Thermostat"
Hewlett Packard (HP) / Agilent    5110 ICP-OES           
Hewlett Packard (HP) / Agilent    1050    Liquid Chromatograph       
Hexagon Metrology    443 Dual Z Optiv Performance    Measuring Machine       
Hey    No. 3    End Face and Center Machine       
Heylignestaedt    405 Eb / 3000    Lathe       
HFA        Packaging Conveyor       
Hi Tech Engineering        Pressure Vessel       
HIAC        Liquid Particle       
Hibex Singapore        Double Multicut Former       
Hiden        RGA       
Hidromode        Hydraulic Press        "- 3 x 1.8m
- 400 tonnage
- with 2 robot:
   - 1 loading / transfer
   - 1 transfer / unloading
- 900m stroke
- Max press opening 1600"
Highbridge        Power Supply       
Highmax    SHM-200    Wafer Mount System       
Highmax    SHM 300    Wafer Saw       
Hilge    Hygiana-Bloc 1/3    Water Pump        With Simens Motor
HIMAX Worldwide    UV 200    UV Decure       
Hinds Instruments    PEM-90    Photoelastic Modulator       
Hino        Wet Vacuum Trunk        - 6x4 10m3
Hioki    3532-50    LCR Tester       
Hioki    IM 3570    Impedance Analyzer       
Hioki    L 2000    Probe        4 Terminal
Hioki    RM 3545    Resistance Meter       
Hioki    3174    Insulation Tester       
Hioki    SM 8215    Megohm Meter       
Hios    F 9000    Electric Screw Driver       
Hios    VZ 1820    Electric Screw Driver        Brushed
Hios    VZ 1820 PS    Electric Screw Driver        Brushed
Hios    VZ 3012    Electric Screw Driver        Brushed
Hios    VZ 3012 PS    Electric Screw Driver        Brushed
Hipotronics    HD 100 Series    Tester       
Hipotronics    HD 125 AUTO    Hipot Meter       
Hipotronics    T 8100-50-3    HV DC Power Supply        Part # B 526-3535
Hipotronics    HM 3 A    Megohmmeter       
Hirata    KWS 450 318 A VL    Wafer Sorter        3-Port
Hirata    KWS 450 418 A VL    Wafer Sorter       
Hirayama    VS-300-2    Electric Vibration Tester       
Hirayama    PC 304 R III    PCT       
Hirayama    PC 305 S 3 V    Autoclave       
Hirayama    PC 3058111    Steam Pressure Environmental Testing Unit        With biasing feedthroughs
Hirayama Hastest    PC-422 R 8    Chamber       
Hirosu        NMP Bench    5"    "- Power = 220V
- Single-phase
- 3-wire
- 150A"
Hirosu        Metal Etch Bench    5"    "- Power: 220V
- Single Phase
- 3 Wire
- 150 A"
Hirosu        Metal Etch Bench        "- Power: 220V
- Single Phase
- 3 Wire
- 150 A"
Hirosu        Polish Bench    5"   
Hirosu        Tube Clean Bench    5"   
Hirosu        BOE Bench    5"    "- Main Power = 220V
- Single-phase
- 3-wire
- 210A
- 46.2KVA"
Hirox    KH 7700    Digital Microscope       
Hirox    KH 7700    Digital Microscope       
Hisomet    II DH 2    Measuring Microscope       
Hitachi    S-4500    Scanning Electron Microscope (SEM)       
Hitachi               
Hitachi    CM 700 X           
Hitachi    S 530    Scanning Electron Microscope (SEM)       
Hitachi    HL 700 D    E-Beam Lithography System       
Hitachi    M 712 XT    Etcher    12"   
Hitachi    BIST - BT 1064    Sort Tester        "- Software version: Windows 2000 (SP4)
- CIM: Yes
- Weight: 125*1kg"
Hitachi    AS 5000    Microscope    8"   
Hitachi    Microanalysis System    Microanalysis System    12"   
Hitachi    S-7800    CD SEM (Scanning Electron Microscope)    8"    "- Software version: OS: Unix
- Power supply unit
- HV power supply unit
- Chiller (EDX)"
Hitachi    Z-5700    Spectrometer    12"    "- Focal length/dispersion : 400 mm, 1.3 nm/mm
- Photometer: double-beam
- Grating: 1800 lines/mm, blazed at 200 nm"
Hitachi    CM-700 H    Die Attach System       
Hitachi    EPL-8541 HK 8 R    Color Copier       
Hitachi    MI-SCOPE    Scanning Acoustictomograph       
Hitachi    V 523 50 MHZ    Oscilloscope       
Hitachi    VP-1500    Monochrome Copier       
Hitachi    S-2700    Scanning Electron Microscope (SEM)        EDAX DX4 Spectrum Analyzer (Nonfunctional)
Hitachi    S 4500    Scanning Electron Microscope (SEM)       
Hitachi    L-7000    High-Performance Liquid Chromatography (HPLC)       
Hitachi    HF 2000    Transmission Electron Microscopy (TEM)        - Needs a new turbo pump
Hitachi    V 22 Plus           
Hitachi    Hi Screw 37           
Hitachi    SD 520 A 3    AG Dispenser       
Hitachi    SD 520 A 3    Seal Dispenser       
Hitachi    FR 520    Seal Precure       
Hitachi    LF 520 8    TR Dispenser       
Hitachi    WA 200    Atomic Force Microscope (AFM)    8"   
Hitachi    ND-5 L 210 E    Drill       
Hitachi    M 4510 XT    Asher / Etch System       
Hitachi    CG 6450 T    CD-SEM (Critical Dimension - Scanning Electron Microscopy)       
Hitachi    LS 9245    Unpatterned Wafer Particle Detection System       
Hitachi    S-5200    SEM       
Hitachi    H-8100    TEM        "- 75kV to 200kV thermionic emission (W hairpin filament)
- Large specimen tilt (+/- 45 degrees) pole piece
- In situ heating experiments with a heating stage (up to 900 degrees)
- A cryo-TEM with a cryo-holder (down to -170 degrees)
- High quality Gatan TV rate CCD camera for imaging (down to 0.5 nm resolution) and diffraction (large tilting with a Gatan double tilt holder)
- Operation at low kV (75 and 100 kV) for soft- and bio-materials and 200 kV for inorganic materials
- CBED, nanodiffraction capabilities
- Hollow-Cone Illumination
- Hitachi H8100 Manual"
Hitachi    W 5200           
Hitachi    S 3200 N    Scanning Electron Microscope (SEM)        Comes with Instruction Manual
Hitachi    S-4700    Scanning Electron Microscope (SEM)        "1. Type I
2. Was under OEM service contract
3. Does not include:
- Pumps or Chiller
- EDX"
Hitachi    6200 H    Scanning Electron Microscope (SEM)    5"   
Hitachi    S 570    Scanning Electron Microscope (SEM)       
Hitachi    S 6100    Scanning Electron Microscope (SEM)    6"   
Hitachi    S 6600    Scanning Electron Microscope (SEM)    6"   
Hitachi    S 7280 H    Scanning Electron Microscope (SEM)    5"   
Hitachi    V-252    Oscilloscope       
Hitachi    V-252    Oscilloscope       
Hitachi    SU 6600    Scanning Electron Microscope (SEM)       
Hitachi    J 300    Industrial Mixer       
Hitachi    V-1050    Oscilloscope        with probes
Hitachi        Laser Drilling, CO2 Machine       
Hitachi    V 1050 F    Oscilloscope       
Hitachi    Mark 10-ND-SP 210 E           
Hitachi    S 4800           
Hitachi    S 4700 II           
Hitachi    7500    TEM        - Camera not included
Hitachi    M 1-120    Scanning Acoustic Tomagraph       
Hitachi    GHL B 306    Grinding Machine       
Hitachi    PX D 440 U    Jet Printer       
Hitachi    S 4200    Scanning Electron Microscope (SEM)       
Hitachi    CG 9360        8"   
Hitachi    SPC 100 B+H    Plasma Cleaner       
Hitachi    S 7800 H    Critical Dimension Scanning Electron Microscope (CD SEM)    4" - 8"    "- Automatic cassette to cassette wafer loading
- Resolution: 5 nm at 1 kV
- Throughput: 5 Wafers/Hour
- Stage movable range:
   -- X: 0 ~ 200 mm
   -- Y: 0 ~ 200 mm
   -- Z: 4 ~ 12 mm
   -- R: 0 ~ 360°
   -- T: 0 ~ 60°
- OM/SEM image is not properly displayed in window on standard screen. We use a separate monitor on top that provides a proper image.
- After a power dip system gets stuck in startup monitor."
Hitachi    S 4700    SEM       
Hitachi    S 4500    Scanning Electron Microscope (SEM)       
Hitachi    S 7800 H    CD SEM        "- Leak on AV-2 (Valve between loading chamber and specimen chamber)
- Not possible to establish steady vacuum.
- Turbo pump #2 overheating (the TP itself should be fine)"
Hitachi    J 300    Industrial Mixer       
Hitachi    HD 2000    STEM        "- 200 kV
- Cold FEG
- Imaging modes: SEM, STEM – BF and HAADF
- Nano diffraction
- Single tilt holder
- Double tilt holder
- Gatan heating/ cooling holder
- Oxford INCA X-Sight EDS System included
- Rough pump and chiller not included"
Hitachi    S 3400 E    SEM, VP-SEM        "- EDAX
- Upgraded 2015"
Hitachi    S 4500    Scanning Electron Microscope (SEM)    up to 6"    "- Type II
- with Oxford EDX Detector
- Upgraded with:
     - Back scattered electrons detector
     - PCI Quartz system
     - Inca software"
Hitachi    S 4500    Scanning Electron Microscope (SEM)    4"    "- SE and Backscatter detectors
- No EDS
- Display
- Pump
- Monitor, 19""
- Core i3 processor
- Operating system: Windows XP Professional
- Power supply"
Hitachi        Scanning Electron Microscope (SEM)        - Not working
Hitachi Kokusai Electric    DD 1810 N DLS    Vertical Diffusion Furnace        Oxide
Hitachi Kokusai Electric    DD 1810 N DLS    Vertical Diffusion Furnace        Anneal
Hitachi Kokusai Electric    DD 1810 N DLS    Vertical Low Pressure Chemical Vapor Deposition (CVD) Furnace       
Hitachi Sieki    Hi Cell 40 II    Lathe        "- with Live Tooling in Carousol and Y Axis
- Chuck Size 15""
- Spindle Speed: 1800 RPM
- Spindle Motor: 27/40 Hp
- Number of Tools/Turrent Station: 8 Stations and 30 Tool Carousol
- Key Options:
   - Y Axis
   - Tool Presetter
   - Tailstock, Chip Conveyor
- Control Buttons are Worn"
Hitzinger        Kinetic Energy Module        "- Width 78.740  in  (200.0 cm)
- Depth 98.425  in  (250.0 cm)
- Height 90.551  in  (230.0 cm)
- Weight 17,637  lb  (8,000 kg)"
HIX    ECII 2409    HIX Conveyorized Oven       
HIX    EC II 2409    Conveyorized Oven        "- 7.1 KW
- 208-240 Vac
- 29 Amps"
Hobart    P-660    Mixer       
Hobersal    MOD 12 PR 300 SCH    Furnace       
Hoch Temeratur Technik    WTE 07 3 54 1    Flat Roller Heating machine       
Hoch Temeratur Technik    CPS 08 5 48 1    Engraved Roller Heating       
Hockmeyer        Mixer        20 HP
Hoeing Jobin        Yvon XploRA Raman Microscope       
Hoenle    Bluepoint 4 UVC    UV Machine for O3A       
Hoenle    Uvacube            Including Lamp Fozer, Quartz Filter Set
Hoffland        Filter Press        PN: AA-1.26-1.25-CGR-PVC-1V
Hoffman    A 242408 LP    Enclosed Control Panel       
Hoffman    HD 11.1    Balancer       
Hofler    XGen 4    Connector Pinning       
Hofler    D 5    Bending Device       
Hofler    Xenon D 5    Trafo Pinning       
Hohner    HSB 9000    Saddle Stitcher        with integrated folder
Hollmuller    HSTP 2 0 55/50    Etcher       
Hollmuller    3018    Etcher       
Holmes    10 XL    Rifler       
Hologenix    MX 203-8-49 b    Wafer Measurement       
Holometrix    TCHM-LT    Thermal Conductivity Instrument        "Includes:
- Relialogic Computer
- Keyboard
- Scope Head
- Table"
Holon    EBLITHO-2000    Electric Stamper (Lithography Tool)       
Holon Busch    EBLITHO-2000    Electric Stamper        "- M-UPS (FE)
- Pump"
Homelabs        Dehumidifier        70 Pint Capacity
Hommelweke    Hommel T 20    Surface Measurement Tester        "(1) Combined T20-DC Tester, and RP50 Recorder Printer Controller
(1) LV-150 N 4618 Surface Profiler
(1) LV-100 6255 Surface Profiler
(1) Granite Base Plate with Tracks"
Honewell    DR 4200    Chart Recorder       
Honewell    DR 4300    Chart Recorder       
Honeywell        Paper Shredder       
Honeywell        Oven       
Honeywell    2000    Portable Eye Wash       
Honeywell Analytics    E 400 GOLD    Emcore Reactor       
Hong Hua    RJS-1000    Foil Winding Machine       
Hong Hua    RJ-600    Foil Winding Machine       
Hong Jin    ABSI 600 P    Ball Scraper       
Hong Jin Technology    HT 1022    Auto Taping Handler       
Hong Jin Technology    HVT-305 BF 2    Tester       
Hong Jin Technology    HVT-305 BF 2    Manual Mechanism Modification       
Hong Jin Technology    HVT-305 CTU    Manual Mechanism Modification Tester       
Hong Jin Technology    HT-3019    Auto Film Per-Bend       
Hong Jin Technology    F 300    Manual Mechanism Modification       
Hong Jin Technology    C 300    Manual Mechanism Modification       
Hong Jin Technology    HT-1980    Cap Attach Handler        - With SECS / GEM
Hong Jin Technology    HT-1991 A    Automatic Visual Inspection Machine       
Hong Jin Technology    HVT-305 BF 4    Dispensing Curing System Testing Machine        - Auto Focus
Hong Kong Kuttler    Chemcut 30    Auto Loader       
Hong Teng Technology    TM-3100    Machine Implant       
Hong Teng Technology    TM-3100    Automatic Plant Machine       
Hong Teng Technology    BT-1000 HTA    Boat to Tray Switch       
Hong Teng Technology    HTA BT-1000    Transpose Machine       
Hong Teng Technology    TM-3200    Automatic Plant Machine       
Honghan Technology        Semi-Automatic Placement Machine       
Honghe Electric        BOE Acid Bench    5"   
Hongkong Kuttler    Chemcut 30    Auto Unlaoder       
Hongrigang    NS 2000    Chrimping Machine       
Honkey    SVG 86    Brush Washing Machine       
Hontech    3302 A microSD    Handler       
Hontech    3302 SD    Handler       
Hontech    HT 3309    Flash Card Test Handler        "- 60Hz, 220V, 20A
- Air Source: 5-6 KG/cm, 50NL/min
- Operation Mode: ZAuto Run Mode / ZManual Run Mode
- Tray L/UL Buffer:
      Auto: Empty1+Input1+Output2
      Manual: Output2
- Printer: Option: A4 Color Jet Printer
- Change Kit Time: <15min/site
- Test Socket Height: 1060mm
- Input/Output Cycle Time: 1.3 sec/IC
- Flash Card Size: Micro SD:15x11mm, SD:32x24mm
- Tray: JEDEC, EIAJ
- Flash Card Test Board: 16 Load Board
- Jam Rate: <1/3000
- Interface: RS232 (option TTL, GPIB)
- Input/Ouput Arm Shuttle: 2 Sets
- ESD: Option: Ionizer Fan
- Devide Alignment: Alignment Pocket
- Test Site Contact: Pogo Pin Contact Method
- Controller: Pentium4 2.8G CPU IPC / Hard Disk 80G / Memory 512MB
- Operation Panel: 15"" TFT LCD, Mouse, Windows 2000
- Vacuum Air: Vacuum Generator
- Environment: Temp: 5*C ~ 40*C / Humidity: 50% ~ 80%"
HORA    OLT    Operating Life Test System        "- Width 31.496  in  (80.0 cm)
- Depth 23.622  in  (60.0 cm)
- Height 78.740  in  (200.0 cm)"
Horiba    VIA 510            Range: 1000 ppm
Horiba    CLA 510            Range: 2000 ppm
Horiba    FIA 510            Range: 10000 ppm
Horiba    MPA 510           
Horiba    ES C 510    Sample Conditioner       
Horiba    NEMA    Cabinet Enclosure       
Horiba    XGT-700 V    X-Ray Analytical Microscope (microXRF)        "- Rh x-ray tube operating at 15, 30, or 50 kV, max. 1 mA
- Si(Li) EDS detector replaced under warranty in March 2010
- X-ray guide tube cartridge for 10 or 100 micrometer beam size (You can select in software)
- NaI(Tl) scintillator transmission x-ray detector
- Horiba high-purity Si Be-window EDS, liquid nitrogen cooled, MnKα 148 eV resolution, possible elements Na-U
- Oxford Inca signal pulse processor
- Oxford Inca-based software package
- No further modifications other than adding second PC for off-line data-processing, network access, data storage
- Orientation using 3 CCD cameras and 4 light sources from different angles
- Sample dimensions max. 300x300x80 mm, 1 kg
- Stage travel range 100x100x20 mm
- Still original Rh x-ray tube, hours counter at 695 hours
- Can be operated under vacuum (rotary vane pump) or at room atmosphere
- Bench not included"
Horiba    PZ 2000    Ellipsometer        "Windows 2000 OS.
PQ Diamond Software Rev 1.4"
Horizon    DHR 3655 D-10    Power Supply       
Horkos    HFN R 40 H 16    Computer Numerical Control (CNC) machine        "- HSK 63
- Horizonal
- MQL
- 4 Axis"
Hosokawa    15 MDC 43    Mixer        1500 L
Hosokawa    Mikro ACM Pulverizer           
Hosokawa    Mikro Pulverizer    Hammer Mill       
Hosokawa    Mikro-Pulverizer    Mikro-Pulverizer for Tegratol API Miling       
Hosokawa    K 200 / 100    Compaction       
Hosokawa    MEL 300    Mobile Lifting Device       
Hosokawa Micron    API    Mixer        "- 1200kg
- Temp -10*C - +40*C"
Hot Chamber        Die Cast       
HotPack    213023           
HotPak        Oven       
Hotsy    942 N    Pressure Washer       
Hover    4 B    Automatic Muller       
Hover Davis        Blue Feeders       
Hover Davis        SMT Feeders        "- SL type
- 3x8 triple track to 24/32mm for Siemens S series machine
- 3-8mm 0201 Gold (Qty: 1 new)
- 3-8mm (Qty: 18 working, 4 need repair)
- 12/16mm (Qty: 48 working, 5 need repair)
- 24/32mm (Qty: 11 working)"
Hover Davis        Feeder        "- 3-8 mm 0201 Gold (1)
- 3-8 mm (18)
- 12/16 mm (48)
- 24/32 mm (11)"
Hover-Davis        Feeder        3x8
Hover-Davis        Feeder        12/16mm
Hover-Davis        Feeder        24/32mm
Hover-Davis        Feeder        44mm
HP    P 1102    LaserJet Printer       
HP    4550    Color LaserJet Printer       
HP    4200 / 4300 Series    Printer       
HP    KB 0316           
HP    DesignJet T 610    Printer       
HP    P 3015 DN    Printer       
HP    Proliant DL 320    UI Computer for Endeavor Cluster Tool       
HP    9122    Computer       
HP / Agilent    Design Jet 800           
HP / Agilent    8589 E    RF Spectrum Analyzer       
HP / Agilent    4062           
HP / Agilent    8558 B    Spectrum Analyzer       
HP / Agilent    E 4432 B    Signal Generator       
HP / Agilent    2000    Tester    8"   
HP / Agilent    V 3300    Versa Tester for FZTAT (Memory portion)        "- 64 I/O channels per site
- APG Size: 256k
- Vector RAM depth: 32k bits"
HP / Agilent    6653 A    Power Supply       
HP / Agilent    8753 C    Vertical Network Analyzer (VNA)       
HP / Agilent    85046 A           
HP / Agilent    8595 E    Spectrum Analyzer        "Items included in purchase:
- spectrum analyzer unit
- power cord (for US 120 VAC outlet)
- RF male to BNC female adapter for front panel input signal connector
- user manual (PDF) also provided (sent by email upon purchase)

System options included:
-021 (HP-IB Interface)
-101 (Fast Time-Domain Sweeps and Analog+ Display)
-102 (AM/FM Demodulator and TV Sync Trigger)
-105 (Time-Gated Spectrum Analysis)
-130 (Narrow Resolution Bandwidths - 30 to 300 Hz and 200 Hz EMI)

Passed calibration:
System was run through and passed all the standard calibration procedures:
- frequency
- amplitude
- yttrium iron garnet (YIG)-tuned filter (YTF)
Most recent (June 2017) calibration data is stored in onboard memory.
"
HP / Agilent    8082 A    Pulse Generator        - With Manual
HP / Agilent    4072 B    Advanced Parametric Tester       
HP / Agilent    85046 A    S Parameter Test Set       
HP / Agilent    Plus    Lab GC Equipment       
HP / Agilent    E 5810 A    LAN / GPIB Gateway       
HP / Agilent    85046 A    S-Parameter Test Set       
HP / Agilent    8131 A    Pulse Generator       
HP / Agilent    3585 A    Spectrum Analyzer        20 Hz - 40 Mhz
HP / Agilent    3325 B    Synthesizer        Option
HP / Agilent    8443 A    Tracking Generator       
HP / Agilent    8714 B    Network Analyzer        300KHz to 3000MHz
HP / Agilent    8007 B    Pulse Generator       
HP / Agilent    8015 A    Pulse Generator       
HP / Agilent    8970 B    Noise Figure Meter       
HP / Agilent    8566 B    Analyzer Display       
HP / Agilent    8481 B    Power Sensor       
HP / Agilent    30 Db    30Db Pad       
HP / Agilent    8753 B    Network Analyzer       
HP / Agilent    85025 B    Detector       
HP / Agilent    E 4432 A    RF Signal Generator       
HP / Agilent    6633 A    Power Supply       
HP / Agilent    4500    Inductively Coupled Plasma Mass Spectrometers (ICP-MS) system       
HP / Agilent    70952 B + 70004 A    Optical Spectrum Analyzer       
HP / Agilent    Visualize B 180 L           
HP / Agilent    HP 4145 B    Analyzer       
HP / Agilent    Jet Fusion    3D Printer       
HP / Agilent    4155 B    Semiconductor Parameter Analyzer       
HP / Agilent    4145 B    Semiconductor Parameter Analyzer       
HP / Agilent / Keysight    3070 Series 3    Tester        "- Controller: Unix B 180 L
- BT Basic 5.21
- 2 modules
- 6 HDD per module
- 144 channels per card
- 1728 nodes"
HP / Agilent / Verigy    93000    Boards       
HP / Agilent / Verigy    83000           
HP / Agilent / Verigy    83000    Tester       
HP / Agilent / Verigy    83000           
HP / Agilent / Verigy    83000 F 330 t    Tester        "83K config          
BASAL CONDITION   
    Model    f330t
    Workstation     C3600
    Operation System    HP-UNIX B10.20
    Smart Test Version    5.7.3+6
    Clock Rate    100 MHz
    Data Rate    330 Mbit/s
    Testhead    512
I/O channels    Count    392 CH
    f330t    384 CH
10101-12416
    f120t    -
    f80t    -
    Scan    8 CH
13209-13216
    Scanvectors    13209-13212   256M
13213-13214   64M
13215-13216   256M
    Vector Memory    8M
ANALOG    SWI    Slot 130
    SCM    -
    SCM+    -
    SCM++    -
POWER    PDPS    8 CH
        DPS1   11,12,13,14
DPS2   21,22,23,24"
HP / Agilent / Verigy    93000           
HP / Agilent / Verigy    93000    Card        - PS 9 G Card
HP / Agilent / Verigy    93000            "Workstation: Z800
Chiller: E2760FC
Card Cage: 8
PS400 Rev B: 12
UHC4: 2
DPS32: 2
MBAV8: 1
ACDC: 4"
HP / Agilent / Verigy    93000            "Workstation: Z800
Chiller: E2760FA
Card Cage: 4
PS400 Rev B: 18
UHC4: 2
DPS32: 2
MBAV8: 1
ACDC: 3"
HP / Agilent / Verigy    81000 BA    Fiber Adaptor       
HP / Agilent / Verigy    81000 KA    Fiber Adaptor       
HP / Agilent / Verigy    93000    Tester        "- 768 Pins
- PS 400 (12 )
- PS 3600 (2 )
- MSDPS (4 )
- CSDPS (2 )
- AC/DC (3 )
- PS 400 Full Mode
- Small Test Head
- WX 4400 Workstation
- UNIX Operating System
- Software Version 6.3.5
- Chiller Model: SOC 1-28/5-8.0
- 4 CC Cage Card
- MP 912 Manipulator"
HP / Agilent / Verigy    83000    Tester       
HP / Agilent / Verigy    93000 SOC Series    Tester        "- LTH
- 1x(SPB,WDD,WGD,GPDPS)
- 544xP1000,4xMSDPS"
HP / Agilent / Verigy    93000 SOC Series C 200 e    Tester        "- 96xC200e(7M)
- 4xACDC
- 8xDCDC
- no computers "
HP / Agilent / Verigy    93000 SOC Series C 200 e    Tester        "- 64xC200e(7M)
- 8xACDC
- 16xDCDC
- no computers "
HP / Agilent / Verigy    93000 SOC Series C 400 e    Tester        "- 1st Tester:
2x MSDPS
NB Channels: 32
Digital Resources Location: 101-102: C400e, 103-119:P600
WDD: 208
- 2nd Tester:
4MSDPS+15xC400 (7x56M, 8x28M))
NB Channels: 208
Digital Resources Location: 101-107: C400e 56M, 108-113: C400e 28M
WDD: 112
- No computers"
HP Designjet        3D Printer       
HP Designjet    T 1120 PS    Printer Plotter       
HSEB    Axiospect 300    Stereo Microscope    12"   
H-Square    QBL 150    Flat and Notch Aligner       
H-Square        Cassette Automated Inspection       
HST        Reverse Current Temp Tester       
HTA    OS 7700           
HTM Reetz GmbH    LORA 1700-50-1300-1    Sintering Furnace        - Max continuous operating temperature 1600 C
HTM Reetz GmbH    LOSIC 1600-80-600-3    Horizontal Tube Furnace        - Max continuous operating temperature 1500 C
Htschudin    HTG 300    Rounder       
Huasheng Tianlong    95    Crystal Furnace       
Huber    Rota Cool    Chiller       
Huber    UC 040 Tw-H            -10 Degrees Celcius -100 Degrees Celcius
Hubers        Voltage Injection       
Huffman    HS 155 R    CNC Grinding tool       
Huffman        Surface Grinder       
Hughes / Palomar    2470-V    Wirebonder       
Hughes / Palomar    2470-V           
Hughes / Palomar    2470-III           
Hughes / Palomar    6500    Precision Assembly Die Bonder       
Hughes / Palomar    HRW 250 AC-DC    Power Supply        250 Watt
Hughes / Palomar    6500    Die Bonder        "- High-precision component Placement system
- Includes option for picking up solder preforms from waffle pack
-  System was designed to attach a diode laser to a chip that had 80/20 solder sputtered on the bonding location. Placement of the laser within +/- 3 microns accuracy"
Hughes / Palomar    8000 i    Wire Bonder       
Hugle    UPC-12100    Cleaner System    12"    "Quantity
- (3) Load Port
- (1) Robot
- (6) Foup Buffer Area
- (2) Chamber"
Human RH    HPC-4000    Particle Inspection System       
Hummer    VI    Sputtering System        With Edwards 1 Two Stage Vacuum Pump
Hunkeler    UW 4    Unwinder        "- Single Dancer
- Airshaft / Core 70mm or 200mm"
Hunkeler    UWM 7082    Unwinder        - Airshaft Core 70mm
Hunkeler    UW 4 7119    Unwinder        "- Single Dancer
- Airshaft Core 70mm or 200mm"
Hunkeler    UWM    Unwinder        - Airshaft Core 70mm
Hunkeler    UWM 70 7082    Unwinder        - Airshaft Core 70mm
Hunkeler    UW 4-150 m-R-VB 7110    Unwinder        "- Double Dancer with Potentiometer
- Vacuum Box (Buffer)
- Airshaft Core 70mm"
Hunkeler    UW 4 7119    Unwinder        "- Single Dancer
- Airshaft Core 70mm or 200mm"
Hunkeler    UW 4 7119    Unwinder        "- Double Dancer with Potentiometer
- Airshaft / Core 70mm or 200mm"
Hunkeler    UW 4    Unwinder        "- Double Dancer with Potentiometer
- Vacuum Box (Buffer)
- Airshaft / Core 70mm or 200mm"
Hunkeler    UW 4-150 m-R-VB 7119    Unwinder        "- Double Dancer with Potentiometer
- Vacuum Box (Buffer)
- Airshaft / Core 70mm or 200mm"
Hunkeler    FS 4 7127    Unwinder       
Hunkeler        180 Degree Duplex Turn Station        Floor Version
Hunkeler        Paper Rollwagon       
Hunkeler    WT 4 7118    Cross Turning Unit Inline        with Built In Blower
Hunkeler    TU-A 7170           
Hunkeler    VU 7170           
Hunter    WT 200    Heavy Duty Tire Alignment       
Hunterlab        Color Matching Spectrophotometer       
Hurco    HB 65-1.5    Bar Feeder       
Hurco    TMM 10 i    CNC Turning Center        "- 10' Chuck
- 3' Thru"
Hurco    TM 10    CNC Turning Center       
Huskey    GHM 105890    Cement Mixer       
Huskey    GHM 105890    Cement Mixer        110-120 Volt
Husky    HyPet 120    Injection Molding Machine       
Husky    HyPet 90    Injection Molding Machine       
Husky    GL 300    Injection Molding Machine       
Husky    GL 300    Injection Molding Machine       
Husky    C 602 H           
Huvitiz    HM-TV 0    Microscope       
Hwacheon    Hi ECO 21 HS    CNC Lathe        w/ 8" chuck, 3J collet closer and collets.
Hwacheon    Hi ECO 10    CNC Lathe        w/ 6" chuck, 5c collet closer and some collets
HWUV    HWUV-01    Uv Light Curing Chamber       
Hybco        Grinder       
Hybond    UDB 140 A    Die Bonder       
Hybond    UDB 140 A    Die Bonder Parts Tool       
HYDAC        Lubrication Unit for ISO VG 32        DC : 1247 Vcc // AC : 400 V 3 phase / 50 Hz
Hyd-Mech    S 20 A    Horizontal Bandsaw        "- Duracell battery dead in relay switch
- w/manual
- Single Mitering"
Hydrapower    CNC 4 M    V-Groove Machine        "- Heavy Duty
- Table / Gantry type
- Capacity: 6mm x 4m
- Main Voltage: 380V, 3Ph, 60Hz, 12amps
- Control: 24 V DC / 7 amps"
Hydratron        High Pressure Test Rig       
Hydro Test    500-020           
Hydro Test    500-LP-18-P           
Hyfra        Chiller       
Hylas    HT 5000    Laser Marker       
Hylax Technology    HM 2120    Wafer Mapping Machine       
Hyndai    HDA 03 HC 1    Chiller        "- with air cooled condenser
- Cooling capacity: 9.8 kW.
- Power supply voltage: 380 V
- Freon R-22
- Evaporator-submersible type
- Hydro Kit-built in, open tank
- Dimensions: 900 x 750 x 1400"
Hyndai    HD 02 A    Chiller        "- with air cooled condenser
- Cooling capacity: 6.5 kW.
- Power supply voltage: 380 V
- Freon R-22
- Evaporator-submersible type
- Hydro Kit-built in, open tank
- Dimensions: 700 * 850 * 1000"
Hyndai    HD 01 A            "- with air cooled condenser
- Cooling capacity: 2.5 kW.
- Power supply voltage: 220 V
- Freon R-22
- Evaporator-submersible type
- Hydro Kit-built in, open tank
- Dimensions: 500 x 800 x 1000"
Hyndai    HDA 05            "- with air cooled condenser
- Cooling capacity: 16.3 kW.
- Power supply voltage: 380 V
- Freon R-22
- Evaporator-submersible type
- Hydro Kit-built in, open tank
- Dimensions: 1000 * 800 * 1200"
Hyndai    07.5 HC    Chiller        "- with air cooled condenser
- Cooling capacity: 24.2 kW.
- Power supply voltage: 380 V
- Freon R-22
- Evaporator-submersible type
- Hydromodule- built in
- Dimensions: 1270 x 870 x 1300"
Hypersonic    Chipheraser 1630    EPROM Eraser    12"   
Hypertherm    MRC    Plasma Robot       
Hypertherm    Powermax 65    Handheld Plasma Cutter       
Hypervision    PTF 1    Portable Microscope       
Hypervision    PTF 1    Portable Microscope       
Hyrel    3D Hydra    3D Printer       
Hyster    N 30 ZDR-14.5    Fork Lift        3,000 lb lift capacity
Hyster        Forklift        "- 16T
- H16.00XM-6
- Open Cab
- With Mast"
Hyster        Forklift        "- 16T
- H360HD2
- Enclosed Cab
- No Mast, but includes new carriage assembly with tines"
Hyster    H 50    Forklift       
Hyster    T 100    Tow Motor       
Hytrol        Belt Driven Conveyor        90" x 28"
Hytrol        Gravity Conveyor        108" x 30"
Hytrol        Gravity Conveyor Sections        "- 30” OTO
- 27” BF
- 108” L
- 4.5” High"
Hytrol        Gravity Conveyor Supports        ~20-36” Adjustable
Hytrol        Belt Driven Conveyor        "- 28” OTO
- 25” BF
- 90” L"
Hytrol    391968    Conveyor       
Hyvision        DC + Blemish Manual Tester       
Hyvision        HSMT + DCR Manual Tester       
Hyvision    HVT-305 CTU    Tester       
Hyvision    HVT-305 BF 2    Tester       
Hyvision    HVT-305 BF 2    Dispensing / Curing System Testing Machine        - Auto Focus
Hyvision    BHS-100 M    Rewinding Machine        - Auto
Hyvision    HVT-305 CTU    Color Measurement Tester       
Hyvision    900 DC-V 1    Optical Inspection and Electrical Test Machine       
Hyvision    900 D-V 2    Electrical Testing Machine       
Hyvision    F 300    Tester       
Hyvision    F 300 / HVT-305 BF 4    Dispensing / Curing System Testing Machine        - Auto focus
Hyvision    C 300    Measuring Instrument       
Hyvision    C 300 / HVT-305 CTU    Color Measuring Testing Machine       
Hyvision    H 100-V 2    Auto Load / Unload Handler       
Hyvision    900 DC-V 1    Optical Inspection and Electrical Test Machine       
Hyvision    C 301    Automatic Optical Inspection Tester       
Hyvision    T 801 for FT / OQA    Automatic Optical Inspection Handler       
Hyvision    C 301    Automatic Optical Inspection       
Hyvision    T 800    Electrical and Noise Tester       
Hyvision    BF    Conversion Kit       
Hyvision    CT 1    Conversion Kit       
Hyvision    CTQ    Conversion Kit       
Hyvision    Riemann    AA Machine Measurement Tools & Jigs       
Hyvision        Model Conversion Kits        - for FF6 & FF5
Hyvision    C 300    Tester        C 300 > C 301 Mod
Hyvision    HVT-305 CTU            C300 > 301 Mod
Hyvision    HVT-305 BF 4    Handler        - Auto Focus
Hyvision    T 802    Automatic Optical Inspection Handler       
Hyvision    H 100-V 2    Automatic Optical Inspection Handler       
Hyvision    T 800 VT to NH    Feed Stands, Automatic Seat Carrier, Suction Head       
IAC Industries        Blue Workbench       
IAI Corporation    IX-NNN 6020            Controller Model: IX-NNN6020-5L-T1 (IAI X-SEL Controller IX), IAI Controller:  Model XSEL-KK-NNN6020-ET-P1EE-3-2
IAS        Hepafilter Booth       
IBM    P III Deskto CPUS           
IBM    4100 HD 3    Printing System       
IBM    IP 4100 HD 4    Printing System       
IBM    IP 4100 HD 3    Printing System       
IBM    IP 4100 HD 3    Printing System       
ICD    IRDI 9060 V 19    DI Water Heater       
ICE        Heated Make Up Air       
Ice Tech        Manual Dry Ice Blaster        "a. This equipment is located on a pallet and wrapped up.
b. The dimensions are 48”x32”x 77” "
Icon    i 6    Electro Folm Stencil       
Icon    i 8    Printing Platform Base Machine        Includes Vacuum
Icon    CI 8250    Lead Inspection Scanner       
Icon    I 8    Printer       
ICOS    30 MW    Automated Optical Inspection (AOI) system       
ICOS    30 MW    Automated Optical Inspection (AOI) system        With Printing Machine
ICOS    CI 8250 1           
ICOS    CI 8250    Vision System        "- Auto Tape & Reel / Tray to Tray
- 3D Lead Inspection (ready)
- 2D Mark Inspection (card faulty)
- Power: 3.3 kW
- Amperage: 15 / 30 A
- Air Pressure: 5.5 bar
- Cycle: 50 / 60 Hz
- Phase: 230 V, 1 PH"
ICP    PAC 1700 / ACE 832 A    Open Short Tester       
IDC    PGV RSA    Person Guided Vehicle       
IDE    AWP 200    Automated Wafer Packer / Unpacker System       
Ideal Aerosmith    2102 C-18-SR 48    Two Axis Position and Rate Table System       
Ideal Aerosmith               
Ideallab        Mechanical Press Machine        "- 100 x 182 x 210cm
- 2 strips"
Idealmold        Press Manual System        100 x 182 x 210cm
Idec    RY 4 S U 26612    Relays       
Idec    RY 4 S U 36633    Relays       
Idec    SY 4 S 05    Relay Bases       
Idex / Pulsafeeder        Hose Pump        26gmp/min, 200 psi
Idex / Pulsafeeder        Metering Pump       
IDI        Cybor Chemical Dispense Controller       
IDI (Image Diagnostics Inc)    100 E    Radiolucent Pain Treatment Table       
IDT        Resistance Measurement System       
IEMCA    VIP 70 s CNC    Bar Loader / Feeder       
Iemme Italia    760 T    Reflow Oven       
Iemme Italia    Plus 12    Reflow Oven       
Iemme Italia    IrCon 16    Nitrogen Reflow Oven       
IFR    2975    Communications Test Set        "Options:
- AES Enabled
- KEY_MGT Enabled  
- EVM Enabled
- Remote_cal Enabled
This unit needs a receiver board and a CPU board which locks up after several hours of testing. In addition the speaker was crushed and needs replacement along with repairs to the cabinet and feet."
IFR    1200 Super S    Communications Monitor       
IKOM        Crane to load spools        - 3 axis
ILJIN Hi-Tech        Loader       
Illitron    3712 A-3 C    Automatic Tooth Spacing Comparator       
Illumina    VC 101 1000    BeadXpress Reader System        with Satellite Kit
Illumina    HiSeq X    Genetic Sequencer       
Illumina    HiSeq 2500            - includes cbot sample prep
Illumina    HiSeq 2500    Genetic Sequencer       
Illumination Industries        UV Curer       
ILX    FPM 8210    Optical Power Meter       
ILX    Lightwave FPM 8210 H    Fiber Optic Power Meter        120 V
ILX    MPS 8033    Laser Source       
ILX Lightwave    LDT 5412    Temperature Controller       
ILX Lightwave    LDX 3525    Precision Current Source       
ILX Lightwave    FPM 8200    Fiber Optic Power Meters        - Non Functional
ILX Lightwave    LDC 7324 B    Laser Diode Controller       
IMA    C 90 A 91    Blister Packaging Line       
Imada    DPS 110 R    Digital Force Gauge        110lb x 0.1lb
Imeca    Mini Boss 325    CNC       
Impac    K-Sien    Thermal Oven       
Impac    TH 508    Thermohygrometer       
IMS    XTS 60 E / 256    Tester       
IMS    XTS 60 E / 256    Tester       
IMS    164036    Mold Temperature Controller        Horsepower: 1 HP
IMS / Credence    ATS-2 Blazer    Tester       
Ind Tech        Carton Collator       
Induction Atmospheres        Furnace        "The induction furnace is a custom built unit from Induction Atmospheres.

It includes an x ray capability for looking at the melt and requires water for cooling @ 30 psi 4gpm, power @ 220V 3 phase 50 amp and Air @ 80psi.

The cabinet is 51”w x 51” d x 75” h"
Industra    Dermo Sonic           
Industrial Acoustic Company (IAC)        Hemi Anechoic Chamber       
Industrial CNC    4 x 4 Pro Series    CNC Router Machine       
Industrial Devices        Electric Cylinder Model       
Industries        ESD Safe Lab Bench       
Inel    CPS 120    X-ray Detector       
Inertec    Cube 640    Selective Soldering System       
Inficon    XTC    Deposition Controller       
Inficon    Quadrex 100, Model 017-010-G 1    Control For Residual Gas Analyzer       
Inficon    XTM/2    Deposition Monitor       
Inficon        Electornic Cabinet       
Inficon    CDG 025 D    Vacuum Gage       
Inficon    QX 2000    Computer       
Infineon        Reel to Tape Test Inliner       
Infocus    SP 4805    Screenplay DLP Projector, Screen       
Infrared Heating Technologies    ITIOF 3 Z-144 KVA-NPP-01    Oxidation Furnace       
Ingersol Rand    234015    Air Compressor       
Ingersol Rand    Premair CCD 07 SBP 010 G    Cylinder       
Ingersol Rand    2475    Compressor       
Ingersoll Rand    2545 E 10-VP    Compressor       
Ingersoll Rand        Compressor       
Ingersoll Rand    IRN 50 H-OF    Compressor       
Ingersoll Rand    HL 2001 HE 0 AA    Dryer       
Ingersoll Rand    UP 6-7.5 T AS-125 W/D    Compressor       
Ingersoll Rand    2475    Industrial Air Compressors       
Ingersoll Rand    SSR-EP 100    Air Compressor       
Ingersoll Rand    CH 5 21 M 1    Air Compressor       
Ingersoll Rand    25 HP    Air Compressor        220/440V
Ingersoll Rand    Centac    Air Compressor       
Ingersoll Rand    TZE 500    Compressed Air Dryer        - 230/3/60 Hz
Ingersoll Rand    Sierra-H 100 A    Compressed Air Dryer        "- 380/60 Hz
- 100 CV"
Ingersoll Rand    SSR-HP 75    Air Cooled Air Compressor       
Ingersoll Rand    IRN 50 H OF           
Ingersoll Rand    3000 E 30    Compressor       
Ingersoll Rand    30 TE 25    Compressor       
Ingersoll Rand        Air Compressor        202.I.0131/N702
Ingersoll Rand    VH    Vertical NC Mill        5 Axis
Ingersoll Rand    SSR EP 30 SE    Compressor       
Ingersoll Rand    SSR EP 15    Compressor        - Not working
Ingersoll Rand    Intellisys    Air Compressor       
Ingersoll Rand    DXR 140           
Ingersoll Rand    R 90 ie    Air Compressor       
Ingersoll Rand    IR R-Series 4-11    Rotary Screw Compressor        Fixed and Variable Speed
Ingersoll Rand    T 30    Vacuum Pump       
Ingersoll-Rand    30 T    Air Compressor       
Init    I 60 X 2           
Init    I 60 X 2           
Init    I 60 X 2           
InkCups    ICN 2500    4 Pad Printer       
Inkcups Now    M 15 FP    Screen Printer       
Inline SMT        Conveyor       
Innolas    ILS 700 P           
InnoLas    ILS TT    Laser Doping Machine       
Innolas    OLSTT CP    Ablation Laser       
Innolas    ILSTT DS    Selective Emitter Laser       
Innolas Systems    ILS TT Double Scan           
Innovac        Sputtering Deposition Cleaner        "- 3 Targets
- Load-lock, Automated
- 2 DC Power Sources for Metal Deposition
- 1 RF Power Source for both Dielectric and Metal Deposition"
Innovatis    Cedex    Pre-Culture       
Innovative Systems Engineering    ISE PVD 3000    Sputtering System        - The system has no mechanical pump, so it is not able to be run.
INO        Hydraulic Press        "- Total Weight : 110 tons
- Capacity & Tonnage : 160 tons
- Occupied Area : 11.2 m2"
Insidix        Topography and Deformation Measurement (TDM)       
Insignia    NS-RTM 10 WH 7    Refrigerator       
Inspex    TPC 8520    D0 Monitoring    8"    "- Software version: DOS
- Cooling System
- Wafer Loader - Robot
- Control Module: 486 microprocessor / Robot controller / Laser source"
Inspex    TPC 8520    Wafer Inspection    8"   
Inspex    TPC 8520 / 9000    Wafer Inspection    8"   
Inspex    TPC 8520    Wafer Inspection System    8"   
Inspex    TPC 9000    Wafer Inspection System    8"   
Inspex    TPC 8520    Wafer Surface Defect Inspection Tool    8"   
Inspex    TPC 8520 / 9000    Wafer Inspection System    8"   
Instant Bioscan    RMS-ON 90           
Instek    GFG-8255 A    Function Generator       
Instek    GPM 8212    AC Power Meter       
Instron    5542 A    Tensile Tester        with 50N load cell and attachments (6-holding stages, 2-syringe holders, 4 compression platens with adapters, 1 skin threader, 2 grips, 2 chucks, 4 syringe fixtures
Instron    1115    Tensile Testing Machine       
Instron    A-1740-2015           
Instron    A-1740-2022           
Instron    "SB 2 HXTS 33
"           
Instron    A-1740-1025           
Instron        Tensile Machine       
Instron    3345    Tensile Compression Tester       
Instron    4505    Tensile Compression Tester        - with 100KN (22,500 lbs) pneumatic wedge grips
Instron        Tester       
Instron    A 440    Hydraulic Power Pack       
Instron    1331    Tensile Tester       
Instron    58 R 1127    Tensile / Compression Tester       
Instron    3365    Tensile Tester        With Fixtures
Instron        Hot / Cold Chamber       
Instron    4467    Tensile Tester        - Stand and protective cage included
Instron               
Instron    3344           
Intech        Cat Eyes Press       
Intech Automation Systems (IAS)        Cantilever        "-  P/N 02-1607
- 4 Loaders Plus One Spare For Parts
- 4 Stacked Crates & One Parts Pallet
Parts For:
- Load Door Assy
- Atmospheric Assy
- Use with 2"" Round Paddle"
Intego    Orion GTS 1101    Infrared Measurement Tool        With Digital Manual
Intego        Sunflow Geometry        - 400V AC, 50Hz
Integrated Air System        Laminar Hood       
Integri-Test    90    Micro Prober       
Intelbras               
Intelitek        Light CNC Router       
Intelitek    MX    Benchman Mill        "- 230V
- Single Phase
- 16amp
- 50/60Hz"
Intelitek    MX    Benchman Mill        "-240Hz
- Single Phase
- 16 amp
- 50/60Hz
- Max spindle speed: 5000 rpm
- Max travel speed: 5.08 m/min"
Intelix Balun    Digi-VGASD-R    VGA, Audio, & RS232/IR over Twisted Pair Receiver        : 900-DIGI-VGASD-R
Intelume    SCM-A 320    Laser Cutting       
Intercon        PDIP Lasermark Integration       
Intercon    MA 4700    Inline IMS System       
Interconnect    322    Conveyer       
Interconnect Conveyer    322    Process Control       
Interface Associate Inc    MBS-140 AF    Marker Band       
Interface Associates    MBS 200    Swaging Machine       
Intergen        Chiller for Laserscribe       
Intermec    3400 e    Label Printer       
Intermec        Tethered Scanner and Stand       
Intermec    1551    Scanner       
International    560 B    Loader       
International Equipment Company    IEC-CTD    Cryostat       
International Equipment Company (IEC)    Model K    Centrifuge       
International Microsystems    M 6310    USB Duplicator       
Interpro System    6500    Auto Test System       
Interpro System    DLP 50-60-1000 A    Load Electronic       
Interpro System    Elgar 1731 SX    AC Power Source       
Interpro System    Elgar 1751 SX    AC Power Source       
Interpro System        Auto Test System       
Interpro System        Load Card       
Interpro System        Self Test Card       
Interpro System        DBM Card       
Interpro System        Auto Test System       
Interpro System        Card Imput       
Interpro System        Counter Timer Card       
Interpro System        CTR/TMR Card       
Interpro System        DC Power Supply       
Interpro System        DC Source        30V-1.8A
Interpro System        Digital I/O Card       
Interpro System        Driver Card       
Interpro System        DRV Card       
Interpro System        DVM Card       
Interpro System        High Frequency Scanner Card       
Interpro System        High Volt Load Card       
Interpro System        Over Relay Card       
Interpro System        Power Relay Card       
Interpro System        PSV       
Interpro System        Pulse Slew Card       
Interpro System        Ripple Noise Card       
Interpro System        Scanner       
Interpro System        Short Circuit Module       
Interpro System    Tran 100    Card       
Intertest    1014-CBL    Bubble Leak Detector       
InterTest    1014 CBC    Bubble Detector       
Intertest    1014 CBL           
InterTest Gross    1014-CII / S 6    Leak Bomb Test System        "With spare part kit
- 115 V
- 60 Hz
- 30 Amps"
Intevac    Matrix S 4 C    Physical Vapor Deposition (PVD)       
Intevac        Implanter    5"   
Intivac        Coater       
Intralux    VOLPI 5000-1           
Intravis    Sample-PreWatcher           
Intrinsyc    APQ 8074    Snapdragon Development Kit       
Intrinsyc    APQ 8060A    Snapdragon Development Kit       
Intrinsyc    APQ 8094    Snapdragon Development Kit       
Invensys    PowerWare 9315-80    Single Module UPS        80kVA/64kW, 480 VAC input / 480 VAC three phase wye output
Invensys Eurotherm    3504           
Inzpect    4004    PCB Loader / Unloader System       
Inzpect    ITWT 6020    Post Tape Inspection Machine        - Dual Track
Ion Exchange        pH Meter       
Ion Exchange        Purified Water System       
Ion Exchange        Electropolish Pipeline Purified Water System       
Ion Science    G 3    Gas Check       
Ion Systems    775    Electrostatic Field Meter       
Ion Tech    GFC 1000           
Ion Tech    RF 2001           
Ion Tech    RF 2051           
IonSense    DART-100    Source       
IOU Technology    BM 2264 G    Laser Back Printer        - Power detect & cable (7M)
IPEC    472    Polisher       
IPEC               
IPG    EAD 500 C    Erbium Fiber Amplifier       
IPG Photonics    PYL 2 1380 R    Raman Fiber Laser       
IPG Photonics        Laser       
IPI    ESC 2100 H           
IPQ    TYL 1455    Fiber Laser       
IPR    M 2 i    Manesty Tablet Press Machine       
IPR Systems    Power Run-In 6 JX            "- 220V
- 6A
- 50/60Hz"
IPS        Developer       
IPS        Frame Dryer       
IPS        Resist Stripper       
IPS    Nano ALD 3000    Low Pressure Chem Vapor Deposition    8"   
IPSCOT        Two Part Dispencer       
IPTE    MGU    Manual Gate Unit       
IPTE    MLL 1    Single Magazine Line Loader       
IPTE    MLU-I    Single Magazine Line Unloader       
IPTE    TRV    Automatic Traversing Unit       
i-Pulse    M 2    Pick and Place       
i-Pulse    M 2    Pick and Place       
IRE POLUS        Laser Driver       
Ironton    46461    Chop Saw        "- 14""
- 3 3/8 HP"
Irvine Optical    Ultrastation 3    Inspection Station       
Irvine Optical    Ultrastation 3 B    Inspection Station       
Irvine Optical    Ultrastation 150    Inspection Station       
IS    Devmaster    LPI Developer       
IS    Dev Master MK 2    Solder Mask Developer       
IS        Conveyorized Oxide Line       
IS View    XP 60 BD 109    Microscope        - Voltage (V) = AC 110V 50~60Hz
ISBM    SB 3-250-50           
ISBM    AOKI 500 LL 75           
ISBM    AOKI 250 LL 75           
ISBM    AOKI 11 - SB 3-250-LL-50           
ISBM    SB 3 100 LL 20 S           
ISBM    SB 3-250 LL 50           
Isel        Automation Heavy Duty Linear Slides        with IMS Stepper Motors (mdrive 23)
Iskra Pro        Labeling Turntable for XG4       
Ismeca    TMBU-CA    Taping Machine        "DPAK, SOT-89, SOT-223, SOT-23, and SM DIP Packages
"
Ismeca    TMBU-CA    Tapping Machine        DPAK, SOT-89, SOT-223, SOT-23, and SM DIP Packages
Ismeca    M 132    Test Handler       
Ismeca    NT 116    Test Handler       
Ismeca    M 232    Test Handler       
Ismeca    NX 16    Handler        "- LCD Monitor
- Asynchronous Table
- Orientators (2)
- In-pocket Camera
- Leads Camera
- Ionizers (2)
- Orientator Camera
- Sealing Shoe
- Complete Turrets"
Ismeca    NX 16    Handler        "- LCD Monitor
- Asynchronous Table
- Orientators (2)
- In-pocket Camera
- Leads Camera
- Ionizer
- Orientator Camera
- Sealing Shoe
- Complete Turrets"
Ismeca    MP 200    Tape and Reel       
Ismeca    TMBZ    Tape and Reel       
Ismeca    MP 200    Tape and Reel       
Ismeca    NX 16            "- SOT23 Components
- Bow feeder
- Presence check
- 8P table + top camera
Orientator 1:
- 3x Flat test stations
- Fails reject
- Vision lead 3D
- Fails bin
- 3D bin
- Bin vision reject
- Tape 1
- Tape 2
- Purge bin"
Ismeca    NX 16            "- SOT23 Components
- Bow feeder
- Presence check
- 2P table + top camera
Orientator 1:
- 4x Flat test stations
- Fails reject
Orientator 2:
- Vision 3D camera
- Bin vision reject
- Tape 1
- Tape 2
- Purge bin
- Power supply is missing"
Ismeca    NT 216           
ISO-Tech    IPS-2010    Programmable Power Supply       
Iso-Tech    IDM 91 E    Digital Multimeter       
Isoterm        Arm for PSM90 Foaming Machine       
Istack    i Stack    Die and Epoxy Bonder       
Isusa    HB 1000    Scale       
Isuzu    ESF 216 S    Oven       
ITC    Probilt 6500    Probe Card Analyzer        "- Capable of both vertical & cantilever probe cards
- Capable of vertical 1536 channel configuration, expandable to 6,016 & 12,032
- Probilt software ver. 6.0
- Windows 8TM SCSI drive"
ITEC / Philips Semiconductors    Mini Parset    Tester       
ITEC / Philips Semiconductors    Mini Parset    Tester       
ITEC Holand    Parset 197           
ITHAC    2002    Hydrogen Determinator       
Ithaco    Dynatrac    Lock In Amplifier       
ITO    OHASHI CMS-1200    Loader / Unloader       
ITS               
ITWMima    HLP           
IVI        Box Coater       
Iwaki        Pump        "- 2900 RPM
- 115V
- 60Hz
- 0.35Amp"
Iwaki               
Iwaki Glass    ASP 13    Aspirator        100V, 50/60Hz, 200W
Iwasaki    0366 01    Rubbing Machine       
IWK    CPR    Cartoner       
Ixia    FlexAP 104016 SQ    Traffic Generator Line Card        16x10g/4x40G speed
J B Sawant        Vacuum Receiver       
J Engelsmann AG        Vibration Filter for Polishing Emulsion       
J&L    MBS 71200 M    Metal Cutting Band Saw        "- 7""
- Adjustable band dynamometer tension
- Cutting fluid system"
J&L Metrology    Classic 14 T    Optical Comparator        125lb/55Kg weight capacity, 18" x 6" / 460 mm x 150mm cast iron work surface with Universal dovetail, 8" /200mm manual horizontal travel with quick release, 6" /150m manual vertical travel, 1.4" /35mm manual fixed coaxial focus travel
J&L Metrology    PC-14 A    Optical Comparator        - missing an objective lens
J&R    Gen 6 Automation    Chemical Vapor Deposition (CVD)       
J&R    50 MW    Automation Load Wafer Transfer system       
J&R    50 WM    Automation Load Wafer Transfer system        for IOX
J&R    50 WM    Wafer Transfer system        for ITX
J.A. King    CLK 07    Clicker Press Pneumatic        "Accessories included:
- Clicker stand with casters
- 4.5"" x 4.5"" Clicker Die
- 38 mm diameter Martindale die
- 112.9 mm diameter (ISO fabric yield) die
- 140 mm diameter (Martindale abradent) die
- 2.6974"" diameter (ASTM D3776 fabric yield) die
- 1.5 x 6 "" Die Cutter - Tensile
- 75 x 102 mm Die Cutter - Tear
- 4 x 8 "" Die Cutter - Grab Test"
J.P. Machine Tools        High Speed Volumetric Powder Filling Machine        Capacity: 90 BPM
J.Sandt    ST.138-44308           
JA Woollam    M-44 NIR ES 130    Ellipsometer        "with lamp housing unit and EC110 control module. The PCI cards, which interface with a PC, appear not to be working. The control module, polarizer drivers, and spectrometer (650 nm - 1100 nm) are otherwise operational. The height is adjustable over 25 mm, the angle is adjustable from near-normal incidence to 90º, and an x-y tilt stage is included
"
JA Woollam    WVASE 32    Ellipsometer       
JA Woollam    HS 190    Monochromator    8"    "- VB-200 Vase Electronics Box
- ST-200 Translator Control Module
- HUV 200B Photodiode
- Spectral Range of 250nm - 1700nm"
JA Woollam    M 2000        8"    "- PMT Added
- light source wavelength is 190-1700nm"
JA Woollam    MTH 33    Ellipsometer        - light source wavelength is 190-1700nm
Jackson Automation    Implant    Exhaust Box    8"   
Jackson Automation        Ion Implant Parts Clean Bench       
Jadason        Laser Drill       
Jade        Selective Soldering Machine       
Jandel        Four Point Probe       
Janome    JR-V2303    3 Axis Robot       
Janome    JR-V2304 N    4 Axis Robot       
Janome    CAST CR 3 / C    Router       
Janus        Liquid Handler       
Japan    UB 630 T    Die Casting Machine       
Japan LSI (JLSI)        IC Tray Handler       
Japan LSI (JLSI)    IPS-8655    IC Tray Handler       
Jasco    FP 2020 Plus    Intelligent Fluorescence Detector       
Jasco    7850    Spectrophotometer       
Jasco    V 670    Spectrophotometer        - 190 to 3200nm range
Jazz    300 M    Tape Dispencing Machine       
JDS FITEL    D 1800    Fiber Optical Switch       
JDS FITEL    TB 9    Optical Bandpass Filter       
JDS Uniphase    SWS 15104    C-Band 4-State Polarization Controller       
JDS Uniphase    SWS 15101    Tunable Laser Source       
JDS Uniphase    SWS 15102    C-Band Source Optics Module       
JDS Uniphase    SWS 16102    L-Band Source Optics Module       
JDS Uniphase    SWS 16101    L Band Tunable Laser Source       
JDS Uniphase    HA 9 Series    Extended Range Programmable Optical Attenuator        "- Connector type: FC/APC , fiber optic angled polished connector
- Wavelength: 1200-1700nm
- Attenuation: 0 to 100 dB
- Max optical input power: 200mW
- Unit powers on and appears to be in good working condition"
JDSU    M 125 N 1319 200    Laser Module       
JDSU    M 125 6 OPN PS    Power Supply       
JDSU    21067769-002    HS Modulator       
JDSU    21044598-100    HS Modulator       
JDSU    21044598    HS Modulator       
JDSU    10022054    HS Modulator       
JDSU    MAPF+ 1 GGP 01 FP    MAP Tunable Filter        - Can be sold with or without JDSU MAP + 2M00 Mainframe
JDSU    MAP+ 2 M 00    Multiple Application Platform        "- Can be sold with the MAPF+ 1 GGP 01 FP Tuneable Filter TB3P for 4,390 or without for 370
- This mainframe is missing the display and the processor board located behind the display module. Chassis and power supply are in good condition."
JEC    P 3502 ES    Bi Debug Station       
JEC    P 6502    Burn In Oven       
JEC Technology    QX 100 i    Inline       
Jelight    144 AX            120V @ 60Hz
Jelight    144 AX    UVO Oven        - with extra lamps
Jeng Yueh Enterprise    M-36    Lapping Tool       
Jeng Yueh Enterprise    M 42 P 4 X    Mirror Grinding Machine       
Jenoptik    Votan Solas    Glass Solar System       
Jensen    Batch    Cure Oven        "- 480V
- 150A
- 4500 CFM"
Jeol    7505        8"   
Jeol    JSM-6600    Scanning Microscope        "- Includes EDX option
- Possible problem with the PC. We think there is a battery inside which is low on charge
- Secondary electron image resolution (at 8mm working distance): at 35kV 3.5nm and at 1kV 20.0nm atteinable
- Magnification: 10x to 300,000x
- Accelerating voltage: 0.2 to 40kV (0.2 to 5kV variable in 0.1kV steps, 5 to 40kV variable in 1kV steps)
- 200VAC, 1 phase, 30A, 50/60Hz, 6KVA"
Jeol        Scanning Electron Microscope (SEM)       
Jeol    JEM-2500 SE    Microscope    12"   
Jeol    JEM-2500 SE    Microscope    12"    "- Accel. Voltage: 200kV
- HRTEM Resolution: 0.24 nm
- STEM Resolution: 0.2 nm
- SEI Resolution: 1.0 nm"
Jeol    JWS 7555 S    Microscope    8"   
Jeol    JWS-7515    Scanning Electron Microscope (SEM)    8"   
Jeol    SM-Z0 4004 T    Cross Section Polisher       
Jeol    JBX-5500 FS    E-beam Direct Write Lithography System    4"    "Electron-beam lithography system that employs spot-beam vector scanning for sub-micron and nano-lithography
Two selectable writing modes:
High-resolution writing mode (5th Lens mode) for nano-lithography
High-speed writing mode (4th Lens mode) for sub-micron lithography
Accelerating voltage is also selectable either 25kV or 50kV
Beam scanning speed: 12MHz
Stage position is controlled by high-precision laser interferometer
Control system: Microsoft® Windows® PC

Minimum feature size:
High-resolution writing mode 50Kv: </= 10 nm (at the field center)

Overlay accuracy:
High-resolution writing mode 50kV:</= 40 nm (3 sigma)
High-speed writing mode 25kV (1200 um Field): </= 70 nm (3 sigma)

Field stitching accuracy:
High-resolution writing mode 50kV: </= 40 nm (3 sigma)
High-speed writing mode 25kV (1200 um Field): </= 70 nm (3 sigma)

Performance:
Electron beam:
Beam shape: Spot (Gaussian) beam
Accelerating voltage: 50 kV, 25 kV
Beam current: 30 pA to 20 nA
Beam deflection method: Vector scan (Random access)
Writing field:
High-resolution writing mode:
50kV: Up to 100 um X 100 um
25kV: Up to 200 um 200 um
High-speed writing mode:
50kV: Up to 1000 um
25kV: Up to 2000 um
Beam positioning DAC: (18) bits
Beam-positioning unit:
High-resolution writing mode:
50kV: 0.5 nm
25kV: 1 nm
High-speed writing mode:
50kV: 5 nm
25kV: 10 nm
Beam scanning DAC: (12) bits
High-resolution writing mode:
50kV: 0.5 nm x N
25kV: 1 nm x N
High-speed writing mode:
50kV: 5 nm x N
25kV: 10 nm x N
Beam scanning speed: 83.3 ns to 4 ms/scanning step size (12 MHz to 250 Hz, respectively)
Field correction function:
Deflection correction: Amplitude, Rotation
Deflection aberration correction: Deflection distortion

Stage movement:
Method: Step and Repeat
Stage position measurement: Laser interferometer
Positional step size: lambda/1024 (approx. 0.6 nm)
Stage movement range: 104 x 75 mm
Writing area: 75 x 75 mm
Moving speed: Up to 10 mm/s

Material Transfer:
Loader
Manual loader: Single cassette loading mechanism
Cassette (Substrate Holder)
Wafer size: 2 to 4 inch
Wafer loading/unloading: Manual
Input pattern data:
Data format: JEOL52(V3.0)

Writing field:
High-resolution writing mode:
50kV: Up to 100 um x 100 um
25kV: Up to 200 um x 200 um
High-speed writing mode:
50kV: Up to 1000 um x 1000 um
25kV: Up to 2000 um x 2000 um

Specified resolution:
High-resolution writing mode:
50kV: 0.5 nm
25kV: 1 nm
High-speed writing mode:
50kV: 5 nm
25kV: 10 nm

Writing functions:
Cyclic correction: Dose correction, Beam position correction, Beam deflection system correction
Shot time modulation: Up to 256 ranks
Field shift overlapping writing

Design Functions:
Data format: JEOL01
Data conversion output: JEOL52(V3.0)
Draw-able figure: Rectangle, Circle, Polygon, Line, Ring
Editing: Flip, Rotation, Copy & Paste, Duplicate, Grouping
Utility: Reticular, Radial, Fresnel ring generator
Figure map display: Display whole and partial drawing
Others: JEOL52(V3.0) display

Configration:
Component Systems
Electron beam column
Electron source: ZrO/W emitter (Thermal field emission source)
Electron beam optics:
Beam alignment coil
Beam blanker
Lens (de-magnifying, illumination)
Objective lens (4th Lens, 5th Lens)
Beam deflector (1st Deflector, 2nd Deflector)
Stigmator: Objective aperture (4 holes)

Electron beam detection: Back-scattered electron detector, Secondary electron detector, Absorbed current
detector
Material-driving system: XY stage, Laser interferometer system
Material transfer: Manual loader (one cassette can be loaded)
Control CPU system
Personal computer: HP series
Workstation: SUN series
Board CPU
Evacuation system: Vacuum pumps, Valves
Frame
Anti-vibration: Mount

Software
Operating system
Personal computer: Windows XP
Workstation: Solaris 10
Writing preparation: Pattern design GUI
System control: Main GUI, System calibration GUI, Writing GUI

Installation requirements:
Power Supply
Voltage and Capacity:
Single-phase, 100 V, 4 kVA: (2) Lines
Single-phase, 200 V, 8 kVA: (1) Line
Three-phase, 200 V, 4.8 kVA: (1) Line
Power supply frequency tolerance
50 Hz regions: 47 Hz to 53 Hz
60 Hz regions: 57 Hz to 63 Hz
Voltage variation tolerance
For 1 cycle or more: -5% to +10 %
For less than 1 cycle
Sag (voltage sink): </= 10 %
Surge (voltage rise): </= 10 %
Notch: </= 200 V
Spike: </= 200 V
Grounding (forbidden to be used with other instruments)
Ground wire (for exclusive use): 100 0hm or less (D class)
For 0.15 MHz to 0.5 MHz: </= 79 dBuV (quasi peak value); </= 66 dBuV
For 0.5 MHz to 30 MHz: </= 73 dBuV (quasi peak value); </= 60 dBuV
For less than 0.15 MHz, compatible with the level at 0.15 MHz

Primary Cooling Water
Flow rate: 6 L/min (at 25 C) or 13 L/min (at 32 C)
Supply pressure: 0.15 to 0.5 MPa gauge pressure at maximum
Temperature: 15 to 32C
Connection form: Braided hose (inside diameter 15 mm, outside diameter 22 mm)
Overflow drain: No backing pressure
pH (at 25 C): 6.0 to 8.0
Electrical conductivity (mS/m) (at 25 C): </= 30
Chloride ion (mg Cl–/L): </= 50
Sulfate ion (mg SO42–/L): </= 50
Total hardness (mg CaCO3/L): </= 70
Calcium hardness (mg CaCO3/L): </= 50
Ionic silica (mg SiO2/L): </=30
Iron (mg Fe/L): </= 0.3
Sulfide ion: Not detected
Ammonium ion (mg NH4+/L): </= 0.1

High-pressure gas
Material: Nitrogen gas or Clean Dry Air
Supply pressure: 0.5 MPa
Maximum flow rate: 50 L/min
Connection form: 6 mm in diameter
Low-pressure Gas
Material: Nitrogen gas
Supply pressure: 0.1 MPa
Maximum flow rate: 50 L/min
Temperature: 21 to 25 C
Cleanliness: ISO Class 3
Purity: 99.999% or more
Connection form: 1/4 inch in diameter
Evacuate: For roughing vacuum pump
Evacuating capacity: 500 L/min at 50 Hz, 600 L/min at 60 Hz

Pressure: No backing pressure
Connection form: NW25
Installation Space: 5.5 (W)x 3.5 (D)x 2.7 (H) m or more
Entrance: 2.0 (W) x 2.1 (H) m or more
Room Temperature: 21 to 25C
Stability: Within +/- 0.2C/h (Main console area); Within 1C/h Other units area
Humidity: 60% or less (non condensing)
Airflow: about 0.3 m/s
Stray magnetic field
Commercial frequency: (BX2+BY2+BZ2)1/2 </= 0.1 uT
Drift component: (BX2+BY2+BZ2)1/2 </- 0.1 uT
Floor Flatness: +/-1 mm within 600 x 600 mm area
Sound Noise Level
20 Hz-12500 Hz: </= 65 dB
20 Hz and under: </= 90 dB

"
Jeol    JSM 6330 F    Field Emission Scanning Electron Microscope (FE-SEM)        "- Electron Beam Energy: 0.2-40 keV
- Secondary Electron Imaging: resolution of 1.5nm
- Backscattered Electron Imaging
- Energy Dispersive Spectrometer (EDS)
- X-ray range of 0.15-40 keV
"
Jeol    2010 F    Transmission Electron Microscope (TEM)        "- 200kV FEG
- Oxford EDS system
- STEM
- Tridiem GIF with 2k Ultrascan CCD
- Pregif camera.
- Holders available: Heating, double tilt, cryo
- One quadrant readout of CCD camera not very linear
- FasTEM system installed, but not fully functional"
Jeol    JSM-5610    Scanning Electron Microscope (SEM)       
Jeol    JXA-8900 R    Microprobe       
Jeol    JNM-ECP 300    Super Conducting NMR       
Jeol    JSM 7401 F    SEM        "- Smileview: (SMV) 35080
- Wafer Holder: 71041WH 76.2mm
- Wafer Holder: 71051WH 100mm
- Sample Stage TYPE2: 71340(SS2)
- Retracteable Reflected electron detector: 74071
- Liquid Nitrogen Trap: 74120(LNT)
- Power Supporter: (UPS-401) 58060(UPS)
- Cooler: JKD-P16A2SH 78020D
- 3D Software: MexStereo    Mex-Base+StereoCreator+Profire"
Jeol    JSM 6300    Scanning Microscope    6"   
Jeol    JSM 6600 F    Scanning Microscope    6"    With Manuals & Test Boards
Jeol    JSM-5800    Scanning Electron Microscope (SEM)        - IXRF Systems Model 550i EDS interface system with the Iridium Ultra EDS software Version 1.4 Rev C and Lenovo Desktop PC with all conversion boxes running windows 10 Techpower
Jeol    Datum JSM-7401 F    SEM        "Smileview: SMV 35080
Wafer Holder: 71051WH 100mm
Sample Stages: 71340(SS2)
Power Supporter: (UPS-401) SMD-58060(UPS)
Refrigerated Circulating System: EM-48181D / JKD-P16A2SH
HT Wobbler Controller: JEOL Datum
3D Software: Mex-Base+StereoCreator+Profire"
Jeol    JEM-2010    TEM       
JEOL    JXA 8900    Microprobe        "- With 5 spectrometers
- The tip is a Tungsten Hairpin
- Running HP Unix
- The data is stored on the HP computer but is connected to a PC so the HP drives can be mounted with Samba.
"
Jeol    JMS 700 T    Mass Spectrometer        "- Two units in tandem
- 4 sectors
- Includes HP B2600 HPUX Workstation"
Jeol    6334 F    Field Emission Scanning Electron Microscope        "- Mag.: X10 to X500K
- Image modes: SEI & BEI
- Load lock w/ comp. control stage
- Chiller available
- Rough pump
- Computer system
- Sample tool kit
- Paperwork & service records"
Jeol    JSM 6301 F    SEM       
Jeol    JSM 5900 LV    Scanning Electron Microscope (SEM)        "- Jeol computer had error message last time it was used
- Oxford EDS not operational due to broken crystal"
Jeol    JSM 5610 LV    Scanning Electron Microscope (SEM)       
Jeol    JSX 3400 RII    Element Analyzer Rohs       
Jeol    4500    Loading arm / rod       
Jeol    JSM 840 A    Scanning Electron Microscope (SEM)        - Parts Machine
Jeol    100 SX    TEM       
Jeol    6480 LV JSM    SEM        "- Electrical noise while scanning.
- Backscattered electron detector removed. Tool cannot be used in low vacuum mode.
-Thermo EDSD [Si(Li) detector] installed on SEM. Working marginally."
Jeol    JEM 1400 Plus    Transmission Electron Microscope (TEM)       
Jeol    640    CD SEM       
Jeol    ISI 1008    Scanning Electron Microscope (SEM)       
Jeol    JWS J 555 S    Defect Review    8"    "- Missing Parts: Gun emitter spoil, EDX not working, Stage issue.
- SMIF System: Asyst 200"
Jeol    JEM 2100 A    Electron microscope TEM        "- EDS detector broken
Hardware:
- TEM lattice image resolution: 0.1 nm
- STEM image resolution: 0.2 nm
- Gun: Schottky FEG (ZrO2/W)
- GATAN UltraScan 894 CCD
- GATAN 788 DigiScan II
- Chiller: Jeol refrigerated circulating system (water)
- EDS Detector: JED 2300 Si(Li) - broken
- EELS Detector: Gatan GIF Tridiem 863
- UPS: Jeol
- CL Aperture: 1.200um / 2.100um / 3.40um / 4.10um
- OBJ Aperture: 1.120um / 2.60um / 3.20um / 4.10um
- SA Aperture: 1.120um / 2.50um / 3.20um / 4.10um
- GOINO Tilt Angle: +/-30*
- Holder: Jeol single tilt holder GATAN model 925*1
Software:
- Windows XP 32 bit
- Jeol Software: version 2.20
- STEM Software: ASID control
- GATAN Software: version 1.84.1282
- EELS Software: GATAN"
Jeol    2010           
Jeol    5600    SEM        - EDX and corresponding screen not included
Jeol    6400    Scanning Electron Microscope (SEM)        "- EDX not operational
- Back scanner not operational
- Secondary imaging working."
Jeol    2100    Probe-Corrected Analytical Electron Microscope        "- Applications: Aberration-corrected STEM imaging for atomic structures, Elemental mapping with EDS, EELS/EFTEM for elemental mapping and thickness measurements
- Acceleration Voltage: 100 and 200 kV
- Filament: Zirconated Tungsten (100) thermal field emission tip
- Vacuum: Gun ~ 1.0 x 10-9 torr, Column ~1.0 x 10-7 torr
- Resolution : CTEM 0.10 nm lattice / point to point, STEM 0.10 nm Cs-Corrected HAADF
- XEDS System: horizontal Ultra thin Window Si-Li X-ray detector capable of detecting elements with Z>5, EDAX r-TEM Detector with EDAX acquisition software
- EELS System: Gatan #863 Tridiem Imaging Filter (GIF) for electron energy loss spectroscopy and imaging.
- Image Acquisition & Analysis System:Gatan Ultrascan 1000 CCD TV camera (Post GIF)
- Other accessories: Free lens control, fully independent control of all lenses. TEMCon PC control software"
Jeol    JEM 2010    TEM        "- Some parts are removed, electronics are complete
- RT pump is missing
- Only OL diaphragms, copper cable for the cathodoluminescence screen current measure, and the eater of the DP pump"
Jeol    JSM 6301    SEM        "- Field emission emitter working at room temperature
- It was upgraded 5 years ago to Windows control, now running under Windows 10.
- There is a thin water cooling line, protecting the lower part of the column while baking the gun at the top, which is almost clogged since it is so old.  So when the emitter dies, it is all over. The extraction voltage is now around 6kV, close to the upper limit."
Jeol    JEM 2010    Electron Microscope        "- LaB6 Gun, aligned at 200 keV and 120 KeV
- The pole piece is an ARP. Tilt angle alloed ± 30° with a standard JEOL older
- With a camera Gatan Erlangshen (wide angle port) and without holders or detector"
Jervis Webb        Conveyor System       
Jesse    T 65    Tube Bender       
JET    300 NT    ICT Test Equipment       
JET    JWBS 20 Q    Vertical Bandsaw Machine       
Jet    GH-1440 ZX    Lathe       
Jet        Fork Lift       
Jet    GH 1440 ZX    Lathe       
Jet    J-8201 K    Band Saw       
Jet    J-820305    Band Saw       
Jet    J-8203 K    Band Saw       
Jet    14 M    Drill Press       
Jet    JTM-1254 VS    Vertical Milling Machine       
Jet    1860 3 PGH    Lathe       
Jet        Vertical Milling Machine        - With DRO (not working)
Jet        Drill Press       
Jet    VBS 1220 M    Vertical Bandsaw       
Jet        Radial Drill Press        "- 3' Arm
- 3HP 3PH 230"
JET    JTM-4 VS    Variable Speed Turret Mill       
Jet    DC 1100 VX    Dust Collector       
Jet    DC 1100 VX    Dust Collector       
Jet    DC 1100 VX    Dust Collector       
Jet    DC 1100 VX    Dust Collector       
Jet        Brake       
Jet    BP-1248 F    Metal Brake       
Jet Rite        Direct Precision Imaging machine       
Jettech    B 957 M B    Electrolytic Water Jet Deflashing System       
JFP    PP 5    Microtechnic Die Attach       
JFW Industries    50 DR 061    Attenuator       
JH Technologies    20500 / 26    Fiber Optic Illuminator        With Ring Light
Jiabo    TB 100    Tube Filler       
Jiahui    SHM 150 / SHM 200    Manual Wafer Placement Machine    8" iron frame (has been changed to 6")    - Main Power Supply = 110V/220
Jiang Su    Vigor 6000           
Jiangsu Keyland Laser Technology    GSC-20 F    Laser Scribing Machine       
Jiangsu Yixing Equipment    YX 2670 C    Insulation Voltage Tester       
Jiarong    FP 200    Spin Dryer    8" iron frame (modified to 6")    - Main Power Supply = 110V
Jilong    Geelong KL-300 T    Fusion Splicer       
Jin Fangyuan    VR 6 XAGS    CNC Shearing Machine       
Jin Fangyuan    PR 6 C    Bending MAchine       
Jindingbang        Semi-Automatic Glue Brushing Machine        - Main Power Supply = 220V
Jingsheng    TDR 80 A-ZJS    Full-automatic Crystal Growin       
Jinhua Huafeng Instrument    JYS 2940 G    Reverse Current Tester       
Jinhua Jinfeng Instrument    JYS 2960 F    Trr Tester       
Jinhua Jingeng Instrument    JYS 2960 H    Trr Tester       
Jiu Ding    Nordson March FlexTRAK-2 MB    Plasma Cleaning Machine       
Jiule Xing        Crystal Stick Adhesion Table       
Jiule Xing        Mounting Machine       
JJ Instrument    T 22 K    Tensile Testing Machine       
J-Mar    010-3180-012           
Jobin Yvon Emission Instruments    JX 38 S    Furnace        With work station computer & parts
Jobin Yvon Horiba    750 M    Spectrometer       
Jobin Yvon Horiba    232 / 488 MSD    Spectrometer       
Jobin Yvon Horiba    750M    Spectrometer       
Johann Hofler Maschinenbau    Gen 4    Connector Pinning        - 135kg
Johann Hofler Maschinenbau        Pin and Bending Device       
Johannson        Drill       
John Chubb Instrumentation    JSI 140    Electrostatic Voltmeter       
John Dusenbery    765 AB    Core Cutter       
John Dusenbery    9583236    Core Cutter       
Joinpack    JP 750    Vacuum Packaging Machine       
Joinpack    JP 750    Vacuum Packaging Machine       
Joinwit        Programmable Optical Attenuator       
Joinwit Optoelectronic Tech        Programmer Optical Attenuator        Connector Type (FC/SC)/PC
Jonas & Redman        SIN Tray Loader /  Unloader       
Jonas & Redman        Cell Tester Sorter       
Jonas & Redman        Wafer Test Sorter       
Jonas & Redman        Transfer System       
Jonas & Redman        Wet Inline Unloader       
Jonas & Redman        Chemical Vapor Deposition (CVD) Wafer Handling       
Jonas & Redman        Wet Inline Loader       
Jonas & Redman        Wet Batch Unloader       
Jonas & Redman        Wet Batch Loader       
Jonas & Redman        Wafer Handling Diffusion       
Jonas & Redman        Print Line Unloader       
Jonas & Redman        Wafer Handling Oxidation - LFC       
Jonas & Redman        Print Line Loader       
Jonas & Redman        Laser Marking Loader - LFC       
Jonas & Redman        Laser Marking Unloader - LFC       
Jonas & Redman        Carrier Stack Exchanger       
Jonas & Redman        SIN Tray Loader / Unloader       
Jonas & Redman    E 2000 HT 410-4    Chemical Vapor Deposition (CVD) SIN Furnace       
Jonas & Redman               
Jones & Shipman        Surface Grinder       
Jones and Shipman    540 L    Hydraulic Surface Grinder        "- with wheel dresser and Micromatic down feed
- new magnetic chuck"
Jones Lamson J&L    Classic 14 T    Optical Comparator        14"
Joos    HP-S 40    Hot Pressing Machine       
Jordan Valley    BedeMetrix    X-ray Diffractor (XRD)       
Jordan Valley    BedeMetrix    X-ray Diffractor (XRD)       
Jordan Valley    JVX 6200 iF    Industrial X-ray       
Joslyn Electornic Systems        Surge Generator        "3 KA
8/20 uS"
JOT    PU 400    Bare Board Unstaker       
JOT    J 208 50.3 / 20    Transfer Conveyor       
JOT        Conveyor       
JOT        FIFO       
JOT    J 213-53    Single Magazine Handler       
JOT    J 207-50    Turn Unit Conveyor       
Jot    J 213-53 1/38    Single Magazine PCB Unloader        "- 110V
- 5A"
JOT        PCB Conveyor        90 deg turn
Jouan    CR 3    Centrifuge       
Jovil Manufacturing        Winding Machine       
Jovil Manufacturing    JV 250    Winding Machine       
Joyo    JKL 451 M    Cutting       
JPW        Chamber        "- 480V, 3PH, 60Hz
- Total Full Load Amps – 40A"
JPW Industrial    HT 334 TUL 480 V 30 KW    Oven       
JSI    LFI 5800 M 2 D           
JST    SAA 00001    Stainless Steel Solvent Engineering Wash Tank Hood        - Wjstid 8200
JST    STA 00106    Stainless Steel Engineering Dual Wash Tank (Acid Wash Tank)        - Wjst1D8400
JST    STA 00173    Stainless Steel Acid / Solvent Combo-Wash Tank Hood (Engineering Min Wash Tank)        - Wjstid8100
JST        Solvent Cleaning Hood / Photo-Glass Mixing        - With Pump / Piping / Stainless Steel Body
JST        Solvent Hood       
JST        Chem Delivery Unit Developer        2 drum
JST        Auto Stripper Bench       
JST    AP K 2 N    Crimper        - 115AC, 60hz
JT    BC 500 C    Conveyor        1000MM
JT    RS 1000 N    Relow Oven       
JT Corp    JTS 3211    Automatic 4 Para Sorter        "- 1 Phase
- 60 Hz
- AC 220V"
Juan Martin    450 / LK    Grinding Machine        - Grinding wheels are in vertical position
Juki    KE-2020    Chip Placer       
Juki    KE-750    Pick and Place Machine       
Juki    730    Pick and Place       
Juki    740    Pick and Place       
Juki    750    Pick and Place       
Juki    KE 2060 R    Pick & Place       
Juki    KSP    Printer       
Juki    2080 RM    High Speed Flexible Mounter        PWB Size 330mm
Juki    KE 3020 VAM    SMT Mounter       
Juki    KE 3020 VAM    High Speed Modular Mounter       
Juki    RS 1 Fast Smart    Modular Mounter       
Juki    KE 2080    Placement       
Juki    GL    Screen Printer       
Juki    KE 2060 E    Placement Machine       
Juki    KE 2070    Placement       
Juki    MTC    Placement       
Juki    GKG GL    Stencil Printer        "- Max Board Size: 20 x 20""
- 220V
- 1 Phase
- 3KVA"
Juki    GKG GL    Stencil Printer        "- Max Board Size: 20 x 20""
- 220V
- 1 Phase
- 3KVA"
Juki    KE 2080    Placement       
Juki    FS 730    Pick & Place Machine       
Juki    KE-2060 RL           
Juki    TR 6 DN    Matrix Tray Changer (MTC)       
Julabo    SE 26    Oil Bath        OB 002
Julabo    Presto A 80    Cryothermostat       
Julong    1 GOH 1 MX 6    Oven       
Julun    CL 950 L    Cable Cutting, Crimping Machine       
JunAir        Compressor       
Jun-Air    6-25    Compressor        Includes 5um filter with gage
Jun-Air    OF 1202-40 MQ 3    Air Dyer       
Jun-Air        Air Compressor       
Jungheinrich    EPG 113 G 115-300 ZT    Forklift       
Jungheinrich    ETX K 150    Forklift       
Jungheinrich    ETX K 150    Forklift       
Junhua Precision Industry    KB-3100    Substrate Load       
Junhua Precision Industry    KB-3110    Auto Pick / Place        - With Tray Exchanger
Juniper    E 320           
Juniper    M 120           
Juniper    M 10           
Juniper    M 10 i           
Juniper Networks    EX 2200-C           
Junker    Quickpoint 3000 / 50    Grinding Machine       
Justrite    25701    Flammable Liquid Storage Cabinet        - 55 Gal Capacity Drum Storage 34x34x65
Justrite        Bulkhead Style Acid Cabinet        "- P/N 257053SHELF
- 45 Gallon Capacity"
Justrite        Bulkhead Style Solvent Cabinet        "- White
- Single Adjustable Shelf
- Self Closing Doors"
Jusun    IMS    Gas Analyzer    12"   
Jusung    Eureka 3000    EPI Tool        - 2 Chambers
JVM    UPCE 15    Unit Dose Package Cutting       
JWI    630 G 32-20-6 SA    Filter Press       
JWI        Filter Press       
JYT    G 6    Ingot Furnace       
K&L Microwave    DC 0201    Tunable Bandpass Filter       
K&S    8020    Auto Gold Ball Bonder        Parts Machine
K&S    AT Premier    Wire Bonder       
K&S    AT Premier    Wire Bonder       
K&S    780    Wafer Saw       
K&S    982-6    Dicing Saw       
K&S    8028    Wire Bonder       
K&S    1488 L    Turbo Wire Bonder       
K&S    980    Wafer Saw       
K&S    1472    Wire Bonder       
K&S    1488 Plus           
K&S    1488 Plus           
K&S    1488 L Turbo    Wire Bonder       
K&S    4123    Wire Bonder       
K&S    971    Microwasher       
K&S        Wafer Mounter       
K&S    8020    Wire Bonder       
K&S    1488 Turbo    Wire Bonder       
K&S    4123    Wedge Bonder       
K&S    4524    Wire Bond       
K&S    7500 Plus           
K&S    4129    Manual Wedge Bonder       
K&S    1488 L    Turbo Wire Bonder       
K&S    1488    Wire Bonder       
K&S    1474    Wedge Bonder       
K&S    AT Premier    Stud Bumper    up to 12"    "- High Speed
- Setting Table with Suction
- Head Bumping Mobile (X-Y-Z)
- Cameras, Self-Correcting Vision System
- Electric Card needs to be replaced (08838-0805-000-01 AT Premier PCOAB (VME CPU1) Kit)"
K&S    Maxum    Wire Bonder       
K&S    Maxum Ultra    Wire Bonder       
K&S    8098    Wire Bonder       
K&S    Maxum    Wire Bonder       
K&S    8028           
K&S    960-8    Manual Wafer Mounter    8"   
K&S    8090    Large Area Wedge Bonder       
K&S    4526    Manual Wire Ball Bonder       
K&S    8028 SG    Gold Wire Bonder    5"    "- 208V-14A-50/60Hz (Non-Functional)
- Replaced Z-Motor Laser Encoder
- Z-Motor Heater Wires Damaged
- Hard-Drive Failed"
K&S    4524 A    Digital Ball Bonder       
K&S    8098           
K&S    Maxum    Automatic Wire Bonder       
K&S    7100    Dicing Saw Machine       
K&S    982-6    Dicing Saw       
K&S    972    Mask Cleaner       
K&S    8090    Wire Bonder        - Z Axis Glass Scale Broken
K&S    8098    Wire Bonder       
K&S    4129    Manual Heavy Wire Wedge Bonder       
K&S    Maxum Ultra    Wire Bonder       
K&S    Maxum    Automatic Wire Bonder       
K&S    1472           
K&S    1484           
K&S    4124           
K&S    Maximum Ultra    Wire Bonder       
K&S    Maxum    Wire Bonder       
K&S    1484    Wire Bonder       
K&S    8028 SG    Ball Bonder       
K&S        Manual Wire Bonder       
K&S        Conveyor       
K&S    AT Premier Plus    Wafer Level Bonder        "- Upper XY Table with direct voice coil drive for bond head travel
- Lower XY Table with stepper drive for 8"" or 12"" work holder travel
- Vision system with High/Low Mag Optics and Lighting Assembly
- Battery back-up for graceful shutdown algorithm
- 17"" LCD monitor
- ATPP Adaptable W/H (200mm)
- Cu Capability Hardware Kit
- Dual EFO Assembly Kit
- Red/Blue Oblique Illumination Kit ATPP
- Premium Software ATPP Wafer Level Copper Support
- PFK Kit"
K&S    Maxum Ultra    Gold Ball Bonder       
K&S    Maxum Ultra    Wire Bonder Conversion Kit       
K&S    Powerfusion            "- Backend
- 220 V, 10.0 A, 50/60 Hz, 1 Phase"
K&S    Powerfusion            "- Backend
- 220 V, 10.0 A, 50/60 Hz, 1 Phase"
K&S    982-6    Wafer Dicing Saw       
K&S    AT Premier Plus    Bonder       
K&S    AT Premier Plus           
K&S    Turbo    Ball Bonder       
K&S    AT Premier           
K&S    4524 AD    Bonder        "- Area light does not work (to compensate, the attached circular light on microscope works)
- No EFO solenoid assembly"
K&S    1488 Plus           
K&S    1488 Plus            - PR error during boot up
K&S    775-4    Dicing Saw        "- has a rotation issue on the second cut operation
- includes manuals"
K&S    775-4    Dicing Saw        - For Parts (not operational)
K&S    1488 Plus    Wire Bonder       
K&S    4524    Wire Bonder       
K&W    MA 1006    Mask Aligner       
K&Z    CMP 11    Pyranometer       
K.H. Fredrick        Large Manual Dial Voltage Controller       
Kabatec    KTB 50 E Plus    Taping In Line Machine       
Kadia    130 B    Hydraulic Honing Machine       
Kadmach Machinery    CMC-CM    Communating Mill       
Kaesar    CS 76    Air Compressor       
Kaesar    KRD 1200    Dryer       
Kaeser        Air Compressor       
Kaeser    DNS 231    Air Compressor        135,000 hours
Kaeser        Air Compressors       
Kaeser    KAD 90    Compressed Air Dryer       
Kaeser    KRD 750    Dryer       
Kaeser    SX 6    Air Compressor       
Kaeser    SM 11    Air Compressor        TBH dryer and tank
Kaeser    F 6 KA    Portable Dryer       
Kafi    CF L 460    Turning Machine       
Kaijo        Cassette Cleaner        with IPA
Kaijo        Wet Bench       
Kaijo    118           
Kaili Air-con    30 R 060 ZA           
Kaise    SK 3100    Insulation Tester       
Kaiser        Air Compressor        - 15 HP
Kalamazoo        Cold Saw       
Kalamazoo    FA 350 SA    Saw       
Kalamazoo Industries        Robodrill Chip Conveyor       
Kaltenbach    3 KL 450 E    Saw       
Kaman    KD 2446 / KD 2306    Probes       
Kambert Machinery    KOG 250    Oscillating Granulator       
Kampf LSF    WSM 600    Winding Tool        for foil and metal
Kanken    KPL C 13 u PV           
Kanken    KT 1000 FA           
Kanken    KT 1000 Fi S           
Kapema    BGM 75    Belt Sander       
Kapema    BM 28 A    Drill Press       
KAPS All    A    Bottle Capper       
Kardex    Shuttle XP 250 1250 X 610    Pasternoster       
Kardex    Remstar 500 XP-2450 x 864-4550    Vertical Lift Module       
Kardex    Shuttle XP 250 1250 X 610    Pasternoster       
Kardex    Shuttle XP 250 1250 X 610    Pasternoster       
Kardex    Industriever 8000    Parts Storage       
Karl Fischer    841    Titrator       
Karl Muller    Elektror HRD 1 / 5    High Velocity Blower       
Karl Suss    MA 150    Mask Aligner       
Karl Suss    MA 56    Mask Aligner       
Karl Suss    MA 56    Mask Alligner       
Karl Suss    BA 300-MIT    Automated Inspection Tool    12"    Automated inspection tool inspects glass plates 13" x 14" molds do determine filling
Karl Suss    HVMMFT, C 4    Mold Fill Tool    12"    - 208 volts
Karl Suss    HVMMFT    Automatic Injection Molded Solder Mold Filling tool    12"    "- Supply Voltage: 208VAC
- 50/60Hz
- 3P5W
- Main breaker: 80A/10kA IAC
- Largest load: 3P 208VAC motor, 18 FLA"
Karl Suss    RC 8    Photoresist Spinner        "- With Spare Pump
- 110 V
- 60 Hz"
Karl Suss    PM 5    Probe Station       
Karl Suss    MJB 21    Dual Side Aligner       
Karl Suss    CL 10    Wafer Mask Cleaner        - There is a problem with the electronic card
Karl Suss        Dark Box For Probe Station        43x42x48
Karl Suss    MA 6    Mask Aligner        - Drive motor & card defective, but lamp housing, objectives & staging OK
Karl Suss    FC 150    Flip Chip Bonder        "- Repaired West heater controller
- Laser leveling module
- Dispensing heads (2) for adhesives
- 50kg bond pressure
- Tool size: 2""
- IR heating. 2 x 800W lamps up to1600w"
Karl Suss    MJB 45    Mask Aligner    4"   
Karl Suss    ZE 1000 G           
Karl Suss    DSC 300    UV Exposure Tool       
Karl Suss    MA 150    Aligner        "- 1000W lamphouse
- 125mm cassette to cassette operation
- 125mm prealigner
- Analog optics and mechanical optic switching"
Karl Suss    CBC 200    Auto Bonder    8"   
Karl Suss    PM 5    Probe Station       
Karl Suss    MA 200    Mask Aligner        "Includes:
- Power Supply
- Lamp Housing
- Vacuum Accumilator Tank
- CRT Stand
- Beacon Light Tower
- 2 Parts Totes"
Karl Suss        Prober Dark Box Enclosure       
Karl Suss    ACS 200    Coat Track    8"   
Karl Suss    MA 1006    Mask Aligner    6"    "- Backside alignment
- BSA chucks (x5)
- TSA chucks
- Square mask holders (2"", 5"")
- Circular mask holders (2.5"", 5"")"
Karl Suss    MA 56    Mask Aligner       
Karl Suss    MA 56    Mask Aligner       
Karl Suss    RC 8    Spin Coater       
Karl Suss    MA 200 CC    Mask Aligner    8"    - PCB damage
Karl Suss    ACS 200    Coater    8"    - Module communication system failure
Karl Suss    PA 300    Probe Station        "- Chuck state doesn't move
- Chuck controller is damaged"
Karl Suss    MA 200 CC    Mask Aligner        - Focus AAC not not working
Karl Suss    ACS 200    Coater    8"    "GenMark GB4 Robor
(QTY:2) Coat/Dev same module
Damaged Parts:
- Main Controller Socket
- Main Computer
- Junction Panel
- Communication Card
- Communication Cable
- Loadport Detector Sensor
- Loadport Detector Encoder
- 8 Module Bowl
- 8 Module Distribution Ring"
Karl Suss    MA 200 CC    Mask Aligner        - Lamp house power damage and drive fail
Karl Suss        Microscope       
Karl Suss    MA 6 / BA 6    Aligner       
Karl Suss    PA 200    Prober       
Karl Suss        Scriber       
Karl Suss    PH 150    Manual DC Probestation Probes       
Karl Suss / Fairchild Technologies    PR 800    DV Track    8"    "- With 8 Hp, 3 Cp, 4 Transfer Cp
- 8 Transfer Stations
- 1 Hmds Prime
- 2 Spin Coat with 3 Chemical - Dispense Each
- 2 Develop Module with 2 Chemical Dispense
- 1 Oebr
- 52-Wafer Buffer Station, Interface
- 4 Ort I/O
- Chem. Cabinate
- Class 1 Enclosure with Ecu Unit and - Chemical Filtration"
Kashiyama    SD 90 V III    Dry Screw Pump        With PC-010 Pump Controller
Kasuga    Rookie VCS    Test Handler       
Kasuga    VS 0954 BBB    Laser Marker       
Kasuga    VS 0954 FFF    Laser Marker       
Kato    SSE 45 CI A    HTHH       
Kato Engineering    A 270040000    Steam Turbine Generators       
Kaukan    KW 2 KPTS    Chiller        "- with air cooled condenser
- Cooling capacity: 5.6 kW.
- Power supply voltage: 380 V
- Freon R-407 C
- Evaporator-submersible type
- Hydro Kit-built in, open tank
- Dimensions: 550 * 850 * 1400"
Kayex    KX 120    Crystal Grower       
Kayex    CG 6000    Crystal Grower       
Kayex    150    Mono Crystal Furnace       
Kayex               
Kayex    898    CZ Crystal Grower       
Kayex    CG 6000    Crystal Growing Furnace        "- 3 Phase
- 380/400/440/460 Vac"
KC Tech    SiH 4    Valve Manifold Box        6 Stick Unit
KC Tech    CH 2 F 2    Valve Manifold Box        6 Stick Unit
KC Tech    CHF 8    Valve Manifold Panel        6 Stick Unit
KC Tech    4 %PH 3 /N 2    Valve Manifold Box        4 Stick Unit
KC Tech    C 4 F 8    Gas Cylinder Panel        2 Bottle Unit
KC Tech        Gas Cabinet        He/N2 One Bottle Unit
KD Scientific    780210           
Kearney & Treaker        Mill       
Kearney & Trecker    415 S-15    Milling Machine        "- 3 Phase
- 60 Cycles"
Kearney Trecker    Orion 2300    Mill        "- 460V
- 3 Phase
- 60 Freq"
KeepRite    KCL 023 T 4 A C 12 V 1085    Condenser       
Keith    KBR 18 4 90 1500    Preheat Furnace        "- 42” wide x 72” high x 140” long
- Tunnel cross section is 22” wide x 18” high interior space
- 800C max temperature"
Keith    KBR 18 4 180 1000    Annealing Furnace        "- 42” wide x 72” high x 240” long
- 22” wide x 18” high interior space.
- 800C max temperature"
Keith    KECR 120 D 96 1200    Car Bottom Loading Carbonization Furnace with Thermal Oxidizer System        "-Max Operating Temperature: 850C w/ Alloy Load Tray & Fan. 1200C Alloy Load Tray and Fan removed.
-1,000 lbs Load Capacity
-Usable Load Space: 120"" Diameter x 126"" High
- 8"" Ceramic Fiber Lining Insulation"
Keith    KEGH 30 38 30 2200    Bottom Loading Furnace       
Keith        Kiln       
Keithely    2400    Sourcemeter       
Keithley    595    Quasistatic CV Meter       
Keithley    230           
Keithley    590    CV Analyzer       
Keithley    2602    System Sourcemeter       
Keithley    237           
Keithley    238           
Keithley    707    Switching Matrix       
Keithley    2231 A-30-3    DC Power Supply       
Keithley    8160 C           
Keithley    224           
Keithley    213    Quad Voltage Source       
Keithley    2361    Trigger Controller       
Keithley    236    Source Measure Unit       
Keithley    237    High Voltage Source Measure Unit       
Keithley    5951    Remote Input Coupler       
Keithley    595    Quasistatic CV Meter       
Keithley    590    CV Analyzer       
Keithley    175    Multimeter       
Keithley    175 A    Autoranging Multimeter       
Keithley    181    Nanovoltmeter       
Keithley    480    Pico Ammeter       
Keithley    485    Autoranging Picoammeter        Needs Calibration
Keithley    617    Programmable Electrometer       
Keithley    414 A    Pico Ammeter       
Keithley    228    Voltage Current Source       
Keithley    2001    Sourcemeter       
Keithley    2400    Sourcemeter       
Keithley    2000 DMM    Sourcemeter       
Keithley        Scanning Thermometer       
Keithley        Digital Multimeter       
Keithley    2400    Digital Source Meter       
Keithley        Source Meter       
Keithley    480    Picoammeter       
Keithley    181    Nanvoltmeter       
Keithley    194 A    High Speed Voltmeter       
Keithley    705    Scanner       
Keithley    6517 A    Meter       
Keithley    8009    Resistivity Test Fixture       
Keithley    2001    Multimeter       
Keithley    7078 PEN    Programming Light Pen       
Keithley    2400    Digital Source Meter       
Keithley        Lot of Equipment        "Keithley 213 Quad Voltage Source
Keithley 2361 Trigger Controller
Keithley 236 Source Measure Unit
Keithley 237 High Voltage Source Measure Unit
Keithley 5951 Remote Input Coupler
Keithley 595 Quasistatic CV Meter
Keithley 690 CV Analyzer"
Keithley    7700    Ethernet-Based Digital Multimeter / Data Acquisition       
Keithley    707    Matrix Cards       
Keithley    2000    Nanovoltmeter       
Keithley    2450    Source Meter       
Keithley    2182 A    Nanovoltmeter       
Keithley    7001    Switch Unit       
Keithley    2750    Multimeter, Switch System       
Keithley    175    Autoranging Multimeter       
Keithley    175 A    Autoranging Multimeter       
Keithley    2200 72 1    Power Supply       
Keithley    2440    Power Supply       
Keithley    2651 A    Power Supply       
Keithley        Power Supply        228A Voltage
Keithley        Equipment Lot        "Includes:
- Keithley 2304
- 6655A
- 6032A
- 34401A Multimeters (4)
- TC32-045
- Fluke 177 Multimeters (3)
- 54610B Oscilloscope (2)"
Keithley        Digital Multimeter        "With:
- Tenma Power Supply
- IPEC Electronic Charger"
Keithley    196    Multimeter       
Keithley    4200    Semiconductor Characterization System       
Keithley    6212    Dual Source Meter Unit       
Keithley    3706    Switch       
Keithley    2000    Digital Multimeter       
Keithley    6221    AC/DC Current Source        "- Revision: D01
- Disp: 700x
- This unit is tested and is working"
Keithley    237    High Voltage Source Measure Unit       
Keithly    192    Programmable DMM       
Kejie    JTGK 500 E    Engraving Milling       
Keller    VARIO-T    Exhaust Dry Separator Unit       
Keller        Precutor and Kneeer / Precutting Unit and Extruder for Filter Cakes       
Keller Technology        Wafer Prober       
Kelly        Duplex Horizontal Mixer        Includes water meter
Keltec    CIJR 625 40           
Kemet    LP (DS) 16    Dual Face Lapping & Polishing Machine        "- 390mm dia max capacity
- 5 carriers
- HMI Touch Panel Control
- Coolant Tank"
Kemet    XJ 56    Copper Plate Single Side Polishing Machine        "- 4 Station
- c/w DERYUN model DWHT-7.5-RPSA Chiller System
- Corning PC 620 D Stirring Hot Plate
- Cole Palmer ""Master Flex"" Diamond Slurry Feeder & Slurry Waste Bin"
Kemet    XJ 56    Single Side Polishing Machine        "- 4 Station
- Hi-Dress"" Pad Dressing Arm c/w Slurry Supply System
- Hyundai Auto Chiller
- Compol 80"" Mixing & Filtration System & Return Tank
- Habor model HWK-5 RPT SA2 Machine Table Chiller
- Hanil 30 kva Transformer"
KEMET    LP (DS) 16    Lapping & Polishing Machine        "- Dual Face
- 390mm Dia Max Capacity
- 5 Carriers
- HMI Touch Panel Control
- Coolant Tank
- 480V
- 50Hz"
KEMET    XJ 56    Polishing Machine        "- Copper Plate
- Single Side
- 4 Station
Includes:
- DERYUN DWHT-7.5 RPSA Chiller System
- Corning PC 620 D Sitrring Hot Plate
- Cole Palmer ""Master Flex"" Diamond Slurry Feeder & Slurry Waste Bin"
KEMET    XJ 56    Polishing Machine        "- Single Side
- 4 Station
- ""Hi-Dress"" Pad Dressing Arm
Includes:
- Slurry Supply System
- Hyundai Auto Chiller
- ""COMPOL 80"" Mixing & Filtration System & Return Tank
- HABOR HWK-5 RPT SA2 Machine Table Chiller
- HANIL 30kva Transformer
- 480V-380V"
Kemper        Fume Extraction System        "- Wall Mount
- 1800 cfm
Includes:
- (2) 7.0 ft, 6"" diameter flexible exhaust arms
- Exhaust hood
- Swivel for wall mounted unit
- Steel mesh guard for hood"
Kenco    052 105 150    OBI Press        "- 5 Tons
- 1 Phase
- 60 Hz
- 60-175 SPM"
Kensington    CSMT 4        8"   
Kent    HVA 100    Print Pad        "Voltage: 110v
Power: 30w"
Kent    KGS 618 N    Hand Free Surface Grinder        Parts Only
Kent    Kipp 150    Pad Printer        4-Color
Kent    KGS 618    Milling Machine       
Kenwood    CS-5400    Oscilloscope       
Kenwood    Pa 18-1, 2 A    Regulated DC Power Supply        "- 220V, 50/60Hz
- Needs Calibration"
Kenwood    Pa-18    Regulated CB Power Supply        "- 1.2A, 220V, 50/60Hz, 45W
- Needs Calibration"
Kepco        Power Supply        500Vdc
Kepco    ABC 15-1 M    Power Source       
Kepco    ABC 15-1 M    Regulated DC Supply       
Kepco    ABC 40-0.5 M    DC Source Power Supply       
Kepco    ABC 425 M    DC Source Power Supply       
Kepco    BOP 1000 M    Bipolar Power Supply       
Kepco    LMS 5300    Power Supply        APH 2000M 0-2000V
Kepco    BOP 20 10 M    Bipolar Operation Power Supply Amplifier       
Kepco & Harrison        Various Power Supplies        7 Kepco Hb2Am Power Supplies: Input:115/230, 50-440 Cps, Power 5/2.5A Slo, Dc 0.3A Slo, Ref 2/1A Slo Harrison Laboratories 6271A Dc Power Supply 0-60V, 0-3 Amps
Kern    K 980    Cutter       
Kern    K 905    Cut Sheet Feeder       
Kern    K 980    Cutter       
Kern    K 905    Cut Sheet Feeder       
Kern    3000    Inserter        with OMR, GUF, ZS (2), Output Module (2), Output Conveyor
Kern    3000    Inserter        "Includes:
- Unwinder Hunkeler
- K940 Merger + K990 Cutter
- OMR
- GUF
- ZS (4)
- Output Module (2)
- Output Conveyor"
Kestrel    Dynascope QC 200    Digital Microprocessor, Table Unit       
Kevex    Mxrf. 952-101, Xrf 02    Fluorescence Spectrometer       
Kewaunee Scientific    Supreme Air Venturi    Ventilated Fume Hood Station       
Key International    TD 101 WD    Deduster       
Keyence    LK H 022 K    Laser Sensor        "- High Speed & Accuracy Disp Head
- Reference Distance: 20mm
- Laser Class II"
Keyence    TM 3001    Micro Meter for Sesvenna Prism Bar       
Keyence    TM 3001    Micro Meter       
Keyence        Surface Scanning Device       
Keyence    LC 2101    Laser Displacement Meter       
Keyence    LC 2400 W    Laser Displacement Meter       
Keyence    VHX 2000    Digital Microscope       
Keyence    VHX 1000    Microscope       
Keyence    VH 8000, VH-D 800, VH-Z 05    Microscope, Monitor & Lens        "With:
- Nikon PF-4 repro-copy outfit base
- Keyence 3410176 camera cable"
Keyence    LT 9010 M    Laser Measurement System        "- Keyence LT 9501 High Accuracy Laser Confocal Displacement Meter
- Dell E176Fpc Computer Monitor & VGA Converter"
Keyser    CS 120    Compressor        75 Kw 10 Bar
Keysight    M 9502 A    AxIe Chassis       
Keysight    "U 4431 A MIPI M-PHY
"    Interface Protocol Analyzer       
Keysight    "U 4432 A
"    Flying Lead Probes       
Keysight    4263 B    Inductance, Capacitance, Resistance (LCR) Meter       
Keysight    Keysight 8765 D    Coaxial Switch        "-DC to 40 GHz, SPDT
- Each coaxial switch comes with added options:
1.       Option 024: 24Vdc supply voltage with 3 inch ribbon cable terminated with a single in-line.
2.       Option 292: 2.92mm(f) Connectors."
Keysight    33500 B    Waveform Generator       
Keysight    34980 A    Multifunction Switch       
Keysight        Multifunction Switch        Part of BAAN 31216044100008
Keysight    34401 A    Digital Multimeter       
Keysight    34970 A    Data Acquisition / Data Logger Switch Unit       
Keysight    E 5515 C    Wireless Communications Test Set       
Keysight    86100 C Infiniium DCA-J    Wideband Oscilloscope Mainframe       
Keysight    86100 D Infiniium DCA-X    Wide-Bandwidth Oscilloscope Mainframe       
Keysight    PNA N 5225    40GHz PNAs       
Keysight        Test Equipment       
Keysight    N 4693 A    ECal Kit       
Keysight    CP 015    Current Probe       
Keysight    DSOX 2002 A    Storage Oscilloscope       
Keysight    DSOX 2002 A    Oscilloscope       
Keysight    DSOX 3034 T    Oscilloscope       
Keysight    U 2001 A    USB Power Sensor       
Keysight    560 98 K 50    Attenuator       
Keysight    8494 H    Attenuator       
Keysight    8496 H    Attenuator       
Keysight    8648 C    Signal Generator       
Keysight    E 4443 A    Spectrum Analyzer       
Keysight    34461 A    Digital Multimeter       
Keysight    E 36312    Power Supply       
Keysight    N 9040 B    Signal Analyzer        - 526 option (frequency range to 26.5 GHz)
Keysight Technologies    1146 B           
Keytek Instrument    424    Surge Generator / Monitor        "Includes:
- Keytek: Energy Storage Unit Model: 210
- Power Unit Model: 202
- Programmer Network Assembly Pna2401
- Programmer Network Pn242
- Programmer Network Box Apn240"
KH        Offload       
Kikusui    PLZ 303 W    Electronic Load       
Kikusui    PAD    Regulated DC Power Supply        110-1.5L
Kikusui    PLZ 72 W    Electronic Load       
Kikusui    PLZ 152 WA    Electronic Load        "120V
50/60Hz"
Kikusui    PAB 8-5    Regulated Power Supply       
Kikusui Electronics        DC Power Supply        Dual Tracking
Kilham    15    Bendit Brake       
Kilian    T 300    Tablet Printing Machine        "- With 44 Stations
- Max tablet/ saat = 140.000"
Killion        Extruder        "- 1.5""
- 7.5hp AC
- 3BZ"
Killion               
Kimmon    Vdk-150 Wf-Am    IPA Dryer & Quartzware    5"   
Kimmon    Vdk-150 Wf-Am    IPA Dryer & Quartzware    5"   
Kimmon Electric        Helium Cadmium Laser       
Kimmon Koha    IK 3501 R-G    Helium Cadmium Laser Head        50mW @ 325nm TEM00
Kimmon Koha    KP 2014 C    Helium Cadmium Laser Power Supply       
Kimmon Koha    IKRF    Remote Fan Assembly       
Kimmon Koha    OTMF    Optical Table Mounting Feet (pair)       
Kimono        Helium Cadmium Laser       
Kimura Denyoki    TD T 130 M    Timer Controller       
Kince    KWA-1225    Reflow Oven        "- 8 temp zones
- single track"
Kinefac    TD-21 CNC    Lathe       
Kinefac    MC-4    Die Roller       
Kinergy               
Kinergy    ACS-200    Substrate Sorter        with cleaning function
Kinergy    BM 800 ST    Buffing Machine       
Kinergy        Automatic Leadframe Loader       
Kinergy    Deflash 18 LD 300 MI    Conservation Kit for Shuttle       
Kinergy        Buffing Machine       
Kinergy        Auto Buffing Machine       
Kinetek    DR 300 C    Inspection Scope    8", 12"    - Automated
Kinetic Systems        Isolation Table        "- P/N 1201-02-11
- 48x30x34"
Kinetix    6120    Tester       
Kinetix    6500           
King Design        Equipment Lot        "Includes:
- 8705-A PABX Simulator
- Power 6032A
- Power Sorensen DCS 8-125"
King Tiger    8    Site Tester       
King Tiger    KT 2 Pro 8    Site Test System       
King Tiger    KT 3 P 2    Site Test System       
Kinglands        Guillotine       
Kinney    KD 30    Pump       
Kinney    SDV-120    Vacuum Pump       
Kinney    Baldor    Oil Pump       
Kinney    KD 30    Vacuum Pump        "- Reaches 10 microns and 33 CFM
- Approx. 42"" x 23"" of floor space"
Kinston    HL 1500    Lathe       
Kirloskar Mcquay        Chiller        "-    Capacity – 30 TR
-    Type – Semi hermetic reciprocating
-    Type of refrigerant – R 134a
-    Type of cooling – Water cooled  "
KIS    DKS 1500    Minilab Printer       
Kistler    5006    Charge Amplipher       
KJ Lumonics    710    Laser Welder       
KKS    ACS 3    Hot Stamping Press Machine       
KLA-Tencor    ES 20    Inspection System       
KLA-Tencor    ULTRA        200"   
KLA-Tencor    2608           
KLA-Tencor    Candela CS-10    Surface Analyzer    6"    "- Volts AC: 230
- Amps: 5
- Freq: 50/60 Hz
- Max A/C: 10 K"
KLA-Tencor    8100 / CD    CD Measurement    8"    "Without trolley (cart) for computer
- Assembled in Cleanroom"
KLA-Tencor    8100 / CD    CD Measurement    8"    Without trolley (cart) for computer
KLA-Tencor    8100    Scanning Electron Microscope (SEM)    8"    "- Linear dimensions
- Without trolley (cart) for computer"
KLA-Tencor    8100    Scanning Electron Microscope (SEM)    8"    "- Linear dimensions
- Without trolley (cart) for computer"
KLA-Tencor    AIT    Patterned Wafer Inspection System    8"    "Crates:
1 of 2 - Maintool
2 of 2 - Accessories"
KLA-Tencor    AIT    Patterned Wafer Inspection System    8"    "Crates:
1 of 2 - Maintool
2 of 2 - Accessories"
KLA-Tencor    AMRAY 4200    Scanning Electron Microscope (SEM)    8"   
KLA-Tencor    CRS 1010 Review Station    Review Station    8"   
KLA-Tencor    2132    Bright Field Inspection    8"   
KLA-Tencor    AIT I    Darkfield Defect Inspection    8"    "- AIT 2 Software Version: 3.3.2.813
- Operation System: WINNT ver. 4.0 Build 1381"
KLA-Tencor    2132    Bright Field Inspection    8"   
KLA-Tencor    CRS 1010    Defect Review    8"    Input power: 230VAC
KLA-Tencor    ES 31    E-beam Inspection    12"    "- Hz 50/60
- Volts 208
- 24 Amps"
KLA-Tencor    eS 810    E-Beam Inspection    12"   
KLA-Tencor    eS 810        12"    Two 300mm FOUP load ports.  Vendor maintained throughout. 
KLA-Tencor    Ultrapointe CRS 1010-S        8"   
KLA-Tencor    Ultrapointe CRS 1010-S    Confocal Review Station    8"   
KLA-Tencor    Ultrapointe CRS 1010-S    Confocal Review Station    8"   
KLA-Tencor    Ultrapointe CRS 1010-S        8"   
KLA-Tencor    Ultrapointe CRS 1010-S        8"   
KLA-Tencor    UV 1050    Thin Film Thickness Measurement tool    8"    - Software v. 2.24
KLA-Tencor    AIT UV ++    Pattern Inspection    12"    Darkfield
KLA-Tencor    FP 20    Metrology Tool       
KLA-Tencor    Surfscan 5500    Particle Counter       
KLA-Tencor    KT 2401            - 1 Phase
KLA-Tencor    CV 450    Visedge Edge Inspection System       
KLA-Tencor    P 17-0389969    Stylus Profiler    8"   
KLA-Tencor    EV 300           
KLA-Tencor    EV 300    SEM       
KLA-Tencor    5015    Wafer Particle Measurement System    5"    "- With Kinetic Systems Vibraplane Isolation Platform
- P/N 340400-12-0705"
KLA-Tencor    216 E - 236 Le - Kla 20    Misc. Parts, Manuals    6"   
KLA-Tencor    AIT    Patterned Surface Defect Inspection System    6"    "QTY: 2 - KT 0234229-000 Assembly, w/Preamp, Coll
QTY: 2 - KT 0234230-000 Assembly, w/Preamp, Align
QTY: 1 - KT 310948 Switch Vacuum Solid State"
KLA-Tencor    ICOS 8250    Automated Optical Inspection (AOI)        "- Auto tape & reel, tray-to-tray
- Runs QFP package
- 3D lead inspection
- 2D mark inspection (faulty card)"
KLA-Tencor    Candela CS 2           
KLA-Tencor    AIT UV+           
KLA-Tencor    AIT I Surfscan           
KLA-Tencor    8100    Critical Dimension - Scanning Electron Microscopy (CD-SEM)       
KLA-Tencor    086630    Surface Scan       
KLA-Tencor    ICOS Ci T 120    Auto Inspection & Tape Reel       
KLA-Tencor    PVI 6 1 H 0236    Optical Inspection Chemical Vapor Deposition (CVD)       
KLA-Tencor    PVI 6 Rev 1 FSPI    Automated Optical Inspection (AOI) system       
KLA-Tencor    Candela CS-20 V    Surface Analyzer    6"   
KLA-Tencor    Candela CS 2    Surface Analyzer        2", 3", 4" and 6" Chucks available at additional cost
KLA-Tencor    Candela CS-10    Surface Analyzer       
KLA-Tencor    ES 32    E-Beam Defect Inspection    12"   
KLA-Tencor    P 10    Surface Profiler    8"   
KLA-Tencor    SP 1-TBi    Non Pattern Inspection System        "-Software Version: MX 4.1 Build 6224
-Handler Type: 4 x 200 mm
Wafer size: 200mm and 150mm
- System Optics: DIC, DIC Calibration, GEM/SECS (CommPort), GEM/SECS (HSMS), Haze Analysis, Haze Normalization, Oblique, XY Coordinates"
KLA-Tencor    Surfscan SFS 4500           
KLA-Tencor    Candela CS-20    Surface Analyzer        "- 4"", 6"" or 8""
Measurement Features:
- Dark field (Scattered), Bright-field(Specular), Zcircumferential, Zradial
- Recipe configs: defects, roughness; Diamond scribe option"
KLA-Tencor    P 11    Surface Profiler    8"    "- Cables included
- ""Dongle"" is in place in the PC"
KLA-Tencor    P 2    Profiler       
KLA-Tencor    996 MS MicroVision            "- Multiple Pickup Heads
- Tray to Tray Capability
- Tray to Tape Capability
- Top Mark Vision
- Bottom Vision
- Leads Vision
- Auto Replace Capability"
KLA-Tencor    925 DT    IC Mark/Lead Inspector       
KLA-Tencor    P 10    Surface Profiler        "- With accessories, PC
- Software: WIN 3 (does not communicate properly with the tool)"
KLA-Tencor    HRP 350    Thickness Measurement System       
KLA-Tencor    P 10    Surface Profiler       
KLA-Tencor    2135            "- 208 V
- 10.0 A
- 30.0 A(2)
- 50/60 Hz
- 3 Phase"
KLA-Tencor    2365    UV/Vis Ultra Broadband Brightfield Patterned Wafer Inspection System    8", 12"    "- Electrical Input: 208 VAC 3 phase WYE nominal With Power Line Conditioner: 208, 240, 380, 416, or 480 VAC
- Wafer handling:  Errors < 0.01% (1 in 10,000) of wafers inspected Damage < 0.001% (1 in 100,000) of wafers inspected
- Cleanliness: Fed Standard 209E and ISO Class 2 for Dual FIMS handler"
KLA-Tencor    StarLight Terastar SLF 437-8 HR            "Robot controller:
- Backup battery and one 4-phase motor driver board
- Needs robot calibration
- Qty. 3 Skyboard
- Loader: Brooks ISE R 125/150"
KLA-Tencor    eV 300            "- The wafer is broken inside the measuring chamber, partial cleaning, problem with the column bad image
- No keyboard and monitor"
KLA-Tencor    ES 32    Wafer Inspection       
KLA-Tencor    P-16    Wafer Surface Profiler        "- This unit is in working condition. Passes self-tests and completes 2D and 3D scans of wafers
- Software version: 7.1
- Chuck size: up to 8"""
KLA-Tencor    Surfscan 5500    Wafer Particle Counter        "- Cassette to Cassette
- High Throughput
- High Resolution"
KLA-Tencor    Alpha Step 200           
KLA-Tencor    P 2    Surface Profiler       
KLA-Tencor    P 2    Wafer Profiler    8"    "- Standard and micro head assembly
- Multiple stylii
- Includes all manuals and software
- Longscan profiler PN: 148679
- Microhead PN: 217034
- Standard head With backup cartridge PN: 173754
- Computer keyboard PN: 97683
- Precision locator (Wafer with flate / Square substrate) PN: 181714
- Lamp assemblies, low power, as2b, 4"" PN: 078816 (2)
Stylus  / Form / Radius / Nom radius / Angle / Nom angle
- 146226 / Chisel / 2 / 2R x 50W / 60 / 60
- 014648 / Ball / 2 / 2 / 60 / 60
- 217190 / Ball / 5 / 5 / 60 / 60
- 217182 / Ball / 2 / 2 / 60 / 60
- 014630 / Ball / 12.5 / 12.5 / 60 / 60
- 217182 / Ball / 2 / - / 60 / 60
- 217182 / Ball / 2 / - / 60 / 60
- 175536 / Ball / 2.1 / 1.9 - 2.1 / 75 / 60
- 217212 / Ball / 0.2 / 0.1 - 0.2 / 83 / 70
- 217247 / Ball / 0.25 / 0.3 - 0.8 / 75 / 70
- 175536 / Ball / 2 / 1.9 - 2.1 / 77 / 60
- 014630 / Ball / 12.5 / 12.5 / 60 / 60"
KleenAir    M 1329    Cure Oven        "- 460V
- 138.5A
- Heat Input: 4,000,000 BTU
- Max Temp 400*F"
Klement        High Pressure Torsion Press        "- Dimensions 1153x1710x1700mm
- Piston Axis Travel: 110mm
- Piston Diameter: 250mm
- Driving Shaft Diameter: 80mm
- Pressing Force: Max 200 tons
- Torque: 1500Nm
- Rotation Speed: 0-5 rounds per minute
- Pressure Transmitter (from HBM) from 0 to 500bar
- Digital Amplifier: DigiCLIP (from HBM) for interpretation of strain gauges signal
- Noise Level Rating: less than 72 db (A)
- Equipment Power Rating: 400V 50Hz
- Power Supply Rating: 480V 60Hz."
Klenzaids        Laminar Air Flow Booth       
KLH        Water Chiller       
Klingelnberg    Micronic 2           
Klingelnberg    AWG 160    Hob Sharpener       
Klockner Ferromatik    Desma FM 250           
Kloe    Dilase 650    Optical Lithography Writer       
Klopper    AH 2100    Washer        "- Chemical Tempering Department
- Dim 2000*2000mm  Uncoated Glass"
Knauer    K 2600    UV Detector       
Knauer    K 1001    HPLC Pump       
Knauer        Interface Box       
KNF Neuberger    PM 14287-813.3    Vacuum Pump       
KNGY        Precision Degator        Customize Mechanical Machine
KNGY        Sohed Precision Tool System        Customize Mechanical Machine
KO Lee               
KO Lee    034.0056           
KO Lee    032.0081           
KO Lee    5618 HG    Bevel Grinder       
KO Lee        Bevel Grinder       
KO Lee    BA 960    Grinder       
Kocat    KC-903    Scrubber       
Kocat    KC 903    Gas Scrubber       
Koehring        Crane       
Kofab    BA7 1    Conveyor        "- T-304 SS38” effective belt width x 36 ½” IS turning
- Radiusx 180 degree of turn x 34” T.O.B elevation"
Koh Young    KY 3020 T    Solder Paste Inspection (SPI)       
Koh Young    Aspire DL    PCB Inspection System        - Dual Lane (can be used as single)
Koh Young    SPI           
Koh Young    KY 8030 2    Inspection Machine        - 220V / 32A
Koh Young    KY 8030 3    Solder Paste Inspection 3D SPI System       
Koh Young Technology    KY-3020 T    Solder Paste Machine       
Kohler    GGMB-6211507    Back Up Generator       
Kohtaki    KTS 2    Transfer Molding       
Kohtaki        Molding Press       
Kokusai    DJ-1236 VN-DF    Low Pressure Chemical Vapor Deposition (LPCVD) TiN    12"    "-Cartridge Heater - D4EX22250, RHC2 Heater
-Number of control zone, 5 zones
-Heater element material - KANTHAL APM
-Maximum heating temperature range - 1050 degC (in Furnace)
-Flat zone length - 800mm (±2.0 degC at 800 degC)
-Main Controller(OU) - D4EX38403(KDSC-2007CONT), Made by HKDE
-Core2Duo 2.16GHz, 2.0GB, 80GB (RAID1), 100BASE-TX 3Ch, USB2.0
-Main Operation Unit - TM150-HKT05
-Sub Operation Unit - D4EX40577
-Bottle Heater (For Ta Source) - E-0456-17, Tokyo Gikken
-Bottle Heater (For Ta Source) - E-0456-17, Made by Tokyo Gikken
-Bubbling UNIT (For Ti Source) - D4EX31240, Made by Schumacher
Bottle (For Ti Source) - BK1200URK, Made by Schumacher
-N2 Purge Load Lock System
-O2 Monitor / Detector - SH-305(RX-501052), Made by ENEGY SUPPORT
-FOUP Opener N2 Purge SYSTEM - Made by HITACHI KOKUSAI"
Kokusai    DD 1206 V-DF    Vertical Low Pressure Chemical Vapor Deposition (LPCVD) Furnace    12"   
Kokusai    DD-1223 VN    TiN Atomic Layer Deposition (ALD) system    12"    "Includes:
- Main Body and Axes
- Wafer Transfer Robot
- OHT(I/O) Stage
- Clean Unit Module
- Operation Controller (CX5000)
- Gas Pattern Panel
- Integrated Gas Unit : Fujikin/CKD 1.125 inch Stick Type
- MFC : STEC/CKD
- Exhaust Box
- Valve Box (BCD/CVD
- Power Box (480V-3Phase, 120V or 208V-Single Phase)
- Semi Standard (S2,S8)
Accessories
- Boat Rotation
- N2 Purge System(BCD/CVD)
- Bubbling(& Bottle Heater) Unit for TiN
- Heating Unit(around furnace port)(BCD/CVD)
- MP Transfer Robot(Wafer Detection System(cross))
- Gas Unit System for Ta precursor
- Seismic Restraint"
Kokusai    Quixace DJ-1206 VN-DM    SiN Low Pressure Chemical Vapor Deposition (LPCVD)    12"    "- Software Ver2.53.00
- Handler System:
     - Wafers Transfer Robot
     - FOUP Transfer Robot
- Accessories:  Power Box"
Kokusai    Quixace DJ-1206 VN-DM    SiN Low Pressure Chemical Vapor Deposition (LPCVD)    12"    "- Software Ver12.55.03
- Handler System:
     - Wafers Transfer Robot
     - FOUP Transfer Robot
- Accessories:  Power Box"
Kokusai    Quixace Ultimate    Vertical Low Pressure Chemical Vapor Deposition (LPCVD)    12"    "- MT-TiN
- Cartridge Heater - D4EX22250, RHC2 Heater
- Number of control zone, 5 zones
- Heater element material - KANTHAL APM
- Maximum heating temperature range - 1050 degC (in Furnace)
- Flat zone length - 800mm (±2.0 degC at 800 degC)
- Main Controller(OU) - D4EX38403(KDSC-2007CONT), Made by HKDE
- Core2Duo 2.16GHz, 2.0GB, 80GB (RAID1), 100BASE-TX 3Ch, USB2.0
- Main Operation Unit - TM150-HKT05
- Sub Operation Unit - D4EX40577
- Bottle Heater (For Ta Source) - E-0456-17, Tokyo Gikken
- Bottle Heater (For Ta Source) - E-0456-17, Made by Tokyo Gikken
- Bubbling UNIT (For Ti Source) - D4EX31240, Made by Schumacher
- Bottle (For Ti Source) - BK1200URK, Made by Schumacher
- N2 Purge Load Lock System
- O2 Monitor / Detector - SH-305(RX-501052), Made by ENEGY SUPPORT
- FOUP Opener N2 Purge SYSTEM - Made by HITACHI KOKUSAI
- Pumps Included"
Kokusai    Quixace Ultimate    Atomic Layer Deposition (ALD) system    12"    "Includes:
- Main Body and Axes
- Wafer Transfer Robot
- OHT(I/O) Stage
- Clean Unit Module
- Operation Controller (CX5000)
- Gas Pattern Panel
- Integrated Gas Unit: Fujikin/CKD 1.125 inch Stick Type
- MFC: STEC/CKD
- Exhaust Box
- Valve Box (BCD/CVD
- Power Box (480V-3Phase, 120V or 208V-Single Phase)
- Semi Standard (S2,S8)
Accessories:
- Boat Rotation
- Initial Quartzware Set (BCD-Quartz, Diffusion-SiC Boat/Liner)
- N2 Purge System (BCD/CVD)
- Bubbling (& Bottle Heater) Unit for TiN
- Heating Unit (around furnace port)(BCD/CVD)
- MP Transfer Robot (Wafer Detection System(cross))
- Gas Unit System for Ta precursor
- Gas Unit System for Al precursor and TMA Box
- Gas Line for Cl2 cleaning capability
- TiN PC Kit(Filters, Valve Heater)
- Clamp Heater
- Ampoules for TiCl4 and TMA (HiKE)
- Seismic Restraint"
Kokusai    Quixace Ultimate    Atomic Layer Deposition (ALD) system    12"    "Includes:
- Main Body and Axes
- Wafer Transfer Robot
- OHT(I/O) Stage
- Clean Unit Module
- Operation Controller (CX5000)
- Gas Pattern Panel
- Integrated Gas Unit: Fujikin/CKD 1.125 inch Stick Type
- MFC: STEC/CKD
- Exhaust Box
- Valve Box (BCD/CVD
- Power Box (480V-3Phase, 120V or 208V-Single Phase)
- Semi Standard (S2,S8)
Accessories:
- Boat Rotation
- Initial Quartzware Set (BCD-Quartz, Diffusion-SiC Boat/Liner)
- N2 Purge System (BCD/CVD)
- Bubbling (& Bottle Heater) Unit for TiN
- Heating Unit (around furnace port)(BCD/CVD)
- MP Transfer Robot (Wafer Detection System(cross))
- Gas Unit System for Ta precursor
- Gas Unit System for Al precursor and TMA Box
- Gas Line for Cl2 cleaning capability
- TiN PC Kit(Filters, Valve Heater)
- Clamp Heater
- Ampoules for TiCl4 and TMA (HiKE)
- Seismic Restraint"
Kokusai    Quixace DD-1236 VN-DF    Vertical Low Pressure Chemical Vapor Deposition (LPCVD) Furnace    12"    "- Software Ver. 91700
- Handler System: MP Transfer Robot (Wafer Detection System)
- Options:
     - Boat Rotation
     - N2 Purge System (BCD/CVD)
     - Bubbling & Bottle Heater Unit for TiN"
Kokusai    Quixace Ultimate DJ-1236 VN-DF    Low Temp Steam Anneal    12"   
Kokusai    Quixace-II    Vertical LPCVD Furnace    12"   
Kokusai    BDF 200    LP CVD Furnace       
Kokusai Electric    RM 6500 R    Plasma Asher       
Kokusai Electric    RM 6500 R    Plasma Asher       
KOLB    PS 03    Steel Plate Washer       
KOLB    PS 07 ST    Sieve Washing Machine       
KO-Lee    SE 618    Surface Grinder       
Komatsu    OBS 80-3    Hot Joggle       
Komatsu    OBW 150 2    Punch       
Komax    Xell-3400    Automatic Welding Machine       
Komax    Xell-Gen 2    Automatic Lay-Up System       
Komax    Xcell 3400    Automatic Welding Machine       
Komax    BY 188 T    Cable Twister       
Komax    Alpha 411    Wire Processing       
Komax    Zeta 633    Wire Processing       
Komax    Xcell 2500    Double Soldering String Cells Station       
Komax TSK    TS 1500    Electrical Test Table        - 1.2m
Komax TSK    TS 1500    Electrical Test Table        - 1.2m
Komax TSK    TS 1500    Electrical Test Table        - 1.2m
Komax TSK    VS 40    Vision Station       
Komo    VR 512 TG    Router       
Komo    VR 512 Mach One SHO    Router       
Komo    VR 612 Mach III    Router       
Koncar        Post Couring Oven       
Kondia    FV 1    Milling Machine       
Kondia    CM 60 MA    CNC       
Kondia    CM    CNC       
Konecranes        Single-Beam Crane       
Konecranes                SiNa
Kongskilde    FC 1200 S    Blower       
Konica    Aero DR Standard    Flat Panel Detector        - Includes panel changing box
Konica    Sonimage HS 1    Ultrasound System       
Kontron    WW 520           
Kopf    Model 750    Needle / Pipette Puller       
Kopp Verpackungssysteme    CO 140 INT Poly    Horizontal Bag Forming and Sealing Machine       
Koppers    105 A    Mill       
Koref    KR 10020    Chiller        "- with air cooled condenser
- Cooling capacity: 7.8 kW.
- Power supply voltage: 380 V
- Freon R-22
- Evaporator-submersible type
- Hydro Kit-built-in, open tank
- Dimensions:  635 * 835 * 1200"
Koref    KR 10010 R    Water Chiller        "- with air cooled condenser
- Cooling capacity: 2.5 kW.
- Power supply voltage: 220 V
- Freon R-22
- Evaporator-submersible type
- Hydro Kit-built-in, open tank
- Dimensions: 850 * 500 * 850"
Koref    KR 10030 Y            "- with air cooled condenser
- Cooling capacity: 10.4 kW.
- Power supply voltage: 380 V
- Freon R-22
- Evaporator-submersible type
- Hydro Kit-built in, open tank
- Size: 1005 x 700 x 1300"
Korvis        Post Laser Measurement tool       
Korvis    SPSAI_KRJ           
Korvis    SPSAI_KMG           
Korvis    SPSAI_KDL           
Koses    KAM 750 S    Ball Mount System       
Koses    KAM 760 S    Ball Mount System       
Kouda    FV 1    Drill Press       
Koyo    R 631 DF    Surface Grinder    4"    "- 4-axis vertical spindle
- 3 phase"
Koyo Lindberg    VF 100 B Cure    Furnace       
Koyo Thermo    INH 9 CD-S(CE)    High Temperature Batch Inert Gas Oven       
KP Technology    SKP 5050    Scanning Kelvin Probe        "- Nondestructive method to determine the relative work function difference between the probe and the sample
- High-resolution 3-axis stages, quick 50x50mm scans.
- High performance faraday and light enclosure to shield unwanted electromagnetic interference"
Kramer    E 2000-750    Metal Detector / Deduster Combo Unit       
Kramer    Lock Met 30 +    Metal Detector / Deduster Combo Unit       
Kramer    E 2000 1000    Metal Detector / Deduster Combo Unit       
Krass    44 L    LQFP Trim System        10x10
Kratos    AXIS    Ultra X-Ray Photoelectron Spectrometer       
Krause Maffei    350 1900 C 1    Injection Molding       
Krause Maffei        RIM       
Krauss Maffei    HZ 1000    Peeler Centrifuge        1.6 Ph
Krauss Maffei    KMD 50 K    Extruder        "- 4BZ
- 5DZ"
Krauss Maffei    KMD 50    Extruder        500mm
Krauss Maffei                50mm
Krauss-Maffei    HZ 800    Centrifuge, Peeler        "- Stainless Steel
- Drum Diameter 800mm
- Drum Length 400mm
- Drum Volume 88 Ltr
- Touch Control Panel
- Drain Valve
- Central Switchboard not included"
Krohm-Hite    3103    Dual Channel Tunable Filter       
Krohm-Hite    3202    Dual Channel Tunable Filter       
Krohm-Hite    4100 A    Push Button Oscillator       
Krohm-Hite    3202    Variable Filter       
Krohn-Hite    7500    Amplifier        - Equipment has full voltage output but only half the amperage output
Kruss    DSA 25 E    Expert Drop Shape Analysis System       
Ktron Colortronic    CEF 50    Doseding Polypropylene       
Kuka    KR 15/2    Pick and Place Robot        - with KR C1.02 controllers
Kuka    KR 5    Robot       
Kuka    KR 100-2 PA    Robot        - Cabinet: KR C 2 Ed 05
Kula        Robot       
KunShan Gaoqiang Industrial Equipment    BUBE 1496 6 ADE 1452    N2 Cabinet       
KunShan Gaoqiang Industrial Equipment    HSD 718 FD    N2 Cabinet       
KunShan Gaoqiang Industrial Equipment    ADE 726    N2 Cabinet       
Kunshan Huaye Equipment        Etch Hood       
Kurt J Lesker    PVD 75    Sputter       
Kurt J Lesker        High Vacuum Research Chamber        with feedthroughs
Kurt J. Lesker    CMS 24    Physical Vapor Deposition System    5"    "- 208V-14A-50/60Hz (Non-Functional)
- Replaced Z-Motor Laser Encoder
- Z-Motor Heater Wires Damaged
- Hard-Drive Failed"
Kurtz        Low Pressure Casting Machine       
Kuttler Automation    V 200    Unloader Deburring Line       
Kwikool    SAC 6021    Portable Cooling System       
KYB        Gas Fill       
KYB        Spin Roll Closer       
Kyowa    LMA A 50 N    Miniature Compression Load Cell       
Kyzen    C 8622    Board Washing       
Kyzen    E 5321    Washing Pallets       
L&J    EM 2 75    Press        36x24
L&L    XL 112-FA 24-01-G 407-480 R 3 K-B 97    Oven       
L&L Special Furnace    386-1567-27-6921-480 R 3 K-J 12    Furnace       
L&L Special Furnace Co    GS 1714    Kiln       
L. Schuler Goeppingen        Press        "- Pressure: 63 Ton
- Throat: 250 mm
- Stroke: 8-100 mm
- Stroke rate: 60 - 160 / min
- Distance table/ram, max. stroke up, adjustment up: 415 mm
- Table surface area: 710 x 500 mm
- Hole in the table: 250 / 280 mm
- Table height above floor: 800 mm
- Passage to the back: 290 mm
- Ram surface: 450 x 280 mm
- Ram adjustment: 63 mm
- Weight: 4,3 t
- Dimensions (WxDxH): 1,5 x 1,7 x 2,7 m"
Lab Line Instruments    680    Environmental Chamber       
Lab Research Products    2220    Lab Freezer        -115V
Labconco    47700    Fume Hood       
Labconco        Class II Biosafety Cabinet       
Labconco        Fume Hood       
Labconco        Centrivap Concentrator       
Labconco    117           
Labconco        Laboratory Hood 6'        "- 120 VAC
- Overall height from floor 84”
- Overall depth 30”
- Overall width 76”
- Height from floor to work surface 35”
- Chamber inside height 36”
- Chamber inside width 72”
- Chamber depth 22”
- Viewing sash 3/16"" thick tempered safety glass
- Viewing sash even glide from top to bottom
- Base stand with 1.75"" tubular steel, adjustable from 25.5"" to 33.5"" high
- Chemically washed to remove traces
- Estimated weight 300 pounds"
Labconco        Laboratory Hood 3'        "- 120 VAC
- Overall height from floor 84”
- Overall depth 30”
- Overall width 46”
- Height from floor to work surface 35”
- Chamber inside height 36”
- Chamber inside width 43 ¼”
- Chamber depth 23 ¼”
- Viewing sash 3/16"" thick tempered safety glass
- Viewing sash even glide from top to bottom
- Base stand with 1.75"" tubular steel, adjustable from 25.5"" to 33.5"" high
- Chemically washed to remove traces
- Estimated weight 250 pounds"
Labconco        Table for Hoods        "- 1.75"" tubular steel
- Adjustable legs
- Table top 30"" x 60"", covered with rubberized plastic sheeting
- Steel drawer 11"" x 18"" x 3"", positioned on long side of table
- Chemically washed to remove traces"
Labconco        Laboratory Fume Hood       
Labconco        Laboratory Fume Hood       
Labconco    50600 00    Glove Box       
Labconco        Fume Hood        2 Duct
Labconco        Spark Plug Bench Hood       
Labconco        Glovebox       
Labconco    SteamScrubber    Glassware Washer        - Power supply board is damaged
Label Aire        Label Applicator       
Label King        Label Machine       
Labindia    PICO +    pH Meter       
Labindia    PICO +    Conductivity Meter       
Labindia    DISSO 2000    Dissolution Tester       
Labindia    Disso 2000    Dissolution Test Apparatus       
Labindia    PICO +    pH Conductivity Meter       
Labindia    DS 8000    Dissolution Test Apparatus       
Labline    3499 M-3    Oven       
Labline    3478    Oven       
Labline    Squaroid    Duo-Vac Oven       
Labline    Ultra-Clean 100 (3594 M-3)    Oven       
Labline    Imperial IV    Water Bath       
Labnet    W 1106    Water Bath       
Labnet        Refrigerated Centrifuge       
Labomed    Vision 2000    Binocular Polarizing Microscope       
LabRepCo    LABH 30 DTX    Explosion Proof Refrigerator       
LabSphere    CD-610    Spectrometer System       
Labsphere    LED 1100    Spectral / Goniometric Analyzer       
LabSystems    S 2000 DLFFC 1560 AS    Fume Hood / BioHazard Cupboard        - Class B23
Laetus        Laetus Reader       
Lagun    FTV-1 S           
Lagun Liberty    LL-EC-13    Lathe       
Lagunmatic    310            Not working
Lagunmatic    310           
Lagun-Republic    FTV 1    Milling and Drilling Machine        "- Enco platinum plus digital read out
- One set of holders and Vise"
Laiyin Laser    TY 965 B    Laser Cutting Machine        "(QTY: 1) Laiyin Laser TY 965 B
(QTY: 1) Laiyin Laser TY 960 B"
Lake Erie        Hydraulic Press       
Lakeshore    410 Gaussmeter           
Lakeshore        Cryopump Temperature Monitor       
Lam    3622           
Lam    490 B    Auto Etcher        "- Edwards QDP 40
- ENI OEM-6
- 208 Vac
- 60 Hz"
Lam                P/N: 852-017750-001 E
Lam    SIG 450 PROC MOD A    Reactive Ion Etch (RIE) Asher FEOL Dry Strip System       
Lam    BEVEL 450 MM    Dry Etch System       
Lam        Remote AC Box / Power Panel for Lam Etch System       
Lam        T Match RF Match Assembly 9400        P/N: 853-032294 R 002
Lam    2300 Flex EL    Chamber    12"    "- with jet stream gasbox
- not including pump or chiller"
Lam        Remote Station        "- With Cart
- P/N 852-017750-001-C (For Classic Software)"
Lam        Remote Station        "- With Cart
- P/N 852-017750-001-D (For Classic Software)"
Lam        Remote Station        "- With Cart
- P/N 852-017750-001-G 220 (For Classic Software)"
Lam    490    Auto Etch       
Lam    496 B    Plasma Etch       
Lam    D 6 S 3504 AW           
Lam    Alliance 9100 PTX    Dry Etch    8"    - 2ch:Via/CW PM1/2
Lam    Concept Two Inova        8"    "- 2ch HCM Ta(N)PVD
- 1ch HCM Cu PVD
- 1ch Etch"
Lam    Teres    Chemical Mechanical Polishing (CMP)    8"    2 belt: L,R, 4 head
Lam    9600 CFE    Etch Tool        - 2 Chambers
Lam    SEZ RST 304            "- Chuck STD
- Brooks ATM407 w Flip-Module
Medium1
- used for HF HouseSupply,
- able to mix DHF
- Mix-Tank,
- Buffer-Tank (10ml BP) for HF,
- PW for DI
- Single-Hex
Medium2
- used for DSP from CBM
- able to mix DHF
- NO Mixtank !
- Buffer.Tank (10ml) HF (not used)
- PW for DI
- Double-Hex
Medium3
- used for SED HouseSupply
- NO Mixtank
- Buffer-Tank(10ml) HF
- Double-Hex
CBM
- 3 BufferTanks (H2SO4/H2O2/HF)
- 1 PW (DI)
Ozon-DIW
- Modul is not functional, components removed/defective
- O3-generator removed
- O3-contactor defective
- ctrl-cabinet some parts(solenoid block)- Damages /Deficits: signs of usage, some corrosion on metal surfaces
- Missing Parts: DIOzone modul defective / not functional
- Consumables/parts EOL: electronics(CPU, Amplifiers)"
Lambada Physik    LPX 105           
Lambda    GEN 30 25    Ion Gun Controller       
Lambda    LH 124    Atomic Force Microscope (AFM) Regulated Power Supply       
Lambda    LP 412    FM Power Supply       
Lambda        Dual Regulated Power Supply       
Lambda    LK 345 A    Regulated Power Supply       
Lambda    LPD 422 A    FM Dual Regulated Power Supply       
Lambda    LPD 415 A    FM Dual Regulated Power Supply       
Lambda    LQD 421    Dual Regulated Power Supply       
Lambda    LX 55 016 A 13 20    TDF Development Kit       
Lambert & Jouty        Moving Line        "- 4 Trolleys
- Capacity: 12.5 T per Trolley
- Speed 2-8m / 385 min
- 50 Hz
- 400 V 3 Phases"
Lambert & Jouty        Moving Line Stator        "- 7 Trolleys
- Capacity: 5 T per Trolley
- Speed 2-8m / 385 min
- 50 Hz
- 400 V 3 Phases"
Lamda    XIS    Amphibian Laser Lithography System       
Laminar    CAT 130    Flow Hood       
Laminar        Air Flow Hood        with Stand
Landis        Precision Grinder       
Landis        OD Grinder       
Lanfranchi    LRPU 1600/8/24           
Lanfranchi    LRPU 1600/8/24           
Lanfranchi    LRPU 2400/12/36           
Langley    EH 102    Elevator Lift       
Langley    EH 102    Vertical Lift        "- 17 Amp
- 1 Phase
- 115 V"
Lanpulike    UVEC-4    Uv Spot Light Source Curing Machine       
Lanpulike    UVEC-4    Uv Spot Light Source Curing Machine       
Lanpulike    UVEC-4    Uv Spot Light Source Curing Machine       
Lantech    Q 1000    Stretch Wrapper       
Lantech    QA 003186    Machine Wrapper and Rotary Arm       
Lantech    C 2000    Hot Melt Case Erector        "- With extended magazine (82.3” from standard, Becker vacuum pump
- 4 color beacon
- UL listed
- hot melt glue (Nordson ProBlue 4)
- left hand flow "
Lantech    C 2000 Tape    Automatic Case Erecting System       
Lantechnical    K 2 21 1 C    End Seal       
Lanze AC Tech        Controller       
Lapmaster        120" Continuous  Grinder       
Lapmaster        120" Continuous  Polisher       
Lapmaster    EL 200    Lapping Machine        "-Three Way Drive Planetary Type
-Fits 6 x 180 Teeth Gears
"
Lapmaster    EL 200    Lapping Machine        -Two Way Drive Type
Lapmaster    48    Precision Optics Polisher        "- Diameter of Lapping Plate – 48”
- Polishing Pad – Gray Rock for Optics
- Carrier Aluminum Rings (2each) 18”
- Granite Bruiser – 22”
- Motor:
   DC Gear Motor with AC Inverter Controller (2004)
   Speed – 10 RPM – 200 RPM, 220/3 Phase
   Approximate Weight – 8500 lbs. "
Lapmaster    LFG 12    Grinding Machine        "- 3 Phase
- 60Hz"
Lapmaster        Lapping Machines       
Lapmaster    12    Lapping Machine       
Lapmaster    DSL 720    Double Sided Lapping Machine        "- Wheel(ODxID): 28.4 x 12.6""
- Carriers: 5
- Air supply: 5-6 kg/cm2(0.5-0.6Mpa)
- Operating voltage: AC415V 3PH
- Control voltage: AC220V 1PH / DC24V/DC15V
- 50/60Hz, 10kW, 40A
- Upper wheel speed: 0~100 rpm
- Lower wheel speed: 0 ~ 100 rpm
- Inner wheel speed: 0 ~ 40 rpm
- Upper lap plate: 25 x 25mm lands, 3.2mm wide slots, 20mm depth
- Lower lap plate checked slotted dims: 35 x 35mm lands, 3.2mm wide slots, 20mm gr depth
- Slurry pump: 2-Head Peristaltic type
- Slurry reservoir: 6-Gallon non-corrosive with agitator"
Lapmaster    285 / 4    Lapping Polishing Machine        - 610mm (24") wheel diameter
Larox    PP 01 H 2    Filter Press       
Lasag        Laser Seal Glove Box       
Lasag    SLS 200 C 16    Laser       
Lasair    1002    Particle Counter       
Laser Design Inc (LDI)    DS-2020    3D Laser Scanning System       
Laser Vision Systems        Semi - Auto align        "Includes
- Load Cell
- DualB with camera
- Auto ADJ Mechanism"
Laser Vision Systems    X 2-220    Baffle Attach System       
Laser Vision Systems        Wafer Stacker        "- 230VAC
- 50Hz"
Laser Vision Systems        Camera Guide Flip Stacker       
Laser Vision Systems        Wafer Stacker        - Dual Black & White Camera with Adjustment Mechanism
LaserScope    Venus Erbium YAR    Power Supply       
LaserStar    506-196-080-4    Laser Welder        "Qty 3:
- Version 8 (1)
- Version 11 (2)"
LaserStar Technologies    525 728 060    Class 4 Laser        "- Nd: YAG Laser Wave Length 1064 Nanometers
- Max Energy: 150 Joules
- Max Pulse Width: 20 mS"
Lasertec    2 PG 20    Mask Inspection       
Lasertec    2 PG 20    Mask Inspection    12"    "- Width: 780 / Height: 1800 / Depth: 1010
- Weight(kg): 300
- 15"" TFT monitor
- Client PC: Nexcom Peak 715 series or equivalent
- PC Rack MRP914: 800x1600x900
- Printer: HP DJ-3845 or equivalent
- Software version: Windows2PG (WIN2000)"
Lasertec    M 1350    EUV Inspection System       
Lasertec    DP 200    Reticle Handler Dual Pod       
Lasertec    M 2351    Blank Scanner       
Lasertec DMG    LT 65 3 D    Additive Manufacturing and Milling       
LaserVision Technologies    SP 3 D-ADC           
Lassy    12 F    Tapper       
Lauda    Integral T 1200    Chiller        - for Chrome Etch, Aluminum, Developers
Laurel        Spin Coater    8"   
Laurell    EDC 650 HZB 23 NPPB    Spin Coater       
Laurell    WS 650 HZB 23 NPP/UD 3    Spin Coater       
Laurier    DS 3000    Pick and Place       
Laurier    HA 225 Plus    Hybrid Epoxy Die Bonder       
Laurier    DS 4000    Die Sorter       
Lauterbach    TRACE LA 4502 CombiProbe    ARM Debugger       
Lauterbach    TRACE LA 4502 CombiProbe    ARM Debugger       
Lauterbach    TRACE LA 4502 CombiProbe    ARM Debugger       
Lay Concept    AXYS 2382    Etching Web Bench and Robot        Plate 12*15cm
Lay Concept        Motorized Trolley for Transport and Loading       
Layton    MCS    Modular Cooling System       
Layup        Multi-Line Registration Alignment       
LC Technology Solutions    SPBT-1    Bench Top Solvent Purification Sysytem       
LCC        Automatic Test Equipment (ATE)       
Le Champ    Hanseo HS 3640           
Le Champ    Hanseo HS 3654           
Leader    LBO 51 MA    Scope       
Leader    718-3 D    DC Power       
Leap    AH-480    Baking       
Leap Technologies        Robotic Arm Controller       
Leatus    Polyphem 1030    Camera System       
LeBlond    Makino    Vertical Mill       
Leblond    Regal Precision    Engine Lathe        - 15" x 54"
Leblond    Regal Servo-Shift    Engine Lathe        - 74"
Leblond / Makino    MC 86    CNC       
Leblond Makino        NC Mill       
Leblond Makino        NC Mill       
LECO    TC 136    Nitrogen Oxygen Determinator       
Leco    PR 22    Pneumatic Mounting Press       
Leco    GDS 750 A    Glow Discharge Optical Emission Spectrometer       
LeCroy    LC 884    Oscilloscope       
LeCroy    CP 150    Current Probe       
LeCroy    AP 015    Current Probe       
LeCroy    9314 A    Oscilloscope        400 MHz
LeCroy    334           
LeCroy    9314 M Quad    Oscilloscope        400 MHz
LeCroy    LC 534 AL    Oscilloscope        1 Ghz, 4 Channel
LeCroy        Storage Oscilloscope        4 Channel
LeCroy    WP 940    Oscilloscope        - 500 MHz 8 GS/s
LeCroy    LT 374    Oscilloscope       
LeCroy    Wavemaster 8300            - 3 GHz
Lecroy    LI 364    Wave Raner       
Lecroy    Waverunner 6050            "- 500MHZ
- 4 Channel"
Lecroy    Waverunner 6100            1GHz
Lecroy    DA 1855 A    Differential Amplifier       
Lecroy    CP 015    Current Probe       
LeCroy    WavePro 7200    Oscilloscope        2 GHz
Lecroy    Wavepro 7100 A    Oscilloscope       
Lecroy    Wavepro 7200 A    Oscilloscope        "- 4 Channels
- 2GHz, 10GS/S, 10 MPTS/Ch with 10.4"
Lectra    Vector 2500    Automated Fabric Cutting Machine       
Ledco        Film Laminator       
Ledoux, Eurotole, Hams        PLF Autoclave Racks        Capacity 35T
Lee Industries    500 LD 7 T    Kettle       
Lee Industries    20 D 7 T    Kettle        20 Gallon
Lee Industries    3 D    Jacketed Vessel        3 Gallon
Lee Laser Inc    818 T 0 / 20    Laser       
Leeson        Oil Pump       
Leeson        Termination Kiln       
Lehighton    1510 RP           
Lehighton    1605 P    Mobility Mapper       
Lehighton    1310           
Lehighton    1510 C-SA    Measurement Tool    6", 8"   
Leibert        AC Unit       
Leica    EBPG-4 HRV    E-Beam Lithography System       
Leica    MZ APO    Stereo Microscope        Coaxial Incident Light Housing
Leica    Ployvar SC    Charge-Coupled Device (CCD) for Polyvar Transmission Electron Microscopy (TEM)        Objective Lens, Plan APO 150X/0
Leica    PL APO 150            Widefield
Leica    DM LB    Microscope       
Leica    DM IRE 2    Microscope       
Leica    TCS SP 2 / DM RXE    Microscope Base-DMRXE       
Leica    DM IRE 2    Microscope       
Leica    DM-RM 307-371.010    Microscope       
Leica        Micrioscope Optic       
Leica    S 6 E 6.3 to 40 Zoom    Microscope       
Leica    Mz 75    Microscope        0.63 to 5 20x lense (12.6 to 100 magnification)
Leica    S 8 A P 0    Microscope        10 to 80x magnification
Leica    EM IGL           
Leica    TCS SP 2    Confocal Microscope       
Leica        Stereo Zoom Microscope        "- x300
- with Stand"
Leica    Reichert Polyvar 2    Microscope       
Leica    PrecisionPoint VX    API Machine       
Leica    INS 3300    Microscope       
Leica    S 8 APO    Microscope       
Leica    M 165 C    Microscope        - Illuminator power supply is missing
Leica Cambridge    S 260    Scanning Electron Microscope (SEM)        "- Accelerating voltage: High (4KV-30KV)
- Low (0.3KV-3KV) Stage movement: X,Y Z direction Tilt (-10 to 90 degrees) R (360 degrees)
- Resolution Capability: 5nm (Accelerating voltage=30KV, WD=3mm)"
Leitz    060-642.001    Optical Comparator       
Leitz    Ergolux    Film Measurement Tool       
Leitz    Ergolux    Optical Microscope       
Leitz    Labovert           
Leitz    Laborlux D           
Leitz Wetzlar        Orthoplan Scope       
Lektro        Aircraft Tug       
Lemsys    TRD           
Leo        Box Coater        "- CTI-Cryo / 8200 CTI compressor
- Granville Phillips Vacuum control
- Allen Bradley Panel View 900
- Maxtek MDC 360 dep controller"
Leo    EVO 50    Scanning Electron Microscope (SEM)        - Column needs alignment
Leo Corporation    LTA 130 A    Wafer Analyzer        "Includes:
- Wa-1 Stage Control Unit
- Wa-2 Data processing Unit
- IBM 8560 Computer
- Keyboard
- Monitor (Btau 901)"
Leo Engineering        Box Coater    6"    - SiOx
Leroy    30 HP    Air Compressor        220/440V
Leroy Somper    1 L 590 PR    Pump       
Lesco    Super Spot Max    UV Lightsource       
Letelac    LTZSG 20    Auto Transformer        "- Dry type
- 6-800KVA"
Lewa Process Technologies    Eco Prime 1000-2    Low Pressure Chromatography System        "-ASME-BPE 2013 Compliant
-CE Certified
-2 Channels
-Gradient Elution
-Single Flow Meter: Post Column
-Buffer Filter (Pre-Column)
-Pre-Column Conductivity / Temperature
-Post-Column pH (Standard)
-Post-Column Conductivity / Temperature
-Post-Column Dual Wavelength UV
-The stand-alone software did not perform as expected."
Lewco        Conveyor Lift Gate        24" x 18"
Lewco        Gravity Conveyor        120" x 18"
Lewco        Gravity Conveyor        "- 18” OTO
- 15” BF
- 120” L
- 3” OC Roller Spacing, 2”D Rollers"
Lewco        Conveyor Lift Gates        "- 18” OTO
- 15” BF
- 34” L"
Lewco        Oven       
Lewis/Stoelting    WRD    Ultrasonic Washing System        "Includes:
- Pre-wash station
- Ultrasonic washer
- Dryer
- External electrical panel"
Lexel    85    Argon Ion Laser        1 Watt
Leybold    D 65 BCS    Vacuum Pump       
Leybold    Turbovac 150    Turbo Pump       
Leybold    Turbovac Tw 220/150 S    Turbo Pump       
Leybold    CVD 300 H/6/1/1    UHVCVD (Ultra-High Vacuum Chemical Vapor Deposition) Reactor       
Leybold    Syrus II 3610           
Leybold    Dryvac DV 650    Special Vacuum Pump       
Leybold    D 90 AC    Vacuum Pump       
Leybold    D 25 BDS    Vacuum Pump       
Leybold    D 40 B    Vacuum Pump       
Leybold    D 90 AC    Vacuum Pump       
Leybold    D 25 BCS    Vacuum Pump       
Leybold    D 25 BCS / PFPE    Vacuum Pump       
Leybold        SiGe Chemical Vapor Deposition (CVD)       
Leybold    Ecodry L 12300           
Leybold    Turbovac 600    Turbo Pump       
Leybold    Turbovac 350 i    ISO 100 Turbo Pump       
Leybold    Dryvac 2            Part #  13837
Leybold    Turbo UL 100    Helium Leak Detector       
Leybold    Phoenix L 3001    Leak Detector       
Leybold    UL 500    Leak Detector       
Leybold    D 16 B    Vacuum Pump       
Leybold    A 700 QE    E-Beam Deposition System        "- Process ran thorium
- Needs New Diffusion Pump"
Leybold    Coolpak    Compressor        Part # 010 915 TA
Leybold    Turbovac 150    Turbomolecular Pump       
Leybold    Dryvac 100 P           
Leybold    Turbovac Mag 2800    Pump       
Leybold    Turbo Vac 361 C    Pump       
Leybold    A 700 Q    Evaporator        "- 4 pot ESV 6 E-gun
- Turbo Pumped
- Inficon IC 5 System
- Issue with high voltage supply with EV Gun"
Leybold    WVU 501    Roots Blower       
Leybold    WVU 151    Roots Blower       
Leybold    Varodry VD 65    Vacuum Pump       
Leybold / Inficon    IC 4 Plus            "- 90-132VAC
- 175VA
- 50/60Hz"
Leybold Heraeus    A 650    Sputtering system       
Leybold Heraus    Trivac Model D 30 A    30 cfm Mechanical Pump       
Leybold Vacuum    Turbovac 1000 C    Spare Turbo Pump for RIE       
Leyland-Gifford        Vertical Drill        Multi speed Motor
LG    8002    Function Generator       
LGE Engineering    Squarer Multi    Ware Saw        - Capacity: 138kw
LGE Engineering    Squarer Mono            - Capacity: 138kw
Li Lon Shiang        Annealing Oven       
Li Quan Technology    VT    Hard Tray Mold       
Li Quan Technology        Hard Tray Mold       
Li Quan Technology    VT-S    Hard Tray Mold Maker       
Li Quan Technology    J 0297 VT-T    Hard Tray Mold Maker       
Li Quan Technology    J 0319    New Mold Making Tool       
Li Quan Technology    J 0335    Aluminum Die Fresh Common Mold Base       
Li Quan Technology    J 0341    Aluminum Mold       
Li Quan Technology    J 0337    Aluminum Die Fresh Common Mold Base       
Li Quan Technology    J 0319-2    Mold Making + Electroplating        "- Common Mode
- NAK mold"
Li Quan Technology    J 0315    Aluminum Mold       
Li Quan Technology    J 0338    Aluminum Die Fresh Common Mold Base       
Li Quan Technology        Mold Maker       
Li Quan Technology    VT-T    Mold Maker       
Li Quan Technology    J 0353    Aluminum Die Holder       
Lianyi    CS-6-13    Fiber Adjustments For Reflection       
Lianyi    CS-6-13    Six Dimensional Adjustments       
Lianyi    CS-6-13    Six Dimensional Adjustments       
Lianyi    LD-631-S 1    Straight Through Fiber Adjustments       
Lianyi    LD-631-S 1    Straight Through Fiber Adjustments       
Lianyi    LD-631-S 1    Straight Through Fiber Adjustments       
Lianyi    LD-631-S 1    Straight Through Fiber Adjustments       
Lianyi    LD-631-S 1    Straight Through Fiber Adjustments       
Lianyi    LD-631-S 1    Straight Through Fiber Adjustments        BLAH
Lianyi    LD-631-S 1    Straight Through Fiber Adjustments       
Lianyi    LD-631-S 1    Straight Through Fiber Adjustments       
Lianyi    LD-631-S 1    Straight Through Fiber Adjustments       
Lianyi    LD-631-S 1    Straight Through Fiber Adjustments       
Lianyi    CS-6-13    Reflection Fiber Adjustments       
Libert    AP 600    Power Supply       
Libert    GXT MT           
Libert    GXT MT           
Liberty        Wafer Track       
Lid        Baking Oven       
Liebherr    Litronic    Wheeled Excavator        - with hydraulic elevated cab (without bucket) including large qty of spares
Life Technologies        Personal Genome Machine (PGM)       
Life Technologies        Ion Chef System       
Life-Care Equipment        Ultrasonic Cleaner       
Liftrite    T 132 K 48042 A    Manual Walkie Lift       
Lighthouse    APEX P 3    Particle Counter       
Lightnin        Agitator        Mounted on Stand
Lightnin        Mixer       
Lightriar Technology    FBT-LJS-001    Optical Coupler Manufacturing Machine       
Lightriar Technology    FBT-LJS-002    Optical Coupler Manufacturing Machine       
Lightriar Technology    FBT-LJS-003    Optical Coupler Manufacturing Machine       
Lightriar Technology    FBT-LJS-004    Optical Coupler Manufacturing Machine       
Lightriar Technology    FBT-LJS-005    Optical Coupler Manufacturing Machine       
Lightriar Technology    FBT-LJS-006    Optical Coupler Manufacturing Machine       
Lightriar Technology    FBT-LJS-007    Optical Coupler Manufacturing Machine       
Lightriar Technology    FBT-LJS-008    Optical Coupler Manufacturing Machine       
Lightriar Technology    FBT-LJS-009    Optical Coupler Manufacturing Machine       
Lightriar Technology    FBT-LJS-010    Optical Coupler Manufacturing Machine       
Lightriar Technology    FBT-LJS-011    Optical Coupler Manufacturing Machine       
Lightriar Technology    FBT-LJS-012    Optical Coupler Manufacturing Machine       
Lightriar Technology    FBT-LJS-013    Optical Coupler Manufacturing Machine       
Lightriar Technology    ZDJ-1000    Fbt Terminal       
Lightriar Technology    FBT-LJS-014    Optical Coupler Manufacturing Machine       
Lightriar Technology    FBT-LJS-015    Optical Coupler Manufacturing Machine       
Lightriar Technology    FBT-LJS-016    Optical Coupler Manufacturing Machine       
Lightriar Technology    FBT-LJS-017    Optical Coupler Manufacturing Machine       
Lightriar Technology    FBT-LJS-018    Optical Coupler Manufacturing Machine       
Lightriar Technology    FBT-LJS-019    Optical Coupler Manufacturing Machine       
Lightriar Technology    FBT-LJS-020    Optical Coupler Manufacturing Machine       
Lightriar Technology    FBT-LJS-021    Optical Coupler Manufacturing Machine       
Lightriar Technology    FBT-LJS-022    Optical Coupler Manufacturing Machine       
Lightriar Technology    FBT-LJS-023    Optical Coupler Manufacturing Machine       
Lightriar Technology    FBT-LJS-024    Optical Coupler Manufacturing Machine       
Lightriar Technology    FBT-LJS-025    Optical Coupler Manufacturing Machine       
Lightriar Technology    FBT-LJS-026    Optical Coupler Manufacturing Machine       
Lightriar Technology    LZ 17001    Fbt Terminal       
Lightriar Technology    FBT-LJS-027    Optical Coupler Manufacturing Machine       
Lightriar Technology    FBT-LJS-028    Optical Coupler Manufacturing Machine       
Lightriar Technology    FBT-LJS-029    Optical Coupler Manufacturing Machine       
Lightriar Technology    FBT-LJS-030    Optical Coupler Manufacturing Machine       
Lightriar Technology    FBT-LJS-031    Optical Coupler Manufacturing Machine       
Lightriar Technology    FBT-LJS-032    Optical Coupler Manufacturing Machine       
Lightriar Technology    FBT-LJS-033    Optical Coupler Manufacturing Machine       
Lightriar Technology    FBT-LJS-034    Optical Coupler Manufacturing Machine       
Lightriar Technology    B 4360    Pull Cone Test System       
Lightriar Technology    B 4360    Pull Cone Test System       
Lightriar Technology    B 4360    Pull Cone Test System       
Lightriar Technology    B 4360    Pull Cone Test System       
Lightriar Technology    B 4360    Pull Cone Test System       
Lightriar Technology    B 4360    Pull Cone Test System       
Lightriar Technology    B 4360    Pull Cone Test System       
Lightriar Technology    B 4360    Pull Cone Test System       
Lightriar Technology    B 4360    Pull Cone Test System       
Lightriar Technology    B 4360    Pull Cone Test System       
Lightriar Technology    B 4360    Pull Cone Test System       
Lightriar Technology    B 4360    Pull Cone Test System       
Lightriar Technology    B 4360    Pull Cone Test System       
Lightriar Technology    B 4360    Pull Cone Test System       
Lightriar Technology    B 4360    Pull Cone Test System       
Lightriar Technology    B 4360    Pull Cone Test System       
Lightriar Technology    B 4360    Pull Cone Test System       
Lightriar Technology    B 4360    Pull Cone Test System       
Lightriar Technology    B 4360    Pull Cone Test System       
Lightriar Technology    B 4360    Pull Cone Test System       
Lightriar Technology    B 4360    Pull Cone Test System       
Lightriar Technology    B 4360    Pull Cone Test System       
Lightriar Technology    B 4360    Pull Cone Test System       
Lightriar Technology    B 4360    Pull Cone Test System       
Lightriar Technology    B 4360    Pull Cone Test System       
Lightriar Technology    B 4360    Pull Cone Test System       
Lightriar Technology    B 4360    Pull Cone Test System       
Lightriar Technology    B 4360    Pull Cone Test System       
Lightriar Technology    B 4360    Pull Cone Test System       
Lightriar Technology    B 4360    Pull Cone Test System       
Lightriar Technology    B 4360    Pull Cone Test System       
Lightriar Technology    B 4360    Pull Cone Test System       
Lightriar Technology    B 4360    Pull Cone Test System       
Lightriar Technology    B 4360    Pull Cone Test System       
Lightriar Technology    B 4360    Pull Cone Test System       
Lightriar Technology    B 4360    Pull Cone Test System       
Lightriar Technology    B 4360    Pull Cone Test System       
Lightriar Technology    B 4360    Pull Cone Test System       
Lightriar Technology    B 4360    Pull Cone Test System       
Lightriar Technology    B 4360    Pull Cone Test System       
Lilian        Milling Machine       
Lilongxing        Annealing Furnace       
Linberg        Kiln       
Linco    ILS-610 H    Sputter       
Lincoln    TIG 255    Welder       
Lincoln        Grease Pump       
Lincoln    TEFC    AC Motor        - Energy Efficient
Lincoln        TIG Welder       
Lincoln    DVBAAF 4 PSTC 61 L    Hydraulic Pump       
Lincoln    TIG 300 / 300    Arc Welder       
Lincoln    Statiflex 400-MS    Weld Fume Extraction Unit        Single Arm
Lincoln    Statiflex 400-MS    Weld Fume Extraction Unit        Single Arm
Lincoln    Statiflex 400-MS    Weld Fume Extraction Unit        Single Arm
Lincoln    Statiflex 400-MS    Weld Fume Extraction Unit        Single Arm
Lincoln Electric    Square Wave TIG 255    Electric Welder       
Lincoln Electric    CV-400    Industrial Welder with Wire Feed        400 Amps
Lincoln Electric    Ultimate E 1    Hydraulic Power Unit       
Lincoln Motors    SSD 2 P 25 TCTM 61 YKL 16           
Lindberg        Laboratory Tube Furnace        "With:
- Control Unit 59754A
- (1) HP 44428A 16 Channel Actuator Output Unit
- (1) HP 44422A 20 Channel T-Couple ACO Unit
- (1) Teledyne Analytical Instrument Trace Oxygen Analyzer"
Lindberg        Heavy Duty Horizontal Furnace        with Omeage Temperatue controller (Max. temperature: 1100 C)
Lindberg        Controller       
Lindberg    2290    Conveyor Furnace       
Lindberg        Brazing Belt Furnace       
Lindberg / Blue M    GO 1340 P 3 A    Gravity Oven       
Lindberg / Blue M    HTF 55667 C    Tube Furnace       
Lindberg / Blue M    POM-588 C-3    Oven        Temp Range to 260*C
Lindberg / Blue M    MO 1440 A-1            -40c Min   300c Max 120 volt 16.6amp
Lindberg / Blue M    CC 58434 C-1    Furnace        "-With Controller
- 208/240V; 50/60HZ; 70A, 1PH; MAX TEMP 1200 C"
Lindberg / Blue M        Furnace       
Lindgren RF Enclosures    T/T           
Lindl        Tilting Engine       
Lindl    P 50    Tilting Engine        - Traxction 900N
Lindstrom    PS 501 3    Torque Wrench        - 4"
Linear    CKK-15-110    Wafer Lifter    6"   
Lineberg        Kiln       
Ling Electronics    PA 30-3-A 1-1-3844 B           
Lingburg    54252    Furnace        "- Single Zone
- with Control Base"
Linicon    LV 125 A    Vacuum Pump       
Link Analytical    INCA L 300 QI    Energy Dispersive X-Ray        "- Width 9.843  in  (25.0 cm)
- Depth 27.559  in  (70.0 cm)
- Height 43.307  in  (110.0 cm)"
Link Instruments    LA 5240    Logic Analyzer        "- PC Based
- 40 Channel"
Lintec    RAD 2500 M    DAF Wafer Mount Tool    8"    - Static Eliminator, Ionizer Present
Lintec    LTD-2500 F / 12    Wafer Mounter Peeler    12"    - 3 Phase
Lintec    RAD 3010 F 12    Detape for Backside Grind, DP    12"   
Lintec    RAD 3500 F/8    LAM       
Lintec    2500 F    Auto Wafer Mounter System       
Lintec    Adwill RAD 2500 M 12 LE    Non Contacts Table        6.8 & 12"
Lintech    205716    Slide        "With 0.005"" Total Length Straightness
- Precision
- 80"" Travel"
Lintech    205715    Slide        "With 0.005"" Total Length Straightness
- Precision
- 80"" Travel"
Linx    NTM 441 OUM    Unloader       
Lion Precision    P0-17-4520    Driver       
Lion Precision    P0-16-8800    Probe       
Lioni Precision    DMT 12    Dual Range Sensitivity Probe Driver Module        Lo Range: 1v .001 Hi Range: 1v .0001, +/- 15 VDC @ 130 mA
Lissmac    SBM M 1500    Deburring Machine        "- Dual Belt
- Upper and Lower"
Lissy        Robot with Cabinet and Balance and PC       
LitePoint    IQflex           
LitePoint    IQ2010           
Litron        Chiller       
Little David    LD 16 A    Case Sealer       
Little Giant    3 MDX    Pump        "- 3000 RPM
- 115V
- 60Hz
- 1.65 Amp"
Little Giant    2 MD    Pump        "- 3000RPM
- 115V
- 60Hz
- 1.65Amp"
Little Giant    1-A A-M-D    Pump        "- 50/60Hz
- 1 Phase"
Little Giant    1 AA-MD    Pump        "- 50/60Hz
- 1 Phase"
Little Giant    1-AA-OM    Pump        60Hz
Littleford    Daymax 100    Mixer       
Littleford Day    W-10    Mixer       
Livingston & Haven        Hydraulic Pump       
LK    G 90 C    Calibration Table       
LMT    B 520    Photometer        "- LMT sensor
- 22 mm diameter with diffusing plate"
LNS    Quick Load Servo 80 S 2    Bar Loader / Feeder        Worked with Mazak Multiplex 6300
LNS    Quick Load Servo S 3    Bar Loader / Feeder        Worked with Mazak Multiplex 6200
LNS    Quick Load Servo S 2    Bar Loader / Feeder       
LNS    Alpha 552    Bar Feeder       
LNS Turbo    57508026           
Loctite    98760    Conveyer       
Loctite    Posi-Link           
Loctite        Epoxy Dispenser       
Loctite    HYSOL BULK-14    Adhesive Dispenser       
Loctite    EQ RB 15 200 D    Gantry Robot       
Loctite    ZETA 7610    MPMA Light Cure Conveyor       
Loctite        Hysol Wheel Coater       
Loctite    7411           
Loctrite    UVALOC 1000    Light Cure Chamber       
Lodestar    8203    Power Supply       
Lodigue    M 20 MK    Littleford Mixer       
Logitech    1 DP 41    Wafer Substrate Polisher        "- Volts: 480
- Hz: 50/60
- Phase: 3
- Amps: 12
- Largest Load Amperes: 6"
Logitech    15 1 MOD 1-1    Wire Saw       
Logitech    DL 4    Lapping System       
Logitech        Auto Colimator       
Logitech    AXL 1    Annular Saw       
Logitech    1 DP 1-5    Polisher       
Logitech    PM 2 A    Polisher       
Logitech    PM 2 A    Polisher       
Logitech    1 CM 51 CDP Automatic    Chemical Mechanical Polishing (CMP)       
Logitech        Polishing and Lapping Jig        with Dial Indicator
Logtech    Y-SU 45    Keyboard       
LOH    LCM 240    CNC Centering Machine       
Loh    4322    Centering Machine       
LOH    WG    Edge Polisher       
LOH    RXT CNC 17    Grinding Machine for Ophthalmic Lenses        "- 380 V
- 50 Hz"
LOH    ACM 15 V    Aspherical Robotic Polish        - Z axis not functioning.
LOH        Plano Machine - Surface Grinder       
LOH    PM 350    Polisher       
LOH Wetzlar    PM 3    Three Spindle       
LOH Wetzlar        Polishing Machine        Dual Head
Loma        Scale       
Lomar        Split Jaw Crimper        Needs guarding and jaws are all for obsolete/ service  crimp versions
Lonati    L 46-J    Circular Knitting Machine       
Long Wei    TPR 3003 2 D    DC Power Supply       
Loomis    V 5    Automatic Roller Breaker       
Loomis    V 5    Automatic Roller Breaker       
Loral    042-B 347-A 2 C-7 C 2    Switch RF Coaxial       
Lorimer        Hydraulic Press       
Lorlin    T 1    Test Fixture       
Lorlin        Tester       
Loshbough        Industrial Press       
Lot of Grid Locks                "- Silver Table System (7)
- GHD 8122 HD Tooling Module, 81mm x 12"" (4)
- GMD7714 A Silver Auto Single Module ASM77, 14"" (6)
- Assy Grid-Lok Sensor Mounting Block (6)
- Silver Auto Single Module, 14"" (6)"
Lot of Misc Equipment                "- Rectifiers (4)
- 24"" Kason Screen Units (2)
- Kason Deck Components for 30"" and 48"" units
- Sand Blaster (new)
- Bottle Aligner
- SS Tanks (several)
- Large Sulzer Pump (new)
- Lift / Turntable Table
- Littleford Mixer
- TecWeigh feeder(s) (nearly new)
- 30"" Elcan Screener(s)
- Rotary Valves (new) "
Lourdes    2100 x    Punch Press       
LoveShaw    Little David    Top and Bottom Case Sealer       
LPI        Screener        Semi-Automatic
LPKF    Protomat 95 S    Circuit Milling Machine       
LPKF        Laser       
LPKF    ZelFlex Z 4 P    Pneumatic Stencil Frame       
LPKF    ProtoMat C 400    Router Workstation       
LPKF    606320 P    Laser       
LPKF Laser & Electronics    ML 6320 P    UV Laser        "- 7 watt
- Needs Laser Beam Alignment / PM Service"
LPKF Laser & Electronics        Circuit Board Plotter       
LR Environmental Equipment        Temperature Chamber        "- Chamber: 16X12X10
- Footfrint: 36X23X32"
LS    LGH 550 M    Hydro Mechanical System        - with Wittmann W833PRO-0580
LSI    45/60    Track    5"   
LTX    Delta    Tester        "- without test head, pin card, and cables
-384 pin"
LTX  Credence    Fusion - HF    Integrated Circuit Tester Tool    6"    Ht Material & Vac Sealed
LTX  Credence    TS 88    Test System        "With:
- Mv Tech Calibration Box
- Test Head
- Manuals"
LTX / Credence    Fusion AC    Automatic Test System    8"    Digital Printer Not Working
LTX / Credence    Emiscope-II t           
LTX / Credence    Fusion HFI    Tester       
LTX / Credence    Sapphire    Tester       
LTX / Credence    Synchromaster II    Tester        "- PM Event Board (no PM Counter Board)
- TMU
- 4 DPS
- MS
- 4 TH Matrix"
LTX / Credence    Fusion HFI    Tester        - (QTY: 4) RF 6400 4 port bi-dir RF Brick P/N: 858-1054-00
LTX / Credence    Fusion HFI    Tester        - (QTY: 4) RF 6400 4 port bi-dir RF Brick P/N: 858-1054-00
LTX / Credence    Kalos HEX    Memory Tester    8"    "- 8 sites, 48 I/O per site
- with GPIB Card and Network Card"
LTX / Credence    Kalos HEX    Memory Tester    8"    8 sites, 48 I/O per site
LTX / Credence    Quartet One    Tester    8"    192 Channels
LTX / Credence    DX 90    Tester       
LTX / Credence    PPS    Fusion Tester        "- 380 V
- 50/60 Hz
- 3 Phase"
LTX / Credence    PVI    Tester        "- 230 V
- 50/60 Hz
- 1 Phase"
LTX / Credence    STS 5020    Domain Tester       
LTX / Credence    SC Micro    Tester       
LTX / Credence    SC Micro    Tester       
LTX / Credence    Duo    Tester       
LTX / Credence    Quartet    Tester       
LTX / Credence    HFi    Tester        "- Single Head
- 512 Digital Pins
- Digital Type VX 5
- Blade 2500 Work Station
- Analog Pins-0
- RF Ports- 0
- Memory Depth 16 M
- CYMU Wavecrest- 0
- DPS Boards- 4
- CPS Boards- 12
- HPDVM-1
- HF Sig Gen-0
- GTO-S- Y
- GTO-ML-4
- GTO-MLT-N
- GTO-MX-N
- DSCM- MSRFSCM
- Envision Prod Lic-1
- Envision Base O/S-12.33
- Envision Developer-1
- Test Head Manipulator-RAM"
LTX / Credence    Trillium Deltamaster        8"    - 256 pin, 2 Meg DPMY,
LTX / Credence    ASL 1000    Tester       
LTX / Credence    Personal Kalos    Tester       
LTX / Credence    Fusion MX    Tester        "P/N & Vers     Description            Qty :
974-0026-02    SSBA                     (2)           974-0122-00    OVI                         (2)           974-1014-01    HCOVI                   (1)            974-0230-00    VI16                       (1)             974-1157-00    SWG                      (2)            974-1004-03    DIG_HSB              (1)             974-1253-00    HSIO                      (1)             974-1061-01    FX2HD_PEC        (4)             974-1110-00    FX1_TMBD           (2)             974-1138-04    RF16                     (2)
Rohde Schwarz SMA 100 A/W B 22        (2)       
Rohde Schwarz SMA 100 A                      (2)  
Rohde Schwarz SMATE 200 A                 (2)

"
LTX / Credence    ASL 1000    IC Tester       
LTX / Credence    Quartet One    Tester        "Sun Solaris Unix Operating System
SparcStation20 Work Station
Software Version: Toolbox 3.1/3.3
384 - 200 Mhz Digital Channels
8 - Channels Per PGC
48 - PGC Qty
24 - 16M PPM QTY
10 - DPS 1171 7.5V4A"
LTX / Credence    Sapphire    Tester       
LTX / Credence    Fusion HF    Tester        "- 512 channels
- VX 250"
LTX / Credence    TMX 07    Manipulator and Docking Plate       
LTX / Credence    Fusion CX    Tester        "- Slot 1: SSBA
- Slot 2: OVI
- Slot 3: OVI
- Slot 4: OVI
- Slot 5: OVI
- Slot 6: Dig02
- Slot 8: AWG
- Slot 10: DPC
- Slot 11: DDS
- Slot 12: DPC
- Slot 14: Dig02
- Slot 16: AWG
- Slot 17: OVI
- Slot 18: OVI
- Slot 19: OVI
- Slot 20: OVI
- SMIQ: 5
- CPU: SB2500
- Drop Down RF Assembly
- Manipulator: ESMO
- Envision (OS): R12.5.5"
LTX / Credence    Fusion CX    Tester       
LTX / Credence    Quartet    Tester       
LTX / Credence    LT 1001    Tester       
LTX / Credence    TS 80    Tester       
LTX / Credence    Kalos    Tester       
LTX / Credence    Quartet    Tester        - Testhead Disconnected
LTX / Credence    Delta D 50    Tester       
LTX / Credence    Fusion CX    Tester       
LTX / Credence        Manipulator       
LTX / Credence        Test Head       
LTX / Credence        Cooling Rack       
LTX / Credence    Fusion CX    Tester       
LTX / Credence        Manipulator       
LTX / Credence        Test Head       
LTX / Credence        Cooling Rack       
LTX / Credence    LT 1101    Tester        - w/ Cal 2 Calibration system
LTX / Credence    Kalos Hex 48    Memory Tester    8"    "- No. of Digital Channels: 48 1/O per site
- 16 sites capability
- Operating software: Win NT
- Kalos SW: 1.11
- Prober/Handler: EG 4090"
LTX / Credence    Fusion MX    FX 2 Card        - 32 channel
LTX / Credence    Fusion CX    Tester       
LTX / Credence    TS 80    Tester        With PC and calibration box
LTX / Credence    Fusion HF    Tester        - Failed calibration
LTX / Credence    Fusion MxC    Tester       
LTX-Credence    Fusion HF           
LTX-Credence    Sapphire    Tester       
LTX-Credence    Fusion MX    Tester        "Module OVI:
- FUS02A B'd Max: 6
- 16V / 1000mA
- DC Power: Octal VI Source
Module HCOVI:
- 8V / 1000mA
- DC Power: High Current OVI Source
Module VI16:
- FUS02A B'd Max: 2
- 16V / 100mA
- DC Power: VI 16 Source
Module DIG-HSB:
- FUS02A B'd Max: 1
- 400MHz
- DC Power: Digitizer
Module SWG-HSB:
- FUS02A B'd Max: 2
- 400MHz
- DC Power: Sequenced Waveform Generator
Module PEC_FX:
- FX1 1 / FX2 7
- 200MHz
- DC Power: Digital (Pin Electronics Card-FX digital)
Module RF Brick:
- FUS02A B'd Max: 16P
- 6GHz
- DC Power: RF (Radio Frequency)
WorkStation (OS Version): PC-XI (R15.4.1)"
Lucas    42 B 60    Horizontal Mill        "- 4” Bar
- 60” Cross Travel
- 48” Vertical Travel
- 48” x 74” T-Slot Table
- 9-1200 RPM
- Heidenhein 3-Axis DRO"
Lucas Signatone    302    4-Point Probe       
Lufran    090 RE 480 1000 U    DI Water Heater        "- Dual Column
- V480
- 50/60HZ
- PH3"
Lufran    090 RE 480 1000 U    DI Water Heater        "- Single Column
- V480
- 50/60HZ
- PH3"
Lumatec    SUV DC    Curing System       
Lumen Dynamic    S 1500 A    Omnicure UV Curing       
Lumentics    OptiGauge 13000    Precision Thickness Measurement        - Optical Thickness 6118.44 um (n = 1.0)
Luminex    100    IS Analyzer       
Lumonics        Hard Laser Marker       
Lunaire    CEO 932 W 3    Temperature & Humidity       
Lust        Drive        12 / 16 AMP
Lust        Drive        32 AMP
Lust        Drive        64 AMP
Luxo        Microscope        6.5 to 45x magnification
Luxo        Magnifying Glass Inspection       
Lyncee Tec    DHM R 2100           
Lynx    NTM 210 BLBP    Vacuum Loader       
Lynx        Conveyor        0.5m
Lynx-Spectra    Vision    System       
Lytron    MCS 10 G 01 BB 1    Modular Cooling System       
M Braun    MB 200 G           
M&M        Compressor       
M&R    AG 350-6 n-D-S-S-V    Mask Aligner       
M&W    RPC 2/28 W-RNB    Recirculator - Flowrite       
M.B. Tech    NC 25 PCB    Leadframe Cleaning Machine        175 x 130 x 205 cm
M.P.T.        Oil Fill       
M.P.T.        Dual Piston Torque       
MAC        Bag House        756 bag
Macbeth    The Answer II    Reflection Densitometer       
MacGregor    DC 1000    Welding       
MacGregor    DC 1800    Welding       
Machin        Paper Shredder       
Machin        Refrigerated Centrifuge       
Machin    ACM 174    Wrist Action Shaker       
Machine        Tools       
Machines               
Machvision    CVRS 2824 33 M    Verismart Verification System       
Machvision    CVRS 2 2824 33 M    Verismart Verification System       
Mactronix    AE 2-600    Ae2 Wafer Sorter    8"   
Mactronix    MCL-325    Wafer Transfer System    3"   
Mactronix    AWI 400    Wafer Stage for Bright Light Set-up        Missing 2 Adjustment Knobs
Mactronix    Eureka    Wafer Transfer System        "- P/N Uka450A3
- Setup: A72X40Mb, Pa72-40Mb, Silicon Bt (52S)
- With 2 Mean Well S-150-24 Power Supplies
- Input: 110/220Vac 3.2Amp - 220-240Vac 1.6Amp, 50/60Hz, Output:+24V 6.5Amp
- Operator/Maintenance/Equipment Diagram Included"
Mactronix        Wafer Sorter       
Mada    TP 80 EX           
Madell    Mini-X    Automatic Pick and Place       
Madell        Automatic Pick and Place System        with PC Controller
Madell        Furnace       
Mag View    MVM 040 PN    Magnetic Flow Meter        2 - 40 lpm, 4-20 mA
Mag View    MVM 040 PA    Magnetic Flow Meter        2 - 40 lpm, 4-20 mA
Mag View    MVM 200 PN    Magnetic Flow Meter        10-200 lpm
Magic    IB L 10 12 D    Extrusion Blow Molding Machine        "- 500 ml bottle
- 1 extruder
- 2 moving parts
- 2 molds
- Convex extrusion heads
- 5 extrusion heads (parrisons)
- 5 cavities per mold (115 mm pitch)
- 10 cavities
- 49 bpm"
Magic    IB L 10 12 D    Extrusion Blow Molding Machine        "- 500 ml bottle
- 1 extruder
- 2 moving parts
- 2 molds
- Convex extrusion heads
- 5 extrusion heads (parrisons)
- 5 cavities per mold (115 mm pitch)
- 10 cavities
- 49 bpm"
Magic    IB L 10 12 D    Extrusion Blow Molding Machine        "- 1,000 ml bottle
- 1 extruder
- 2 moving parts
- 2 molds
- Convex extrusion heads
- 4 extrusion heads (parrisons)
- 4 cavities per mold (115 mm pitch)
- 8 cavities
- 35 bpm"
Magic    IB L 10 12 D    Extrusion Blow Molding Machine        "- 500/750/1,500 ml bottle
- 1 extruder
- 2 moving parts
- 2 molds
- Concave extrusion heads
- 5 extrusion heads (parrisons)
- 5 cavities per mold (115 mm pitch)
- 10 cavities
- 44 bpm"
Magna Power        DC Power Supply       
Magna Power    TSA 800 6 / 208 + HS    DC Power Supply       
Magna Power Electronics    TSA 20-1250    DC Power Supply        "TS Series Programmable DC Power Supply Output: 0-20 Vdc, 0-1250 Adc; 25 kWInput: 380 Vac, 3ΦComm.: Front Panel, RS-232, and Isolated 37-pin I/OOptions INCLUDED:A Version Front PanelLXI TCP/IP Ethernet Interface (+LXI)
"
Magna Power Electronics    Xrii 100-80           
Magnetek    6 357726 01    AC Motor        "- HP-30
- RPM 1750
- V208-230/460
- A78-74/37
- PH3
- 60HZ"
Magnetic Instrumentation    912 Gaussmeter    Measurement Instrument       
Magne-Tron Instruments    800    Four Point Probe Resistivity System       
Magnose        Bulk Bottle Filling Machine       
Magnum        Press       
Magnus        Aqueous Cleaning System       
Magrol    5100    Dynamometer Controller       
Magtrol    DSP 6000    Power Analyzer       
Maguire    WSB-100 Series    Weigh Scale Blender       
Mai Jiun    LP 660 UV 2 T           
Mai Jiun    LP 770 XB           
Mai Jiun    LP 770 XB           
Mai Jiun    LP-770 BBDS           
Mai Jiun    R 25           
Maingchau        Parts Cleaner       
Maingchau        Boat Cleaner       
Maingchau        Tube Cleaner       
MAKE    MODEL    TYPE56    WAFER    "1
2
3"
make this    model this    type this    wafer this    config this
Makerbot    Replicator Plus    3 D Printer       
Makino    MC-86    Horizontal Mill       
Makino    MC-98    Horizontal Mill       
Makino    1516            1000 MM pallet, 15,000 RPM, CAT 50 35 HP
Makino    SNC 64    CNC Veritcal Graphite Machining Center       
Malcom    RCM S    Reflow Checker       
Malvern    Mastersizer 2000    Particle Size Analyser        The laser and a board were damaged
Malvern    CVO 100    Rheometer        Includes Hook Up
Malvern    Mastersizer 2000           
Malvern    Hydrosight    Particle Visualization Sampling Unit       
Malvern    Panalytical Morphologi G 3-MAL 1140289    Particle Measurement        - Camera mode #: FQX-50 C
Malvern    Zetasizer           
Manchester        Endformer        Needs  additional guarding
Manga        Power Load Bank       
Mania    Speedy MPP 4504    Flying Probe       
Mania    Accumatch    Optical Inspection (AOI)       
Mania Technologie    Speedy 580    Probe Tester        Needs motherboard
Mania Technologie    Speedy 280           
Manix    TSC 1210    Oven       
Manjer Engineering    AHPAT 3000 PC 50    Auto Testing and Sorting Handler       
Manncorp    MC 391 V 1-V    Pick & Place       
MannCorp    290 V    Desiccant Cabinet - Dry Box       
Manncorp               
Manncorp    MC 1400    Stencil Printer        "- Includes leaded and lead-free stencil blade sets
- Has automated stencil alignment via vision capability"
Manner        Tape Transport Dish Washer       
Mannesmann    MPM 45 E 1 CPA    Press       
Manson    AC 1103    Isolation Transformer        "- 1000 W
- Needs Calibration"
Manson    DPS 3030    DC Regulated Power Supply        "- 220V, 50Hz
- Needs Calibration"
Manson    MPD 1850    DC Regulated Power Supply        "- 220V, 50Hz, 210W
- Needs Calibration"
Manson    MPD 3030    DC Regulated Power Supply        "- 220V, 50Hz
- Needs Calibration"
Manson    MPD 6015    DC Regulated Power Supply        "- 220V, 50Hz
- Needs Calibration"
Mantis    MFC           
Mantis        Vision Equipment       
Manual Singulation               
Manufacturing Integration    Flexisort 600    Die Sorter System       
Manufacturing Integration Technology    IMT 1200 M    Laser Mold Cleaning Machine        "- Weight: 1323 bl
- Width: 59.055 in
- Depth: 35.433 in
- Height: 47.244 in"
Manufacturing Integration Technology    MIT_LH 100 SP    Laser Mark Machine        - 3 Phase
Manufacturing Integration Technology    MIT_LH 100 TTL    Laser Mark Machine        - 3 Phase
Manufacturing Systems        ECG Cable Shield Probe Fixture       
Manz    Sina XL    Chemical Vapor Deposition (CVD)       
Manz        Automatic Loading and Unlo       
Manz    Sina 3400    Bilateral Automatic Wafer Loading and Unloading Machine        3400/h
Manz    Z 10    Stackbox Unloader       
Manz    Z 10    Pallet Loader       
Manz    Z 10    Pallet Unloader       
Manz    Z 10    Wafer Loader       
Manz    Z 10    Wafer Unloader       
Manz    Zelltester    Cell Classification       
Manz        Loader Stackbox       
Manz        Loader Back Side Metallization Stackbox to Rotary Table       
Manz        Pallet Loader        - 5 Lane
Manz        Unloader        - 5 Lane
Manz        Pallet Unloader and Wafer       
Manz        Unloader Metallization Fast Firing Furnace        - 2 Lane
Manz        Cell Classification       
Manz        Loader Stackbox        - 5 Lane
Manz China    W 12 1640    Developer       
Marason        Plasma-Enhanced Chemical Vapor Deposition (PECVD) Abatement System       
Marathon Electric    FVD 56 T 34 F 5301 J P           
Marathon Electric    7 VF 213 TTFW 4020 AD L Series E    AC Motor       
Marathon Electric    EVB 286 TTDC 4026 BB S Series E    AC Motor       
Marathon Electric    1 J 405 TTF 56036 AP W    XRI High Efficiency AC Motor       
March    AP 1000    Plasma System       
March    PX 250    Asher       
March    AP 1000    Plasma Clean       
March    FlexTrak    In-Line Plasma       
March    PX 250    Plasma System       
March    Flextrak    Plasma Cleaner       
March    PX 1000 E 8 3711    Automatic Plasma Clean Machine       
March    GCM 200    Plasma Etch Cleaner       
March    PX 250    Plasma Asher       
Marchant    6 FG    Shrinker       
Marchant    12 A    Shrinker       
Marchesini    BA 100 Kutulma    Alu Alu Packing Line        "with:
- Marchesini MB 420 Blister
- GUK FA2/14 and argus
Laestus TLU LED XS MR Tablet Camera"
Marchesini    ALU    Blister Packaging Line       
Marchesini        Cartoner       
Marchesini        BlisterMachine       
Marchesini        Eye Drop Packaging Line       
Marchesini    4 0801550    Blister Machine       
Marchesini    4 0801560 796    Leaflet Folding Machine       
Marconi    2019 A    Signal Generator       
Marconi    2024    Signal Generator       
Marconi    2026    Signal Generator       
Maremont Pin & Plate        Crimping Press       
Maren    2048    Baler       
Mark-10        Peel Tester       
Markem    530    Pad Printer        With Accessories
Markem    606    Laser Marking       
Markem    U 1471    Marking System       
Markem    612    Laser Marker       
Markem Imaje        Ink Jet Printer       
Markperi    MPC 1012    RF Welder       
Marley        "600 Ton Cooling Towers
"       
Mars Mech        Bottle Filling Machine        Capacity: 70 BPM
Martek Automation    Yogi Indexer    Comb Mold       
Martek Automation    DBS Lead Twist           
Martin    B 64-140    Centrifugal Discharge Bucket Elevator        "6"" x 4""
300 cu ft/hr"
Martin Yale    PacMaster S 343-4 IS           
Martin Yale    959    Automatic Paper Folder       
Marvel    8 Mark II           
Marvel    V 10/2    Band Saw       
Mason Technologies        Allring Loader & Unloader       
Mastech    HY 3005 F-3    DC Power Supply       
Mastech    HY 3020 Mr    DC Power Supply        "With:
- Microprocessor Control
- Lock-In Voltage
- Needs Calibration"
Mastech    HY 3005 F 3           
Matchmaker        CNC Lathe       
Matec    GTR 4009 ARN D    Gantry System       
Materials Development    CSM WIN 590            "- AC 110V
- 1 Phase
- 60HZ"
Materials Development    CSM/WIN    CV Plotter       
Matra Electric    91123214 L    Dry Type Transformer       
Matrical    SonicMan SCM 1000-4    Sonicator       
Matrix    System 10, Model 1178    Plasma Stripper    8"    "- One strip chamber and one RIE chamber with water vapor
- One PC for one chamber missing
- Main PC dead/dying"
Matrix        Optical Projector       
Matrix    MW Resist 1188    Asher    6"    - Promis Ash08601
Matrix    Jaguar 300    Asher / Stripper    12"    (2) O2 / CF4/NH3 Resist Asher chambers, Each with Foup Loader, Controls
Matrix    System 10    Plasma Stripper    8"    "- Not including SMIFs
- Two strip chambers
- One PC for one chamber partially disconnected
- Main PC dead/dying"
Matrix    206    Asher Plasma Stripper System       
Matrix Microscience    Pathatrix    Pathogen Detection        - Using magnetic beads
Matsuhiro        Shuttle       
Matsuura    MAM 500 HF PC 2    CNC Horizontal Milling       
Matsuura    RA 2 F    CNC        "- Need servicing. About 5-8k
Travels:
- X-Axis: 23.62″
- Y-Axis: 16.14″
- Z-Axis: 18.5″
- Spindle Nose to Table (Min.- Max.):  5.9″ – 24.8″
Pallet Table:
- Length: 23.6″
- Width: 14.9″
- Max Weight on Table: 550 lbs
Spindle:
- Taper Size: BT-40
- Speed Range: 60 – 8,000 RPM
- Spindle Power: 15HP
Feed rates:
- Rapid X,Y & Z: 590 inch/P.M
- Cutting Feedrate: 196″ inch/ P.M
Automatic Tool Changer:
- 40 Taper
- Capacity: 20
- Maximum Tool Weight: 17.6 lbs
- Max Tool Length: 11.8″
- Maximum Tool Dia.: 3.15″
- Tool Changing Time: 1.8 Sec
General:
- Air Required: 80 psi, 4 scfm
- Power: 205-220v / 66FLA / 3-Phase"
Matsuura    510 VF    Vertical Machining Center        "- Spindle Speed: 15,000
- Spindle Taper: CAT 40
- Spindle Motor: 20 Hp
- Number of Tools/Turret Stations: 20 ATC"
Matsuura    MC 800 F    Mill        "- VMC with 4th Axis installed
- Spindle Speed: 15,000 rpm
- Spindle Taper: Cat 40
- Spindle Motor: 15 Hp
- Key Options: Yasnac I-80"
Matsuura    MC 800 F    Mill        "- VMC with 4th Axis HAAS installed
- Spindle Speed: 15,000 rpm
- Spindle Taper: Cat 40
- Spindle Motor: 15 Hp
- Number of Tools/Turret Stations: 30 ATC
- Key Option: Yasnac I-80"
Matsuura    ES 800 V    CNC Machine       
Matthews    iMark EZ Touch C 84    Printer       
Mattison        Surface Grinder       
Mattson    TiW Etch Tool    Wet Etch tool    12"    "- had two heated TiW Etch tanks, with a quick dump rinse and hot run rinse per tank
- two nitrogen dryers
- automated to take wafers out of FOUPs and load them into etch racks which were then each moved through a series of tanks"
Mattson    Cygnus 100    Fourier Transform Infrared Spectroscopy (FTIR)       
Mattson        Laser Power Supply       
Mattson        Gas Laser       
Mattson    Satellite FTIR    Fourier Transform Infrared Spectroscopy        No computer or software
Maxi Technologies    Trymax Panther 308            Front End
Maximus    804    Microsystem       
Maximus    804    Robot Coater       
Maxtek    MDC 360    Deposition Controller       
Maxtek    MDC 360    Deposition Controller       
Mazak    AJV-25/404    Vertical CNC       
Mazak    VQC-15/40    Vertical CNC       
Mazak        5 Axis Mill Turn Lathe       
Mazak               
Mazak    VTC type 160 A            - Hours: 65,670
Mazak    For FH 480           
Mazak    FH 480 X           
Mazak        Manuel 24" Lathe       
Mazak    AJV 25 / 404 N            "- Cat 40 12000 rpm 35hp spindle
- Vertical or horizontal head orientation
- 300psi coolant, air blast thru spindle, coolant thru spindle
- ALTM tool probe system
- Chip conveyors
- Full enclosure
- M32 control
- 24 tool changer
- Spindle chiller
- Auto lube
- Smw pallet changer available"
Mazak    Intergrex 300 III S    CNC       
Mazak    Nexus 350 IIMYS           
Mazak    Horizontal Center Nexus 6800 II    Computer Numerical Control (CNC)       
Mazak    Horizontal Center Nexus 6800 II    Computer Numerical Control (CNC)       
MB Dynamics        Vibration ED Shaker       
MBI        Wafer Alignment System        up to 8" diameter, 5 micrometer accuracy
MBJ    128 C    EL Table       
MBJ        EL Tester       
MBR    USS 9200    Soldering System       
Mbraun        Glove Box        w/ Pfeifer Vacuum
MBraun    SPS 800    Solvent Drier        5 Stations
Mbraun    LabStar    Glove Box        - 4 glove wide
Mbraun    MBEvap    Glove Box        "- 4 glove wide
- with thermal evaporator"
Mbraun    MB-SPS    Solvent Purification System        with pump
MBtech    NC 25    Flux Cleaning Machine       
MBTech    NC 25    Batch Cleaning        - Production ID FC_001_MBT
MC    USB-1608 FS-PLUS    Sampling Card       
MC Electronics    TES 8653 D    IC Tray Handler       
MC Electronics (MCE)    TES 8653 D    IC Tray Handler       
McBain    Mico-Measure II    Computer       
McBain Instruments    Micro Measure 11           
McBain Instruments    P/N MUC 65 2           
McBride Machine    9407015-2 A    Unwinder       
McBride Machine    9407015-2 A    Calender       
McBride Machine    9407015-2 A    Control System of Blanket Tension of the Unwinder       
McBride Machine    9407015-2 A    Winder       
MCCI    Catena 1910 HSIC    Tester Analyzer        with HSIC USB Device
MCCI    Catena 1910 HSIC    Tester Analyzer        with HSIC USB Device
MCP-Pal        Vertical Continuous Plating Line       
Mcquay        600 Ton Chiller       
Mcquay    2100    Refrigerator       
McQuay        Chiller        - 40 tons
MCR    KF 300    Vacuum Oven       
MCT    3608 E 3    DIP Handler       
MCT    3616.3 DTS    DIP Handler       
MCT    3608 E 3    DIP Handler       
MCT    3608 E 3    DiP Handler       
MCT    3616 3 DTS    DIP Handler       
MCT    3616 E 6    Test Handler        - 600 m
MCT    3608    Handler        - For SO150 package
MCT    3608    Handler        - For SOT223 package
MCT    3608    Handler        - For TO package
MCT    WTS    Handler        - For 8 PDIP package
MCT    WTS    Handler        - For 8 PDIP package
MCT    3608    Handler       
MCT    3608    Test Handler       
MCT        Tri-Temp Kit Chiller       
MCT    3608    Handler       
MCT        Handler       
MCT    3608    Test Handler       
MCT    PX 2000    Peeling Machine       
MCT    FH 1200    Handler       
MCT    3608 EMD    Handler        - Non functional
MD Intelligent        Dehumidifier       
MDC    CVS 10    Power Supply       
MDC        E-Vap XY Prog. Sweep Controller       
MDC    THINFILM    Custom E-Beam Evaporator System       
MDC    811    CV Hg Probe       
Meanwell    S 100 5    Power Supply       
Meanwell    SE 450 12    Power Supply       
Measurement Computing        Data Acquisition Boards       
Meccanica    Cambi SRL    Diamond Machine       
Meccanica Cambi        Edging and Beeling Machine       
Mech EL    1204 W    Wedge Bonder        "- 1/2 wire despoiler
- Set up Gage
- Scope B&L
- Lens
- Multi-level Bonding
- Adjustable Looping
- Automatic Z with 2 adjustable searches
- 6:1 micropositioner
- Contact sensor
- Uthe model 10 G Ultrasonic power supply with standard transducer
- Logic Bd
- Power Supply
- Digital Temperature Control
- Dual Weights
- Glass is not covered
- 90 deg Clamp
- Heated Work Stage (1.75 x 1.75.75.250C)
- Cold Stage
- Heated Transducer"
Mechanical Devices    Max TC    Temperature Forcing System       
Mech-El    827    Wire Bonder        "- 115V
- 3A"
Mech-El    990           
Mech-El    1204 W    Wedge Bonder        "- 1/2 Wire despoiler
- Set up Gage
- Scope B&L
- Lens
- Multi level bonding
- Adjustable Looping
- Automatic Z with 2 adjustable searches
- Adjustable impact speed
- Heated Work Stage (1.75x1.75. 250C)
- Cold Stage
- Heated Transducer"
Meco        Mobile Incline Conveyor       
Meco    EPL 2400    Strip Solder       
Meco        Electrolytic Deflash Assembly       
Mecon        Bubbler Machine       
Medica Instrument        Vertical Autoclave       
Medica Instruments    7441 FA    Vertical Autoclave       
Mega    Q 2 52    Tester       
Mega    Q 2 62    Tester       
Mega Electronics    FAPC 3000 Aqua Klean Acid Wash    Washer       
Mega Fluid Systems    MB 321 LCU 100    Blender       
Mega Kinetics    Megapure 6001 HC    Chemical Delivery System for Electo Plate / Etch tools       
MEI    709           
MEI    Evolution    Solvent Sink       
Meier        VPI System        "- 50 Hz
- 400 V 3 phases"
Meiji        Sample Preparation Microscope       
Meiji        Microscope       
Meiji    560    Light Box       
Meiji        Microscope       
Meiji        Microscope       
Meiji        Microscope       
Meiji        Microscope       
Meiji        Microscope       
Meiji        Microscope       
Meiji        Microscope       
Meiji        Microscope       
Meiji        Manual Sanding Station       
Meiji    400 X    Assembly Maintenance Station       
Meikle    Station 030-00    Laser Soldering Station        "Process Parameters:
- Estimated Manual Cycle Time: 28.0 seconds
- Solder Melting Point: 220""C - 230°C
- Maximum PZT Plate Temperature : Not to Exceed)    285""C
Station Base
- 1 Welded Frame, 3"" x 3"" Structural Steel Tubing
- 1 Steel Top, 2"" Blanchard Ground, Nickel Plated
- 4 Leveling Feet, 4"" travel and Provision for Lagging to Floor
- 1 Overhead Lighting
- 1 Station perimeter guarding constructed from welded stainless steel with lexan panels, stainless steel roof, and guard doors interlocked to the MGR by a safety switch.
- 1 Opto-Touch Button
- 1 E-Stop Button
- 1 Omron CPM2A PLC
- 1 Omron NT4 HMI with 4 line display and push buttons
- 1 Festa air prep unit
Rotary Fixture
- 1 Assembly locate tooling SOL 1.55-6 Two-Sided Version (one FPC
- 1 Rotate tooling
- 1 X-Y Stage w/ micrometer adjustment
1 Manual clamp slide- will accommodate all motor sizes: 1 Rotate tooling
5 FPC Locate Tooling sets-Each with the following features:
- 1 FPC Guide
- 1 FPC Clamp
- 1 Quick change locate features
Solder Tooling
- 1 Coherent Diode Pumped Laser
- 1 Camera & monitor
- 1 Solder Shield gas control valves and pressure switch"
Mellen    Microtherm    Box Furnace        with Controller
Mellen        Tubular Furnace        with Temperature Controller
Melles Griot        Optical Table Breadboard        "- 8'x4'
- w/ Pneumatic legs and work frame/shelf"
Melles Griot    05-LPM-340-065           
Melles Griot    06 DLD 103    Laser Diode Controller       
Melles Griot    17 PCW 011    Piezoelectric Controller       
Melles Griot    17 NTT 001 MR    NanoTrak NTT Controller       
Melles Griot    NanoMax-HS 17 MAX 605/R    3-Axis Positioner       
Melles Griot    17 PCZ 013    Piezoelectric Controller       
Melles Griot    13 SKP 001    Beam Analyzer       
Melles Griot        Optical Table        "- 36""x30""x4.5""
- Drilled and Tapped
- with Legs"
Melles Griot        Optical Table        "- 96""x49""x8""
- Drilled and Tapped
- with Riser and Pneumatic Legs"
Melles Griot        Electrical Power Supply       
Memcon    MCX 26 MPM FW SC    Connector       
Memmert    100-800    Oven       
Memmert    SLE 500    Oven       
Memmert    INP 500    Incubator        E 507.0059 / E 507.0060 / E 507.0061 / R 507.0016
Memmert    SFP 500    Hot Air Sterilizer       
Memmert    ULE 400 AO H 4    Universal Oven        300C, PT100
Memmert        Constant Climate Chamber       
Mentor        Burn In Chamber for Power       
Mentor Technology        AI Components Checking Fixture       
Mentor Technology        Check Lead and ICT Skip Component Fixture       
Mentor Technology        Bottom and Top Mould       
Mentor Technology        Hi-Pot Test Fixture        Without base
Mentor Technology        ATS Test Fixture        Without base
Mentor Technology        Connector for 12V       
Mentor Technology        Cable for 5V       
Mentor Technology        AC Cable       
Mentor Technology        Cable for Fan       
Mentor Technology        Burn-In Cooling Fixture       
Mentor Technology        IC Test Fixture       
Mentor Technology        Burn-In Test Fixture       
Mercer    9401    Multimeter       
Merck        Analytical Balance       
Merck    MAS 100    Air Sampler       
Merck    Mill Q Advantage A 10    Ultrapure Water System       
Met One    237 B    Laser Particle Counter       
Met One    PCX    Particle Counter        - 2Micron, 100ml/min
Metacrafts        Conveyor Belt       
Metal Chem        Dry Heat Sterilizer       
Metal Max        Lathe       
Metalab Scientific        Water Bath       
Metcal    PS 5200    Soldering Systems       
Metcal    BVX 101           
Metcal        Welding Irons       
Metfab Engineering        Cool Down Rack        100 Slot
Methode Electronic Carthage        Parts for Assembly Line       
Metler Toledo    PB 5001 S    Precision Balance       
Metro    C 85-DVN    Oven       
Metro        SMT Component Reel Shelving       
Metro Precision Machining    F 13894 00    Snap Preform Fixture       
Metrohm    795 KFT    Titrator       
Metrohm    795 KF    Titrator       
Metrohm    798 MPT    Autotitrator       
Metrohm               
Metrohm    Ti 798    KF Titrator       
Metrohm    827    pHmetro       
Metrohm    780    pH Meter       
Metrohm    Titrator Titrino Plus 848           
Metronelec    Menisco ST 50    Solder Wetting Balance       
Metronelec    ST 50    Solder Wetting Balance        - Monitor not included
Metronics    Quadra-Chek 200    Digital Readout       
Mettler    AE 100           
Mettler    PE 1600           
Mettler    AE 163           
Mettler    Toldo    Analytical Balance       
Mettler        PH Probe       
Mettler    AC 100    Scale       
Mettler    Garvens S 2    Check Weigher       
Mettler Toledo    T 50    Excllence Auto Titrate       
Mettler Toledo    NewClassic MF MS 1602 SE / 01    Balance       
Mettler Toledo    XTC 1001    Weighing Scale       
Mettler Toledo    XS    Hi Speed Check Weigher       
Mettler Toledo    MN    Checkweigher       
Mettler Toledo        Weighing Balance       
Mettler Toledo        Completed Scales from P026       
Mettler Toledo    T–401    Electronic Weighing Balance       
Mettler Toledo    T–402    Electronic Weighing Balance       
Mettler Toledo        Weighing Balance       
Mettler Toledo    DL 38    Tritator       
Mettler Toledo    XP 205    Weight Scale       
Mettler Toledo    AT    Analytical Scale       
Mettler Toledo    HR 83    Moisture Analyzer       
Mettler Toledo    MS 603 S    Precision Balance       
Mettler Toledo        Semi-Micro Balance       
Mettler Toledo        pH/Ion Meter       
Mettler Toledo    HR 83    Halogen Moisture Analyzer       
Mettler Toledo    MP 70    Melting Point Apparatus       
Mettler Toledo    XP 205    Analytical Balance       
Mettler Toledo    XP 5003 SDR    Precision Balance       
Mettler Toledo    XP 504    Analytical Balance       
Mettler Toledo        Analytical Balance       
Mettler Toledo        Weighing System       
Mettler Toledo    HR 83 P    Halogen Moisture Analyser       
Mettler Toledo    FiveGo    Hand pH Meter        The probe needs replacing
Mettler Toledo    XS 2           
Mettler Toledo    TGA DSC 1    Thermogravimetric Analyzer / Differential Scanning Calorimeter       
Mettler Toledo    AB 204    Precision Scale       
Mettler Toledo    AB 204    Precision Scale       
Mettler Toledo Bohdan        Universal Sample Prep Unit       
Meuser    M 4 L    Gear Head Lathe       
Mex Quest Engineering        Heavy Duty Digital Caliper Assembly       
Meyer    ICOLAB 36 / 21    Laminator       
Meyer Berger    DS 271    Wire Saw       
Meyer Berger        Inner Diameter Saw       
Meyer Berger        Laminator       
Meyer Burger    TS 4    Glass Saw       
Meyer Burger    DS 265    Wire Saw       
Meyer Burger    NG Series    Laminator       
Meyer Burger    805 BS    Band Saw       
Meyer Burger    DS-265           
Meyer Burger    TS-23    ID Saw       
Meyer Burger    50 MW    Plasma-Enhanced Chemical Vapor Deposition (PECVD)       
Meyer Burger    SiNA XXL (50 MW)    Plasma-Enhanced Chemical Vapor Deposition (PECVD)       
Meyer Burger    3 S    Laminator       
Meyer Burger    SINA L Ext Turbo    Plate PECVD        - 2200/h
Meyer Burger    T 23 ID    Saw       
Meyer Burger    DW 288    MB Wire Saw        "- Capacity: 130kw
- Max ingot's length: 650mm
- Max ingot's cross section: 165 x 165mm"
Meyer Burger    DW 288    MB Wire Saw        "- Capacity: 130kw
- Max ingot's length: 650mm
- Max ingot's cross section: 165 x 165mm"
Meyer Burger    DW 288    MB Wire Saw       
MFD Pneumatics    MDS 1 GP C 08    Sensors       
MGE    Comet            150 kva
MGE    Galaxy PW    UPS        100kVA
MGE    Galaxy PW    UPS        80kVA
MGE    Galaxy PW    UPS        40
MGI        Wafer Transfer System       
Michael Riedel Transformatorenbau        Drive Sonder       
Micos    RS 232    Polarization Controller       
Micristar    828 D 00 403 403 000           
Micro Automation    1006    Dicing Saw       
Micro Automation    M 1100    Dicing Saw    6"    Spindle speed: Up to 40,000
Micro Automation    M 1100    Dicing Saw Parts    6"    Spindle speed: Up to 40,000
Micro Control    ABES-IV    Logic and Memory Burn-In System        "- Automatic burn-in / Environmental testing
- Tests 128 pins simultaneously
- Up to 128 digital i/o channels per burn-in board
- Programmable power for devices under test
- Capacity for up to 8 burn-in boards (2 zones x 4 slots/zone)
- Removable dividers 2 zones x 4 slots/zone (Only Three driver included per Zone)
- Large burn-in board capacity (20.25x21.5"")
- 12 vector formats per pin cycle
- Real-time error log
- Facility requirements:
208V 3Phase 60Hz 125A plus cooling water
- Software installed PC and operating manual included"
Micro Control    Abes III (10 X)    Burn-in Oven       
Micro Control    Abes IV    Burn-in Oven       
Micro Control    WRP 64    Burn-in Oven       
Micro Engineering    TWL 300 WA    Thickness Measurement Tool       
Micro Engineering    SEH-A# 1    Front Back Checker       
Micro Engineering Rabs    Melabs U 2    Programer       
Micro Engineering Rabs    Melabs U 3    Programer       
Micro Instrument    1120 2 10    Power Supply Unit       
Micro Instrument    1120 3 10    Power Supply Unit       
Micro Joger    Temptron           
Micro Matic        Precision Wafering Machine       
Micro Modular System    LED VR A A BR    Tape & Reel System       
Micro Modular System    LU 950    Loader       
Micro Modular System    LU 950    Loader       
Micro Modular System    LU 950    Loader       
Micro Modular System    AFL SF A A MLT    Manual Singulation Press       
Micro Motion    MT 2000    Automatic Taping Machine       
Micro Technical Industries        Thermoprobe       
Micro Tool & Machine    X-Shear    Core Cutting Machine       
Micro Vision    851    Lead Straightener       
Micro Vu    24 / 18            "- 3 Amp
- 117 Volt
- 50/60 CPS"
Micro Vu    Excel 1051 UC    Coordinate Measuring Machine (CMM)       
Microbar    Mini Track Mate    Chemical Dispensing System       
Microchip Technology    Picstart Plus    Development Programmer       
Microcontact AG    P 1040           
Microcontrol    Leonardo 200    Taper / Detaper       
Microdrill    DSLS    Punch Machine       
MicroFiber    IG 3 SS 1400    heater       
Microfludics    M-110 EH-30    Homogenizer       
Microfluidics    LM 20    Microfluidizer       
Microline    06-1871 A    Gas Cabinet       
Microline    06-1870 A    Gas Cabinet       
Microlink        Peel Back Force Tester       
Micromanipulator    8860 / 8865            On air table
Micromanipulator               
Micromanipulator               
Micromanipulator                "- w/ laser
- Instead of a chuck it has some kind of interface board"
Micromanipulator    9000-VIT    Micromanipulator    12"   
Micromanipulator    6000    Probe Station    4"    "- Bausch & Lomb Microscope
- 2.25x, 8x, 25x
- XYZ stage movement
- Steel platen for magnetic manipulators
- Quick lift platen
- 4” chuck (but missing H/W to mount chuck)"
Micromanipulator    1000 DC 12    Internal Prober       
Micromanipulator        Probe Station        Below are pictures of the probe station, laser, microscope, and objective lenses. The equipment has been in storage, and is packed in separate boxes. We have taken pictures of the major items by taking them out of the boxes, but there are also other components like a small control unit and foot pedal for operating the laser and other probe station accessories like a probe-card mount etc. that I have not photographed. The NUV objective lenses are used with the laser and the higher magnification ones 50X and 100X are the most expensive ones
Micromanipulator    6000    Manual Probe Station       
Micromanipulator    EzLaze 3    Probe Station with Laser    6"   
Micromanipulator    8860    Single Probe Electrical Testing System       
Micromanipulator    P/N P 200 L-FS 8-211-R    Probe Station       
Micromass    386    Mass Spectrometer       
Micromass    MALDI Micro MX    (MALDI-TOF-MS) Matrix Assisted Laser Desorption Ionization Time of Flight Mass Spectrometer       
Micrometrics    AccuPyc II 1340    Gas Pycnometer       
Micron Master    15 520    15 inch Airmill       
Micron Optics    FFP TF 1550    Fiber Perot Tunable Filter       
Micronic    LRS 230-IT    Laser Lithography Tool        "- Was fully operational before the laser reached end of life
- Volts: 400
- AC-DC: AC
- AMPS: 10
- Hertz: 50/60"
Micronic    MP 80    Laser Lithography Tool        - Was fully operational before the laser reached end of life
Microscan    MS 860    Scanner       
Microsemi        Power Supply Unit       
Microsemi        Burn-In system        - Alternating Current
Microsemi        Burn-In system        - 4 Door System
Microsoft        Mouse       
Microtec    MT-2217           
Microtec    MT-550 TV    Screen Printer       
Microtech        Marangoni Dryer       
Microtest    CT 8681    Cable / Harness Tester        With Jig
Microtrac    UPA 150    Particle Measurement       
MicroVision (Leica)    MVT 2080    Inspection Station        "- One is set up for 8” the other 4” but has the coding to covert “semi-simply” back to 8”
- Both units the same and have XYZ stage Z being focus
- Both have 2.5x, 20x, 50x, 100x, 150x
- Both systems have 10x/25 eye pieces
- Programable Bright light Macro-Inspection Module
- Sony DXC-151A CCD Video Imaging Camera
- Windows NT 4.0"
MicroVu    Matrix M 441    Vision Non Contact Measuring Machine        24" x 18"
MicroVu    H 14    Optical Comparator       
MicroVu        Optical Comparator        "- 3 Amp
- 117 Volt
- 50/60 CPS"
Micro-Vu    500 HP    Optical Comparator       
Micro-Vu    24 / 18    Optical Comparator       
Micro-Vu        Visual Measuring System       
Mid Eastern Industries    HW-D Series           
Miele        Industrial Washer       
Mighty Comet    2 SHG-A    Knee Mill       
Mighty Lube    7065 B    Automatic Lubrication System       
Mikini    1610 L    CNC Milling and Drilling Machine       
Mikron    M 7500    Infared Camera       
Mikron    VCP 600           
Mikron        Microscope       
Mikron Hob        Sharpener        Type A 60/0
Mikropack    SpecEL 2000 VIS           
Milara    STW 1    Printer       
Milestone    ETHOS UP    Microwave Digestion System       
Milestone    Ethos    Microwave Digestion System        The microwave comes with it's vessel, contoller, wiring, manual and the oven.
Milipore        Water System       
Milipore    50155    Filter       
Militorr        Vacuum Gauge       
Mill Lane        Optical Coater        "- 21"" Diameter Planets, Convertible (4)
- 24"" Diameter Planets (3)
- Temescal EB Gun, 4 Pockets, 25cc
- CV-8 Power Supply
- MKS 224A Pressure Controller
- XY Programmable Sweep Control
- Granville Phillips 307 Vacuum Gauge Controller
- Inficon XTC/2 Deposition Controller
- DYN-Optics 590 Optical Monitor with Chip Changer
- HP Strip Chart Recorder
- Thermal Evaporation Power Supply and Controller
- Heat and Rotation Controllers
- Stokes 212-11 Mechanical Pump with Blower
- Helex 10"" Cryo Pump
- CVI 20"" Cryo Pump with Buck Boost Transformer for 440V Operation
- RGA SRS 100
- Spare Cold Heads for Both Pumps
- Large Assortment of Coating Fixtures"
Miller    15 HP    Air Compressor        220/440V
Miller    Syncrowave 351           
Miller    FPP-5000    4-Point Probe       
Miller    DIALARC HF    Arc Welder       
Miller Design & Equipment    FPP 100    4-Point Probe       
Milli Q        Water Purification System       
Millipore    ELIX 20    Water Purification System       
Millipore    Elix 5    Water Distillation System       
Millipore        Automatic Sanitation Module and Storage Tank       
Millipore        Chromatographic Columns        Control system and hydraulic station included(1 station for both columns need to be switched)
MilliPore    Simplicity    UV System       
Milltronics    RH 25    Vertical Mill       
Milmega        RF Power Amplifiers       
Milmetco Engineering    HA 3630    Oven       
Mimaki    CF 2 0912    Cutter        "- Effective plotting area: 46.1 x 35.4 in (1,170 x 900 mm);
- Max plotting speed:  19.7 in (50 cm)/sec;
- Static accuracy: ±0.1mm (0.004”)
- Maximum thickness T/TD: 1 in (25mm) RT/RC: 0.8 in (20mm)
- Interface: USB 2.0, RS-232C, Ethernet
- Operational environment Temperature: 40 – 104?F (5 – 40?C)
- Humidity: 35 – 75% RH, non-condensing
- Power Single phase AC100V - 240V
- Power consumption 300W"
Minami    MK 878 SV    Solder Paste Machine       
Minaservices    GEN 5    Etching Web Bench and Robot       
Ming Yang Branch        Tablet Counting Machine       
Mini Circuits    ZHL-20 W-13    RF Amplifier       
Minipa        Multimeter       
Minipack    Tunnel 70    Oven       
Minipack    MP-SWM    Stretch Wrap Machine       
Minister Machine        Press        "- 440v / 200VA, 110V / 60hz control
- 1.5"" slide stroke
- 12 tons of pressure @ bottom of stroke at 125 S.P.M."
Minolta    CR 321    Colorimeter       
Minster        60 Ton Press       
Mipox        Wafer Edge Sander       
Mirae    MR 5500    Handler       
Mirae    9220    Burn In Loader / Unloader       
Mirae    M 5500    Memory Handler       
Mirae    M 5500    Memory Handler       
Mirae    MR 5500    Memory Handler        "- 220V
- 50 / 60Hz"
Mirae    MR 5500    Handler       
Mirtec    MV 7    Inline AOI System       
Mirtec    MV 3 L           
Mirtec    MV 3 L    Inspection Machine       
Mirtec    MV 7 XI    In Line 2D AOI        "- Left to Right
- 220V, 1 Phase, 3300W
- 0.5 MPA
- PCB Size: 50x50mm to 510x460mm"
Mirtec    MV 7 XI    In Line 2D AOI        "- Left to Right
- 220V, 1 Phase, 3300W
- 0.5 MPA
- PCB Size: 50x50mm to 510x460mm"
Mirtec    MV 7 U    In Line Type Vision Inspector       
Mirtec    MV 7 U    In Line Type Vision Inspector        - Computer not operational
Mirtec    MV 7 U    In Line Type Vision Inspector       
Mirtec    MV 7 U    In Line Type Vision Inspector       
Mirtec    MV 7 xi    In Line Vision Inspector       
Mister Presses    P 2-60           
Mistrello    Jumbo A 25 I    Racks       
Mistry & Brothers        2T Hand Wheel Press       
Mistry Engineering        Vial Inspection M/C       
Mistry Engineering        Vial Washing M/C       
Mistry Engineering        Vial Air Cleaning M/C       
Mistry Engineering        Filled Vial Inspection Machine       
MIT        Strip Laser Marking System        Dual Head
MIT    Caerus C 300 i    Tape and Reel       
MIT    Caerus MDS 300    Tape and Reel       
Mitsubishi        Robot       
Mitsubishi    SX 20    Electrical Discharge Machining (EDM) tool       
Mitsubishi    Melsec A 870 GOT    Graphic Operation Terminal       
Mitsubishi    M V 70 E           
Mitsubishi    RVE 3 J           
Mitsubishi    RV-4 A-S 311           
Mitsubishi    7000 Series    Battery Module        2 Units (Me#4118 & Qi#14287) (Wtel02Wd)
Mitsubishi    DWC-90    Wire EDM       
Mitsubishi    KA 97 DV 132 S 4 BMG 5.5 KWX 1 / 70.54    Motor       
Mitsubishi    FX 20    Wire EDM Machine       
Mitsubishi    K    Wire EDM        -Reconditioned  3 years ago
Mitsubishi        Wire EDM       
Mitsubishi        Forklift       
Mitsubishi    Evolution X 5 510    Waterjet       
Mitsubishi        Grinding Wheel       
Mitsubishi    LCU    Cooling Unit       
Mitsubishi    ML 3016 F    CO2 Laser       
Mitsubishi    FX 20    Wire EDM       
Mitsubishi    PX 05    Electrical Discharge Machine        "- Table height (from floor): 900 (mm)
Strokes:
- Travel path (U/V): ±32 (mm)
- Travel path (X/Y/Z): 220 x 150 x 150 (mm)
- Z-axis device: Standard equipment (=5.axis)
Taper:
- Max. taper angle: 15° (for workpiece 100 mm thick)
- Taper machining device:     Standard equipment (=3.and 4. axis)
Wire-/electrode diameter:
- Useable Wire diameter: 0,03 - 0,25"
Mitsubishi    C 21 EA    Electrical Discharge Machining (EDM)       
Mitsubishi    SX 10    Electrical Discharge Machining (EDM)       
Mitsubishi    GOT 2000    HMI       
Mitsui Seiki    HU 40 T AWC    5-Axis Mill       
Mitsui Seiki    HU 40-T-AWC            "-15K RPM                        Spindle
-30                                  Horse Power
-100 IPM                          Max. Tapping Feed
-120    240                       Station ATC
- 60                                  Station APC
-X Travel                         X +11.050     to      X -13.000    from C/L 50T
- Y Travel                          Y +11.062     to      Y -11.062     from C/L 50T
- Z Travel                          27.9528 minus TLO from Gage Line of spindle to C/L of B-axis.
- B Travel                          180 degrees (trunnion/table perpendicular to spindle = B0, B90          rotates clock wise)
-C Travel                          0 - 360 degrees"
Mitsui Seiki    7 CN 105    Vertical Jig Boring Machine       
Mitsui Seiki    HU 50 T    Horizontal Machining Center       
Mitsui Seiki    HU 50 A    Horizontal Machining Center       
Mitsui Seiki    VT 3 A    Vertical Machining Center       
Mitsumi    KFKEA 4 XT    Keyboard       
Mitutoyo        CMM       
Mitutoyo    QVX 202           
Mitutoyo    PH-3500    Comparator       
Mitutoyo        Coordinate Measuring Machine       
Mitutoyo    FS 70    Optical Microscope for Active Layers Inspection       
Mitutoyo        Measuring Microscope       
Mitutoyo    LH-600           
Mitutoyo    C 112 CEXB    Digital Indicators       
Mitutoyo    Quick Vision IQUP 202    Vision Measuring Machine       
Mitutoyo        Microscope Probe Manipulator       
Mitutoyo    LSM 6200    Display Unit       
Mitutoyo    LSM 512 S    Laser Scan Micrometer        "Includes:
- Programmable Reader
- Vibraplane 9101-01-00 Vibration Isolation Work Table & Granite Surface Plate"
Mitutoyo    CV 4500 S 4    Measuring Microscope    4"   
Mitutoyo    CV 500    Contour Measuring Instrument (Contracer)       
Mitutoyo    FS 110    Microscope       
Mitutoyo    PJ 300    Profile Projector       
Mitutoyo    CRTAC 7106    Coordinate Measuring System       
Mitutoyo    PH 350    Precision Profile Projector        with Lens 20X - 1789
Mitutoyo    PH 350 - 1850    Profile Projector       
Mitutoyo    PJ 300    Profile Projector       
Mitutoyo    Quick Vision AVA 404-PRO    Vision Measuring System       
Mitutoyo    PJ 300    Profile Projector        - No bulb available for illumination
Mitutoyo    CS 3000 S 4    Form Tracer        "- Mitutoyo 1"" Cera Block (Ceramic Gauge Block
- Mitutoyo 613201-221 10mm Gauge block
- Mitutoyo 611670-022 10mm Gauge block
- Mitutoyo 611670-022 10.02mm Gauge block"
Mitutoyo    RA 116    Roundness Tester       
Mitutoyo        Measuring Instrument        "- 1 Brascreen Tensionometer
- 1 Glass Scale
- 1 Set of Tramontina Clearance Blades
- 1 Mitutoyo CD-6C Caliper + CD 12C"" Caliper"
Mixer Direct        500 Gallon Mixing Tank       
Mixer Direct        150 Gallon Tank        "- Equipped with 2 pumps
Iwaki Mag-Drive Pump:
- Capacity (GPM) 2.5
- 3450 rpm
- 60 HZ"
Miyachi    Unitek LMF 2000-SM    Laser Marker       
Miyachi    UB 25    Gold Lead Welder        "-  Linear DC Resistance Welding Control
- Light Force Weld Head Model 2-162-03"
MJB    LM    Rework Station       
MKS        Residual Gas Analyzer       
MKS    250 B    Controller       
MKS    247 C    4 Channel Readout       
MKS    600 Series    Pressure Controller       
MKS    902 B 11014    Vacuum Pressure Transducer       
MKS    Liquozone Primo 3    Liquid Ozone Generator       
MKS    943    Cold Cathode Pressure Machine       
MKS Instruments    FS 100    Flow Scan        "Includes:
- Dpu-411 Thermal Printer/Adaptor Module
- Am-11, Am-17, Am-31B (Two) Modules & Case
- Needs Calibration"
MKS Instruments    619 C 01 M 8 FHC           
MMI    DED 0001-10    Flash Lens Equipment Defect Resolution       
MMI Systems    JI    Julian Inspection Machine        - 1 Phase
MMI Systems    JI    Julia Inspection Machine       
MMI Systems    DED 0001-10    Flash Lens Equipment Defect Resolution       
MMI Systems    DED 0008    Lightpipe Inspection Machine       
MMI Systems    100001    Pick N Place Wafer Handling System       
MMS    Murano-HVTHbM-A A CvCv    LED Optical Tester        "With:
- 1 Meter Sphere
- Gamma Scientific 1 Meter Sphere and Spectrometer
- Panasonic Laser Marking
- 3 Phase"
Mocon    Permatran w 1/50    WVTR Analyzer       
Modescan    1780    Laser Beam Profiler       
Modine        Heater       
Modula    Sintes 1    Vertical Lift Module       
Modular Conveyor    010-0772    Conveyor       
Modular Conveyor    010-0767    Conveyor       
Modular Conveyor    010-0773    Conveyor       
Modutek    Iridium Sht Clean Stn    Wet Bench        - for automatic acid (concentrated nitric and HCl) cleaning of iridium sputter targets.
Modutek    RCE 025-1500-02    Water Chiller        - 120V, 1Ph, 50/60Hz, 20A, R22 Coolant (Was Part Of Achood47)
Modutek        Detergent CDU       
Modutek        CDU Single Etch       
Modutek    Modubath C 21 B    Chemical Bath Controller       
Mohawk Lifts        Mobile column Lift       
Moi Engineering        BOPP Film Wrapping M/C       
Mokon    H 53324 TY    Oil Heater       
Mokon    Iceman    Portable Chiller       
Moldman    8000           
Molecular Devices    SpectraMax M Series    Multi-Mode Microplate Reader       
Molecular Devices    GENEPIX 4000 B PRO    Microarray Scanner       
Molecular Devices    GenePix 4000 B    Microarray Scanner       
Molecular Devices    Versamax    Tunable Microplate Reader       
Molecular Devices    Spectramax 190    Microplate Reader        Includes Softmax Pro Software V. 6.3
Molecular Dynamics    Storm 860    Molecular Imager       
Molecular Imprints    Imprio 55           
Molecular Imprints    Imprio 55    Nanoimprinting Machine       
Molex        Crimper       
Monarch    CKK    Lathe        "- Distance between centers: 30""
- Swing: 14.5"""
Monarch    Pathfinder    CNC        "- Ball screw wearing out. Comes with replacement.
- General Numeric Controls"
Monarch    Nova    Strobe       
Monarch    Series 80    Lathe        "- 32, 20 x 96
- 40hp motor"
Monarch    Series 80    Lathe        "- 36, 24 x 120
- 40hp motor"
Monogram        Temperature Meter       
Monsanto    Tensometer 20    Tensile Testing Machine       
Montalva    U 4    Controller       
Montrac        Cleanroom Shuttle       
Moore        Diamond Turning Machine       
Morehouse Cowles    12-30 P    Vertical Sand Mill       
Mori Seiki    M-300 A 1    Power Supply        - a pallet changing system on it as well Control F10M Fanuc
Mori Seiki    MV 65 B/ 50    Mill       
Mori Seiki    MV 45/40 A    Mill       
Mori Seiki    MV 65           
Mori Seiki    DL 25 MC    CNC        - 3 Phase
Mori Seiki    SL 15            - Needs some work on the X if used for precision work
Morse    201 VS 1    Drum Roller       
Mosaid    MS 4205    Memory Tester    12"    "- Voltage: 200-240
- Volts: 50/60Hz
- Rated current: 22 FLA, AIC 10000"
Mosaid    MS 4205    Manual Memory Tester    12"   
Mosaid    MS 4205    Manual Memory Tester    12" 8"    "- 200/400MHz
- 16x16y addressing
- 36 data Wafer probe manipulators available, and chillers Multiple units available"
Mosaid    MS 4205 ex    Engineering Memory Test System       
Motch    148 VTC            "- 48"" max diameter
- Cell has a full pallet / chuck changing system"
Motic    DMB 1    Binocular Microscope       
Motic    DMWB 3 223 ASC    Digital Microscope       
Motic    MLC 150 C           
Motion Analysis    Kestrel 2200    Motion Capture Camera       
Motion Analysis    Raptor-E    Motion Capture Camera       
Motion Control        Component Breakdown       
Motoman    MRC II SV 3    Robot       
Motoman    UP 20 XRC    Robot       
Motoman    UP 20-6 XRC    Robot       
Motoman    SK6 XRC    Robot       
Motoman    UP 50    Robot        With XRC Controller
Motoman    SP 100    Robot        With XRC Controller
Motoman    MSK 120    Robot        - with controller
Motoman    UP 50 XRC    Robot       
Motoman    SP 100 XRC    Robot       
Motoman        Robot        6 axes
Motoman    XRC    Robots        "Out of 4 arms:
- 2 are fully functional
- 1x has a bad L-Axis
- 1x has faults on the R-Axis (possibly related to the Wiring Harness)
Spare Parts:
(1) U-Axis Speed Reducer UP130 (XRC) - Type HW9381465-A, 142138-2
(1) Power Supply, System Control (XRC ROBOT) - CPS-150F (142172-1)
(1) Servo Controller XRC - JZRCR-XC001B
(1) Cooling Fan Assembly For XRC Robot Cabinet
(1) CPU Housing  XRC - JZNC-XRK02B
(1) Robot Pendant, Robot Controller XRC - JZNC-XPP02B
(1) Robot PC servo board; 200 MHz UPC130 (XRC) - JASP-WRCA01B (142149-2 )
(1) U-Axis Gear UP130 (XRC) - HW9482306-C UP130, U-AXIS (XRC) 148447-1
(1) R-Axis Speed Reducer UP130 (XRC) - HW9381399-A
(1) T-Axis Speed Reducer UP130 (XRC) - HW0389042-A
(1) Overlay For XRC Robot Pendant - XKS002E TB Handling (XRC)
(2) S-Axis Servo Motor, Robot UP130 (XRC) - SGMDH-45A2B-YR15
(2) Circuit Board UP130 (XRC) - PCB SZRCR-XPU04-3
(2) Servo Motor, Robot L and U-AXIS (XRC) - SGMGH-44A2A-YR15 (OLD# SGMGH-44A2A-YR14) 142142-2
(2) S-Axis Input Gear UP130 (XRC) - HW9381446-A UP130
(2) Power Supply 200-230V AC 2.1A  XRC - YASNAC  200-230V AC 2.1A
(2) CPU housing f. robot control unit (XRC-controller) - JZNC-XRK 01
(2) Playback Panel, XRC, USED - ZY1C-SS3152 (143045-1)
(2) E-Stop Cabinet   XRC - HW1B-V402R(2) Din Rail Mount Interface Terminal Block XRC
(2) R-Axis Servo Motor, Robot UP130 (XRC) - SGMGH-13A2A-YR24 (142142-3)
(3) U-Axis Pinion Shaft UP130 (XRC) - HW9482318-A UP130
(3) Mounting Bracket, Robot Pendant, XRC - RF05100S-DT-1LA2SP X 128 PITCHES LG
(3) CPU XRC - SCP01C
(3) E-Stop Button, XRC Robot Pendant - 143146-1
(4) Power Supply, Servo Control, XRC - JUSP-RCP01AAC (COMPATIBLE WITH EUROPIAN VERSION ""JUSP-RCP01AAD"")
(4) L-Axis Gear UP130 (XRC) - 144212-2 (HW9482308-A) 112617
(4) Fan, Controller, XRC Robot (230V) - 3610PS-23T-B30,  230V, 1PHASE, 13/10W  -  142143-1
(4) Patch Cables XRC Cabinet
(4) XRC Door Assembled Fan (Robot) (230V) - PAPST 8550 N, 230V, 11/12W
(5) XRC Sensor Board - XCP02B XRC
(5) Cable Socket (Robot XRC) 24 PIN - JL05-2A24-28PC  -  104126
(5) Main power switch for robot cabinet (XRC-series) - LT40/3E/Z3/33SW   02P
(5) Cable Sleeve / Grommet (Robot XRC) - Cable Sleeve  -  107264
(5) Power Module (Includes 3.1) UP130 (XRC) - JZRCR-XSU02, (144054-1)
(6) B- and T-Axis Servo Motor Robot UP130 (XRC) - SGMGH-13A2A-YR13
(6) Plug for internal media; plug 24 PIN (ROBOT XRC) - JL05-6A24-28SC  -  104124
(6) Cable Sleeve For Plug (Robot XRC) - JL05-6A24-28PC  -  104125
(6) Battery For XRC Robot, RBT Axis 3 X 3.6V Lithium W - 3 X 3.6V Lithium With Cable (142198-3)
(6) 5 Pin Plug Male ABB XRC Cabinet Robot - 432BS6
(7) Robot Pendant Cable, 8M, XRC - 142313-1
(8) Converter For Servopack, Robot - JUSP-ACP35JAA
(11) Cord Grip (Robot XRC) - Tension Relief  -  100039
(25) Battery, SLA Axis, Robot (NX100 & XRC) (Lithium) - Type HW9470932-A Battery Unit"
Motorola Lighting    BGA MSA 250 A    Ball Placement        "- Width: 42.520 in
- Depth: 109.055 in
- Height: 79.134 in"
Motorola Lighting    MSA 250 A    Ball Placement       
Mountz    MR 3    Tool Balancer       
Movin Cool    Class Plus 26 / Cool 3    Chiller       
MovinCool    10 SFU           
MovinCool    Office Pro 24    Portable AC Unit       
MovinCool    Office Pro 18    Portable AC Unit       
Moxa    DA 682    Controller       
Moyno    CDQ / AAA    Large Positive Displacement Pump       
Moyno        Pump on Pallet       
Moyno        Small Positive Displacement Pump       
MPJA        DC Supply       
MPM    UP 2000 HIE    Solder Paste Machine       
MPM    UP 2000 HIE    Solder Paste Machine       
MPM    UP 2000    Screen Printer       
MPM    AccuFlex    Screen Printer       
MPM    AccuFlex    Screen Printer       
MPM    UP 2000 B    Printer       
MPM    TF 100    Semi-Automatic Screen Printer       
MRC    943    Sputtering System       
MRC    903           
MRC    903 M    Sputtering system        - Parts machine
MRC    943    Sputtering System       
MRL        Single Tube Semiconductor Furnace        3 Zone
MRL    MS 7 A 0002    Low Pressure Chemical Vapor Deposition (LPCVD) Furnace       
MRL        Furnace       
MRL    LT 1018    Furnace        "- Anneal process single tube furnace
- max temp 1000°C
- Type R  T/Cs.       
- Quartz tube is 225/235mm
- One 20 SLPM N2 MFC (more can easily be added)
- Adjustable bypass purge
- 16"" flat zone
- Auto Load"
MRL        Dual Furnace Stack       
MRL    Phoenix 836    4 Stack Diffusion Furnace        "- Software: GMI Host
- Controller: MRL ICCI
- Heating element type: MRL AZTEC HELIX 0440803538 R00
- Ovens 1,2,3 have Poly-Si tubes installed for processing wafers up to 5"".    Tube inner diameter = 180mm
- Oven 4 has 6"" Quarz tube. Inner diameter = 217mm
- SiC paddles for all ovens
- Machine is configured for N2, O2, H2
- Original torches are installed and functional
- MFCs functional and calibrated for all gas lines
- Original board is operational
- 3 ovens have connected bubbler systems, integrated with N2 carrier flow
- 4th oven has space for integrating another bubbler system
- 12 original eurotherm to control all 4 ovens
- Functional electro-preumatic control system with digital output"
MRL    Cyclone 640    Drive Diffusion Furnace System        "- Software: GMI Host
- Controller: MRL ICCI
- Heating element type: 004400779062 R00
- Ovens 1,2,3 have 6"" Poly-Silicon tubes installed (217mm diameter)
- Oven 4 has 6"" SiC tube (215mm diameter)
- All ovens have SiC paddles
- Machine is configured for N2, O2, H2
- Original torches are installed & functional
- Functional & calibrated MFCs for all gas lines
- Original board is operational
- All 4 ovens have connected bubbler systems, integrated with N2 carrier flow
- All 4 ovens have flame detectors for Wetox
- 12 original eurotherm, used for controlling all 4 ovens
- Functional electro-preumatic control system with digital output"
MRL / Sandvik    1014    Furnace        "- No autoloader
- Tube 1-Depopulated
- Tube 2-Dry Oxidation with TransLC
- Tube 3—LPCVD Nitride
- Tube 4-Poly-Si "
MRSI    505    Pick and Place Machine       
MRSI    505    Pick & Place       
MRSI    505    Bonder       
MRSI    505    Bonder        "- 10um - 15 um Accuracy
- Eutectic Soldering"
MRSI    605    Die Bonder        - Unit is in a 505 Chassis.  Has the accuracy and software of a 605.
MRT    1000    Magnetic Annealing Furnace       
MSC        Milling and Drilling Machine       
MSC Manhattan Industrial    951463    Band Saw       
MSL    Technoven 300    Oven        Fast Cooling
MSP    2300 XP 1    Particle Deposition       
MTA Automation    RC 500 TR 300 80 W Iron    Robot        "5-0077-00-000-00
- 3 Axis
- RC 500"
MTI    STX-202 A    Desktop precision wire saw with touch screen control       
MTI    DSS-822           
MTI    MSS-816           
MTI    OTF 1200 X S VT    Vertical Furnace       
MTI    GSL-1100    High Temperature Tube Furnace        "- with quartz tube, vacuum pump and temperature controller and pressure gauge
capable of inert gas operation"
MTI    Unipol-802    Precision Lapping/Polishing Machine        - with multiple boxes of 100, 200, 300, 600, 800 and other grit papers
MTI    STX-202    Diamond Wire Saw       
MTI    Autoscan 200           
MTI    150    Low Speed Diamond Saw        with Diamond Blade
MTI    MSS 816    Saw        "set up to cut 7”x7” and 9”x9” squares
X axis: 400.00mm
Y axis: 190.00 mm
Contains 9""x9"" magnetic chuck
Fanuc 18 M Controller
Vision system
No coolant system, dry cut operation"
MTI    MSK HRP 03    Press       
MTI    TX 202 A    Diamond wire saw       
MTI    GSL 1100 X II 11    High Temperature Furnace        8"
MTI    CGM 2 F    Gas Mixing System       
MTI    NSX-250    Dicing System       
MTI Instruments    ProForma 200 SA    Warp & Bow       
MTI USA    AMS 2000    Trim & Form       
MTO    MT    Stereo Microscope       
MTO    MT    Stereo Microscope       
MTO    MT    Stereo Microscope       
MTO    MT    Stereo Microscope       
MTO    MT    Stereo Microscope       
MTO    MT    Stereo Microscope       
MTO    MT    Stereo Microscope       
MTO    MS 740    Desktop Microscope       
MTO    MT    Stereo Microscope       
MTO    MT    Stereo Microscope       
MTO    MS 740    Desktop Microscope       
MTO    MS 740    Desktop Microscope       
MTO    MS 740    Desktop Microscope       
MTS    Nanoindenter II            "- Parts machine
- No computer
- Motors not working"
MTS    ReNew           
MTS    QTest 2/L    Test Frame       
MTS    810    Tester        "- Hydraulic grips added 1995
- Controller replaced periodically
- Furnaces used for elevated temperature testing"
MTS Systems    244 12    Hydraulic Actuator       
Muehlbauer    DS 10000           
Muehlbauer    DS 10000    Tape & Reel Machine       
Muehlbauer Technologies    ARC 20000           
Mueller Gmbh               
Muhlbauer    DB 200 WTX-1    Die Bonder        "WX CHIPCARD just phase out 1 set of DB200 WTX-1, (Oven be included together)    "
Muhlbauer    DS 10000    Tape & Reel Die Sorting        "- Width 74.803 in
- Depth 70.866 in
- Height 66.929 in"
Muhlbauer    DS 10000    Pick and Place Die Sorter       
Muhlbauer    DS 10000    Pick and Place Die Sorter       
Muhlbauer    SSH 2008    Application Machine       
Muhlbauer    DS 10000    Die Sorting System       
Muhlbauer    DS 10000    PNP        "- ABOS computer cannot reboot, software file and data lost
- Parts damage as following:
  -Wafer expander
  -Flip Head
  -Bond Head
- This is phase out type, MB does not support the machine"
Muhlbauer    DS 8000    PNP        "- Die Ejector encoder damaged and already phased out , other model encoder can't be used on machine, test failed.
- MB no more technical support for DS-8K machine"
Muhlbauer    DS 10000    PNP        "- Die Ejector encoder damaged and already phased out , other model encoder can't be used on machine, test fail.
- MB no further technical support for DS-10K machine"
Muhlbauer    DS 8000    PNP        "- Die Ejector encoder damaged and already phased out , other model encoder can't be used on machine, test fail.
- MB no more technical support for DS-8K machine"
Muller        Intermediate Bulk Drums        - 150 L
Multilift        Floor to Floor Conveyor        "- 31 ft long
- 24"" wide belt with 3-6"" high cleats on 60"" centers
- Motor at top under mount
- Up/Emergency Stop/Down full control switch at both ends conveyor
- Potrable stand with locking casters and tires 5’ wide
- with hand winch height adjustment
- Conveyor goes down to 10’ height "
Multiline        Film Punch       
Multiline        Automatic Post Image Artwork Punch       
Multiline        PEP       
Multiline    34    Film Developer        with Glunz + Jensen 860
Multiline Technology    ATP 1000           
Multimill               
Multiplas    V 4-85 T-G    Injection Molding Machine       
Multiplas    V3 2R 55T    Plastic injection molding machine        Vertical
MultiPress    Se 30           
Multiprobe    APF II    Atomic Force Probe (AFP)    12"    "- 5 Probe Heads
- 3060 MPIII AFP Core 05/06/09 - 1ea
- 3020 MPII Head Group04/27/09  - 5ea
- 2715 MPIII Digital Control and Power Box04/27/09 - 1ea
- 218 AEK Enclosure Group04/27/09 - 1ea
- 1790 Scanning Capacitance04/27/09 - 1ea
- 3015 SemiAuto,Smpl/Optc04/27/09 - 1ea"
Multiprobe    MP 1    Atomic Force Probe (AFP)    12"    "Comes with :
- Electronic rack with controllers for heads +
- Probing enclosure unit with optical microscope+3 probe heads
Upgrades Include:
- Semiautomatic stage
- Optics & probe head control
- 4th probe head"
Multitest    MT 8502    TriTemp Gravity Handler        Temperature range from -40 to +125°C equipped with PLCC-44 conversion kit tube to tube handling
Multitest    MT 2168    Pick & Place Handler       
Multitest    MT 8704    Handler       
Multitest    9320    Handler        - Parts Machine
Multitest    MT 2168    Pick and Place Test Handler        "-Soak capacity for JEDEC trays
- Temperature accuracy +/- 1.0º C
- Scalable configuration
- 1 Phase
- 220V, 40A, 50/60Hz"
Multitest    MT 9320    Handler        - Missing Parts
Multitest    MT 8589    Handler        - Non functional
Multitest    MT 9918    Handler        "- Ambient Temperature
- Setup for QFN packages"
Multitest    9308    Handler       
Multitest    MT 9308    Handlers       
Multitest    MT 9510    Handler        "- Temp Range: Tri-Temp
- Test Site: Octal Capability
- Machine not equipped with a KIT.  Stored as base handler"
Multitest    MT 9510    Handler        "- Temp Range: Tri-Temp
- Test Site: Octal Capability
- Machine not equipped with a KIT.  Stored as base handler"
Multitest    MT 9510    Handler        "- Temp Range: Tri-Temp
- Test Site: Octal Capability
- Machine not equipped with a KIT.  Stored as base handler
- Faulty Contactor Fan, Communication Card
- Missing Front Lock Pin"
Multitest    MT 9918    Handler       
Multivac        Vacuum Sealer       
Multivac    R 145    Packing Machine       
Multiwire Laboratories / Seifert Analytical    MWL 120 / X-Ran PSU    Camera System       
Mundt        Laser       
Mundt        Laser Dice Machine       
Munstermann        Curing Oven       
Munstermann        Oven       
Munstermann        Drier Line Oven       
Munter    ComDry    Dehumidifier       
Murata    CDS 450 G 0            52 Bin Capacity
Murata    CDS 450 G 0            268 Bin Capacity
Murata    CDS 450 G 0            280 Bin Capacity
Murata    M 2000-30    Turret Punch       
Murata    M-2044           
Musashi    VSU 350 Smart Box    Aerojet Jetting System        - 50/60Hz
Musashi    SW 3000 SS 3 A    Aerojet Jetting System       
Musashi        Manual Dispenser       
Musashi        Manual Dispenser       
Mushashi    TAD 1000 M    Dispenser System       
MVP        Epoxy Dispenser       
Mycronic / Mydata    MY 12           
Mycronic / Mydata    MY 12    Pick & Place        "- Conveyorized for either left-to-right or right-to-left operation
- 21K CPH High Speed Hydra head
- 6K CPH Midas fine pitch head
- Hydra Camera
- Dual Vision Camera System
- Automatic Hydra tool exchanger
- Agilis capable
- TPSys version 2.4.6b
- Electrical Verification
- No extra feeders
- No external conveyors"
Mycronic / Mydata    MY 12    Pick & Place       
Mycronic / Mydata    MY 9    Pick & Place       
Mycronic / Mydata    MY 15    Pick & Place       
Mycronic / Mydata    MY 600 JX    Dispensing Machine       
Mycronic / Mydata    MY 12 E    Pick and Place        - With Electo Design Conveyor
Mydata    MY 600    Jet Printer        "- Mass: 2000 kg
- Voltage: 3 x 200/210/220/230/240/250V
- 50/60Hz
- Power: 3 x 2.2kVA"
MyData    My 12           
MyData    My 12    Pick and Place Machine       
Mydata    MY 12    Pick and Place        - Does not have Hydra
MyData    TP 9 UFP Hydra    Component Laying Machine       
Mydata    MY 12    Automatic Placement Machine       
Mydata    TP 9 UFP Hydra           
MYDATA    TP 9 UFP Hydra    Placement Machine       
Mydata    MY 9    Pick and Place        "Magazines: TM model black box magazines.
- Slot1: TMD FLEX/TMFLEX-44311
- Slot2: TMD FLEX/TMFLEX-42962
- Slot3: TMD FLEX/TMFLEX-43601"
Mydata    MY 15 e    Placement        "- Tex (32 tray handler) rear conveyors
- Comprehensive list of spare parts
- Mylabel
- File server
- Several MOT boards included
- 7 Hydra heads working. Tube 2 disabled."
Mydata    M 12    Pick and Place       
Mydata    MY 9    Conveyor       
Myford    MG 12    Cylindrical Grinder       
Myriad        Mask Aligner    4"   
Myriad Semiconductor    System 2001    Mask Aligner       
Mystaire        Air Scrubber       
N & R Scientific    XRL-120            230V; 1Ø; 50/60Hz; 8A
N&F Automation        Automatic Pick and Place Machine       
N&K    1500    Analyzer       
N&K    1500-D Series    Thin Film Measurement System        - The associated computer is not in working order
N&K Technologies    8000 CD LittleFoot           
Naber    N 7           
Nabertherm               
Nabertherm        Furnace       
Nabertherm    TS 1 100    Tube Furnace       
Nabertherm    N 120 / 65 HACS    Chamber Furnace        Plate 12*15cm
Nabertherm    TR 450 / S    Drying Furnace for Polymer       
Nabertherm    N 4010 / 26 / HA    Drying Furnace for Li Carrier       
Nabertherm    NA 120  / 45 S (2) and TR 120 / S (3)    Drying Furnace for Mask and Plates       
Nabertherm        Oven       
Nabertherm GmbH    LH 216 / 14    Chamber Furnace        "- Large
- 30-3000C"
Nabertherm GmbH    LH 60 / 14    Chamber Furnace        "- Medium
- 30-3000C"
Naberthrom    Top 16 / R    Kiln        - with Bartlett V6-CF Temp Programmer
Nachi    SW 166-03    Robot        "- 6 Axis
- 3086mm  radius reach
- 166kg payload
- New pendant & manuals
- All cables included
- AW series controller
- 360 deg rotation"
Nachi    AX 10            - With controller, pendant
Nachi Fujikoshi    NSB-63 D    Broach Machine       
NACS        Lower Housing Degator       
Nada Tech    N 44    Wafer Sorter        "- Operating System: Windows 2000 Professional
- Top and bottom OCR cameras are Sony XC-75
- Equipped with Ionizing Bar"
Nagel    SCD 80    Super Finishing Machine       
Nakamura-Tome    TMC 30    CNC Lathe        "- Operating Voltage: 220V
- Control Voltage: 24V
- Total Load: 30KVA"
Nakamura-Tome    TMC 20 II    Turning Center with Parts Catcher        "- with Gridley Hydromatic Bar Feed
- Spindle Motor: 20 Hp
- Number of Tools/Turret Stations: 12
- Key Options: Control 18-T
- Known Issues: Occasionally turns off and back on"
Nakamura-Tome    SC 300 MB    Live Tooling Turning Center        "- with Sub Spindle
- Check Size: 10"" Main Chuck / 8"" Sub Spindle Chuck
- Spindle Speed: 35-3500
- Spindle Motor: 30 Hp Main / 15 Hp SubSpindle
- Number of Tools/Turrent Stations: 12
- Key Options: Control Fanuc 21-T"
Nakan Techno    A 45 A    PI Coater       
Nakan Techno    PB 45 4 P    PI Coater       
Nakan Techno    BF 45 W    Postbake       
Nalgene    4150 9000    HDPE Dewar Flask Cover for Liquid Nitrogen        10 Liter
NAMS Tech    CW 150213-270    Plasma Cleaner       
Nanjing DVP    740    Mini Fusion Splicer       
Nanjing Hanna    GRY 3 ET    Infrared Temperature Controlled High Frequency Preheater       
Nano Surface    SL 910 SFCL    Single Diamond Polishing Machine       
Nano Surface    SL 910 SFCL    Single Diamond Polishing Machine       
Nanofinder    30           
Nanoflash    LFA 447            "Includes:
- software
- computer"
Nanometric    CD 50           
Nanometrics    Nanospec 8300 X    Thin Films Measuring system    12"   
Nanometrics    M 6100        8"   
Nanometrics    6100            "Settings:
- Continuous scanning from 210-800 nanometres
- UV capabilities for measuring films of size 25A-500A
- Windows 95tm based GUI
- Database storage of measurements
- Real-time sample and model interferogram plotting
- Automated focusing
- Joystick-automated stage
- 32-bit Pentium microprocessor
- Measurement range: 25-200,000 A
- Tungsten halogen lamp for vertival reflected illumination
- Lenses: 4x, 10x, 40x
- Can accommodate 100mm/150mm or 150mm/200mm wafers
Attachments:
- 2D and 3D wafer-mapping software
- Computer monitor
- Keyboard
- Trackball
- Joystick"
Nanometrics    AFT 210    Spectroscope       
Nanometrics    Nanospec AFT           
Nanometrics    Nanospec AFT           
Nanometrics    8300 X    Film Thickness Analyzer    12"   
Nanometrics    Nanoline 50    CD Measurement System        "- 117 Vac
- 50/60 Hz"
Nanometrics    M 6100    Film Thickness    8"    "- Handler: Olypus  auto  loaded AL 110 Series
- Number of films: up to 3
- Wafer sizes: 75-200 mm
- Optics: 4x, 10x, 15x (UV), 40x
- Computer: PC Computer with high capacity drive
- Has problem with auto focus"
Nanometrics    7000-0435    Microscope       
Nanometrics    CTS 102    Film Thickness Computer       
Nanometrics    NanoSpec/AFT            "- Includes: Computer, Monitor, Microscope, Printer
- The microscope and printer have a few issues"
Nanometrics    CTS 102    Film Thickness Computer       
Nanometrics    NanoSpec/AFT    Reflectometer       
Nanometrix    169    X-Ray        "- High Resolution
- Nanofocus Pc
- Operator Interface & Mounting Bracket"
Nanonex    NX 2600 BA    Mask Aligner Module       
Nanonext    Ultra 100    Integrated Cleaner / Coater       
Nanospec    210    Inspection Station       
Nanospec    AFT 3000    Film Thickness Measurement Machine        - Precise measurements of film thickness of single layers such as photoresists, oxides and nitrides, as well as the top layer on film stacks of up to three layers in the thickness range 200Angstroms - 35microns)
Nanotronics    nSpec    SiC Wafer Inspection Station       
NanoWave                "Includes:
-Rousselet-Robatel Centrifuge
-Sairem 6 KW Microwave"
Nantong Hunan    H 101-2 AS    Dry Oven       
Nantong Hunan    H 101-2 AS    Dry Oven       
Nantong Hunan    H 101-2 AS    Dry Oven       
Nantong Hunan    HN 101-OA    Dry Oven       
Nanya                NT 5 TU 64 M 16 GG-ACI
Napco    5851    Vacuum Oven       
Napson    HF-90 R    Lifetime Machine       
Narda    3752    Coaxial Phase Shifter       
Narda    3020 A    Bi-Directional Coaxil Coupler       
Narda    766 20    Coaxial Attenuator       
Narda    769 30    High Power Attenuator       
Nardini    ND 1560 E    Engine Lathe        "15"" x 60""
7.5 HP
- 25-2000 RPM
- Spindle D1-6
- 3000lbs
- The digital display does not work
- The gear box wont go into all gears"
Nardini    MS 1440 E    Lathe       
Narishige    MMO    Oil Hydraulic Micromanipulator        3 Axis
Nash    NRV-60    Vacuum Pump       
Nat Instruments    MID 7654    Motor Drive       
Nation Electronics Technology        Horizontal Cutting Machine       
Nation Electronics Technology        Manual Vertical Cutting Machine       
Nation Electronics Technology        Setting Foot Machine       
Nation Electronics Technology        Electrical Safety Compliance Analyzer       
Nation Electronics Technology               
Nation Electronics Technology        AC Source       
National    NH 5216    Shear       
National        Sheet Metal Brake        "- 10'
- 16 Gauge"
National Controls    5300 MK-IV    Counting Scale        "- Capacity 10Lb
- Needs Calibration"
National Electric Coil    HP 10    AC Motor       
National Instrument    NI USV    Data Acquisition Module       
National Instruments    NI USB 6341 X Series    Data Acquisition Module       
National Instruments    SC-2345    Shielded Carriers for SCC Modules       
National Instruments    NI USB-6363    BNC Data Logger       
National Instruments    PXI-1045           
National Instruments    PXI-4071           
National Instruments    PXI-5112           
National Instruments    CB 50 LP    I/O Connector Block        50 Pin
National Instruments    CB 68 LP    I/O Connector Block        68 Pin
National Instruments    CB 68 LPR    I/O Connector Block        68 Pin
National Instruments    FLKM 50    I/O Connector Block        50 Pin
National Instruments    PCI 488.2    GPIB Interface Card       
National Instruments    PCI 6023 E    DAQ Card       
National Instruments    PCI 6503    Digital I/O Card       
National Instruments    PCI 6509    Digital I/O Card       
National Instruments    PCI 6722    Analog Output Card       
National Instruments    PCI DIO 96    Digital I/O Register       
National Instruments    RS 232    Communication Adaptor       
National Instruments    USB 6501    Digital I/O        24 Line
National Instruments    763507 B-01    GPIB 1 Meter        Type X2
National Instruments    NIUSB 6366 152804 C-01 L, X Series    Multifunction       
National Instruments    SCB 68    Data Acquisition       
National Instruments    PXIe 6570           
Nauder        Lubrication Unit for Oil ISO VG 32        "- 127 V DC 4.5 KW
- 1.5 m3"
Naura        Diffusion Furnace        - 800/tub*4
Nauset    NAU 3 X 10    Down Draft       
Nauset    NAU 2 X 4    Down Draft       
Nauset    NAU 2 X 6    Down Draft       
Navigator               
NBK    MPS 200    Wafer Probe Station       
NBS Technologies    WPC 08 A    Wafer Transfer system       
NCA Systems        Ion Exchange Water Treatment       
NCB Network    NF 200    Furnace    8"   
NEC    CPS 400 F    Soft Solder Die Bonder       
NEC    CPS 400    Soft Solder Die Bonder       
NEC    Bestem DO 1    Die Bonder       
Nedco        BiFlow Conveyor       
Nederman        Dust Collector       
Nederman    NFP S 1000    Dust Collector       
Nederman    MDL 850.01    Vacuum Dust Collector       
Neeltran    3SCIA4640033    Rectifier        "Input: 480V +/-5%, 3 Phase, 60 Hertz, 33 Amps.
Output: 0-33 Amps @ 540-640VDC floating output.
Output Ripple: 5% at rated output and low line.
Efficiency: Min. 94.0 % at rated output.

"
Negevtech    NT 3100    Bright Field Inspection    12"   
Nel    8    Contactless w Mounter System for MSA 840-4021       
Nel    HR 8500 II    Tape Appl       
Nel System    MSA 840    Mounter    6"   
NEMS Tech    CW 150830 880    Plasma Cleaner       
NEMS Tech    APO    Plasma Cleaner       
Neos    N 21040.5 IDS    A-O Modulator Driver       
Nercon    Washdown    Conveyor        Straight Conveyor
Neri    SL    Labeler        - 400 V
Neslab    HX-500    Chiller       
Neslab    HX-300    Chiller       
Neslab    CFT-75    Refrigerated Recirculator       
Neslab    Coolflow CFT 75    Refrigerated Recirculator        - with wheels
Neslab    HX 75    Cool Flow Refrigerated Recirculator        - 1 phase
Neslab    HX 300    Chiller       
Neslab    Coolflow 50           
Neslab    HX 300    Chiller        -Air Cooled
Neslab    HX 75    Water Chiller        TU-1 Turbine pumps
Neslab    HX 75           
Neslab    HX 300    Chiller       
Neslab    ThermoFlex 1400    Recirculating Chiller       
Neslab    HX 750 Air CoolED    Chiller       
Neslab    CFT-75    Chiller       
Neslab    NX 750    Recirculating Chiller       
Neslab    HX 750 Air CoolED    Chiller        "- 208 / 230 V
- 3 Phase
- 80Hz"
Neslab    HX 750 Air Cooled    Chiller        "- 208 / 230 V
- 3 Phase"
Neslab    HX 500    Chiller       
Neslab    RTE 111    Chiller       
Neslab    RTE 111    Chiller       
Neslab    HX 150 WC IBM    Chiller        "- 208-230 V, 15 Amp, 1 phase
- 1/3 HP pump FLA 2.7
- Water cooled"
Neslab    Coolflow System II    Liquid Recirculator       
Neslab    HX 500    Chiller       
Nesta Flex        Conveyor Belt       
Nestal    Elion 800-130 M    Injection Molding Machine (IMM)       
NestFlex    275    Expandable Conveyor        24" x 32'
Netstal    HP 3500 3550 R           
Netter    NT 200    Pallet Washing Machine       
NetTest    Walic           
Netzgerat               
Netzsch    LME 20 T    Mill        "- V: 230 460
- H.P. 25
- Size: 22.7"
Netzsch        Attrition Mill       
Netzsch    230    ISO Process       
Netzsch    230    ISO Press       
Neutralization Technology        Microscope       
Neutrix        Electrode Grinder        110V / 60hz / 850W
Neuvis    iVIS iR 2 R    Part Counter       
Nevoni    NV 1.1    Stove for Sterilization and Drying       
New Brunswick    Innova 5000           
New Brunswick Scientific    G 2    Gyrotory Shaker       
New Brunswick Scientific    G 25    Incubator Shaker       
New Brunswick Scientific    BioFlo Pro    Fermentor       
New England Machinery    H ECS 10    Vertical Elevator Conveyor       
New Focus    3501    Optical Chopper       
New Focus    6428    Telecom Test Laser       
New Holland        Parts Dryer       
New Pig        Drip Deck       
New Pig        Spill Containment Pallet       
New Wave Research (ESI)    AccuScribe SS 40    Laser Scriber with Jasper Laser       
New World Technologies    ER 250    Torque Wrench & Controller       
New York Blower    Series 20 GI Fan           
Neware        Battery Test System        "a. The equipment is built into a server rack shelving system
b. The dimensions are 28”x23”x71” "
Neway    NL 201 HA    Horizontal CNC Lathe        "- Upgrade to 2” bar capacity
- 10-Station turret w/ 3/4"" OD shank
- Renishaw tool pre-setter
- Basket type part catcher
- Programmable tailstock
- Air conditioner electrical cabinet - - Water gun
- Chip conveyor w/ scrap cart
Capacity
- Max. Work Swing: 17.72’’ (450 mm)
- Swing over Cross Slide: 11.81’’ (300 mm)
- Max. Turning Diameter: 7.87’’ (200 mm)
- Max. Turning length: 13.8” (350mm)
- Bar Capacity: 2’’ (51 mm)
TRAVELS
- X Axis: 5.31’’ (135 mm)
- Z Axis: 16.9’’ (430 mm)
SPINDLE
- Spindle Nose: A2-6
- Chuck diameter: 8” hollow
- Spindle Motor Power: 10 /15 HP
- Spindle Speed: 4,000 RPM
TURRET
- Tool Stations – 10 tools
- Tool Size (turning tool): ¾”
- Tool Size (boring tool): ö1-1/4""
- Indexing Time Tool-to-Tool: 0.5 s
TAILSTOCK
- Dia./travel of the sleeve: 2.95/3.15’’ (75/80 mm)
- Mandrel taper hole: Morse #4
- Programmable position and quill
FEEDRATE
- X/Z Axis Rapid: 946/1182 IPM (24/30 m/min)
- Cutting Feed Rates: 315 IPM (8 m/min)
BALL SCREWS
- X axis Ball Screw: 32 × P8 mm
- Z axis Ball Screw: 32 × P10 mm
DRIVE MOTORS
- X Axis Motor: 1.6 Hp.
- Z Axis Motor: 1.6 Hp.
POWER & AIR REQUIREMENTS
- 220 Volts/ 3 Phase/ 60 Cycle
- 25 KVA
COOLANT SYSTEM
- Pump Motor Output: 0.493 HP (0.4 Kw)
- Pump Flow Rate: 7.3 gpm (2 m 3 / h)
- Pump Pressure: 21.78 psi (1.5bar)
- Tank Capacity: 42.7gal (160 L)
Accessories
- FANUC 0i-T control system
- 10-station Hydraulic turret
- Air Conditioner Electric Cabinet
- Automatic Lift-up chip conveyor with scrap cart
- Automatic Lubricating System
- Hydraulic system
- Coolant System & Air Gun
- Tri-color cycle light
- Operation manual
- Foundation kit with leveling bolts and pads"
Newbery Industry Inc    V 3-3 CPS    Shuttle Injection Press       
Newbury Industries    V 3-30 ES    Molding Machine       
Newlong    LZ 46 TVA    Seal Dispenser       
Newlong    LS 56 TVA (LZ 1041)    Screen Printing Machine       
Newmco    IMVF 150-24            "- 240V
- 15hp DC
- 4BZ
- 4DZ
- Power Knee"
Newport    1835 C    Multi-Functional Optical Meter        Reading is off
Newport    FKP-STD    Fiber Optic Project Kit       
Newport    RP Reliance / SL Series    Optical Table Breadboard        "- 8'x4'
- w/ Pneumatic legs and work frame/shelf
"
Newport    RS 4000 / I2000    Optical Table Breadboard        "- 6'x3'
- w/ Pneumatic legs"
Newport        6'x4' Optical Table       
Newport        Optical Table        4' x 8 ' x 1.5'
Newport    VH 3660 W-OPT    Laser Welder Precision Alignment and Laser Weld Platform (3-Beam)        "Including the following:
- 3 Micos VT-80 Linear Stages, Z-Travel
- 3 Micos VT-80 Linear Stages, Y-Travel
- 3 Micos DT-80 Rotaray Stages, Theta-Travel
- 9 Micos Pollux Box Motor Controllers
- 3-Jaw Pneumatic Chuck
- 3 Watec WAT-137LH Cameras
- 3 Camera/YAG Integrated Focus Optics (No YAG Source)
- Newport Breadboard on Air Table
- Micos Breadboard
- Enclosure with Door Interlocks"
Newport    1931 C    Optical Power Meter       
Newport    4000    Laser Welder System        "- Unitek Miyachi LW 10
- DI resin canister was just replaced
- Hard Disk in the Controller has gone bad"
Newport    LW 4200 Sys    Laser Welder System       
Newport               
Newport    5030    Laser Diode Driver       
Newport    1835 C    Multifunctional Optical Meter       
Newport    3040    Temperature Controller       
Newport    2936    Power Meter       
Newport    1936    Power Meter       
Newport    505    Laser Diode Driver       
Newport    1830 C    Optical Power Meter       
Newport    M 426 Series           
Newport        DC Volts       
Newport    2832 C    Power Meter        Dual Channel
Newport    561    Tilt Stage       
Newport    818 IR           
Newport    ESA-C    U-Drive Controller       
Newport    1835 C    Optical Meter        Multi-Function
Newport    818 1    Optic Detector       
Newport    818 IS 1            With Accessories and Fitted Case
Newport    818 IS 1    Universal Fiber Optic Detector       
Newport    818 IS 1    Universal Fiber Optic Detector        With Calibration Module
Newport    OTS-SST-510-12-I    SmartTable OTS HD        5 ft. width, 10 ft. length, 12 in. thick, Isolated
Newport    OTS-10    Overhead Table Shelf        10 foot table length,110V US style sockets
Newport    OTS-LSC-510    Laser Safety Curtain 5 x 10 foot OTS system       
Newport    910 A    Compact Five-Axis Spatial Filter        100TPI, Z 80TPI
Newport    U-13 X    UV Objective Lens        13x, 0.13 NA, 11.53 Focal Length, 245-440nm
Newport    910 A / 910 PH-10    Mounted High-Energy Pinhole Aperture        10 ±1 µm
Newport    910 A / 910 PH-5    Mounted High-Energy Pinhole Aperture        5 ±0.75 µm
Newport    FMS 100 PPHA    Metrology Linear Stage, Steeping Motor, Linear Encoder        100 mm Travel
Newport    XPS-Q 6    Universal Controller / Driver        "with Ethernet Interface
- 6 Axis"
Newport        Optical Table        "- 120""x48""x12""
- Drilled and Tapped
- with Riser and Pneumatic Legs"
Newport    350 / 350 B    Temperature Controller       
Newport    350 B    Temperature Controller       
Newport    560 B    Temperature Controller       
Newport    3150    Temperature Controller       
Newport    ESP 301    Motion Controller       
Newport    11 S I73805    Rotate Stages       
Newport    11 S I73805    Controller for Rotate Stages       
Newport    66902    Arc Lamp       
Newport    SP 66912 3823    Arc Lamp       
Newport    66923    Arc Lamp       
Newport    69920    Power Supply       
Newport    69907    Power Supply       
Newport    LW 4000    Automated Laser Welding Work Station       
Newport    RS 2000    Anti Vibration Optical Table       
Newport    819 C    Spectralon Collimated Beam Integrating Sphere        "- 5.3 inch diameter, 4-Port, 2.5 inch large port
- There is some discoloration inside one part of the sphere, maybe water or oil got inside that spot"
Newport    1936 C    Power Meter        "- Does not put out enough power
- Parts Unit, selling as-is"
Newport    1936 C    Power Meter       
Newport    1936 C    Power Meter        - Power supply turns on but unit does not come on. For parts, has damage to circuit board.
Newport    1936 C    Power Meter        - This unit was calibrated December 2018
Newport    1931 C    Optical Power Meter       
Newport    PM 500 C    Precision Motion Controller       
Newport    F PCB 15 PM FA    Polarization Beam Combiner       
Newport    PM 500    XY Theta Stage       
Newport        Receiver       
Newport    zED-TH/N    Humidity Sensor        - Untested
Newport    MM 4005    Motion Controller        "- Includes Manual
- Contains Newport Module:  - E586A, Option #71 (UE33CC)
- Unit powers up but screen does not display any information. Changed the real time clock battery but has the same issue. Unit beeps eight times when started."
Newport    M UTM 50 CC 1 HL    Motorized Linear Translation Stage        "- Encoder: 5V
- Motor: UE404CC U=60VDC I=0.9A
- Unit is untested"
Newport    M UTM 25 CC 1    Motorized Linear Translation Stage        "- Encoder: 5V
- Motor: UE33CC U=24VDC I=0.3A
- Untested
Includes the following Suruga Seiki modules:
- B33-40A (qty: 2)
- B11-40A (qty: 2)"
Newport    zED-TH/N    Humidity Sensor       
Newport    zED-TH/N    Humidity Sensor       
Newport    zED-TH/N    Humidity Sensor       
Newport    zED-TH/N    Humidity Sensor       
Newport    zED-TH/N    Humidity Sensor       
Newport    zED-TH/N    Humidity Sensor       
Newport    ESP 301    Motion Controller / Driver        "- Software version: 1.0.4
- Options: 3 Axis, GPIB, USB, includes 3x E4339A (single axis driver for ESP301 controller, it can drive 2 or 4 phase stepper and DC motors, up to 3A, 48V per axis)
- Unit is in good working condition; all 3 axis drivers are working"
Newport    ESP 301-3 G    Motion Controller / Driver        "- Software version: 1.1.0
- Options: 3 Axis, GPIB, USB, includes 3x E4738A (single axis driver for ESP301 controller, it can drive 2 or 4 phase stepper and DC motors, up to 3A, 48V per axis)
- Unit is in good working condition and all 3 axis drivers are working"
Newport    ESP 301-3 G    Motion Controller / Driver        "- Software version: 1.1.0
- Options: includes rack mount, 3 Axis, GPIB, USB, includes 3x E4738A (single axis driver for ESP301 controller, it can drive 2 or 4 phase stepper and DC motors, up to 3A, 48V per axis)
- Unit is in good working condition and all 3 axis drivers are working"
Newport    ESP 301-3 G    Motion Controller / Driver        "- Software version: 1.1.0
- Options: 3 Axis, GPIB, USB, includes 3x 36979-01 (single axis driver for ESP301 controller, it can drive 2 or 4 phase stepper and DC motors, up to 3A per axis)
- Unit is in good working condition and all 3 axis drivers are working"
Newport    ESP 301-3 G    Motion Controller / Driver        "- Software version: 1.1.0
- Options: 3 Axis, GPIB, USB, includes 3x E4738A (single axis driver for ESP301 controller, it can drive 2 or 4 phase stepper and DC motors, up to 3A, 48V per axis)
- Unit is in good working condition and all 3 axis drivers are working"
Newport    6000    Laser Diode Controller        "- Software version: 2.24i
- Modules included: 6505 - 500mA LDD, TEC: 4A/8V 32W
- Unit appears to be in good working conditions. Powers up and operates"
Newport Corp    CTXL TRH / N           
Newtec        Air Compressure Machine        "(QTY: 1) Newtec Max 50 D
(QTY: 1) Newtec SSR MH 45 (60 HP)"
Nexaldes        Central Dust Collection System for Drill       
Nexen    CRD 350    Drives       
Nextest    Maverick PT-I    Tester       
Nextest    Maverick PT-I NT    Tester       
Nextest    Maverick PT-I    Tester       
Nextest    Maverick PT-I    Tester       
Nextest    Maverick PT-I    Tester       
Nextest    Magnum    Tester       
Nextest    Magnum HD 512    Tester        "- HD512 pins
- Reid Hashmann manual manipulator
- Hard docking plate with POGO tower from Reid Hashmann
- Optional: Electroglas 4090u Prober"
Nextest    Maverick GTX    Tester        "- Missing parts
- Not operational"
Nextest    Maverick GTX    Tester        "-  Missing parts
- Not operational"
Nextest    Maverick GT    Tester        "- Missing Parts
- Not operational"
Nextest    Maverick I GT    Tester       
Nextest    Maverick I GT    Tester       
Nextest    Maverick GT    Tester        - No PE / No Site
Nextest    Maverick GTX    Tester        - No PE / No Site
Nextest    Maverick GTX    Tester        - No PE / No Site
Nextest    Magnum PV SCM    Tester        "- PV Frame / Case Only
- No PS / No Peripherals"
Nextest    Maverick GT    Manipulator       
Nextest    Magnum PV            "- PV Frame / Case Only
- No PS / No Peripherals"
Nextest    Magnum PV            "- PV Frame / Case Only
- No PS / No Peripherals"
Nextest    Magnum PV            "- PV Frame / Case Only
- No PS / No Peripherals"
Nextest    Magnum PV    Tester        "- Hard docked with EG 4090 Prober
- X20 Board Interface
- HSBX Board Connectors"
Nextest    Magnum SV    Tester       
Nextral    NE 110    Reactive Ion Etcher (RIE)       
NEXX    S 300    Plating Station        "With:
- 10 metals
- 6 QDR
- 1 Prewet
- 2 Loadports"
NEXX    Straus 300    Plating    8"    - Autoloader rotate axis malfunctions (discontinued parts)
Nexx Systems    Cirrus 150    PECVD       
Nexx Systems    Cirrus 150    REI Etch       
Neytech    Qex    Furnace       
NF    4005    High Speed Power Amplifier       
NF    4020    High Speed Power Amp/ Bi-Polar Power Supply X2       
NGK    RC 1000 A            CO2
NGK Megcon    RC 2000 ACDS    CO2 MIxer       
NH Horizon        Ion Fan       
Niacara    152 F    Shear       
Niagara    192    Roll Form       
Niagara    180    Roll Form       
Niagara    DC 2800 SS    Dust Collector       
Nicchu    ZHBNF-155    Aluminum Die Cast Shot Blast Machine       
Nichigo-Morton    V 130    Selene Vacuum Laminator       
Nicolet    NXR 1400    X-Ray Machine       
Nicolet        X-Ray Machine       
Nicolet    NXR 1525    X-Ray       
Nicolet    Impact 420    FTIR       
Nicolet    6700    Microscope       
Nicolet    NXR 1400    X-ray Machine       
Nicolet    6700    FTIR (Fourier Transform Infra-Red) Spectral Analysis Test Station        "- Class 1 laser source P/N 155800
- Low-e microscope slides Kevley technologies product code CFR
- Computer system with network connection"
Nicolet Imaging Systems    IS 10 X    X-Ray System        "- Mounted On Metal Table
- With Locking Casters
- With Sony Trinitron Color Video Monitor"
Nidec Copal Electronics    CT 94    Cover Unloading Machine       
Nidec Read    GATS 7806    Tester       
Nidek    FT 17    Laser Interferometer    2"   
Nihon        Test & Sort       
Nikon    MM 40    Microscope       
Nikon    6 C    Profile Projector       
Nikon    NSR 2205 EX 14 C    Lithography    8"    "- Software version: MSCV Ver.E3.42, OCSV Ver.E3.42, Maint_V Ver4.02-EX5100
- Full load current: 86A
- Voltage: 200/208V
- 50/60Hz
- AIC: 50kA"
Nikon    NSR 2205 EX 14 C    Lithography    8"    "- Software version: MSCV Ver.E3.42, OCSV Ver.E3.42, Maint_V Ver4.02-EX5100
- Volts: 200/208
- 50/60Hz"
Nikon    NSR-2205 EX 14 C Step & Scan    Stepper    8"   
Nikon    S 610 C    Lithography    12"   
Nikon        LK Scanner       
Nikon    Eclipse L 200    Station       
Nikon    Eclipse L 200    Station       
Nikon    Diaphot    Microscope       
Nikon    MM 40    Microscope       
Nikon    SMZ 445    Lower Power Microscope       
Nikon    V-16 E    Profile Projector       
Nikon    Eclipe E 400    Microscope       
Nikon    NSR S 650 D    Immersion Scanner        193nm
Nikon    V 12    Optical Comparator Profile Projector       
Nikon    NWL 640    Wafer Loader    5"   
Nikon        Microscope       
Nikon    LHS-H 100 P 1    Microscope       
Nikon    Optiphot    Microscope       
Nikon    V 12    Profile Projector       
Nikon    Otiphot 66    IC Inspection Microscope       
Nikon    Otiphot 66    IC Inspection Microscope       
Nikon    OptiPhot 100    Microscope       
Nikon    Eclipse L 200    Microscope       
Nikon    TE DH 100 W            With Condenser Turret and LHS-H100P-1 Camera
Nikon    Lasermark    Overlap Inspection System        "Main body includes:
- Inspection table
- Microscope fixture
- X,Y Alignment
- Theta Adjuster
- Z Focus Adjuster
XY Stage for 8/12"" wafer in Inspection Table
- Manual XY Stage
- Integrated 8"", 12"" wafer holder Jig with notch marker
Acrylic alignment wafer Jig with cross hair
- CM-20L
- Fiber Light Guide 1.5M
- Fiber Ring Light Guide 1.5M
- C-FLED LED Light source for Fiber Illuminator
- Objective Lense 1X
Image Capture function
- Top and Bottom Digital Color Cameras
Image Capture PC + Monitor + Printer
- Image Capture Function
- Overlap Live View function from 2 Cameras
- Simple (Point to Point) measurements for reference"
Nikon        Objectives        5 X to 150 X
Nikon        Interferometer Objectives        10 x and 50 x
Nikon    V 12 B    Profile Projector / Optical Comparator       
Nikon    OST 3100    Microscope        12"
Nikon    V 12 B    Projector       
Nikon        Profiler       
Nikon    SMZ 645    Microscope        x40
Nikon    XTV 160    Inspection System       
Nikon    Eclipse ME 600    Microscope       
Nikon    Optiphot 200 C CFH 200 Z    Confocal Microscope       
Nikon    SMZ 10 A    Low Mag Microscope       
Nikon    L 200    High Power Microscope        "- 220 V
- 1.2 A
- 50/60 Hz
- 1 Phase"
Nikon    4562    Comparator       
Nikon    NSR 1505 G 4 D    Stepper       
Nikon        Profile Projector       
Nikon    NWL 860    Microscope       
Nikon    OTS 3100    Microscope       
Nikon    Eclipse LV 100    Microscope       
Nikon        Microscope       
Nikon        Lot of Nikon Microscopes        "- Nikon SMZ 660
- Nikon SMZ 645
- Nikon C-PS"
Nikon    V 20 A    Profile Projector       
Nikon    NSR 207 D    Deep UV Wafer Stepper    12"   
Nikon    6 C 2    Profile Projector        3 lenses: 10x, 50x, 100x
Nikon    XY 5 I    Measuring System        - with chamber
Nikon    NSR S 204 B    DUV Scanner    8"    "- Cymer Laser ELS-6400
- PCB Control Rack
- Wafer Loader Type 3
- Factory Interface: SMIF (2)
- Software Version: - MCSV S3.42G, OCSV S3.43, Maint_V S370-XX3260, Base-kit BASEXX301-0008T, WLDRX3062-V340A, RLDR8SF12-V280A"
Nikon Precision    S 204    Scanner    12"    "- 8"" to 12"" conversion kit
- 248nm (0.68NA)"
Niles Simmons    N 30 MC x 4500           
Nilpeter    F 3000           
Nilson    S 1           
Nilson    S 0           
Nilson    S 0           
Nilson    S 2           
Nilson    S 3 F           
Ningbo Haitian    HT 780 Gold    AIM Molding Machine        "- 380V
- 50Hz"
Ningbo Haitian    HT 530 Gold    AIM Molding Machine        "- Injection Size Screw Model 2195 cm3
- Power: 122.45kw / 380V
- Cycles: 50Hz"
Ningbo Syil    X 6            220V @ 60Hz; 5KW
Ningbo Syil    X 5            120V @ 60Hz; 1KW
NingBo Zhongce Electric    DW 4822    Curve Tracer / Oscilloscope       
Nippon America    AR 5000 VA    Automatic AC Voltage Regulator        - 110V, 50/60Hz, 1500Watt, 220-240V 50/60Hz, 3000Watt
Nippon Scientific    Micro Shiner BA 101           
Nishimura Electric    FB 1500    Friction Blower       
Nissan    RPX 2 W 2    Fork lift       
Nissei ASB    SBM -PF 6-2 B           
Nissei ASB    SBM PF 8-2 B           
Nissei ASB    PF 6-2 B           
Nisshin    G 6    Square Machine       
Nisshin    NWSS 250    Truncation Machine       
Nisshin    NWSS 360    Truncation Machine       
Nisshinbo    PVS 1222    Solar Simulator       
Nissin    ED 8439    Spacer Spray       
Nissin    UFH 00549    PCB Tightening Machine       
Nissin    UFH 00547    PCB Gel Apply Machine       
Nissin        Square Machine       
Nissin    Exceed 2000 A    Implanter    8"    "- BSM amplifier needs replacement
- Missing parts: YIOIS Board, Cryp Pump CP-1, Cryo Pump CP-2, Cryo Compressor, Dry Pump DP-1, Trim-Q Power Supply, E/S Vent Reg, A/L2 Pressure Sensor, EXT power supply, SAM power supply, Accel power supply, BSM power supply"
Nitto    HR 6304    Wafer Detaper    6"   
Nitto    Nel DSA 840    Taper       
Nitto    Nel HAS 840    Detaper       
Nitto    M 286 N    Manual Mounter       
Nitto    MSA 840    Semi-Auto Mounter       
Nitto    MA 1508 N    Fully-Auto Mounter       
Nitto    DSA 840    Semi-Auto Taping       
Nitto    HSA 840    Semi-Auto Detaping       
Nitto    MA 3000 II    Fully-Auto Detaping Mounter       
Nitto    HR 8500 II    Fully-Auto Detaping       
Nitto    UM 810    UV Irradiator       
Nitto    GR 4000           
Nitto / Denko    HMT 840    Manual Defoiler tool    8"   
Nitto Denko    MA 2008    Wafer Mounter    8"   
Nittoku    AN 480 12 30    Coil Winding       
Nittoku    M1 02 4    Coil Vision       
Nittoku    HJ 2    Coil Welding       
Nittoku    PRL 02    Coil Loading / Unloading       
NJM    Final Touch    Print & Apply       
NJM    311 LSB / 272    Pace Setter Auto Labeling Machine       
NNI    NVIS 2500    Vision Inspection Measuring System        "- Tray to Tape Capability
- Top Mark Vision
- Leads Vision"
Nobles    29619.9           
Noisecomm    NC 346 B    Noise Source       
Noiseken    ESS-2000    ESD Tester       
NOMURA    NN-20J    CNC Automatic Lathe        "2 Full C Axis
Live Tooling, Ø 20MM Bar capacity
Independent “Y” axis sub-spindle
12’ LNS Super Hydro Bar
4-port high pressure coolant (2000 PSI) with a mist buster"
Nook               
NOR        Roll Form       
Noran    683 A 1 SPS        8"   
Norcimbus        Amonia Valve Manifold Box       
Norcimbus        Dichlorosilane Valve Manifold Box       
Norcimbus        Sih4 Valve Manifold Box        With Manual
Nord    9072.1 / 32    Motors & Gear Reducers       
Nord Engineering    C/P 10-2-2 DCT    Twin Spindle Polisher        - With Pneumatic Quills
Nord Engineering    SP 6 6 2 DCT    Precision Optics Polishing Machine        "- 6 Spindle
- For lens blocks up to 8 in. dia.
- Overarm pressure for each spindle via
- Air cylinder activated by a toggle switch.
- Each two spindles are driven by a common 1 hp motor.
- Oscillation is achieved by a gear reducer motor
- Adjustable eccentric which pivots the overarm
- Spindle Speed: 50 to 600 rpm.
- 220V, 1 Ph, 60 Hz, 40A"
Nordiko        Sputtering Machine        - Parts Machine
Nordiko System        VAT Isolation Valve       
Nordson    FlexTRAK    Plasma Cleaner        - 2 MB
Nordson    Select Coat    IR Oven        With Manual and extra parts
Nordson        Powder Paint Spray Booth       
Nordson        Lacquer Machine       
Nordson    752            With SS Air Cylinder
Nordson    E 3 V    EFD Dispenser       
Nordson    See Flow 7    Sealant Dispenser        "- See-Flo 7 Adjustable Ratio, Double Acting Piston Meter-Mix Dispense System
- (2) Pressure Transducer Accessory
- Control Panel and Operator Interface Assembly
- Program-A-Shot Control Assembly
- Control Panel Stand Assembly
- (2) 55-Gallon Material Supply Pump Assembly
- (2) Desiccant Dryer Assembly
- 2-Component Mix-Dispense Valve Assembly
- (2) Material Supply Hose Assembly: Supply to Meter
- (2) Material Dispense Hose: Meter to Dispense Valve
- Electric Trigger Valve Handle Assembly"
Nordson / Asymtek    31634           
Nordson / March    AP-1000    Plasma Cleaner       
Nordson / March    AP 300    Dual Gas Plasma Chamber        "-110-230V
- Single Phase
- 50/60Hz"
Nordson Asymtek    SL 940 E / SL 941 E    Conformal Coating System        "- Bd Size: 18.7 X 19.7""
- Coat Area: 17.3 X 19.7""
- 240V
- 1 Phase
- 10Amp"
Nordson Asymtek    SL 940 E / SL 941 E    IR Convection Curing Oven        "- Max Board Size: 20""
- 480V
- 3 Phase
- 10Amp"
Nordson Dima    DD-500    Dispense Master       
Nordson EFD    7017041    High Precision Dispenser        0-100 psi
Nordson EFD    Performus V-III    Wet Painting Tool       
Nordson Yestech    YTV FX    AOI       
Norgren    F 08 000 A 3 D 0    Filter        - Max Temp 175*F
Norgren    F 45 421 AODA    Filter        - Max Temp 150*F
Norgren    F 46 424 MAMA    Filter        - Max Temp 150*F
Norgren    V 62 S 511 AA 313 JB    Solenoid Valve        "- 5 Port
- 2 Positions"
Norlake        Transformer       
Norlake Scientific        Freezer       
Norland        Fiber Optic Interferometer       
Nortel        Lot of Phones       
Nortel Networks    DP 0011001    Channelized Access Processor       
North Atlantic    8500 F 141    Angle Position Indicator       
North Star Imaging    X 50    X-ray       
Northamtool        Press        30 Ton
Northstar    EM1    Emulator Final Test Handler       
Norton        Chemical Process Products       
Norton        Roller for Powder        - with mortars, sieves and other powder equipment
Norton        Diamond Wheel       
Norton / NRC    0162    Vacuum Diffusion Pump       
Nousstar    KD LWS 350 ST    Wave Solder       
Nova Biomedical    Bioprofile 400    Analyzer       
Novascan    Synergy ESPM 3-D    AFM       
Novastar        Wave Solder Machine       
Novastar    1200 A    Benchtop Reflow Machine       
Novastar    MPP-11    Manual Placement Machine       
Novatec        Dehumidified Dryer       
Novatec    Pluritank    Cleaning Machine       
Novellus    Innova    PVD Tool    8"   
Novellus    Innova    PVD Tool    8"   
Novellus    Inova PVD    PVD Cu Barrier-Seed System    8"   
Novellus    Concept Three Altus MAX EFX Chamber    Etch    12"    "- CVD
- Extreme Fill W
- Process Gas 1: Ar (5000 sccm)
- Process Gas 2: NF3 (1000 sccm)"
Novellus    Sabre NeXT    Cobalt Plating System    12"    "- Load ports: 3
- Handler System: Mylar Template Sabre Anneal 3 FOUP
- Seed Defect Feature
- Waveform Monitor
- Multiwave Option
- EBR Detect- Turnable EBR
- Dosing System w/NowPak
- LT Front & Rear 4 Color
- CMS 7500/7600 Ready Kit
- SBU Ready Kit
- 150 A LPB CB Upgrade"
Novellus    Concept 3 Speed Max    HDP CVD Oxide    12"    "- Software version: 5.73
- CIM: E 84, SECS/GEM, GEM 300
- Process: 2CHM TFM_HDP STI Deposition
- Factory interface: FOUP (2)
- Handler system: Dual FOUP Wafer Transfer System
- Handler System: Atmospheric Robot and Class HEPA
- Options system: Module Readiness Kit, Ionizer Kit, ATM Robot Friction End Effector"
Novellus    Concept One    Spare Parts       
Novellus Systems    Inova    PVD Sputter    8"    "- SMIF system to remain with fab. LPT 2000. Will not be sold with tool.
- Ta Barrier / Cu Seed Deposition
- Main system: Inova mainframe (transfer, load, Aligner, cool, degas chm & loadlock)
- Handler System: 3-axis Trust Cntrl ConMag II Robot Assy with Ceramic Blade (Transfer robot) 1 P
AWC installed Brooks Mag 7 Robot Assy with Ceramic End Effector (FE robot)
- Process Chambers: Ta, Cu, DMC
- Missing parts: PVD Chamber ESC Pwer cable, DMC RF cable, TA RF cable."
Novtek    Spyder NTS 4358            - Parts Only
Novtek    Spyder            - Parts Machine
Novx    5315           
Novx    500    Tester       
Noyes    OPM 4-2    Power Meter       
Noyes    OPM 4-4 C    Power Meter       
NP Photonics    RFLM 100 3 C 31 0 003    Fiber Laser        "- 100 mW
- Rock"
NP Photonics    RFLM 100 3 C 31 0 003    Fiber Laser        "- 100 mW
- Rock"
NP Photonics    FLM 25 3 C 31 0    Fiber Laser        "- 25 mW
- Scorpion"
NP Photonics        Fiber Laser        "- 82 mW
- Scorpion"
NRC        Vacuum Diffusion Pump       
NRC    0161 2    Vacuum Diffusion Pump       
NRC        XL-A Isolation Legs       
NRC Equipment  Corp    NRC 3114    Vacuum Coater Evaporator       
NSI    PN 1    COB Assembly Machine       
NSI    HP 125    Assembly Machine       
NSW Automation        Manual Inspection System       
NSW Automation        Manual Inspection System       
NSW Automation        LAVI Automated System       
NSW Automation        Post Ribbon Inspection System       
NTC    NTC 442 DW    Wire Saw        - with Fuji controller
NTC    PV 500 FD    Diamond Wire Slicer       
NTC    PV 500 D    Diamond Wire Slicer       
NTC    PV 600 D    Diamond Wire Slicer       
NTC    600 D Plus    Short Main Roller Span Machine        500K / M (5")
NTE    296 S    PCBA Cutter       
NTS    4 C 320    Wax Mounting Machine        for Sapphire Wafers
NTS    36 G DMP SL 910 AFCL    Diamond Polishing Machine       
NTSCO    HWK 750 PTSB 2    Water Cooler        "- with air cooled condenser
- Cooling capacity: 2.9 kW.
- Power supply voltage: 380 V
- Freon R-407 C
- Evaporator-submersible type
- Hydro Kit-built in, open tank
- Dimensions: 500 * 650 * 900"
NTX    NT 116    Handler        "- 2 Rack Frame
- with 1 Tooling Set"
Nu Tech        Swift Plus Server       
Nuaire    NU-425-600    Hood       
Nuaire    NU-430-600    Hood       
Nuaire    NU-430-400    Hood       
NuAire        Vertical Airflow Cabinet       
NuAire    NU 540 500    Fume Hood       
NuAire    NU 99578 GA    Refrigerator        -80*C
NuAire    NU 8600    Incubator       
Nuarc        Shooters Xxposure Unit       
NuArc    FT 40 APRNS    Flip Top Exposure System       
Nuarc    FT 26 V 3 UPNS    Stencil / Screen Maker       
NuArc    Flip Top FT 26 V    Platemaker       
Nuflare Technology    EMB 7000    E-Beam       
Nur Fir Wechseistram        Oven       
Nutek    NTM 0411-M-400-1    Magazine Unloading Conveyor       
Nutek    NTM 4417-UM    Feeder       
Nutek    NTM 4416-CUM    Remove Cap Machine       
Nutek    M 4410 LM-1 K    Feeder       
Nutek    NTM 4410 UM-1 K    Unloader Advanced Platform        Standalone
Nutek        Linking Conveyor        660mm
Nutek    1000 MM SRCXL    Chain Conveyor        Free Running
Nutek    NTM 0501 X 1000 2    1M Inspection Conveyor       
Nutek        Conveyor       
Nutek        Buffer       
Nutek    NTM 650    Buffer       
Nutek    NTM 210    Destaker       
Nutek        Shuttle       
Nutek        Conveyor        0.5m
Nutek        Inverter       
Nutek        Conveyor        2m
Nutek        Workbench Conveyor       
Nutek    NTM 640 SXXL    Multi Function Vertical Buffer       
Nutek    BTB 125    Conveyor       
Nutek        Magazine Buffering System       
Nutex    NTM 610 SL           
Nutex    NTM 210 BLBP           
Nutex    NTM 610 SLDT           
O.E. Labs    LDLS 02    Laser Light Source Module       
O.E. Labs    LDLS 02    FP LD Source        850 NM
O.E. Labs    LDLS 02    FP LD Source        980 NM
OAI    Hybralign Series 200            - does not have a lamp power supply
OAI    Hybralign 400    Large Area Mask Aligner and Exposure System       
OAI    5006    Mask Aligner        - Double-side
OAI        Aligner        "- Standard Photolithography
- Double Side
- Wafer to Wafer"
OAI    AML-AWB 04    Bonder        "- Anodic
- Pre-Fusion
- Glass Frit
- Thermal Compression
- Both Ambient and Vacuum Bonding Capabilities"
OAI Optical Associates    LS 30 / 5    Exposure Tower       
Oak River Technology    0400 3337    Laser Ablation       
Oaumann    LWS 8-80           
Objet    Connex 350    3D Printer       
Objet    Alaris 30    3D Printer       
Occleppo    650 / 00 / P 30    Desmear and Monostep Horizontal PCB Process Line       
Ocean Optics    Maya 2000 PRO    Spectrophotometer       
Oceanhood    NMM 820 RF    Microscope       
OCREV        Electrical Transformer        - 5500 V / 690 V for 3150 KVA
OCREV        Transformer        "- Capacity: 3150 MVA
- 6050 V"
Odawara    EV HEV    Tractor Stator Winding System       
OFI    EP 613    DC Power Supply       
OGP    Smartscope 400 ZIP            Controller and measurement unit out of order
OGP    Smartscope 400 ZIP    Coordinate Measuring Machine       
OGP    Smartscope    Flash Video Measurement System       
OGP    Avant 200 B&W            - Smartscope Zip 2
OGP    Smartscope Flash 200            "- Benchtop
- with LCD, Printer, PC Tab"
Ohaus    D 10-00    Scale       
Ohaus    C 305 S    Scale        "- Span Calibration Weight: 200g
- Operating Temp: 10* to 40* C
- Operating Humidity: 10 to 80% RH"
Ohaus        Portable Balance       
Ohaus    GT 4800           
Ohaus    EB Series    Digital Scale       
Ohaus        Weights       
Ohmiya    OMN 860 MZ    Manual Tape Mounter       
O'House    Explorer Pro           
OI Analytical    Aurora 1030           
Oilgear        Broach       
Okamoto    ACC 16-32 DX    OD Saw       
Okamoto    ACC 20-40 DX    OD Saw       
Okamoto    PFG 500 P    Surface Grinder       
Okamoto    ACC 6.18 DX 3    CNC Surface Grinder        Includes a paper bed filter
OKI    MFX-2206 XX    Fume Extractor       
OKI    BVX 100    Fume Extraction       
Oki    Microline 420    Printer       
Okidata    Microline 320 Turbo    Printer       
OKK        Manual Horizontal Miller       
Oktek    G 5001 A    Multi-Function SMD Chip Counter       
Okuma        Lathe       
Okuma    LC-20 M    CNC Lathe       
Okuma    Cadet-Mate    Spindle        "- 8000 RPM
- 20 Tools"
Okuma    Cadet V    Spindle        "- 8000 RPM
- 20 Tools
- 4 Axis"
Okuma    LU-35    Lathe        With Chuck and Chip Conveyor
Okuma    GI 20 N    Grinder        "- 3 Phase
- 220/440V"
Okuma    MacTurn 250 W    CNC Turning Center        "- Swing Over Bed: 20.87""
- Distance Between Noses: 56.69""
- Y-Axis Travel: 6.30"" (+3.15""/-3.15"")
- C-Axis 1&2 RT SPNDL: 360 Rotary Axis - CTRL Angle .001
- B-Axis: 210 DEG
- Main Spindle: 5,000 RPM
- Sub Spindle: 6,000 RPM
- Magazine Capacity: 44 Tool Capacity
- Rapid Traverse B: 1180 IPM
- C Axis Rotation: 200 RPM
Equipped With:
- OSP P100 Control
- Tool Setter
- Rapid Override Switch
- Main Spindle 8"" Chuck, 2"" Capacity, 5000 RPM
- Sub Spindle 6"" Chuck, 6000 RPM
- Bar Feed Interface
- Parts Catcher
- Lower 12 Station Vdi Turret (No Milling)
- Single Station For Upper Turret With A 44 Tool Magazine, 6000 RPM
- Y Axis +- 1.9 Stroke
- B Axis .001 Degree Feed
- Rapid Override Switch
- Mouse Controlled Cursor
- Chip Conveyor
- 200 PSI High Pressure Coolant Thru Milling Spindle"
Okuma    MX 45 VAE           
Okuma & Howa        Lathe       
OLAMEF        Cortadora       
Olamef    TP 6 / A    Axial Lead Forming Machine        With Manual & Adjusting Tools
Olamef    TP/LN 500 / 2    Machine Material Preparation       
Olamef    TP/V-PR/ 2    Machine Material Preparation       
Olec    AP 30    Accuprint Exposure Unit       
Oliver    M 4045.004 A 001    Table Saw       
OLS    SB-RT-2 S/MB           
Olympian    G 15 U 3    Generator       
Olympus    BX 41    "Microscope
"       
Olympus    Tokyo    Microscope       
Olympus    SZX 12    Microscope        cold-light source, ring light and base plate are included
Olympus    MX 50 A F    Microscope       
Olympus    AL 110 C 96    Wafer Auto Loader       
Olympus    CH-2    Binocular       
Olympus    SZ 51 40 X    Microscope       
Olympus    PMG 3    Microscope       
Olympus    OLS 1100    Video Microscope       
Olympus        Optical Microscope for Passive Layers Inspection       
Olympus    BX 60 M    Microscope        5x 10x 20x 40x 100x
Olympus    BHMJL    Microscope        "With:
- MSPlan 100x, 50x, 5x lenses
- Polaroid Microcam (missing the 12V DC PS)"
Olympus    IX 70    Microscope        Microscope stand only, no peripherals
Olympus    8    Microscope System       
Olympus    SZH    Multiple Objectives       
Olympus    SZ 60           
Olympus    SMZ 800    Stereomicroscope       
Olympus    TH 4-200    Power Supply Unit       
Olympus    STM 6 F 10 3    Microscope        With Sony 1/2" CCD Camera and Dell PC
Olympus    MX 50 A-F    High Power Microscope       
Olympus        Measuring Stereo Microscope System       
Olympus        Scope        MD BH 3-MJL AH 883 U
Olympus        Measureing Microscope       
Olympus    SZ 40    Microscope       
Olympus        Semiconductor Inspection Microscope       
Olympus    1626    Microscope       
Olympus    SZX 10    Low Mag Stereozoom Microscope       
Olympus        Microscope       
Olympus        Microscope       
Olympus    CX 31    Microscope        - w/ 6 pipettes
Olympus        Microscope       
Olympus (Nanometrics)        Microscope       
O-M    VL 6 NT    Vertical Turn Machine        - Control not working intermittently
Omada    RC 25    CNC Press Break       
Omano    OM 2300 S-JW 11    Stereo Boom Microscope        7X - 45X Zoom
Omano    OM 2300 S-JW 11    Microscope        "- 7X - 45X
- No 10x Lenses
- Led Light and barlow lens"
Omega    HH 509    Thermometer       
Omega        Hot Plate       
Omega    WT 2000    Thermal Wind Tunnel       
Omega    FMA 1002 R V 1    Thermal Wind Tunnel       
Omega    OMB-DAQ-2416 24 BIT ISO USB MOD    Data Acquisition Module       
Omega    OMB-DAQ-2416-4 AO    Data Acquisition Module       
Omega    OMB-DAQ-2416    Demo System, Data Acquisition Module       
Omega    CT 485 CWF           
Omega    650    Digital Thermometer       
Omega    CN 6072 A P 2    Temperature Controller       
Omega    MDSS 41 TC    Benchtop Thermometer       
Omega    BB 4 A 230    Black Body Calibrator       
Omega    LHS 722 A    Digital Hotplate       
Omega    OM 45-5    Freezer       
Omega    Pro Plus    Ultrasonic Cleaner       
Omega    FleyeVision    Rewinder       
Omega    SR 1300    Slitter Rewinder        13"
Omegameter    OM 700    Ionic       
Omni    FRTF-W    Powered Conveyor        "- 1 Phase
- 115/208-230 V
- 60 Hz
- 120"" x 27"""
Omni        Powered Conveyor        "- 1 w/motor, 2 slaved
- 27” OTO
- 24” BF
- 120” L
- ~25-34” Adjustable High"
Omnicure    S 1500 A    UV Curing Machine       
Omnitech        Spring and Clip Machine       
OMP        Adhesion Promoter Handler       
Omron    VT-WIN 2    Solder Inspection       
Omron    3 F 5 VT-WIN    Solder Inspection       
Omron    VT WIN 2 M VH    Solder Inspection Equipment        "- 50/60Hz
- 5.5kVA"
Omron    2982 W 2    Relay Base       
Omron    MY 2 IN    Relay       
Omron    9302    Video Microscope       
Omron / Adept    Cobra S 600    Robot       
ON Semiconductor    1020    Waste Collection Cabinet        - 2 Drum Capacity
ON Semiconductor        Boron Trichloride Cabinet        With 6 Glas-Col Powertrol Percentage Controllers & Scales
On Site    N 175    Nitrogen Generator       
ONA        Hydraulic Press        "- 250 ton
- Max opening 1.570mm
- Stroke 800mm"
Onan        Emergency Generator       
Onan / Cummings    180 Genset    Diesel Generator       
Oneac    CD 31200    Power Conditioner       
Oneac    CD 31200    Power Conditioner       
ONEAC        Power Conditioner       
Ono Sokki    CF 7200    Portable FFT Analyzer       
Onon    DGDB-3382572    Generator        212 Hours
Onset        Weather Station       
Onsrud    L 35102    Lazy Arm Router       
Onsrud    A 1136 A    Pin Router       
Ophir    NanoModeScan M 2    Measuring System       
Ophir    Nova    Laser Power Meter        P/N 1Z01500
Ophir RF    5300674    Power Amplifier       
OPK        Manual Lifter       
Opportunity    YMS 50    Laser Cutting Machine       
Optec    Micromaster    Laser Polymer Micromaching System       
Optek    VideoMic 713 V           
Optel    Phamaproof    Controller Cabinet        - with cameras, cables, and other accessories
Optel    Pharmaproof    Vision System       
Optel    Pharmaproof    Vision System Expansion       
Optel Vision    Line 1           
Optel Vision    Line 2           
Optel Vision    Line 3           
Optellent    OptoBERT 06001-10    Bit Error Rate Tester       
Optical Coating Laboratory    OCLI M 1044    Vacuum Coating System       
Optima    4.0704.003    Ultrasonic Fluxer        - Single Phase
Optimum    Opti D 240 x 500 G    Glass Lathe       
Optipro    PX 200    Polisher       
Optipro    Optisonic 830    CNC       
Opto    WMSS 2000    LED Chip Tester       
Opto Control    Optrix 3 D           
Opto Speed    Sled 1550 S 10 A    Power Supply       
Optomec    AJ 300 CE    Aerosol Jet System       
OptoTech    ASP 200 CNC-D    Polishing Machine       
OptoTech    SMP 500 CNC-D           
Optotech        Lens Grinder       
Optotech    ASM 80    CNC-TC Aspherical Freeform        "- Machine stopped running during operation, randomly cutter and bottom spindle stop spinning but X axis still moving.
- Intermittent issue. Not able to find out the root cause."
Orbiform        Crimping Machine       
Orbit / FR    AL 4587    3 Axis Antenna Positioner        "- AZ on EL on AZ
- Enhanced Encoders
- Additional 1.5 hp to Lower AZ axis - Four-axis 1.5 HP simultaneous controller AL 4166
- E stop box. AL 9504-2"
Orbital Systems    G 08    Grooving Machine        "- Spindle Speed: 1410 RPM
- Air pressure: 3-7 Bar"
Orbital Systems        Crimping Machine        "- Spindle speed: RPM 1410
- Air pressure: 3-7 bar
- Total stroke: 50 mm Max"
Orbot    WF 720    Defect Scanner    6"   
Orbotec        Film Plotter       
Orbotech    Symbion S 22    Automated Optical Inspection (AOI)       
Orbotech    Symbion S 36 22    Automated Optical Inspection (AOI)       
Orbotech               
Orbotech    PC 1450 E    AOI System        With Power Conditioner
Orbotech    ABC 10.0 2 D 2 Y    AOI System        With Power Conditioner
Orbotech        AIO 2D       
Orbotech    Symbions 36    AOI       
Orbotech    Symbions 22    AOI       
Orbotech    DP 100 SL    Laser Printer       
Orbotech    Discovery 8200           
Orbotech    LP-9-HS    Laser Plotter       
Orbotech    Verismart Verifine           
Orbotech Asia    LP 7008 E/S    Plotter       
ORC    HMW-680 GW-CB 2           
ORC    HMW-201 B- 5 K    Exposing Unit       
ORC    HMW 680 GW CB    Exposing Unit        7 K
ORC        Exposing tool        5kw, 7kw
ORC    HMW-201 B 5 K    Exposure       
ORC    HMW 201 B 5 K    UV Exposure       
ORC    HMW 680 GW    UV Exposure       
ORC Manufacturing        Exposure System       
ORDI        ICT       
Organomation    N-EVAP III           
Orgin Electric    OS-1200    Parallel Seam Sealer       
Oriel        Scanning Spectrometer/Spectrograph       
Oriel    84350    Exposure Workstation        "- Missing lamp housing
- Oriel lamp power supply"
Oriel    60005    Arc Lamp       
Oriel    60076    Arc Lamp       
Oriel    68806    Power Supply        - 50~200 W
Oriel    69920    Power Supply       
Origin Electric    P-3 A    Spot Welder       
Orion    RKE 1500 B-V-G-SP    Inverter Chiller        "- with condensers for air cooling
- Cooling capacity: 5.2 kW.
- Power supply voltage: 3 200 V
- Freon R-410 a
- Evaporator-plate
- Hydro Kit-built, open tank
- Dimensions: 840 * 400 * 880"
Orion    RKS 750 F-V    Chiller        "- with air cooled condenser
- Cooling capacity: 2.7 kW.
- Power supply voltage: 3 *200 V
- Freon R-410 a
- Evaporator-submersible type
- Hydro Kit-built in, open tank
- Dimensions: 500 * 500 * 1000"
Orion    AG 360    Stretch Wrapping Robot       
Orionics    FW 310    Fusion Splicer       
Orizio    CMO 2    Circular Knitting Machine       
Ortery    Photosimile    Photo Booth       
Orthodyne    20    Heavy Wire Wire Bonder       
Orthodyne    M 20    Heavy Gauge Wire Bonder       
Orthodyne    M 3600    Wire Bonder       
Orthodyne    M 360    Al Wire Bonder        Single Bond Head
Orthodyne    M 360 C    Al Wire Bonder        Single Bond Head
Orthodyne    360    Bonder        - Single Point
Orthodyne    M 360 C    Wire Bonder       
Orthodyne    M 360 C    Wire Bonder       
Orthodyne    M 20    Bonder       
Orthodyne    M 360 C           
Orthodyne    M 360 C           
Orthodyne    M 360 C           
Orthodyne    M 360 A    Auto Wire Bonder        - Unknown problem
Orthodyne    M 360 C    Auto Wire Bonder        - Software or I/0 issue
Orx    662    Function Generator       
Oryx    11000 EX            - 3 Phases
Osaka    TG 2400    Turbo Pump       
Osaka Kiko    MH-3 II    Milling Machine       
Osaka Vacuum    TS 443    Helical Grooved Pump       
Osaka Vacuum    TG 200    Compound Molecular Pump        with Power Supply
Osaka Vacuum    TG 1300 MCAB    Mag Suspended Turbo Pump       
Osaka Vacuum    TG 1300 MCAB    Mag Suspended Turbo Pump       
Osaka Vacuum    TG 1300 MCAB    Mag Suspended Turbo Pump       
Osaka Vacuum    TG 1300 MCAB    Mag Suspended Turbo Pump       
Oscar    MC 109    Sonicator       
OSG    (2TP) M 10 x 1.25-6 H    Thread Limit Gage       
OSI    Metra 2000    Patterned Wafer Inspection system       
Osram        Mercury Arc Lamp        "-100 Watt
-part # HBO100/w2"
Osram TL    Luminux T 2    Control Station        Lighting Led Tube, 13/W740
OsTech    PS 11 - 2 x PA 09 V 24 U    Laser and Peltier Driver / Laser Diode & Temperature Controller        "- Rev: 05
- Version: 1610g
- Unit powers on and starts up fine"
OsTech    PS 11 - 2xPA09V24U    Laser and Peltier Driver / Laser Diode & Temperature Controller        "- Rev: 05
- Version: 1610g
- Unit powers on and starts up fine"
OsTech    PS 11 - 2x PA09V24U    Laser and Peltier Driver / Laser Diode & Temperature Controller        "- Rev: 05
- Version: 1610g
- Unit powers on and starts up fine"
OTC    Revolver 1750    LTX Test Head Stand       
OTC    Revolver 1750    LTX Test Head Stand       
OTC    SLS 1300 X    Optical Stabilized Laser        "- Wavelength: 1300nm
- Power output: -6.5dBm
- Voltage: 220/240 Vac
- Unit is powered by 240 Vac
- Processed as is
- Unit is untested"
Oubel        Tape and Reel       
Overbeck Machine Tools    1 B    Twister Speed Lathe       
Oxford    Plasmalab    Etcher       
Oxford        ICP PECVD       
Oxford    ES-50    Dewar       
Oxford    CMI 950    Xray Fluorescence Spectrometer        Standard Configuration
Oxford    Plasmalab 133    Plasma-Enhanced Chemical Vapor Deposition (PECVD)        - 3 Phase
Oxford    Plasmalab 133    Plasma-Enhanced Chemical Vapor Deposition (PECVD)       
Oxford    INCA mics    Electron Backscatter Diffraction Equipment       
Oxford    Wave    Wavelength Dispersive X-Ray Spectrometer       
Oxford    INCA Energy    EDS Analysis for SEM       
Oxford    7582    EDX LN2 Dewar       
Oxford    Flexal MkII    Plasma Assisted Atomic Layer Deposition (ALD)       
Oxford    1020 A    Cryopumps        "- Flange Size: 10
- Flange Type: ASA"
Oxford    Cryoplex 10    Cryopumps        "- Flange Size: 10
- Flange Type: ASA"
Oxford    M 600 A    Cryopump Compressor       
Oxford    M 600 B    Cryopump Compressor        - 220 psi He
Oxford    Ionfab           
Oxford    M 600    Compressor for cryo pump       
Oxford    700    Nuclear Magnetic Resonance (NMR) Active Shield Magnet        with Charging Rods and Documentation
Oxford        Level Meter        He / N2
Oxford        EDS       
Oxford    CMI 900    XRF        - All software included
Oxford    Ionfab 3000 Plus    Deposition Reactor Sputtering       
Oxford    400    Cryopump        20" inlet flange
Oxford    M 600    Helium Compressor       
Oxford    Xstrata 980    Analyzer       
Oxford    Opal-PEALD    Atomic Layer Deposition (ALD) system        "- Following gases connected: H2, NH3, O2, Ar, N2, TMA (Trimethylaluminum) was our aluminum source. We deposited only Al2O3 in this system
- Substrate holder: (240mm) resistance-heated (up to 400C)
- Remote Plasma source: 300W capacity at 13.56MHz (RF generator and automatic matching unit included)
- Metal Precursors delivery: (2) Bubbler and (2) vapor drawn
- Gas lines: Non-toxic gas lines (3 lines) and toxic gas lines (2 lines). Each gas line is equipped with its individual MFC.
- Water delivery kit included
- Ozone generator included.
- Vacuum gauges: 1000mT CM gauge + Penning
- Pumps: dry pump with precursor foreline trap fitted
- Pumping pipe work: 40mm (heating kit included)"
Oxide    OXD-UW50    DUV Laser        "- 266nm
- OXD-UW50-10G GR Cavity
- OXD-UW1000-T09 Air Filter Unit"
Oxydry        Powdering Machine        For DLF size (width 2.6m)
Oxy-Gon    TC 100    Vacuum Furnace        "- Vacuum of ~1x10-6 mbar
- Max temp 2000C"
OxyProbe        Polarographic Dissolved Oxygen Sensor        Temp Range: 0-80*C
OZO Automation    18 HS    Router        - Boot up issues
P&H        10 Ton Hoist       
P&T    PT SCH 635    Guillotine Shear        400 x 500
P&T    Accucutter 3001    Guillotine Shear        Tin Snip
PAC Machinery    PV HG TWIN    Wafer Carrier Vacuum Bagger    18"   
Pace    FT 2700    Rework Station       
Pace    PPS 85 A    Rework Soldering Tool       
Pace    Sodrtek HW 50    Iron       
Pace    ST 70    Power Module Controlled Soldering Station        with TD-100 Iron
Pacific    29610    Transformer Unit       
Pacific        Manual Hydraulic Press        "- 400 tonnage
- Stroke 760 mm"
Pacific Nanotechnology    Nano-R    Atomic Force Microscope (AFM)    6"   
Pacific Ozone    9 M 24    Ozone Generator 230V 540g/h/28.5#/day@360scfh O2 Stainless Steel Enclosure        230V 540g/h/28.5#/day@360scfh O2 Stainless Steel Enclosure
Pacific Precision Laboratories    5000    High Precision Measurement       
Pacific Scientific    Hiac Royco 4150    Particle Counter       
Pacific Scientific    247    Particle Counter       
Pacific Scientific Instruments    Hiac Royco    Auto Flow Chemical Sampler        "With Eight Channel Particle Counter 8000 A
- Affixed to Cart with Teflon Trays"
Pacific Western System    P 5 NMS 6    Wafer Prober        "- Variable wafer size capability.
- Utilizes probe cards for testing Keithley 2400 programmable source meter.
- Hot chuck up to 125oC
- Automatic wafer load module"
Pack I        Camera Vision System       
Pack Leader        Labeling M/C       
Pack West    Top Drive Auto 120    Torquer       
Pack West    Auto 200 Torquer           
Packaging Aids (PA)    PVS-HG 60    Sealer       
Packard    A 202400    Instant Imager Electronic Autoradiography System       
Packard    A 202400    Instant Imager Electronic Autoradiography System       
Packard    A 202400    Instant Imager Electronic Autoradiography System       
Packo Inox    200 LT    Tank       
Padelt-Therm    KOE 650 B    Electric Chamber Kiln        1320*C
PAL    ECP Y 14063    ECP       
Pal    KCE TTAB    Plating       
Palatek    15 DK    Air Compressor       
Palatek        Compressor        25 hp
Palomar    3500 II           
Palomar        Automatic Bonder       
Palomar    Hughs 2460    Ball Bonder       
Palomar    2470 II    Wedge Bonder       
Palomar    6500    Die Bonder       
Palomar Hughes        Wire Bonder        - Part Machine / Not Working
Palowar    HTT 1000    Hot Bar Bonder       
Pam Glatt    FBE 2050    Fluid Bed Drier       
Pam Pac        Cartonator       
Pam Pharmaceutical    AF 40 D    Capsule Filling        Capacity: 40000 Cap/hrs
Pamminger    Flyer 2.7    Pallet Wrapping Machine       
Panalytical    PW 3040    Materials Research Diffraction (MRD)       
Panametrics    Epoch 2    Digital Ultrasonic Flaw Detector       
Panametrics    21    Cam Charger / Adapter       
Panasonic    HW    Wire Bonder       
Panasonic        Robot for Welding       
Panasonic    SP 60 P           
Panasonic    SP 60 P           
Panasonic    SP 80           
Panasonic    DMX-401    Die Bonder       
Panasonic    Inkine SMT    Conveyor       
Panasonic        Conveyor       
Panasonic        Welder       
Panasonic    HW 26 B    Automatic Wire Bonder       
Panasonic    MSR    Feeder        8x4
Panasonic    MSR    Feeder        12mm
Panasonic    SP 60    Squeegee Holders        with Top Plates
Panasonic    SP 60    Pass Support Pin Holders       
Panasonic    SP 60    Squeegee Top Plates       
Panasonic    MSR / HT    Feeder       
Panasonic    CM 602 L + CM 402 L    Placement Machines       
Panasonic    CM 402    Placement Machine       
Panasonic    MV 2 F    Placement Machine       
Panasonic    MPAV 2 B    Placement Machine       
Panasonic    NM 2934 F    Conveyor        - for Panasonic MV 2 F
Panasonic    NM 2934 F    Conveyor        - for Panasonic MV 2 F
Panasonic    NM MD 35    Placement Machine       
Panasonic    NPM D 2    Loader       
Panasonic    NPM D 2    Unloader       
Panasonic        Wire Bonder Machine       
Panasonic        Pick and Place       
Panasonic    HDP G 3 DB 00 A    Glue Dispenser       
Panasonic    MP AG 3 XL MB 15 A    Surface Mount Machine       
Panasonic    MV 2 VBXL HA 55 A    Surface Mount Machine       
Panasonic        TV Monitor       
Panasonic    BM 221    Pick & Place Machine       
Panasonic    NM EFF 1 A    Flip Chip Bonder        "- FCX Line PC
- Santa Software"
Panasonic    NM EFF 1 A    Flip Chip Bonder        "- FCX Line PC
- Santa Software"
Panasonic    NM EFF 1 A    Flip Chip Bonder        "- FCX Line PC
- Santa Software"
Panasonic    NPM-D 2 Nutek-NTM 4410 LM    Loader       
Panasonic    NPM-D 2 Nutek-NTM 4410 UM    Unloader       
Panasonic    MDP 300    Flip Chip Bonder       
Panasonic    CM 301 DKXF - 4 H 4 C    Chip Mounter       
Panasonic    DT 40 T - 40    Multi Tray Feeder       
Panasonic    SP 60 P-L KXF-1 G 4 C    Screen Printer       
Panasonic    2559 MB    High Speed Chip Component Mounting Machine        "- 3 Phase
- 200 Vac, 50/60 Hz, 7kVA
- Air: 0.5 MPa, 100 L/min
- 12 Heads on a Torret System (5 Different Nozzle Types per Head)
- Components ranging from 1005 (0402) to 32x32 mm QFP
- Q-Type Feeders with 150+150 Max Inputs of 8mm Double Feeders
- Transmissive and Reflective Recognition System
- Applicable PCB
Dimensions:
- Max 510mm x 460 mm
- Min 50mm x 50 mm
Placement Area
- Max 510 mm x 452 mm
- Min 50mm x 42 mm
Thickness
- 0.5 to 4.0mm
PCB Warp Tolerance
- 0.5mm for Downward and 1.2mm for Upward Directions
Placement Accuracy: 0.10mm
Applicable Component and Packaging
- Embossed Taped Components
- Chip and Cylindrical Components, Tantalum Cap (A,B,C,D)
- Alum Elec Cap, SOT, SOD, SO, SOP, QFP
- Paper Taped Components
- Chip Components 1005 to 3216 (0402 to 1206)
Component Dimension Limitation
- Min 1005 and Max 32mm x 32mm
- Component Height: Max 6mm
- Lead Pitch: Min 0.65mm"
Panasonic    MA 05 A    Multifunctional Placement Machine        "- Voltage: 3 Phase 200 VAC, Frequency: 50/60 Hz
- Air: 0.49 Mpa, 150 L/min
- 4-Nozzle Head
- Components ranging from 1005 (0402) to QFP, BGA and Connectors
- Components height up to 25mm and length up to 150mm
- Transmissive and Reflective Recognition
FLAT WASHER\SE-4-19\MG&G PUMP & SUPPLY    FLAT WASHER\制造厂或品牌:MG&G PUMP\厂家配件号:SE-4-19
QUAD CRANK BLOCK BOLT\SE-4-18Q\MG&G PUMP    QUAD CRANK BLOCK BOLT\制造厂或品牌:MG&G PUMP\厂家配件号:SE-4-18Q
ASSEMBLY ROD\SE-2-10A\MG&G PUMP & SUPPLY    ASSEMBLY ROD\制造厂或品牌:MG&G PUMP\厂家配件号:SE-2-10A
SPLIT PIN\SE-2-14\MG&G PUMP & SUPPLY    SPLIT PIN\制造厂或品牌:MG&G PUMP & SUPPLY\厂家配件号:SE-2-14
BLEEDER POPPET\SE-5-26\MG&G PUMP    BLEEDER POPPET\制造厂或品牌:MG&G PUMP & SUPPLY\厂家配件号:SE-5-26
BLEEDER SPRING\SE-5-27\MG&G PUMP&SUPPLY    BLEEDER SPRING\制造厂或品牌:MG&G PUMP & SUPPLY\厂家配件号:SE-5-27
BLEEDER SCREW\SE-5-28\MG&G PUMP    BLEEDER SCREW\制造厂或品牌:MG&G PUMP & SUPPLY\厂家配件号:SE-5-28
PLUNGER\SE2-6-375\MG&G PUMP & SUPPLY    PLUNGER\规格:3/8in\制造厂或品牌:MG&G PUMP\厂家配件号:SE2-6-375
模块化组合减速机\MB04-YB0.25-WB120L11-WJ90-50K    模块化组合减速机\型号:MB04-YB0.25-WB120L11-WJ90-50K\制造厂或品牌:上海友环传动机械有限公司\设备名称:气浮撬块\设备型号:ADNF-150
膜片\RDS8\大连佳尔沃水处理工程技术有限公司\S-S-T-1101    膜片\型号:RDS8\制造厂或品牌:大连佳尔沃水处理工程技术有限公司\厂家配件号:S-S-T-1101
联轴器弹性块\MILTON ROY\4100064020\J741J4B/C    联轴器弹性块\制造厂或品牌:MILTON ROY\厂家配件号:4100064020\设备名称:隔膜泵\设备型号:J741J4B/C\设备序列号:YDTH90723-005B
十字头油封\SKF/CR21101\MILTON ROY\S4080031020    十字头油封\技术参数:SKF/CR21101\制造厂或品牌:MILTON ROY\厂家配件号:S4080031020\设备名称:反乳剂注入泵
单泵头\MBP071-8HAAM4TMGFSESTNN/Z\13.8MPa    单泵头\型号:MBP071-8HAAM4TMGFSESTNN/Z\技术参数:13.8MPa\制造厂或品牌:MILTON ROY
柱塞\H72427\MILTON ROY    柱塞\制造厂或品牌:MILTON ROY\厂家配件号:H72427
BRAIDED RING SET\4080156061\MILTON ROY    BRAIDED RING SET\制造厂或品牌:MILTON ROY\厂家配件号:4080156061
END ROD\SE4-15\MG&G PUMP & SUPPLY    END ROD\规格:3/8in\制造厂或品牌:MG&G PUMP\厂家配件号:SE4-15
O-RING\SE-5-15\MG&G PUMP & SUPPLY    O-RING\技术参数:316\制造厂或品牌:MG&G PUMP\厂家配件号:SE-5-15
CROSSHEAD ROD BEARING\SE2-13\MG&G PUMP    CROSSHEAD ROD BEARING\制造厂或品牌:MG&G PUMP\厂家配件号:SE2-13
CROSSHEAD SEAL\SE2-12\MG&G PUMP & SUPPLY    CROSSHEAD SEAL\制造厂或品牌:MG&G PUMP\厂家配件号:SE2-12
END ROD\SE2-15\MG&G PUMP & SUPPLY    END ROD\规格:1/4in\制造厂或品牌:MG&G PUMP\厂家配件号:SE2-15
CONNECTING ROD ASSEMBLY\SE416QA\MG&GPUMP    CONNECTING ROD ASSEMBLY\制造厂或品牌:MG&G PUMP\厂家配件号:SE-4-16QA
ASSEMBLY ROD\SE-2-10A\MG&G PUMP & SUPPLY    ASSEMBLY ROD\制造厂或品牌:MG&G PUMP\厂家配件号:SE-2-10A
PLUNGER\SE2-6-375\MG&G PUMP & SUPPLY    PLUNGER\规格:3/8in\制造厂或品牌:MG&G PUMP\厂家配件号:SE2-6-375
PACKING\SE-2-3-375S\MG&G PUMP & SUPPLY    PACKING\规格:3/8in\制造厂或品牌:MG&G PUMP\厂家配件号:SE-2-3-375S
阀组件\MILTON ROY\S2210264002-L\防腐剂注入泵    阀组件\制造厂或品牌:MILTON ROY\厂家配件号:S2210264002-L\设备名称:防腐剂注入泵
ACV FLUID CAP O-RING\MG&G PUMP\ACV-6    ACV FLUID CAP O-RING\制造厂或品牌:MG&G PUMP\厂家配件号:ACV-6
POPPET O-RING\MG&G PUMP\ACV-8    POPPET O-RING\制造厂或品牌:MG&G PUMP\厂家配件号:ACV-8
FILTER\PK0530004-40133\PEAK    HYDRAULIC RETURN FILTER\制造厂或品牌:PEAK\厂家配件号:PK0530004-40133
吊车液压油控制油滤器\RX-ME1032PH1F10N    吊车液压油控制油滤器\型号:RX-ME1032PH1F10N\制造厂或品牌:EMBELLLISH HING
BRAKE BAND\SEATRAX MARINE CRANES\TB4200    BRAKE BAND\制造厂或品牌:SEATRAX\厂家配件号:TB4200\设备名称:CRANE\设备序列号:4407
ECCENTRIC SHAFT BRAKE\TB4208\SEATRAX    ECCENTRIC SHAFT BRAKE\制造厂或品牌:SEATRAX\厂家配件号:TB4208\设备名称:CRANE
CHECK VALVE\SE-5-38S-CTM\MG&G    SUCTION CHECK VALVE\制造厂或品牌:MG&G PUMP\厂家配件号:SE-5-38S-CTM
DISCHARGE CHECK VALVE\SE-5-38D-CTM\MG&G    DISCHARGE CHECK VALVE\制造厂或品牌:MG&G PUMP\厂家配件号:SE-5-38D-CTM

- Max 510mm x 460 mm
- Min 50mm x 50 mm
Placement Area
- Max 510 mm x 452 mm
- Min 50mm x 42 mm
-Thickness
MG&G PUMP
-PCB Warp Tolerance
- 0.5mm for both directions (Up and Down)
Placement Accuracy
- Chip Components 1005 to 3216 (0402 to 1206)
0.07mm for X and Y directions (Min Clearance: 0.40mm)
- Cylindrical, SOT, Tantalum Cap (A,B)
0.07mm for X and Y directions (Min Clearance: 0.40mm)
- Tantalum Cap (C.D) and Aluminum Elec Cap (S,L)
0.10mm for X and Y directions (Min Clearance: 0.50mm)
- SOP (8 to 28P) and PLCC (Max 30mm x 30mm)
X: 0.05mm and Y: 0.10mm (Min Clearance: 0.50mm to 0.70mm)
- QFP and BGA
0.025mm for X and Y directions (Min Clearance: 0.50mm)
Applicable Component and Packaging
- 8mm to 56 mm wide embossed taped Components
Cylindrical Components, Tantalum Cap (A,B,C,D), Alum Elec Cap
SOT, SOD, TO, PLCC, Connector, SO, SOP, Odd Shaped
- 8mm to 32 mm wide paper taped Components
Chip Components 1005 to 3216 (0402 to 1206)
- Matrix Tray Components
QFP, SOP, TSOP, TSSOP, BGA, LCC, PLCC, QFN, MLF, LGA"
Panasonic    NM MD 35    MSF HS MultifunctionalPlacement Machine        "Voltage: 200 VAC, Frequency: 50/60 Hz
Air: 0.5 Mpa, 300 L/min
- Type A Dual 10-Nozzle Heads
- Components ranging from 0603 (0201) to QFP, BGA and Odd Shaped
- Components height up to 25mm and length up to 150mm
- Transmissive and Reflective Recognition
- Quick changeover with Batch Exchange Carts
FLAT WASHER\SE-4-19\MG&G PUMP & SUPPLY    FLAT WASHER\制造厂或品牌:MG&G PUMP\厂家配件号:SE-4-19
QUAD CRANK BLOCK BOLT\SE-4-18Q\MG&G PUMP    QUAD CRANK BLOCK BOLT\制造厂或品牌:MG&G PUMP\厂家配件号:SE-4-18Q
ASSEMBLY ROD\SE-2-10A\MG&G PUMP & SUPPLY    ASSEMBLY ROD\制造厂或品牌:MG&G PUMP\厂家配件号:SE-2-10A
SPLIT PIN\SE-2-14\MG&G PUMP & SUPPLY    SPLIT PIN\制造厂或品牌:MG&G PUMP & SUPPLY\厂家配件号:SE-2-14
BLEEDER POPPET\SE-5-26\MG&G PUMP    BLEEDER POPPET\制造厂或品牌:MG&G PUMP & SUPPLY\厂家配件号:SE-5-26
BLEEDER SPRING\SE-5-27\MG&G PUMP&SUPPLY    BLEEDER SPRING\制造厂或品牌:MG&G PUMP & SUPPLY\厂家配件号:SE-5-27
BLEEDER SCREW\SE-5-28\MG&G PUMP    BLEEDER SCREW\制造厂或品牌:MG&G PUMP & SUPPLY\厂家配件号:SE-5-28
PLUNGER\SE2-6-375\MG&G PUMP & SUPPLY    PLUNGER\规格:3/8in\制造厂或品牌:MG&G PUMP\厂家配件号:SE2-6-375
模块化组合减速机\MB04-YB0.25-WB120L11-WJ90-50K    模块化组合减速机\型号:MB04-YB0.25-WB120L11-WJ90-50K\制造厂或品牌:上海友环传动机械有限公司\设备名称:气浮撬块\设备型号:ADNF-150
膜片\RDS8\大连佳尔沃水处理工程技术有限公司\S-S-T-1101    膜片\型号:RDS8\制造厂或品牌:大连佳尔沃水处理工程技术有限公司\厂家配件号:S-S-T-1101
联轴器弹性块\MILTON ROY\4100064020\J741J4B/C    联轴器弹性块\制造厂或品牌:MILTON ROY\厂家配件号:4100064020\设备名称:隔膜泵\设备型号:J741J4B/C\设备序列号:YDTH90723-005B
十字头油封\SKF/CR21101\MILTON ROY\S4080031020    十字头油封\技术参数:SKF/CR21101\制造厂或品牌:MILTON ROY\厂家配件号:S4080031020\设备名称:反乳剂注入泵
单泵头\MBP071-8HAAM4TMGFSESTNN/Z\13.8MPa    单泵头\型号:MBP071-8HAAM4TMGFSESTNN/Z\技术参数:13.8MPa\制造厂或品牌:MILTON ROY
柱塞\H72427\MILTON ROY    柱塞\制造厂或品牌:MILTON ROY\厂家配件号:H72427
BRAIDED RING SET\4080156061\MILTON ROY    BRAIDED RING SET\制造厂或品牌:MILTON ROY\厂家配件号:4080156061
END ROD\SE4-15\MG&G PUMP & SUPPLY    END ROD\规格:3/8in\制造厂或品牌:MG&G PUMP\厂家配件号:SE4-15
O-RING\SE-5-15\MG&G PUMP & SUPPLY    O-RING\技术参数:316\制造厂或品牌:MG&G PUMP\厂家配件号:SE-5-15
CROSSHEAD ROD BEARING\SE2-13\MG&G PUMP    CROSSHEAD ROD BEARING\制造厂或品牌:MG&G PUMP\厂家配件号:SE2-13
CROSSHEAD SEAL\SE2-12\MG&G PUMP & SUPPLY    CROSSHEAD SEAL\制造厂或品牌:MG&G PUMP\厂家配件号:SE2-12
END ROD\SE2-15\MG&G PUMP & SUPPLY    END ROD\规格:1/4in\制造厂或品牌:MG&G PUMP\厂家配件号:SE2-15
CONNECTING ROD ASSEMBLY\SE416QA\MG&GPUMP    CONNECTING ROD ASSEMBLY\制造厂或品牌:MG&G PUMP\厂家配件号:SE-4-16QA
ASSEMBLY ROD\SE-2-10A\MG&G PUMP & SUPPLY    ASSEMBLY ROD\制造厂或品牌:MG&G PUMP\厂家配件号:SE-2-10A
PLUNGER\SE2-6-375\MG&G PUMP & SUPPLY    PLUNGER\规格:3/8in\制造厂或品牌:MG&G PUMP\厂家配件号:SE2-6-375
PACKING\SE-2-3-375S\MG&G PUMP & SUPPLY    PACKING\规格:3/8in\制造厂或品牌:MG&G PUMP\厂家配件号:SE-2-3-375S
阀组件\MILTON ROY\S2210264002-L\防腐剂注入泵    阀组件\制造厂或品牌:MILTON ROY\厂家配件号:S2210264002-L\设备名称:防腐剂注入泵
ACV FLUID CAP O-RING\MG&G PUMP\ACV-6    ACV FLUID CAP O-RING\制造厂或品牌:MG&G PUMP\厂家配件号:ACV-6
POPPET O-RING\MG&G PUMP\ACV-8    POPPET O-RING\制造厂或品牌:MG&G PUMP\厂家配件号:ACV-8
FILTER\PK0530004-40133\PEAK    HYDRAULIC RETURN FILTER\制造厂或品牌:PEAK\厂家配件号:PK0530004-40133
吊车液压油控制油滤器\RX-ME1032PH1F10N    吊车液压油控制油滤器\型号:RX-ME1032PH1F10N\制造厂或品牌:EMBELLLISH HING
BRAKE BAND\SEATRAX MARINE CRANES\TB4200    BRAKE BAND\制造厂或品牌:SEATRAX\厂家配件号:TB4200\设备名称:CRANE\设备序列号:4407
ECCENTRIC SHAFT BRAKE\TB4208\SEATRAX    ECCENTRIC SHAFT BRAKE\制造厂或品牌:SEATRAX\厂家配件号:TB4208\设备名称:CRANE
CHECK VALVE\SE-5-38S-CTM\MG&G    SUCTION CHECK VALVE\制造厂或品牌:MG&G PUMP\厂家配件号:SE-5-38S-CTM
DISCHARGE CHECK VALVE\SE-5-38D-CTM\MG&G    DISCHARGE CHECK VALVE\制造厂或品牌:MG&G PUMP\厂家配件号:SE-5-38D-CTM

Max 510mm x 460 mm
Min 50mm x 50 mm
- Placement Area
Max 510 mm x 454 mm
Min 50mm x 44 mm
- Thickness
0.5 to 4.0mm
- PCB Warp Tolerance
0.5mm for both directions (Up and Down)
Placement Accuracy
- Chip Components 0603 to 3216 (0201 to 1206)
0.07mm for X and Y directions (Min Clearance: 0.2mm to 0.3mm)
- Cylindrical, SOJ, Tantalum Cap (A,B,C,D) and Alum Elec Cap (S,L)
0.1mm for X and Y directions (Min Clearance: 0.3mm)
- SOP (8 to 28P) and PLCC (Max 30mm x 30mm)
X: 0.05mm and Y: 0.1mm (Min Clearance: 0.3mm)
- QFP and BGA
0.025mm for X and Y directions (Min Clearance: 0.3mm)
Applicable Component and Packaging
- 8mm to 72 mm wide embossed taped Components
Cylindrical Components, Tantalum Cap (A,B,C,D), Alum Elec Cap
SOT, SOD, TO, PLCC, Connector, SO, SOP, Odd Shaped
- 8mm to 32 mm wide paper taped Components
Chip Components 0603 to 3216 (0201 to 1206)
- Matrix Tray Components
QFP, SOP, TSOP, TSSOP, BGA, PLCC, QFN, MLF, LGA
Component Dimension Limitation
- Rectangular Component: Max 55mm x 55mm
- Component Height: Max 25mm
- Connector Length: Max 150mm
- Lead Pitch: Min 0.3mm
Component Supply Units
- Feeder Input: Max 192 (when loaded with 8mm Double Tape Feeders)
- Tray Input: Max 48 (24 Trays x 2)"
Panasonic    VR 006    Welder        w/ indexing table/work station
Panasonic        Pharmaceutical Fridge        48cuft
Panasonic    NPM D 3    Surface Mounter       
Panasonic    NPM D 3    Surface Mounter       
Panasonic    NPM D 3    Pick & Place Machine       
Panasonic    NPM D 3    Pick & Place Machine       
Panasonic    NPM D 3    Pick & Place Machine       
Panasonic    NPM D 3    Surface Mounter       
Panavise    308    Helping Hands Vice       
Paragon        Tester       
Paragon        Test Boards       
Paragon               
Parker        Boiler with Water Softener System       
Parker        Nitrogen Generator       
Parker Balston    N 2 135    Nitrogen Generator       
Parker Engineering        Vertical Light Soaks       
Parker Hannifin    N 22    Nitrogen Gas Generator       
Parkson    M 1200    Universal Milling Machine       
Parkson    MFP-320-100    Filter Press       
Parlec    TMM 900    Optical Tool Presetter       
Parlec    P 950    Tooling Height Presetter       
Parmi    SPI HS 60           
PARR    3780    Small Pressure Reactor       
Particle Measuring System    Lasair III 5100    Laser Particle Counter       
Partner    PTV 1405 DU    Temperature Chamber       
PAS    STS 2000    Heat Staking Machine       
Pasadena Hydraulics    QD 30 / 3322    Press        1/3 HP / 220V / 1PH
Pasan    3 b    Sun Simulator       
Pascal    2015 SD    Vacuum Pump       
Pasco    TD 8551 A    Mechanical Equivalent of Heat       
Passal    Pam 60    Filter Press        1500L / cycle
Pathak C S Shah        Muffle Furnace       
Patricia    LA-950 A 2    Particle Analyzer       
Patterson Kelly    LB 4448    Liquid Solid Blender       
Paul Muller Company    Hopper 23 CF    Hopper       
Paul Otto Webber    PW 10 HS    Nano Imprint Hydraulic Press       
PBS        Subsurface Defect       
PBT    ModuleClean    Cleaner       
PCI Analytics    ZAG 01    Total Organic Carbon (TOC) Air Generator       
Peak    ALM-Mini Ambient    IC Test Handler       
Peak Systems    AL 15000 RTA           
Peddinghaus    Ocean Avenger Plus MDL 1250 / 1C I    CNC Drill        - 3 Hydraulic Cylinders added to further stabilize material between and during drilling clamping to increase accuracy.
Pedersen        Clicker Press       
Peixin        Semi-auto De-Carrier M/C Box Changer       
PEL        Strip to Strip Tin Plating Line       
PEM    727    Traveling Saw        480V
PEM    800    Electro Chemical Machine       
Pemserter    PS 500           
Pemserter    Series LT/4    Press       
Penn Engineering    Pemserter Series 4    Manual Press        - W/ box of 20 + bits
Penn Tech        Vial Washer        36L Slanted Bottom Container
Pennsylvania Transformer    C 02405-5-1    Substation        "- Voltage Ratings 110000?-13090Y/7560
- 12000/16000/20000 KVA
- 10% Impedance"
Pentamaster    PM 6320    Handler       
Pentamaster    PM 10    Inspection Machine       
Pentamaster Solutions    PSSP 0036    Manual Lens Focusing Test Station       
PEO    601           
Perani    CBTH 100    Technical Freezer       
Perkin Elmber    Elan 6000    ICP MS        It come with the main unit, the computer, software and the chiller
Perkin Elmer    Spectrum Custom GX            "Computer is included
Infrared Detector
"
Perkin Elmer    Lambda 9    Spectrophotometer       
Perkin Elmer    Paragon 500    Fourier Transform IR Spectrometer (FTIR)       
Perkin Elmer    Flexar FX-10    UHPLC        with SQ 300 MS
Perkin Elmer    Spectrum 100    Filter FT-IR       
Perkin Elmer    TGA 7 / TAC 7    Thermogravimetric Analyzer / DX Thermal Analysis Controller        Refrigerated cooler. computer, and software . Scan rate: 0.1° to 500°C/min in increments of 0.1°C/min, Temperature range: -60°C to 725°C
Perkin Elmer    Pyris 1    DSC Scanning Calorimeter       
Perkin Elmer        Spectrophotometer       
Perkin Elmer    4410    Sputtering Tool       
Perkin Elmer    Analyst 800 AA    Double Beam Spectrometer       
Perkin Elmer        Aligner    4"   
Perkin Elmer    Avio 200    ICP Optical Emission Spectrometer       
Perkin Elmer    2100    ICP-OES (Inductively Coupled Plasma - Optical Emission Spectrometer)       
Perkin Elmer    TAC 7 / DX    Thermal Analysis Controller       
Perkin Elmer    TGA 7    Thermogravimetric Analyzer       
Perkin Elmer    TMA 7    Thermomechanical Analysis       
Perkin Elmer    DMA 7    Dynamic Mechanical Analysis       
Perkin Elmer    DSC 7    Differential Scanning Calorimetry       
Perkin Elmer    430 p    Molecular Beam Epitaxy (MBE)       
Perkin Elmer    Spectrum GX            with desk top computer operating system
Perkin Elmer    783    IR Spectrophotometer       
Perkin Elmer        UV Lamp Supply Parts       
Perkin Elmer    Lambda 850    Spectrometer       
Perkin Elmer    783    IR Spectrophotometer        Spectral Range 2.5 - 50 Microns
Perkin Elmer    Lambda 900    Spectrometer       
Perkin Elmer    PHI 660    Scanning Auger Microprobe       
Perkin Elmer    4450    Sputtering System       
Perkin Elmer    4450           
Perkin Elmer    GX    Custom Spectrophotometer       
Perkin Elmer    DSC 4000    Differential Scanning Calorimeter (DSC)       
Perkin Elmer    Lambda 25    Spectrophotometer Uv-Vis       
Perkin Elmer    PE 2000    Atomic Absorption system       
Perkin Elmer    16 PC    FT-IR FAR IR Spectrophotometer       
Perkin Elmer    2400 8 L    Sputtering System        "- RF 20S power supply
- Four target system
- Includes a load lock"
Perkin Elmer    4450    Sputtering System       
Perkin Elmer    Lambda 9           
Perkin Elmer    Analyst 200    Atomic Absorption Spectrometer       
Perkin Elmer    Lambda 25    UV Spectrophotometer       
Perkin Elmer    Lambda 25    Fluorescence Spectrophotometer       
Perkin Elmer    4450    Pallet Sputter Tool        "3 x Delta Target (NiV,Ti,Ag) and Etch
- Issue with lock pressure switch which was causing vacuum leak.
-The system will not auto tune during RF etch but it can be manually tuned and it doesn’t drift once setup.
-The tool has three delta targets which are currently Titanium, Nickel and Silver
-Spare parts available"
Perkin Elmer    Lambda 900    Spectrophotometer       
Perkin Elmer    DSC 7    Differential Scanning Calorimeter       
Perkin Elmer    TMA 7    Thermomechanical Analyzer       
Perkin Elmer    Pyris 6    DSC       
Perkin Elmer    Operatta    Imaging System        - Compatible to software version up to Harmony 4.5
Perkin Elmer    PHI 670    Auger Electron Spectroscopy Nanoprobe        "- Objective lens shorted out.
- Electron source near normal end of life."
Perkin Elmer    Wizard 2 2470    Automatic Gamma Counter       
Perkin Elmer    Tri Carb 2910 TR    Liquid Scintillation Analyzer       
Perkin Elmer    Clarus 580    Gas Chromatograph       
Perkin Elmer    Analyst 200    Atomic Absorption Spectrometer       
Perkin Elmer        Infrared Spectrophotometer       
Perkin Elmer    1600    Spectrometer       
Perkin Elmer    603 0200    Power Supply       
Perkin Elmer    224-0620           
Perkin Elmer    Spectrum 1000    FTIR       
Perkin Elmer    Pyris 1    Differential Scanning Calorimeter       
Perkin Elmer    Cryofill    Liquid Nitrogen Cooling System       
Perkin Elmer    DSC 7    Differential Scanning Calorimeter       
Perkin Elmer    TGA 7    Thermogravimetric Analyzer       
Perkin Elmer    TAC 7    PC Instrument Controller       
Perkin Elmer    NexION 300 D    ICP/MS       
Perkin Elmer    ELAN DRC II            - Missing parts
Perkin Elmer    Optima 3000    ICP Mass Spectrometer       
Perkin Elmer    Lambda 19    UV VIS NIR Spectrometer        "- Integrating Sphere accessory
- Specular Reflection accessory
- Long Sample accessory
- Absolute Reflectance accessory
- Wire Grid Polarizer
- Oriel Calibration Lamp, 5 lamps
- Variable Angle Specular Reflectance accessory
- Diffuse Reflectance accessory
- UV-VIS optical fiber"
Perkin Elmer    Optima 8000    ICP-OES (Optical Emission Spectrometer)        "- PerkinElmer S10 Atomic Spectrometry Autosampler
- Edwards RV8 Mechanical Roughing vacuum pump.
- PolyScience WhisperCool 6000 series portable Chiller:  Model: N0772046
- Werther International Oil Free Tank Mounted Compressors with Dryer
- Speedaire 30 Gal. Stationary Steel Air Tank
- Desktop Computer: Lenovo ThinkCentre
- Windows 7 Ultimate, Service Pack 1
- PerkinElmer WinLab32 for ICP installed
- Software Kit
- National Instruments PCI-GPIB IEEE 488.2 / ASSY183617L-01L
- Optima Instrument Series with ICP WinLab32 Software Manual
- National Instruments NI-488.2 Installed
- AutoSampler Utility"
Perkin-Elmer    Lambda 35    UV Spectrophotometer       
Perkin-Elmer    1000 Series    Digital Gas Flow Meter       
Perkin-Elmer    DMA 8000    Humidity Generator and Controller       
Perkin-Elmer    Lambda 950    Spectrometer       
Perkin-Elmer    RX 1    FTIR       
Perkins    3 C    Press       
Perkins    5 C    Press       
Perkins    JR    Press       
Perkins    5 C    Press       
Perkins    5 C    Press       
Perkins        Press       
Perkins        Flattener Press       
Pester    PEWO-form-EVP    Pick and Place Robot       
Pester    Pewo Form UV P 2    Case Packer       
Peter Wolters    AL-1    Lapping Machine       
Pettingell        Planish Hammer       
Pfannenberg    EB 150 WT HT R 134 A    Chiller        400/460VAC, 3 PH, 60Hz
Pfauter    P 1250    Gear Hobbing Machine       
Pfeiffer    MVP 015-2    Diaphragm Membrane Pump       
Pfeiffer    Classic 500.1    Physical Vapor Deposition (PVD) Evaporator        "Telemark Electron Beam Source:
- E-Gun, Model 266, 4 Pocket
- Sweep Controller & Crucible Indexer 376
- Power Supply Model ST-6, 6kW
- Deposition Controller Model 860"
Pfeiffer    ADP 122 P           
Pfeiffer    TPH 2200    Turbo Pump       
Pfeiffer        Turbo Pump       
Pfeiffer    TPU 200    Turbo Pump       
Pfeiffer    TPU 510    Turbo Pump       
Pfeiffer    OKTA 2000 A    Pump       
Pfeiffer    D 35614 Asslar           
Pfeiffer    Duo 2.5    Rotary Vance Vacuum Pump       
Pfeiffer    TMH 260    Turbo Molecular Pump        - Liquid Cooled
Pfeiffer    TCP 380    Turbo Pump Controller       
Pfeiffer    TCP 120    Turbo Pump Controller       
Pfeiffer        RGA for Process Control        "Includes:
- Diaphragm Pump
- Turbo Pump with Field Bus Control"
Pfeiffer / Adixen    OKTA 2000 A    High Performance Roots Pump       
PG    230 T    Blister Pack Machine        Capacity: 100 Pack/min
PGW    753 i    Scale       
Pharma Lab    PSSD 224 AS    Steam Sterilizer       
Pharmalab        Autoclave       
Pharmalab        Pure Steam Generator       
Pharmalab        Multicolumn Distillation Plant       
Pharmalab        SS Storage Tank       
Pharmalab        Distilled Water Recirculation Pump       
Pharmalab    15-16 / SJ / 000159    Propeller Mixer       
PHD    53625    Sensor       
PHD    55804 1 02    Sensor       
PHD    5900    Sensor       
PHD    60417    Accessories       
PHD    6047    Sensor       
Phi        Lam Press        24 inch
Phi        Lam Press        30 Inch
Philips    EBPG 4 HRV    E-Beam Lithography System       
Philips    PD 7400 DCDM    DCDM X-Ray Diffractometer        "- PC, software, and manuals included
- ge (germanium) monochromator"
Philips    PD 7405/18 DCD Pro, XRD    Wafer Mapper    8"   
Philips    PA 131302    GEM Emerald X Pick and Place & Accessories       
Philips    EM 420    TEM       
Philips    PM 6507    Transitor Curve Tracer       
Philips    Impulse 300 B    Laser Based Film Measurement Tool    8", 12"    "- Automated
- (2) Cas. Foup Feeders for 8"" or 12"""
Philips    NT 5 0.5 T    Gyroscan        "- Maximum power 15 mT
- Duty cycle 17 t/m x s
- Acquisition Console (with monitor)
Available coils:
- Head standard
- Neck
- C1
- R1"
Philips    Intera 1.5 T    Gyroscan        "-Maximum power 30 mT
- Duty cycle 105 t/m x s
- Acquisition Console (without monitor)
Available coils:
- Head Sense 4
- Head standard
- Head-Neck
- C1, C2, C3
- E1
- Spine
- Body
- Breast standard
- Breast in-vivo (for biopsies)"
Philips    X'Pert Pro    MRD X-ray Diffraction System       
Philips    EM 400    TEM       
Philips    501    SEM       
Philips    700/18 DCD Pro    X-ray Diffractor (XRD)       
Philips    CSM    Pick & Place       
Philips    PM 3350 A    Oscilloscope        "- 60 MHz
- 100 MS/s"
Philips    PW 3040    XRD        "- Signal from the detector is not recording counts.
- Some noise with swing arm movement as the end of scans.
- X-ray source not included."
Philips    CSM Orion           
Philips    Topaz    Pick and Place Machine        "- 8 mounting heads with capacity of 14'000 cpy
- 62 feeder 8mm
- 6 feeder 12 mm
- 5 feeder 16 mm
- 2 feeder 24 mm"
Philips    Emerald    Pick & Place Machine        "- 50 Tray LCS
- Feeders"
Philips / Assembleon    Sapphire    Pick and Place Chip Shooter        With Feeders and Carts
Philips / Horiba    PZ 2000    Ellipsometer       
Philips / Panalytical    X-Pert Pro    MRD X-Ray Diffraction System        "With:
- 4 bounce monochromator
- 4 bounce monochromator with high intensity mirror
- Soller slit attachment
- Straight detector
- Triple-axis attachment (for reciprocal space mapping)
- Computer
- Xpert Epitaxy software
- Chiller
- Windows XP Professional"
Philips ATL    Ultramark 400 C    Ultrasound       
Philips Orion    PA 130900    Pick and Place       
Philips Orion    PA 130900    Pick and Place       
Philips Semiconductors    Mini Parset    Tester       
Philips Techno    TREX 610 T    X-ray Metallic Analysis Tool       
Phillips    CM 12    TEM        "- LaB6
- 120kV, Gatan Orius camera
- Windows XP computer for camera
- Chiller
- Beta tilt not functional
- STEM disabled
- Gatan camera head works intermittently
- Scintillator contaminated
- Stage x,y servos disabled, manual control possible
- System CPU board defective, currently running on loaner"
Philtec    2015 F    Wheel Grinder       
Phoenix Digital Tech    NPS 510    OEM Printer        "- Stencil Size: Max 736(L) x 736(W)
- Automatic Stencil Position Setting
- Advanced Software Interface"
Photodyne    22 XLC    Fiber Optic Multimeter Power Supply       
Photon    Nono Mode Scan    Scanning Silt Beam Profiler       
Photon Control    200    NanoTrak Controller       
Photon Dynamics    CRX 2000    X-ray Machine       
Photon Tech Int        Igniter       
Photonetics    Tunics-Pri    Wavelength Turnable Laser Diode Source       
Photonetics    Tunic    Wavelength Turnable Laser Diode Source       
Photonetics    Walic           
Photonetics    Tunic-PRI    Wavelength Turnable Laser Diode Source       
Photonetics    Tunics 1550           
Photonetics Tunics    PR 3642 CR 00    Wavelength Tunable Laser Diode Source        - This unit is working. It is currently set to 110 vac. It controllers the laser head unit well.
Photonetics Tunics    3642 HE 15    Variable Laser Source Head       
Photonics Industries    DSH 355    UV Nanosecond Pulse Laser        "10 W
- RS 232 Computer Control
- Field replaceable pump diodes
- TEM beam"
Physical Electronics    670    Field Emitter Scanning Auger Electronic System       
PI    E 662 LVPZT    Amplifier       
Pico    5244 A    Picoscope       
Picometrics    DIS VU 02           
Picosun    R 200    Atomic Layer Deposition (ALD) Equipment    8"   
Piece Maker    P 2 45    Minster       
PILL    Export 250    Resist Development Equipment (PILL)    Max 10"    - 380 V
Pillar    Uniblock Classic 500 KVA UPS    Universal Power Supply        "Includes:
- Piller model MOTS800A Manual Overlap Transfer Switch, serial # 3141MOTS
- Piller Battery Charger, serial # 455BC40 and DC Disconnect Switch
configured for 480 VAC input / 480 VAC three phase power, and rated for 500 kVA (400 kW"
Pillar        Wash Line Equipment       
Pillarhouse        Soldering Machine       
Pinette Emidecau Industries        Laboratory Press        "- 50 Hz
- 8.5 Kw
- Tension: 3x400"
Pinte        Oven       
Pioneer    818 T 0 / 20    Ion Chromatography (IC) Laser Machine       
Pioneer    FA LCP 1100    LED Chip Prober    2-4" 6" wafer holder option possible.   
Pioneer FA        LED Chip Prober       
Piovan    THW 112 F    Thermolators       
Pipan        Press       
Pirani        Controller       
Pitney Bowes    XPV 04    CD Input Channel Muller        with Barcode Reader
PK        Liquid Solids Blender        3 cu ft
PK        Twin Shell Dry Blender        30 cu ft
PK Technology    2210           
PK Technology    FK 11 STD    Fiber Cleaver       
Plas Labs        4-Glove Glove Box       
Plasma Etch    PE 75    Benchtop System       
Plasma Etch    BT 1            - set up for oxygen plasma cleaning of aluminum parts
Plasma Quest    ECR    Etcher       
Plasma Technology    80    Reactive Ion Etch (RIE)       
Plasmatech        Plasma Chamber       
Plasmatherm    720    Chlorine Etcher       
Plasmatherm    VII BatchTop    Etcher       
Plasmatherm    790    PECVD       
Plasmatreat    FG 5001            120V @ 60Hz
Plastic Design    150 D    Console Fume Hood        6'
Plastic Systems        Wrist Strap / Footwear Tester       
PLC        Hydraulic Press        "- 5.5 x 2.5m with linear transfer and platina loader
- 400 ton
- Max opening 1.600 mm
- Stroke 800 mm"
Pluriservice S.R.L.    Pumiflex    Pumice       
Pluritec    GIGA 8    Spindle Driller       
Pluritec    Scrubbex 2000    Scrub       
Pluritec    Espuma    Flex Scrubbing Machine       
Pluritec    GIGA Golden 8888    CNC Drilling       
PMC        Dataplate Digital Hot Plate       
PMI    PYC G 100 A    Gas Pycnometer       
PMS    SAS-3600-XP           
Pneuma Tech    PCA 100    Water Chiller        "- Century AC Motor
- 1/2 HP Fan
- For Parts Only"
PNY        USB Connector Laser Etch Machine       
Point Stone        Grain Measuring Machine       
Polariod    D 305 L    Interfermeter       
Polaris    C-100 LD    Conveyor       
Polaris        Plate Heat Exchanger       
Polaris    Accuweld            - does not have the welding chamber
Polaroid    ED-10    Microscope       
Polaron Instruments    E 5100    Scanning Electron Microscope (SEM) Coater       
Poly-clip    FCA 80           
Polycold    PFC-660 ST    Cryogenic Refrigeration Unit       
Polycold               
Polycold    PFC-660 ST    Cryogenic Refrigeration Unit       
Polycold    550 ST    Chiller       
Polycold    500 XC    Chiller       
Polycold    P 500 II    Chiller        "- Temperature Range: -100 to -140*C
- 3 Phase"
Polycold    PFC-550 ST           
Polycold    PFC 330 ST           
Polycold               
Polycold        Chiller       
Polycold    PFC 331 ST    Cryogenic Refrigeration Unit       
Polycold    PFC 672    Cryogenic Refrigeration Unit       
Polycold    PFC 660 HC    Cryogenic Refrigeration Unit       
Polyflo    H 123    Horizontal Tube Cleaner       
Polyflow    S-620 OMNI CLEAN        8"   
Polyflow    S-620        8"   
Polyflow    S-620        8"   
Polyflow        Twin Sink Acid Parts Cleaner Bench       
Polyflow        Vertical Quartz Cleaner    8"   
Polymer Laboratories    GPC 220    Gel Permeation Chromatography Instrument       
Polyscience VWR        Recirculating Chiller       
Polysoude    P 4    Orbital Tube Welder System       
Polysoude    PS 164 2    Orbital Welder       
Polytec    OFV 3001 S    Scanning Laser Doppler Vibrometer        "- Comes with OFV 055 Vibrometer Scanning Head
- W/ computer and software
- W/ heavy duty carrying cases"
Polyvac    E 1000    Optical Emission Spectrometer       
Polywatt        Slurry Blender       
POP    5200    Air Riveter       
Porter    CPLKC 7080 V 2-1    Air Compressor        "- 80 Gallon
- 240V
- Up to 175 psi"
PosiCharge    SVS 80           
Positector    6000 NS 1    Coating Thickness Measuring Instrument       
Powatec    P 200    Manual Wafer Mounter    8"    - No Vaccum Chuck
Power Con    Oneac           
Power Design    5015 S    DC Power Supply       
Power Design    TW 5005    DC Power Supply       
Power Design    2005    Precision Power Source        0-20Vdc, 0-500Ma
Power Design    2020 B    Precision DC Source       
Power Design    5015 T    Regulated DC Power Source       
Power Design    TP 325    DC Power Supply        Triple Output
Power Design    TW 5005 D    Power Supply        "- Dual Output
- Constant Voltage - Constant Current"
Power Design    TW 5005 W    Twin Power Supply        - Constant Voltage - Constant Current
Power Design    6050 A    Universal DC Source       
Power Design    TW 4005    Transistorized Twin Power Supply       
Power Design    TW 5005 D    Twin Power Source       
Power Design        Power Supply        Triple Output
Power Designs    5020    Precision DC Source        "- 105-125V
- 47-440Hz
- 100W at 115V Line"
Power Designs    2020 B    Precision DC Source        "- 105-125V
- 47-440Hz
- 100W at 115V Line"
Power Designs    6050 A    Universal DC Source       
Power Designs    C 500    Precision DC Source        "0-100VDC
0-500MA"
Power Designs    2020    Precision DC Source        "0-20V
0-2A"
Power Designs    1570    High Voltage Calibrated DC Power Source       
Power Designs    TP 325    DC Power Supplies       
Power Designs    2 K 10    High Voltage Regulated DC Power Supply        1-2000 VDC, 10 mA max
Power Mate    PA 40 D    Regulated Power Supply       
Power Mate    BP 2 C    DC Power Supply        Out: 8V-1.0A
Power Mate    BPA-20 E    Regulated Power Supply        Input: 105/125V, 47/62Hz
Power Team    P 300    Filter Press       
Power Team    ASPE 256 H    H Frame Press Clamp        25 Ton
PowerEx    5 HP           
Powermatic    81    Saw       
Powermatic    1200    Drill Press        "- Variable Speed
- With Foot Controller, Power Box"
Powermatic    1200    Drill Press        - with solid cast metal work table
Powerstat    116 BU    Variable Autotransformer       
Powerstat    F 136    Variable Autotransformer       
Powervar    2000 GPI    Microscope       
PPT        Solvent Purification System       
PR Hoffman    1500    Double Sided Lapping Machine       
PR Hoffman        Double Sided Polisher       
PR Hoffman    PR 1    Lapper Polisher        110V
PR Hoffman    2300    Lapping & Polishing        - Fully digital with touch screen
PR Hoffman    2400    Lapping & Polishing       
PR Hoffman    ME 135           
PR Hoffman    ME 136           
PR Hoffman    ME 137           
Praxair    Ultrapurge 100    Gas Cabinet       
Praxair    SurePurge 1500    3 Bottle Gas Cabinet        PX03608002
PRC Laser    STS 2002    Laser        "- 460 V
- 3 Phase
- 60 Hz
- 40 Amps
- Water Flow Rate: 18 GPM"
Precision        Hot Air Oven        With Accessories
Precision / Quincy    82-650 T    Oven       
Precision Boilers    ST 240 DJ 600 D 480 150    Electric Boiler       
Precision Cryogenic Systems        Vacuum Dewar        - for holding liquid helium or nitrogen
Precision Granite        Table       
Precision Optics    Gen III    Manual Text Fixture       
Precision Valve        PVA       
Precision Valve    NY 12065    PVA       
Precision Valve        Curing Oven       
Precision Valve        Coating       
Preco    FlexStar    UV Laser System        "- 7 Watt
Accessories:
- Outbound Modifications
- Cantilever Shafts, Unwind and Rewind
- Light Table
- Slug Removal Modifications"
Premier        Outer Weighing Balance       
Premier        Colour Matching Spectrophotometer       
Premier    MHML 1.5    Beadmill       
Premier Mill    MPVDV 60           
Premtek International    9920    Semi-Auto Probe Station    12"   
PressTek    52 DI AC    Printing Machine       
Presstek    52 DI-AC    Offset Press        "- 3 Phase
- 60 Hz
- 105 A"
Presto        Lift       
Presto        Lift        Battery Operated
Presto        Lift        Manual
PreWaClean        Preclean Wet Bench       
Price Pump    A 10-300 B    Centrifugal Vacuum Pump       
Price Pump    XT 100 AB 525 21211 300 36 3 T 6    Centrifugal Vacuum Pump       
Priel        Metal Detector       
Priel    DMP 04    Metal Detector       
Primatics        System        4 Axis
Primaxx        Vapor HF Release Tool    6"   
Princeton Applied Research (PAR)    HR-8    Lock-In Amplifier       
Princeton Instruments (Roper Scientific)        CCD Detector        "- 4x Image-plates and cyclone image-plate reader
- Capillary Flow Cell, Paste Cell and 2 Capillary Cells"
Prior Diesel        LN 2 Converter       
Pro Beam    EBG 40-80    Electron Beam Generator       
Pro Plastics    PPHF    HF Fumer       
Probe Technology        Wafer Probe Station       
Procut        Cutting Plotter       
Prodigit    PAL 200    Electronic Loads       
Prodigit    PAL 250    Electronic Loads       
Proditec        Automatic Visual Inspection System Tablets       
Professional Instrument Company    4 R    Air-Bearing Spindle with Integral Brushless-DC Motor       
Progressive        Tape Winder       
Progressive Technologies    Sentry 1000    Blower for Tel Mark 8        "Model: 11452G01
MODUS Instruments Inc - Pressure Transmitter (Model: T10-0205)
Motor: BODINE Electric company Model: 34R6BFCI, 115 volt"
Progressive Technologies    Sentry 1000    Blower for Tel Mark 8        "Model: 11452G01
MODUS Instruments Inc - Pressure Transmitter (Model: T10-0205)
Motor: BODINE Electric company Model: 34R6BFCI, 115 volt"
Progressive Technologies    Sentry 1000    Blower for Tel Mark 8        "Model: 11452G01
MODUS Instruments Inc - Pressure Transmitter (Model: T10-0205)
Motor: BODINE Electric company Model: 34R6BFCI, 115 volt"
Progressive Technologies    Sentry 1000    Blower for Tel Mark 8        "Model: 11452G01
MODUS Instruments Inc - Pressure Transmitter (Model: T10-0205)
Motor: BODINE Electric company Model: 34R6BFCI, 115 volt"
Projet    3500 HD Max    3D Printer       
ProJet    3500 HD Max    3D Printer        "- Printing Modes: High definition, high speed UHD, ultra high XHD definition, Xtreme high definition
- Number of colors: monochrome
- Manufacturing Volume: HD Mode: 298 x 185 x 203 mm HS Mode: 298 x 185 x 203 mm UHD Mode:298 x 185 x 203 mm XHD Mode: 298 x 185 x 203 mm
- Precision: 0.025 to 0.05 mm per 25.4 mm of the part dimensions
- Technology: Multiple Jet Modeling (MJM)
- Software: ProJet Accelerator
- Support File Format: STL and SLC
- Noise: less than 65 dBa (estimate, fan at medium speed)
- Temperature Operation: 18-28*
- Operating System: windows XP Professional, Windows Vista, Windows 7
- Customer Hardware Recommended: 1.8 GHz with 1 GB of RAM (with OpenGL support and 64 MB of video RAM) or more
- Network Compatibility: Network ready, 10/100 Ethernet interface"
Prometrix    FT 650           
Promotion    ECC 700    Conveyor       
Prosain        Profile Press-Framing Session       
Prosain    L 603    Solar Module Laminator       
ProStar    PRS 12646345    Portable Filter Cart       
Protec    Zeus    Automatic Dispenser       
Protec    Ecomax    X-Ray Film Processor       
ProTec        Pellet Dryer       
Protec    FDS 5000 M    Precision Dual Independent Dispenser        "With:
- End Loaders
- Auger (1)"
Protec    Innovation-MS    Dual Independent Dispenser        "With:
- End Loaders
- Auger Valve Control (2)
- Vermes Controllers & Valves (2)"
Protec    Zeus +    Dispenser       
Protec    Zeus +    Dispenser       
Protec    Zeus +    Dispenser       
Protec    Zeus +    Dispenser       
Protec    Innovation T    Dispenser       
Protec    Innovation T    Dispenser       
Pro-Tec    RGK 4000           
Protek    3201            RF Field Analyzer
Protek    3006 B    Power Supply       
Proteus Industries    08006 BN 9    Flow Meter       
Proteus Industries    08016 SN 40    Flow Meter       
ProtoMat    H 100 e 40           
Proton    54-0102-0003 Rev H    Hogan Hydrogen Generator       
Proton    54-0102-0003 Rev K    Hogan Hydrogen Generator       
Proton    54-0102-0003 Rev I    Hogan Hydrogen Generator       
Proton    54-0102-0003 Rev L    Hydrogen Generator       
Proton    54-0102-0003 Rev K    Hydrogen Generator       
Prov    TTTH 14000    Tester Handler        - with transfer device for tray to tray handling
PRW    3196 2 X 3 10    Centrifugal Pump       
Pryor        Laser Markers       
Prysme    CCN 0025-01    Automated Masking-Unmasking Equipment       
Prysme    ROBO 8002    Automated Masking-Unmasking Equipment       
PSC    Quick Check 500    Barcode Verifier       
PSI Water Systems    066 Y-72    Evaporator       
PSI Water Systems    066 Y-72    Evaporator       
PSK    NASTRA    Reactive Ion Etch (RIE) BEOL Dry Strip System       
PSS Nicomp    Accusizer 780    ASD       
PTC    314 C    Surface Thermometer       
PTE    Warpage 3 D    Wafer Bow Management System        - 50Hz
PTS    3200    Frequency Synthesizer       
Pulsa    VSA 1000    Vacuum Seal       
Puma    PK-6060 V    Industrial Air Compressor       
Puma        Mobility Base       
Puma    762    Robot       
Pure Aire        Laminar Hood       
Pureaire Laminar        Flow Bench       
Pureguard    WM 1    Wall Mount Purifier       
Purex North America    926    Fume Extraction System        "- : 0LX2011D
- DSL : 79-000-3740
- Voltage: 220, Amp: 12, Wattage: 3.0 kW
- Phase: 3 + Earth (Ground), 4 Core 1.5mm2
- Frequency: 50/60 Hz
- Max Flowrate: 1300 CFM
- Sound Rating: 59 dBA
- Includes a 3 meters trailing lead (no Plug)
Features
- Digital Control Program with Graphic Display
- Reverse Flow which prolongs Filter life
- Continuos exhaust Air Safety Monitor with Audible Alarm
- Closed Loop Flow Control - Automatically maintains constant airflow rates
- Corrosion resistant and mobile stainless steel cabinet"
Purex North America    9000 5000 i Volume    Fume Extraction System        220V, 50/60Hz, 7.5KW
Puschner    uWaveSinter 0790 empa    Microwave Sintering Plant       
PVA    Delta 6    Coating Machine        "- 1 Phase
- 80-100 PSI
- 220V
- 12A
- 80Hz"
PVA    IR 2000    Oven       
PVA / Tepla    650    SMT Underfill Machine        "DOM is 4/2013.
220VAC, 12A, 50Hz, 1 Phase

"
PVA / Tepla    GIGA 690    Plasma       
PVA Tepla / Technics    MIM TLA 20    Ion Mill       
PWS    P 4           
PWS    5000    CVD Deposition       
Pyradia    LF 363635    Furnace        Heavy Duty 2,300 deg. F
Pyramid    MM 131 B    Weld Monitor       
QC Optics    API-3000/5    Reticle Inspection Tooling       
QED Technologies    Q 22 MRF    Precise Polisher       
QED Technologies    Q 22 MRF    Precise Polisher       
QED Technologies    MRF Q 22-Y    Machine        "- Intermittent problems. Issue with B-Axis. QED unable to fully diagnose. During a run the B-Axis will sometimes fail and fall (rotate downward) unexpectedly.  We were never able to determine a true pattern or direct cause of the behavior; sometimes we could go days or weeks with no issue, and other times this problem could occur several times in the same day.
Exchangeable setups (wheel sizes):
- 150mm Wheel Setup x2 (1 new and 1 used)
- 50mm Wheel Setup
- 20mm Wheel Setup
- Spare Delivery Pump
- Slurry/Fluid Tumblers x2"
QES    DIS 8000    Optical Inspection System    8"   
Qiagen    Qia Symphony    SP / AS Instrument       
Qiagen    TissueLyser II           
Qiagen    BioRobot 9600           
Qiagen    QIAsymphony AS           
Qiagen    QIAsymphony SP           
Qiagen    QiaSymphony SP/AS            "- 100-240Vac
- 50/60Hz"
Qindao Jinlidun    7000    Chain Furnace       
Qingdao Hidicon    HDC 2000    Diffusion Furnace       
Qingdao Sairuida    SRD 165 / TV 4    Diffusion Furnace       
Qingdao Sairuida Equipment    SDR KS 1 2    Ni Sintering Furnace       
Qinhuangdao Boostsolar Photovoltaic Equipment    BSL 2236 OAC Ⅲ    Laminating        - single layer
Qinsi    QS 5100    Lead Free Reflow Oven       
Qiruida Automation Equipment (Suzhou)    7440    IV Tester       
QMC    ELMS 1000    Laser Lift Off       
Quad    QSV 1 Intelligent    Feeders        "1.    8mm:     60
2.    12mm:   30
3.    16mm:   10
4.    24mm:   10
5.    32mm:   4
6.    44mm:   2
7.    54mm:   1
8.    Vibratory track feeder:  1
9.    Tray Feeder (14” x 7”)"
Quad    IV C 90           
Quad    ZCR    Reflow Oven       
Quad    QSA 30           
Quad    QSA 30 V    Pick and Place        Refurbished with new CPU and Windows 98
QUAD    AVX 500    Screen Printer       
QUAD    AVX 500    Screen Printer        - Needs new board stop sensor
Quad    III C/ 115           
Quad Systems    UP 100    Screen Printer       
Quadro    Comil           
Quadrom    Q 0182           
Quadtech    1730 LCR DigiBridge            - Including SMD kit, cable accessories
Quadtech    1865    Megohmmetter       
QuadTech    1865    IR Tester       
Quadtech    Sentry 50    Ground Bond Tester       
Quadtech    7600    Precision RLC Meter        - Unit passes self tests
Quali-Line    QLC 8500, ZQLC 7504 FM-P    Chemical Monitoring System       
Qualitau    EM system-X    PC Board Test    12"    "Modular Integrated Reliability Analyzer (Rack 1 )
- 4 x MIRA EML Module
- 2 x Oven
- 1 x HP34401A Multimeter"
Qualitau    EM system-Z    PC Board Test    12"   
Qualitau    HCT system    PC Board Test    12"   
Qualitau    EM system-Y    PC Board Test    12"   
Qualmark    OVS-2.5    LF Halt Chamber       
QualMark    OVS 4    Halt Chamber       
Qualtech    QPI 2018 B    Haze Meter       
Quan Ding Enterprise    CP 12001    Automatic Washing Machine        Single Tank
Quantachrome    Autosorb BET    Surface Analyzer        Requires some repair
Quantachrome Instruments    Sieving Riffler Rotary Sample Splitter    Sieving Reiffler       
Quantachrome Instruments        Autotap       
Quantotest    36000 XRE    Spectrometer       
Quantronix        Laser Symbolizer       
Quantronix    116    Laser        "- 50 W
- YAG laser with Power supply/Controller with delivery optics to < 1 mil spot size at the target
- External chiller
- Manual X/Y adjustable stage"
Quantum    60 PC    Reflow Belt Oven        "- 16.5"" x 80"" (W x L)
- Heat cover is 49.5"" Long"
Quantum Focus        Three Head System        "- MWIR 512 InSb IR FPA Camera
- VisNIR 1024 Deep Depletion CCD Camera
- OptoMetrix LSM 3070 Optics Head
- OptoMetrix LSM 3020D XIVA Sensor
- Stavol SVC 200VA Automatic Voltage Regulator
- Julabo FL1701"
Quantum Storage Systems    QSB 105 CON    Storage Bin       
Quartet Mechanics    AVI 200    Automated Visual Inspector    4", 6"    "- 1 x Input
- 3 x Output
- c/w Zebra ZM 400 Label Printer"
Quartet Mechanics    AVI 200    Automated Visual Inspector        "- 4""/6"" Online Characteristic Sorter
- 1 x Input, 3 x Output
- Includes Zebra ZM 400 Label Printer"
Quasi Force    JL 3060    Smoothing Machine       
Qubo        Smoke Absorber       
Quesant    Q-350 CI    AMF Q-Scope       
Quicher    NJ 23    Screw Dispenser       
Quick Quick    6101    Extractor Hood       
Quicksun    540 LA    Solar Tester       
Quincy    QNWG-502-D    Air Compressor        "100 Hp
Run Hours: 045,797
480V"
Quincy        25 HP Compressor       
Quincy    QGV-50    Air Compressor       
Quincy    QGS 30    Compressor       
Quincy    QSI-750    Air Compressor       
Quincy    QGV 75    Compressor       
Quincy    QGS 30    Compressor       
Quincy        Compressor        50 HP
Quincy    QGD-40    Compressor       
Quincy    20 GC    Oven       
Quincy    QSV 40    Oven       
Quincy Lab    20 GC    Lab Oven       
Quincy Lab    10 GC    Lab Oven       
Quincy Lab    10 GC    Lab Oven       
Quincy Lab    10 GC    Lab Oven       
Quincy Lab    30 GC    Lab Oven       
Quincy Lab    20 GC    Oven       
Quincy Lab    10-140 E    Incubator       
Quincy Labs    20 AF    Lab Oven       
Quintel    Ultra u Line 7000 Series    Mask Aligner       
Quintel    Q 4000    Mask Aligner       
Quintel    NXQ 4006    Mask Aligner    2"    "- Includes chucks for 2"" wafers
- Sample chuck with vacuum hole configuration to hold 5x5mm piece and up to 2"" diameter wafers
- Can support up to 6"" wafers (chucks not included)"
Quintel    6000 S    Mask Aligner    4"-6"   
Qunyi        Overflow Ultrasonic Vibration Cleaning Tank        "- Single Tank
- One-way 110V
- Max operating power: 1.8KW
- Oscillation frequency: 68KHz"
R2D    Comet    Wafer Loading Machine / Batch Transfer System    8"   
Racal Dana    9087    Signal Generator       
Rackmount Solutions        Humidity Chamber Frame       
Raco    Vetbatim VSS    Call Box       
Radiant Technology    LA 306    Reflow Oven       
Radiometer Copenhagen    ABL 800 Flex    Blood Gas Analyzer       
Radyne        Power Supply       
Rakesh Engineering        Conveyor Belt       
Ram Optical        Air Table        "- 4x8'
- Rack over the top is 106"" long
- Equipment not included"
Ram Optical    Data Star           
Ram Optical    Auto Check    QVI Controller       
Rambaudi    RamSpeed 827 L           
Ramco    MK 36 CSS    Cleaning System       
Ramco    Ram 8    Mill       
Ramgraber        PA Flux Cleaning Bench + Spin Dryer       
Ramgraber    IPA    Isopropanol Vapor Dryer        - set up for 100mm wafer boats
Randcastle        Extruder       
Ranger Intelliscan        Metal Detector        Six Zone
Rannie               
Ransco    7107-1    Thermal Shock Chamber       
Ransco    7207-1 M    Temperature-Humidity Chamber        With Power Transformer
Ransco    925-1-4-D-0-120/60    Chamber       
Ransohoff        2 stage Cell-U-Clear washer       
Rapid Granulator    GK 50 C           
Rapid Granulator    GK 50           
RapidMRI        RF Coil for MRI        - best utilized on a 9.4T MRI system from Bruker
RapidTherm    RT 4 C-3-3-A/C    Fast Ramp Temperature Cycle Chamber        "- With IDEC Touchscreen Controller
 Self-contained air-cooled refrigeration
- Two adjustable stainless steel wire rod shelves
- Independent high-low temperature limit controller
- One 2-inch chamber access port
- Steel Casters
- 208 Volt
Controller
- 5.7"" Touchscreen Program Logic Controller
- User Friendly Interface
- Part temperature control capability is standard
- Ethernet Communications
- Webserver allows for remote monitoring & operation
- Data Logging
Options
- GPIB communications
- Water cooled refrigeration
- Remote air-cooled refrigeration condenser
- Larger conditioning equipment for larger loads
- Alternative voltage requirement (380/460 Volt is standard)
- Extra data logging inputs
- Purge System
- Needs some repair"
Rasco    SO 2000    Handler       
Rasco    WTC-D 188-ANL(RI)    Chiller       
Rasco    SO 2000           
Rauma    Stoup    Chipper       
Rave    Merlin G    Mask Repair System       
Rave    Eco-Snow VC 1200 F    CO2 Mask Cleaning System       
Rave    fp 650    Mask Repair System       
Rave / Eco-Snow    MC 150    Mask Clean       
Raymond    Lab Mill    Table Hammer Mill       
Raymond    233 OPC 2 T    Forklift        "With Charging Power Supply
- Model 3R12 680
- Battery Type: LA
- 12 Cell
- 208/240/480 Volt
- 3 Phase
- 60 Hz
- 24V"
Raymond    10 F 40 L    Pallet Jack        - Includes batteries and chargers
Raymond    201 4 DR 40 TT    Lift Truck       
Raymond    4 D-E 3 RTN    Lift Truck       
Raymond    470 C 60    Fork Lift       
Raymond    470 C 50    Fork Lift       
RaySafe    i 2           
Raytek    RXW-1226 SFI    Automatic Wafer Edge Defect Inspection System    12"    "Prototype EZ Option
- Top & back side EZ camera
- Non-zoom, fixed position
EZ System Upgrade
- Top side EZ camera upgrade
- Auto zoom
- Joystick control"
Raytheon    QRS 540-7.5    Power Supply       
RCK    RDM    Diffusion Furnace        - for parts
RD Automation    M 8    Flip Chip Bonder       
RDN    ECC Syncro    Cutter       
RDN    ECC 2.5    Flycutter       
RDN Manufacturing    1C.5/206-1    Puller       
Re SYS Inc    Titan 3    RO Water System       
Recco    R 458 BD    Oven       
Recif    SPP 200 S    Single Wafer Sorter        "- Software: WIN NT
- CIM: Offline"
RedLux    Optilux - SD    Measurement Inspection        "- 3 Axis
- 1 Phase"
Reeco    R 8 H    Annealing Oven       
Reedholm    RI 50    Parametric Tester        "- With two card cages and all power supplies
- Complete UFM with Kepco HV power supply
- Complete PAC cables with the HV relay box and edge connector interface for rectangular probe card
- Boonton Meter
- Two NEM boards
- One SCM board
- Two NTM boards
- One extender card
- Complete DELL PC with tester and GPIB cards
- One spare PAC cable (new).
- All plug in’s"
Reel-Tech    LM-4000    YAG Laser Micromachining       
Regal Beloit    5 KC 49 RN 0666 X    Pump        "- 1725/1425RPM
- 60/50Hz
- 1 Phase"
Rehm                - Dual Lane
Reid Ashman    OM 3650 SL    Manipulator       
Reid Ashman    OM 1420 1000    Manipulator       
Reid Ashman    OM 1725 1001    Manipulator       
Reid Ashman    D 10    Manipulator       
Reid Ashman        SV Manipulator        - Frame Only
Reid Ashman    SV    Manipulator       
Reid Ashman    OM 1015 1000    Tester       
Reid Ashman    OM 1015 1000           
Reid Ashman    OM 1015 1000           
Reid Ashman    OM 1015 1000           
Reid Ashman    OM 1015 1000           
Reid Ashman    OM 1015 1000           
Reid Ashman    OM 1015 1000           
Reid Ashman    OM 1015 1000           
Reid Ashman    OM 1015 1000           
Reid Ashman    OM 1015 1000           
Reid Ashman        SV Manipulator        Frame Only
Reid Ashman    D 10    Manipulator       
Reid Ashman    D 10    Manipulator       
Reid Ashman    D 10    Manipulator       
Reid Ashman    D 10    Manipulator       
Reid Ashman    D 10    Manipulator       
Reid Ashman    D 10    Manipulator       
Reid Ashman    D 10    Manipulator       
Reid Ashman    D 10    Manipulator       
Reid Ashman    D 10    Manipulator       
Reid Ashman    D 10    Manipulator       
Reis    SPS RV 30-26    6-Axis Robot       
Reis        Robot       
Reis    RV 20-16    Robot       
Reis / Kuka    KR 360 2    Auto Crop Robot       
Reis / Kuka    KR 240 2 2000    Beam Robot       
Reis / Kuka    KR 240 2 2000    Depoding Robot       
Reis / Kuka    KR 240 2 2000    Pod Glue Robot       
Reis / Kuka    KR 240 L 180 2 2000    CFG Loading Robot       
Reis / Kuka    KR 240 L 180 2 2000    Post Grind Robot       
Reis / Kuka    KR 240 L 180 2 2000    SFG Loading Robot       
Reis Robotics    RV 30-26    Robot        "- 6 Axes
- Maximum Load: 26 kg
- Control ROBOTstarV-IPC
- 8 Digital inputs, 8 digital outputs
- Portable teach pendant Interfaces "
Reitz    KXE 100-016030-00    Radical Fan       
Reliance    FM 1000    High Intensity Mixer        Mixer Motor Baldor, 300 HP, 460 Volts
Reliance    182 TC    Vacuum Water Pump Frame        - Type P Mounting
Reliance Electric    Duty Master    AC Motor       
Reliance Electric    Duty Master XE Energy Efficient Duty Master    AC Motor       
Relm    424 R           
Reltech    Rel 3000    Burn-in System       
Reltron        PV EL / PL Inspection        "- High Resolution
- Silicon"
Remcor        Liquid Cooling Systems       
Remec    203424 001           
Remstar    250 2800 X 622 NT    Vertical Storage System       
Ren Thang    NTG-520 N    PCB Depaneling Machine       
Rena        CTOXE: Main Tool       
Rena        CTOXE: Electrical Cabinet       
Rena        CTOXE: Blower Cabinet       
Rena    8 L           
Rena    50 MW    In-Line Texturing System       
Rena    50 MW    In-Line Edge Isolation       
Rena    50 MW    Automation CTC Wafer Transfer       
Rena    50 MW    ATX Etching Texturing       
Rena    Inox HT    In-line Edge Isolation machine(IOX)       
Rena    InTex HT    In-line Texturing system(ITX)       
Rena    FAB D 50 MW    In-line Edge Isolation machine (IOX)       
Rena    FAB D 50 MW    In-line Texturing system (ITX)       
RENA    A 2449    Retrofitted Texture Etch Bench       
RENA    A 2451    Retrofitted Texture Etch Bench       
RENA        Texture Wet Bench       
RENA    A 2451           
RENA    A 2449    Semiconductor Grade HF       
RENA    A 2451    Semiconductor Grade HF       
RENA        Polycrystalline Fleece Machine        - 8 Channel
RENA        Polycrystalline Fleece Machine        "- 8 Channel
- Automatic Loading / Unloading"
Rena    Intex    Multicrystalline Silicon Texture Cleaning Machine       
Rena    Niak 2 InTex    Polycrystalline Fleece Machine        - 4000/h
Rena    Niak 2 InOxSide    Wet Etching Machine        - 4400/h
Rena                "- 2 Batch
- 16 Tank"
Rena                - 5 Lane
Rena    LT 080096    Inpolish       
Renishaw    Invia Reflex    RAMAN Spectrometer        plus HeNe Laser
Research Devices        Illuminator Assembly       
Resina        Capper       
RESys    Aqua-Loop    DI Water Generator       
Retsch    PM 100    Planatoy Ball Mill       
Retsch    PM 100    Planetary Ball Mill        with Container, Accessories
Retsch    GrindoMix GM 200           
Reuland Electric    HP 2.2-5    AC Motor       
RevCo    D 8507-SCF 14    Freezer       
Revco    ULT 350-5-A 30            1.3KW
Revera    RVX 5000    Film Thickness and Compositional Metrology Base System    12"    "- with Automated operation, Asyst Plus Portal IFE
- 2x200mm or 2x300mm open cassette capability
- GEM/SECS
- Chiller
- Roughing pump"
Reynoldstech        Maintenance Wet Bench       
RF Plasma Products    RF 10    Generator        "- Old Style
- Off Of Varian 3290-2 Sputter Sys
- Rating: 1 Kw"
RF Plasma Products    HFS 2000 D    RF Generator       
RF Power Products    7520426011    LF 10 RF Generator        "- 200Vac
- 50/60Hz
- 1Ph
- 1000W @50-460 Kvz"
RH Strasbaugh        Polisher        Single side, 67"H x 31"W x 51"D
RH Strasbaugh        Single Spindle Polisher        with Flexible Head
RH Strasbaugh    60 E 00-4    Four Spindle Polisher       
RH Strasbaugh    6 CX    Single Spindle Polisher       
RH Strasbaugh    6 CA    Polisher        "- 220/440V
- 31 x 31 x 51
- Single Side"
RH Strasbaugh        Polisher        "- Single Side
- Need Parts"
Rheometric Scientific    DMTA-V           
RheTech    ST-240    Spin Rinse Dryer (SRD)       
RHK    UHV 3500 VT    AFM / STM (Atomic Force Microscope / Scanning Tunneling Microscope) System        It has a prep chamber with an interface to a glove box (glove box not included), a main chamber with the AFM/STM and tip/sample storage racks, and a 4’ transfer arm.  All the pumps, gauges, RHK AFM controller, and pre-amp are included, except for the mechanical pump. The last time it was used was one year ago after obtaining a satisfactory AFM image of graphite. The system has been under vacuum since it has been in storage
Rhode & Schwarz    SML 01    Signal Generator       
Rhode & Schwarz    SM 03    Diode Power Sensor       
Rhode & Schwarz    SM 03    Signal Generator       
Riber        Main Flange Gasket       
Riber        Ti Filaments       
Richmond    No 2    Universal Milling Machine       
Ricoh    4000 FL    Shredder       
Riedel    PC 1612 L 6 L 99070    Chiller       
Riedhammer    TSR 56-210-50 G            "- Natural gas
- Max temp 1420*C
- 50Hz"
Rifocs        Test System       
Rigaku    3272    Diffractometer    12"    "- 200V
- 35A
- 50Hz"
Rigaku    DPGS    X-Ray Goniometer       
Rigaku    3640    Metal Thickness Tool       
Rigaku    GXR 300 EUV    X-Ray Thin Film Analyzer       
Rigaku    DmaxA            "-scintillation detector, slits, x-ray enclosure -MDI Datascan motor controller and software
- no x-ray tubes"
Rigaku    FSAS III    X-Ray Diffractometer        "- Fully automated ingot/wafer orientation measurement system
- Orientation flat position of a specified crystal orientation"
Rigaku    MiniFlex    X-Ray Diffractometer (XRD)        - with Lytron Modular Cooling System
Rigaku    Rotaflex RU 200 BH    X-Ray Source        Rotating Anode
Rigaku    Dmax    X-Ray Diffraction       
Rigaku        X-Ray Diffractor (XRD)        "- Rotating anode generator: MicroMax 007 HF
- Anodes: Cu (1x working, 1x replacement)
- Several filaments
- Haskris chiller R 250
- Optics: Osmic VariMax HR
- Detector: R-Axis IV++. Dual image plate
- Control System: Dell Precision T 3600. Dell Precision T 1700
- Vacuum pumps may need to replaced or maintenance performed.
- Video screen showing live sample image on goniometer is defective.
- Goniometer stage height adjustment is not working properly."
Rigaku        X-ray Profiler        - some missing parts
Rigol    DG 1032    Waveform Generator       
Rigol    DG 1062    Waveform Generator       
Rigol    DG 5102    Waveform Generator       
Rinchen    RQ-20 HZ    Corners Pressurized Fiber Polishing Machine       
Rinchen    RQ-20 HZ    Corners Pressurized Fiber Polishing Machine       
Rinco    Standard 3000    Ultrasonic Welding Machine       
Ring Quotient    ST 1501    Manual Blasting Machine       
Ring Quotient        Automatic Sand Blasting Machine       
Rion    KS-40 AF    FOUP Dimension/Particle Metrology        Laser diode: max rated output: 200 mW
Riotech        Bevel Machine       
Rite Track / SVG    90-S    Coat / Develop System    6"    "4"" / 6""
Description: 4 Loaders for cassettes. HMDS Module, Four chill plates, five hotplates, 2 Developers 2 Coaters - 3 Outputs each.
Temperature bath controller, SemiFab air flow controller
Linear single center robot. Full enclosure with charcoal filter system
Can be interfaced to an exposure tool
Size: Main Chassis Length 142” x Width 54” x Height 98”
Control Cabinet: Height 66” x width 13” "
Rite Track / SVG    88    Spinner Dual Track System       
Rite Track / SVG    88           
Rittal Top Therm    SK 3303100    High Voltage Source       
Riyixin                "- 4 Batch
- 17 Tank"
RK Precision    1787 B    Programmable DC Power Supply        0-72V, 0-1.5A
RLC Innovation        Automatic Placement & Welding       
RLS        Flame Treatment Machine        "- Conveyor 30"" wide
- Flame: 25"" wide
- Adjustable burner height, conveyor speed, gas flows
- 110VAC, 15A
- Clean, filtered compressed air 60 psi minimum
- Propane or natural gas, 5 psi max
- Includes the manual"
RMC        PT-PC PowerTome Ultramicrotomes        - XPC computer does not work
Roach        Conveyor       
Roach        Elevator       
Roach        Flexible Conveyor       
Robland    2320    Table Saw       
Robopac    Helix H 30            "- 4 x 2 x 2.5
- 60 Hz
- 3 Phase"
Robotic Process Systems    Harmony SPX           
Robson    625 F    Multitrace System       
Robson Technologies    MultiTrace    Test Fixture       
Robt        Fork Car       
Robust        Foil Cutter       
Robust    LQL 17    Devanadora / Unwinder       
Roche NimbleGen    4 Slide    Hybridization system        110 V
Roche NimbleGen    FLX    Genome Sequencer Instrument       
Roche NimbleGen    4 Slide    Hybridization system       
Roche NimbleGen    MS 200    Microarray Scanner       
Rockwell        Gang Drill Press       
Rockwell        Drill       
Rockwell    66 340    Drill       
Rockwell / Allen Bradley    PanelView 1400 e           
Rofin    Starmark    Laser Marker       
Rofin    Sinar    Laser Marker       
Rofin        Laser Scribing Tool       
Rofin    Sinar    Laser Marking        with Handler
Rofin    Sinar    RS Marker       
Rofin    EasyMark    Class 1 Laser        "- Laser Diode 807nm 30W Selktiert
- Rack Rofin - Rack LMC 01"
Rofin Sinar    Evertech LS-323    Laser Marking Machine       
Rofin-Baasel    RSM IC-FS    Marker Power Line       
Rofin-Baasel    RSG 1010    Laser Marking Machine       
Rogers & Clark    RC B 25    Generator        Frame / Parts
Rogers & Clark    G 300           
Rogers & Clarke    G 150    Curve Generators       
Rogers & Clarke    G-300    Curve Tracer       
Rogers & Clarke    G 300    Curve Generator       
Rogers & Clarke        Polisher       
Rohde & Schwartz        RF Step Attenuator        0…139 Db-Rsg, 50 Ohm, Max 1-Watt
Rohde & Schwartz    ZVT 8           
Rohde & Schwarz    SME 03           
Rohde & Schwarz    FSP 7    Spectrum Analyzer        "- GPIB Compatible
-  K 30 Option
- 9 KHz - 7GHz"
Rohde & Schwarz    CMD 55    Digital Radio Communication Tester       
Rohde & Schwarz    1038.600203    Signal Generator       
Rohde & Schwarz    ZVC    Vector Network Analyzer        20kHz to 8GHz
Rohde & Schwarz    SME 03    RF Signal Generator       
Rohde & Schwarz    SMA 100 A           
Rohde & Schwarz    CRTU-G    Radio Communication Test Set       
Rohde & Schwarz    CMW-CU    Control Unit       
Rohde & Schwarz    ABFS    Fading Simulator       
Rohde & Schwarz    FSEA    Spectrum Analyzer       
Rohde & Schwarz    FSV 7    Spectrum Analyzer        7 GHz
Rohde & Schwarz    OSP 120    Switch Unit       
Rohde & Schwarz    SMA 100 A    Signal Generator       
Rohde & Schwarz    SMHU    Signal Generator       
Rohde & Schwarz    SMHU 0836.4161.02    Signal Generator       
Rohde & Schwarz        Spectrum Analyzer        1066.3010.20
Rohde & Schwarz    HMC 8043 G    Power Supply        "- 100W
- 3 Channel"
Rohde & Schwarz    ZVB 4    Network Analyzer       
Rohde & Schwarz    SMIQ 03 B    Signal Generator       
Rohde & Schwarz    FSW 26    Signal Spectrum Analyzer        - 2 Hz to 26.5 GHz
Rohner    CPS-40-72-12    Prep Cabin       
Rohwedder        Automatic Molder       
ROI    Omis III    Optical Measurement Inspection System        12x12
Roland    MDX-540    4 Axis CNC Mill       
Roland    MDX 40    Milling Machine       
Romer Cimcore    231    Measuring Arm       
Ronan    5 24 25    Power Supply       
Rong Shen    RG 3040 A    Hot Melt Machine       
Rongfong    6050 XDZK-20 L-T    Vacuum Dry Oven       
Rongshen    RG 3040 A    Hot Melt Machine       
Rood Technology    LeadStar 800    Conditioning TSOP       
Roots    RGSHV    Rotary Positive Vacuum Pump       
Roots Multiclean        Vacuum Cleaner       
Roper Whitney    PH 52 A    Electric Sheer        52"
Rorze    RV 201-F 05-202 CE-1    Wafer Load Port    12"    "- Rated voltage: 24 DC
- Full-load current: 5A
- Mass: 71Kg
- Short-circuit rating: 5A"
Rorze    RV 201-F 05-202 CE-1    Wafer Load Port    12"    "- Rated voltage: 24V DC
- Full-load current: 5A
- Mass: 71Kg
- Short-circuit rating: 5A"
Rorze Automation    FORS 300    12" Wafer EFEM       
Rosenthal    STXL 3    Sheet Master       
Rosler    R 125 EC    Parts Tumbler       
Rosler    Z 800 HA Turbo    Water Circulation System       
Ross    PBA 1-10    Bow Tie Blade Mixer        "- Vapor-tight, suitable for up to +2 psi internal pressure.
- Elastomers suitable for HCL and Ethanol.
- Air/oil hydraulic lift with anti-rotation hydraulic cylinder, requiring 80-100 psig air supply. Flow control valve, air operated two-hand operator safety pushbuttons and selector switch.
- Stainless steel type 316L wetted parts with Black (PFA) Teflon coating.
- Stainless steel type 316L mixing shaft with Black (PFA) Teflon coating operating between 35 & 350 rpm @ 6 – 60 Hz.
- Mixing Shaft is sealed via a Double Flexi-Lip Dry Running FDA MF Teflon Lip Seal with Viton O-rings. This seal design operates without using lubrication to prevent contamination with the product. Seal is installed in UHMW housing for easy removal/assembly.
- Mixing Shaft has one (1) - 13"" diameter, 3-Wing, Bow-Tie Blade with Risers, contoured to match mix vessel’s dished bottom. The blade is attached to the bottom of the mixing shaft with an internal thread and sealed via a Viton O-ring between the blade hub and shaft. Blade will tighten as it spins with the shaft.
- Driven by a 1 HP, Explosion Proof (Class 1, Div. 1, Group D; Class 2, Groups F&G), VFD-rated, 10:1 speed range motor suitable for operation on 230/460 volt, 3 phase, 60 Hz power supply. Electrical operator controls are offered as an option.
- Explosion Proof Safety Limit Switch to prevent operation of agitator while in the raised (non-mixing) position, or without a mix can in place.
- Cover, Vapor-tight and rated for +2 psig internal pressure when combined with matching mix vessel. T-316, 80-grit exterior finish with black PFA (Teflon) coating on underside.
- One (1) - 1"" Tri-Clover port (pressure), two (2) - 1"" 150# RF flange ports and one (1) - 4"" Sight & Charge port with Metaglass sight glass. ID of ports are Teflon coated. Viton Cover O-ring.
- Mix Vessel, 10 gallon working, 12 gallon full holding, rated for +2 psig internal pressure, 15"" ID x 15"" Straight Side with a Dished Bottom with a 1"" Flush Bottom Ball Valve with a Tri-Clover outlet (bottom-center) located 20"" off the floor. Clamps between mix vessel and cover.
- A heating/cooling jacket made from Stainless T-304, 80-grit finish and rated for 50 psig at 350F is installed on the sides and bottom.
- Three Stainless Steel legs with casters. Can lock receiver for aligning and locking mix vessel to mixer. Interior coated with Black (PFA) Teflon. NEMA 7/9 Low Profile Thermocouple in bottom dish of Mix Vessel.
- All non-stainless steel exterior surfaces are painted Ross Off-White, a two-component epoxy coating."
Ross    PBA 1-36    Axial Flow Mixer        "- Vapor-tight, suitable for up to +2 psi internal pressure.
- Elastomers suitable for HCL and Ethanol.
- Air/oil hydraulic lift with anti-rotation hydraulic cylinder, requiring 80-100 psig air supply. Flow control valve, air operated two-hand operator safety pushbuttons and selector switch.
- Stainless steel type 316L wetted parts with Black (PFA) Teflon coating.
- Stainless steel type 316L mixing shaft with Black (PFA) Teflon coating operating between 9 & 90 rpm @ 6 – 60 Hz.
- Mixing Shaft is sealed via a Double Flexi-Lip Dry Running FDA MF Teflon Lip Seal with Viton O-rings. This seal design operates without using lubrication to prevent contamination with the product. Seal is installed in UHMW housing for easy removal/assembly.
- Mixing Shaft has one (1) – 7.5"" diameter, 4-blade, 45 degree pitched, Axial Flow Paddle Blade. The blade is attached to the bottom of the mixing shaft with an internal thread and sealed via a Viton O-ring between the blade hub and shaft. Blade will tighten as it spins with the shaft.
- Driven by a 1 HP, Explosion Proof (Class 1, Div. 1, Group D; Class 2, Groups F&G), VFD-rated, 10:1 speed range motor suitable for operation on 230/460 volt, 3 phase, 60 Hz power supply.
- Explosion Proof Safety Limit Switch to prevent operation of agitator while in the raised (non-mixing) position, or without a mix can in place.
- Cover, Vapor-tight and rated for +2 psig internal pressure when combined with matching mix vessel. T-316, 80-grit exterior finish with black PFA (Teflon) coating on underside.
- Two (2) - 4"" 150# RF flanges, two (2) - 1"" FNPT connections, one (1) - 6"" Sight & Charge port with Metaglass sight glass, one (1) - 1"" Tri-Clover port (pressure) and two (2) - 1"" 150# RF flange ports. ID of ports are Teflon coated. Viton Cover O-ring.
- Mix Vessel: 36 gallon working, 44 gallon full holding, rated for +2 psig internal pressure, 22"" ID x 24"" straight side and a dished bottom with a 2"" flush bottom Ball Valve with Tri-Clover outlet (bottom-center) located 14.5"" off the base.
- A heating/cooling jacket made from T-304 stainless steel, 80-grit finish and rated for 50 psig @ 350F is installed on the sides and bottom.
- Three (3) stainless steel legs with casters. Can lock receiver for aligning and locking mix vessel to mixer. Interior coated with Black (PFA) Teflon. NEMA 7/9 Low Profile Thermocouple in bottom dish of Mix Vessel.
- All non-stainless steel exterior surfaces are painted Ross Off-White, a two-component epoxy coating."
Ross Engineering        Stainless Steel Tank        300 Gallon
Ross Engineering        Stainless Steel Tank        300 Gallon
Rossental    Rosenthal Sheeter 2010    Foil Cutter       
Roth & Rau    Sina XXL    Plasma Coating        SiNa
Roth & Rau        Plasma Coating        SiNa
Roto Finish    ER 8 LRB    Roto Burr        8 Cubic Ft Capacity
Roto-Finish    RHF 36 144    Deburr Vibratory       
Rotoflex    VLI 330    Slitter Rewinder        13"
Rotoflex    DLI 30    Die Cutter       
Rousselle    SS 44           
Rousselle    SS 56           
Royal Intertrade    CNC    Engraving Machine        3-Axis
Royal Master        Centerless Grinder       
Royce    MP 300    Auto Placer       
Royce    580    Shear Tester        "Includes:
-2 moguls TMS-1K-20779 (1kG)
-1 moguls TMS-5K-12678 (5kG)
-1 moguls TMS-250G-12677
Software version: 42.08.21 and 42.15.09"
Royce    P 35           
Royce    System 226           
Royce    DE 35 i 6    Die Sorter       
Royce    620    Universal Shear and Peel Tester       
Royce Instruments    System 580    Universal Bond Tester       
RS Pro    IPS 2010    Power Supply       
RSM    MP 209    Mechanical Platform       
RTA    Nobreak            "- 50KVA
- 380V (in)
- 380V (out)"
RTA    Nobreak            "- 50KVA
- 220V (in)
- 220 (out)"
RTA    Nobreak            "- 50KVA
- 380V (in)
- 220V (out)"
RTA    Nobreak            "-160KVA
- 380V (in)
- 380V (out)"
RTA    Nobreak            "-65KVA
- 380V (in)
- 380 (out)"
RTC        Reflow       
RTMA    110    Resistance Box       
Ruben    A 21    Main Reactor       
Ruben    J 2101    Monomer Delay Tank       
Ruben    J 2102    Catalyst Delay Tank       
Rucker & Kolls        Microscope       
Rucker & Kolls    260    Manual Probing Station       
Rucker & Kolls    260    Manual Probing Station       
Rucker & Kolls    260    Manual Probing Station       
Rucker & Kolls    199    Manual Sanding Station       
Rucker & Kolls    199    Manual Sanding Station       
Rucker & Kolls    240    Wafer Probe Station       
Rucker Kolls               
Rudach    300           
Rudolph    FE-VII        6", 8"   
Rudolph    Auto EL    Automatic Ellipsometer        "- 155 Volts
- 50/60 Hz
- 130 W"
Rudolph    S 300    Inspection System    12"    "- Two cassette plates for open 200 and 300 mm cassettes
- High speed random access robot with proprietary high throughput Queued Loading software
- High accuracy X, Y stage
- Single-spin virtual flat/notch finder
- Clean room compatible mirror-finish stainless steel cabinetry for through-wall or ballroom system installation"
Rudolph    SpectraLaser 200 XL    Spectral Ellipsometer    8"    "- Electrical ratings: 4900va
- 50/60 Hz
- Max single load: 5 amps at 220vac
- Main breaker: 10,000 AIC RMS"
Rudolph    Macro Defect WV 320 YVS SERVER    YVS Server    12"   
Rudolph    Waferview 320    Macro Defect    12"    "- 2 loadport with FOUP capable (Brooks)
- RFID type
- Robot: Brooks
- Resolution: above 25um
- Special Function: backside inspection"
Rudolph    AutoEL III    Ellipsometer       
Rudolph    Auto pol IV    Polarimeter       
Rudolph    Autopol V    Polarimeter       
Rudolph    F 30    Optial Defect Review System       
Rudolph    Auto EL    Ellipsometer       
Rudolph    FE-IV    Ellipsometer       
Rudolph    AutoEL    Automatic Ellipsometer       
Rudolph    NSX 105    2D Inspection        ASI motherboard is damaged and related parts have been discontinued
Rudolph    AXI 935 D    Defect Inspection    12"   
Rudolph    FEVII    Ellipsometer       
Rudolph    FEIII    Ellipsometer       
Rudolph    NX 320    Macro Defect Inspection       
Rudolph    NSX 105    AOI        - Turret motor and control board damage
Rudolph    MetaPulse 200 X Cu    Metrology Tool       
Rudolph / August    NSX 90    Inspection System       
Rudolph / August    NSX 90    Inspection System       
Rudolph / August    NSX 105    Inspection System       
Rudolph Research    AutoEL SS 1 4 D    Automatic Ellipsometer        "- Fuse: 3 Amp Slo Blo
- 115V
- 50/60 Hz
- 225W"
Rudolph Research    AutoEL II 1 4    Automatic Ellipsometer        "- 115V
- 50/60 Hz
- 130W"
Rudolph Tech    AXI 935 D    Macro Defect InspectionSystem    12"   
Ruhlamat    WCE 2000    Auto Wire Embedding Machine       
Ruhlamat    BE 3 ZM Gong    BS Housing Assembly       
Ruhlamat    Saishuai Ji    Housing Assembly       
Ruhlamat    MS 610           
Ruhlamat    MS 620           
Rui Yi Technology        Pre-Bending Tool        Semi-Auto
Rui Yi Technology    VFH C 3.0    Bending Tool        - Semi-Auto
Rui Yi Technology    VT-H C 3.0    Auto Machine       
Rui Yi Technology    VT-S    Tooling        - Semi-Auto
Rui Yi Technology    VT-T    Tooling        - Semi Auto
Rui Yi Technology    NH C 5.0    Prebend Tooling       
Rui Yi Technology    NH C 4.0    Prebending Tooling       
Rule    25 Sb    Pump        "- 12V
- 500GPH
- 2.5Amp"
RuLong    SS-511MS    Shaper       
Rush    MX 203           
Rutil        Vertical Inspection        "- Injection 1000cc
- Injection position: up
- With upper and lower middleframe lifter
- Heatingplate: 500 x 430
- Stationary injection unit
- Electric consumption: 40A"
Ruwac        Vacuum System       
Ruwac    DS 1150 C-B 1    Vacuum Unit       
Ruwac        Fume Extraction       
RVSI    LS-5700 DB    Lead Scanner   

2020 版权所有 厦门纪扬科技有限公司所有
首页 | 产品中心 | 服务中心 | 关于我们 | 新闻中心 | 联系我们
-->