首页 > 产品中心 > 备件清单733
产品中心
备件清单733
型号:1
品牌:进口
应用:工业设备
单价:¥1
产品描述

RVSI    LS-5700 DB    Lead Scanner       
RVSI    LS-5700 DB    Lead Scanner       
RVSI    WS 3480    3D Inspection Tool       
RYOBI    1802 M    Cordless Drill        118 VDC
Ryobi    3985 / 9985    Press       
Ryobi    500 K    Press       
Ryobi    3985 / 9985    Press       
Ryobl    DP 102 L    Drill Press        "- 120V
- 60Hz
- 570-3 050 RPM
- 10 in. (254mm)
- with Laser"
Ryobl    DP 121 L    Drill Press        "- 120V
- 60 Hz
- 500-3000 RPM
- 12"" (305mm)
- with Laser"
RZ Enterprises        Stepper    8", 12"   
S & A    4220 A    Test Handler       
S C Energy    SC LSS 3000 CS    Cell Edge Isolation Machine       
S&A    4220 A    Test Handler       
S3        CDC Photoresist Spinner       
SA Tech        Degater       
Sadai-Sekiki        Edger        Parts
Safeline    SL 2000    Metal Detector        "- S.S with a 6” by 4” opening
Mounted on Safeline conveyor SL1500
- Belt type: intralox
- Belt speed: 189 fpm"
Safeline    SL 2000    Metal Detector        "- S.S with a 6” by 4” opening
Mounted on Safeline conveyor SL1500
- Belt type: intralox
- Belt speed: 189 fpm"
Safeline    Tablex 2 S    Metal Detector       
Safeline    PH 2    Metal Detector       
Safeline    PH 4    Metal Detector       
Safeline    PH 2 V 3    Metal Detector / Deduster Combo Unit       
Safeline    Tabletex    Tablet Metal Checker       
Safeline    PH 2    Tablet Metal Checker       
Safeline        Metal Detectors        Lot of 5
Safeline        Metal Detector Tablet       
Safeline    Tabletex    Metal Checker Table       
Safeline    PH 2    Metal Checker Tablet       
Safety Speed    H 4    Panel Saw       
Sage    EKC 382    Wet Bench       
SAGE Enterprises    Theta 150    Thermal Resistance Tester       
Sager    EPP-150-12    Power Supplies        150 Watt / 12 VDC
Sagitta    ECP 2000    "Cross-Section System
"       
Saico    MX 36    Spraying Room        - Dim 3000*5500 mm
Saico        Drying Oven        - Dim 2500*3700 mm
Saida    TRS 150    Edging / Centering Machine        -110V
Saintech Ion Systems    ST 3000    2200 W Ion Beam System       
Saki    BF 18 D P 40    Automated Optical Inspection (AOI)       
Saki    BF Voyager    AOI Automated Visual Inspection       
Saki    BF Voyager    Desktop AOI       
Salon Teknopaja        Drop Tester        Stand alone system for PWB level testing.
Samil    TO-220    Trim System       
Samil    TO-220    Form/Singulation System       
Samil    TO-220 LG    Trim/Form Module       
Samil    TO-220 LG    Singulation Module       
Samil Tech        Adhesion Promoter        Automated, Strip to Strip Handling using Magazine
Samsung                K 4 B 8 G 1646 D-MMK0
Samsung                K 4 B 4 G 1646 E-BMK0
Samsung                K 4 T 1 G 164 QJ-BCE 7
Samsung                K 4 B 4 G 0846 D-BYK0
Samsung    CP 50 CM           
Samsung    52 / 520 DX    Monitor       
Samsung    M 34    Oxygen VSA Plant       
Samsung    CP 40    Pick and Place       
Samsung        SMT Line        "- Samsung SM481 High Speed Flexible Chip Mounter
- Samsung SRF70i82 Reflow Oven
- PDT Tech NPS510 Screen Printer
- Samsung LD-300E Handling System
- Samsung UL-300E Unloader
- Samsung WT-200XLE Advanced Flexible Chip Mounter
- Ingersoll-Rand SSR-EP30SE Air Compressor
Includes Feeders:
   -75 / 8mm
   -16 / 12mm
   -3 / 16mm
   -3 / 24mm
   -2 / de 32mm"
Samsung    WS P 500    Auto Air Control Window Press       
Samsung    HT 5508    Automatic OCTA Disassembler       
Samsung    HT SS 08    Automatic OCTA Disassembler       
Samsung    GH 81-15294 A    Air Window Press       
Samsung               
Samsung        PC Monitor        32"
Samsung    LD 300 E    Magazine Loader        "- 1 Phase
- 220 VAC
- 350 Kg
- 60 Hz"
Samsung    CC 800    Connection Conveyor        Power consumption: 0.3kVa
Samsung    SM 481    Advanced High Speed Flexible Mounter        "- 39,000 CPH(Optimum)
- 1 Gantry x 10 Spindles/Head
- Applicable part: 0402 ~ □42mm (H 15mm)
- Applicable PCB: 460(L) x 400(W)(Standard), Max. 740(L) x 460(W)(Option)
- Includes 98 feeders, 1 step tray feeder and 12 nozzles."
Samsung    SRF 70 i 82    Reflow Oven        "- 1650 kg
- 46 KVA
- 50/60 Hz
- 3 Phase
- 220 V"
Samsung    WT 200 XLE    Inspection Table       
Samsung        Conveyor Output       
Samwell    ALP-12 D    Central Pressurized Polishing Machine       
Samwell    ZQ-200 A    Corners Pressurized Fiber Polishing Machine       
Samwell    ALP-12 D    Central Pressurized Polishing Machine       
Samwell    ALP-12 D    Central Pressurized Polishing Machine       
Sandvik    LT 1012    Oxide Furnace       
Sanf Pharma        Pressure Vessel       
Sankyo    SWH 80    Wet Salicide Strip Bench    8"   
Sankyo    SWH 80    WET Oxide Etch Bench    8"    Automated
Sankyo    AD Series    Cam Indexing Unit        "- 12 stop
- 270*"
Santec        3 Axis Proto Trax Milling Center       
Santec    TSL 210    Tunable Semiconductor Laser       
Santec        Automation Dejunk System       
Santec        Dejunk Die Set Module        28 Pin PLCC
Santec    PLCC DJK Master            28/68L
Santec        Trim Form System       
Sanyo    Fitotron    Growth Chamber       
Sanyo    MLR 351 H    Growth Chamber       
Sanyo    3500    Feeders       
Sanyo / GSM        Feeders       
Sanyo Medicool    MPR-161 D    Pharmaceutical Refrigerator       
Sapphire        Bespoke System       
Sapphire Automation    IPESCAN 005 / IRIV 03 v 00    Wafer ID Laser Writer Reader       
Sapratin    RPN 4000    Oven       
Sarget    Thermonitor    IBM Voltammetric Analyzer       
Sartorius        Precision Balance       
Sartorius    ME 235 S    Balance       
Sartorius    CP 323 S 0 CE    Precision Balance       
Sartorius    Quintix    Precision Balance       
Sartorius    pHBasic    pH Meter       
Sartorius        Balance        - not in working order
Sartorius    Sartocheck 3    Filter Integrity Tester       
SAS        Air Filtration System       
SAS    SS-400-DCH-C           
Satisloh    T 04    Parts Washer       
Satisloh    T 10            - With DI recirculation system
Satisloh    SPS-55    4-Axis Polisher       
Satisloh    150    Vacuum Deposition System        "- Balzers diffusion pump
- Balzers DUO 100 mechanical pump
- WKP 500 A blower
- 3 resistance sources
- Glow discharge plate
- Various shields included
- Sigma SQC 122 deposition controller
- Glow discharge
- Pressure control
- Pump control
- MKS 250B bleed control
- Original ophthalmic/eye wear spring tools.
- Tooling stand for set up and installing of coating fixture"
Satisloh    SPM 120 2 SL    Generator        "- Controller / computer not working
- Centrifuge missing
- Spindle not running true"
Satorius Analytic    210 P    Digital Scale        Type A
Satorius Lab Instruments GmbH    SECURA 513-1 S    Powder Balance       
Saunders & Associates    4210 A    Test Chamber       
Savage        500 Ton Press       
Savin    C 5050           
Savin    9033           
Saw Tech Engineering        Large Bandsaw        "- 112"" W x ""92"" D
- Cut height “Z”: 18.0”
- Cut Length “X”: 30.0”
- Cut width “Y”: 12.0” Machine Cuts bow < 0.005”/ft
Improvements made:
- New ball screws X and Y
- New linear bearings X and Y
- New Feed motors X and Y ( Feed rate is 0 to 0.200” per minuet)
- New analog controllers for both the X and Y feed motors
- New bearings in both upper and lower guide wheels
- New main drive bearings
- New pads on upper and lower guide wheels"
Sawa        Nozzle Ultrasonic Cleaner       
SBD    MG 100 / 3000    Bending Machine       
SBT    MS 508    Disc Punch        3mm
SC    SC-SM 0202 A    Cleaning Machine for Graphite Boat       
SC    SC-SY 0201 B    Cleaning Machine for Quartz Boat       
SC    DS 300 A    High-Temperature Diffusion Furnace       
SC    DC 062000    Cleaning Equipment for Removing PSG       
SC    PD 380    Tube PECVD       
Scanditronix / Wellhofer    LX Chroma    Color Photometer        - with sensor
Scannertech        Ball / Lead Scanner       
Schaefer    EPS 2001    Crimp Press       
Schaefer / Megomat    ASM 3300    Wire Cutter       
Schaevitz    C 3 A    Rotary Accelerator       
Schalz    JU 45 E DUMMI    Easy Lift        30 kg. max
Schalz    JU 45 E DUMMI    Easy Lift        45 kg. max
Schelling    FW 330 / 330           
Schenck        High Speed Balancing Machine       
Schenck        High Speed Balancing Machine        - Maximum Rotor 35 T
Scherr Tumico    20-3500    Optical Comparator       
Scheugenpflug    C 3437           
Schleuiger    UniStrip US 2300           
Schleuniger    PS 9500    Cutting Machine       
Schleuniger    TM 66    Cable Tie Binder       
Schleuniger    FO 7045    Cable Stripper       
Schleuniger    HotStamp 4140           
Schleuniger    PF 4350    Pre-Feeder       
Schleuniger    AFS-5000           
Schleuniger    WS 3150    Wire Stacker        Conveyor for circuits of 7.5 meters
Schleuniger    UC 3750    Wire Cutter and Stripper       
Schleuniger    PF 2000            with owners manual
Schlumberger    S 9000 GX           
Schlumberger    IDS 10000    Tester Workstation        "With:
- Low Energy Sem Column
- Turbo Molecular Pump
- Ion Pump
- 256 Pin Load Module
- Power Supply & E-Beam Unit (50/60 Hz - 200/240 V)"
Schlumberger    IDS 5000 ZX    E-Beam       
Schmalz    JumboFlex    Crane       
Schmid    SP 7000    Etch       
Schmid    Combi Line    Chrome Etcher       
Schmid    Combi Line    Aluminum Etcher       
Schmid    56 09 0398    Acid Texture Wet Bench       
Schmid    56 09 0399    Acid Texture Wet Bench       
Schmid    56 09 0400    Acid Texture Wet Bench       
Schmid    57 09 0401    Phosp. Glass Removal Wet Bench       
Schmid    57 09 0403    Phosp. Glass Removal Wet Bench       
Schmid    57 09 0405    Phosp. Glass Removal Wet Bench       
Schmid    57 09 0407    Phosp. Glass Removal Wet Bench       
Schmid    58 07 458    Final Clean Wet Bench       
Schmid    58 07 459    Final Clean Wet Bench       
Schmid    58 09 0397    Final Clean Wet Bench       
Schmid    61 08 0091    Phosp. Glass Removal Wet Bench       
Schmid    61 08 0091    Acid Texture Wet Bench       
Schmid    61 08 0153    Etch Isolation Wet Bench       
Schmid    61 09 0402    Etch Isolation Wet Bench       
Schmid    61 09 0404    Etch Isolation Wet Bench       
Schmid    61 09 0406    Etch Isolation Wet Bench       
Schmid    61 09 0408    Etch Isolation Wet Bench       
Schmid    56    Texture Etching       
Schmid    61    Chemical Etching       
Schmid        Phosphor Doper       
Schmid        Chemical Etching       
Schmid        Texture Etch       
Schmid    25    Cleaning Machine       
Schmid    58            - Productivity: 6000 wafer/hr
Schmid    58            - Productivity: 6000 wafer/hr
Schmid Tech        Doper        P-Deposition
Schmidt    29 314 01    Press        "- 9400lbs capacity
- 4in stroke"
Schmoll    MX 6-21    CNC Drill       
Schneider    SCG 121    Aspheric Grinder       
Schneider    SLC 40    High Speed Machine       
Schneider        Tilt Spindle       
Schneider    GDF 6 C    2 Bank Spindle       
Schneider    6 UR 8    8 Bank Spindle       
Schneider        4 Bank Spindle       
Schneider    ALG 200    CNC       
Schneider    SLG 301-CNC           
Schneider    SLG  - S 2/1           
Schneider    SLG  - S 2/2           
Schneider Electric    NSYCU 3100 W 400 VR    Air Conditioning       
Schneider Electric        Mushroom PB Guards        30mm
Schneider Electric        E Stop Actuators        30mm
Schneider Electric        Momentary Push Button        30mm
Schneider Electric    KA    Contact Block       
Schneider Electric    KA 2    Contact Block       
Schneider Electric    KA 3    Contact Block       
Schneider Electric        Selector Switch        "- 30 mm
- 2 Position"
Schneider Electric    9001 KM 38    Lamp       
Scholtz        Autoclave        for DLF dimensions
Scholtz    V 68100 L    Autoclave        - No Cooling Exchanger Available
Scholtz    V 50000 L    Autoclave        - No Cooling Exchanger Available
Schott    AVS 350           
Schreiber    2000 AC    Chiller       
Schreiber    1000 AC    Chiller       
Schreiber    500 AC    Chiller       
Schumacher        Bubble Housing       
Schumacher    237020    Liquid Dispense System (LDS)       
Schumacher    237021    Liquid Dispense System (LDS)       
Schumacher    Absolute    Temperature Controller       
Schumacher    STC 115    Temperature Controller       
Schumacher (Air Products)    223346           
Schumacher (Air Products)    216790           
Schumacher (Air Products)    218215           
Schunk    Cat 50    Hydraulic Tool Holders & Reducing Collets       
Schunk    Minic III    Ultrasonic Welding Machine       
Schutte    WU 305    CNC Grinding       
Schutte Buffalo Hammermill    WA 25 H Hammermill 40            HP 3/50/380/63-68amp
Sciencetemp    40-9.4    Freezer       
Sciencetemp    40-3.1    Freezer       
Scienceware        Gas Hood       
Scienfific Test    ST 5000 E    Electrical Characteristics Tester       
Scienion    sciFLEXARRAYER    Material Deposition System       
Scienscope    View-X    X-Ray       
Scienscope    X-Scope 2000            "Real time imaging
Board Size: Min. 2” x 2” Max. 24” x 18”"
Scienscope    View X    X-ray Inspection System       
Scientech    SP-W 813    Oxide Etch    8"   
Scientek Technology    Stacis 2100        12"   
Scientek Technology    MSP 2300 XP    Particle Deposition    12"    "- Manual wafer load of 150, 200 & 300mm wafers
- NIST traceable, sizing accuracy, 80nm to 1um
- DMA for high resolution, size accuracy, 80nm 1 1um"
Scientemp        Freezer        "- CRA Process
- Parts Machine"
Scientific Atlanta    1844-3    Digital Position Indicator       
Scientific Atlanta    4100    Positioner Control Indicator Series        "Model: 4111
Watts: 1k
Volts: 115
Cycles: 50/60 Hz
Notes: on/off light is not working, adjustment controls working 2-116 VAC, forward/reverse switch working, equipment seems to be working but unable to test without motor"
Scientific Sealing    MV 2200 CE           
Scientific Sealing Technology    MV 2200    Programmable Sealer       
SciGene    GenePix 4200 A    Air Flow Machine       
Sciion        Contact Nano Contact Printer with PC Control       
Scilogex    OS 20 S    Stirrer for Hot Water Bath       
Scilogex    MS-H-S    Hot Plate       
Scinco    Nicole iS 10    Microscope       
Scintag    X 1    X-ray Diffractometer        "Include all accessories like software, manual, extra x-ray tubes
"
Scotchman        Abrasive Cut Off Saw        "Includes:
- Machine Vice
- Coolant & Transformer"
Scotchman Industries        Cutoff Saw       
Scott Equipment        Automatic Plastic Index Tab Machine       
Screen    WS 820 L           
S-Cubed    Force 300    Wafer Edge Exposure Station        - 12" Load Port
SculpSure               
SDL Atlas    M 229 P    PnuBurst Digital Bursting Tester        480 mm (W) x 560 mm (D) x 805 mm (H)
SDL Atlas    M 008 HE    PowerTear High Energy Elmendorf        620 mm (W) x 460 mm (D) x 460 mm (H)
SDL Atlas    M 232    Spray Rating Tester        230 mm (W) x 250 mm (D) x 500 mm (H)
SDL Atlas    M 240 I    Pick Glass with Point        "1""
66 mm (W) x 32 mm (D) x 128 mm (H)"
SDL Atlas    M 247 B    Scorch / Sublimination Tester        231 mm (W) x 440 mm (D) x 244 mm (H)
Seagear                - 6 Tank
Sealed Air    Speedy Packer Insight    Foam Bagging Machine       
Sealersales    W 455 A    Automatic Sealer       
SEC    860    Flip Chip Bonder       
Secron    SDB 30 B+    Die Attach       
Secron    TKSP 400 S    Screen Printer       
Seebrez               
Seho    1135 C    Wave Soldering       
Seho    4435            "- 50/60 Hz
- 240/415 V"
Seho    1000 / Type 1135C    Wave Soldering Machine       
Seho    Soldermachine    Wave Solder       
Seho        Stacking Station for Solder Frame       
Seho        Stacking Station for Solder Frame       
Seho Lotenlagn    4435    Reflux Oven       
Seho Lotenlagn    1135 C    Wave Welder       
Seho Lotenlagn    4435           
Seho Lotenlagn    1135 C           
Seho Soldermachine    8040            
Seiko    SEA 5220    XRF       
Seiko    SEA 5120 A    XRF        - Bad Detector
Seiko    DSC 6200            - Furnace cell might not be accurate
Seiko    ColorPainter M 64-S    Printer       
Seiko    ColorPainter M 64-S    Printer        Parts Machine
Seiko / Epson    NS 6040    Handler       
Seiko / Epson    G 6    Robot       
Seiko / Epson    NS 5000 F 4    Handler        "- with GPIO tester interface
- no options such as rotator or docking plate heater"
Seiko / Epson    NS 6040            "- The P & P mechanism is damaged, unable to operate normally
-The computer circuit board is damaged"
Seiko / Epson    NS 6040            - Key parts are missing
Seiko / Epson    PS 3 AS 00    Robot Arm       
Seiko / Epson    NS 6040    Handler       
Seiko / Epson    NS 6040    Handler       
Seiko / Epson    NS 6040    Handler       
Seiko / Epson    TR 55    Handler       
Seiko / Epson    TR 60    Handler       
SELA    EM 2    Automated TEM and SEM Sample Preparation System        "- 220 V
- 60 Hz"
Semco    DF 550-8    3 Tube Stack Diffusion Horizontal Furnace       
Semco        Sinter Oven Furnace       
Semco        Diffusion Oven Furnace       
Semco        Oxidation Oven Furnace       
Semi Gas        Ammonia Gas Storage Cabinet       
Semi Gas        Ammonia Gas Storage Cabinet        3 Storage
Semi Gas        Cabinets       
Semicaps    SOM 3000    Scanning Optical Microscope    12"   
Semicaps    SOM 4000    Inverted Analytical and Tester-Docked Scanning Optical Microscope System       
Semiconductor Equipment Corp    430    Hot Gas Jet Module       
Semiconductor Equipment Corp    430    Hot Gas Jet Module       
Semiconductor Equipment Corp (SEC)    4000 HGMS    Hot Gas Die Bonder       
Semiconductor Equipment Corp (SEC)    3150    Manual Wafer Mounter    8"   
Semiconductor Equipment Corp (SEC)    360    UV Exposure System       
Semiconductor Systems Inc (SSI)    NCDNC (2)-105    Track System       
Semiconductor Technologies    MGE 2000 A    Amplifier & Cables        "- 110V/3A
- 50Hz"
Semicore        E-Gun Thermal Evaporator        "Four Electron Beam Crucible Pockets
- A set Thermal/Resistive of posts
Sample Mounting:
- Planetary Mounts (Conformal Coating)
   25 - 3 inch wafers
   8 - 4 inch wafers
   10 - 6 inch wafers (2 planetary) - cross drilled enabling the mounting of small parts
    Lift-off Mount (Non-Conformal Coating)
Components:
- Automated deposition controller
- Quartz Crystal Thickness/Rate Monitor
- Dry Pumping Package
- Cryo high vacuum pump
- Dry roughing pump
- Average pump down time of 1.5 hours from atmosphere to 1x10-6 Torr
- Chamber/Sample Heating (Heat Lamps)
- Additional electrical feedthroughs for accessories
Materials:
- Alumina (Al2O3)
- Aluminum (Al)
- Chromium (Cr)
- Colbolt (Co)
- Copper (Cu)
- Germanium (Ge)
- Gold (Au)
- Iron (Fe)
- Molybdenum (Mo)
- Nichrome (NiCr)
- Nickel (Ni)
- Palladium (Pd)
- Platinum (Pt)
- Silicon (Si)
- Silicon Dioxide (SiO2)
- Silver (Ag)
- Tantalum (Ta)
- Titanium (Ti)
- Titanium Dioxide (TiO2)
- Tungsten (W)"
Semicore    SC 8667    Sputter Deposition System       
Semics    Opus 2    Wafer Prober       
Semics    Opus II    Wafer Prober       
Semics    OPUS II           
Semics    OPUS III           
Semi-Gas Systems    CS 350 A    Cylinder Scale        - Freon 116 Helium
Semi-Gas Systems    Helium-Freon 116    Purge System       
Semi-Gas Systems        TEOS Cabinet       
Semilab    WT-2500    Lifetime Tester       
Semilab    WT-2500    Lifetime Tester       
Semilab    Epimet 2    Thin Film Epi Measurement System    8"   
Semilab    WT 2000 D    Lifetime Tester        With Digital Manual
Semilab    WT 2000 D    HS-CLT       
Semilab    IR 50    Flaw Detector       
Semilab    WT 2000 P    LT       
Semilab    IR 3100 S    Micro-spot Spectraocopic Ellipsometer    12"    "- CIM: SECS, GEM
- Process: Dielectric characterization
- FOUP: Qty. 2
- Handler System: Brooks Robot"
Semilab    PLB 55 i    Infrared Block Imaging System        "- 100-240B, 8A
- Resolution: 150um / pixel
- Max size of silicon brick: 210 x 210 x 500mm"
Semilab    RT 1000    Electrical Resistivity Measurement Device        "- 110-240B, 0,5A
- Measurement range: 0,01-20"
Semilab    RT 1000    Electrical Resistivity Measurement Device        - 110-240B, 0,5A
Semilab    RT 1000    Electrical Measurement Device        "- Contactless
- Determines p-, n-conductivity of semiconductor materials
- 230B, 50tu"
SemiProbe    SA 8    Semiautomatic Probe Station       
Semistar        RIE PECVD        "- Heated substrate up to 250oC
- Comes with turbo & blower/rough pump package.
- Multi-gas port control
- Turbo control
- Substrate heater control"
Semitest    X 0015    Epimet 2 Wafer Resistivity Monitoring System with Treatment       
Semitool    VTP 1500    Furnace    8"    Dry Oxide 1050C O2/HCL 100 wafer max.
Semitool    VTP 1500    Furnace    8"    Dry Oxide 1050C O2/HCL 100 wafer max
Semitool    VTP 1500    Low Pressure Chemical Vapor Deposition (LPCVD) Furnace    8"    Nitride DCS/HCl/NH3 100 wafer 8"
Semitool    VTP 1500    Low Pressure Chemical Vapor Deposition (LPCVD) Furnace    8"    Teos Oxide Schumaker Teos Source 75 wafer
Semitool    Paragon LT-210    Plating Tool    4"   
Semitool    SAT 2140 S        8", 12"    HF, NH4, DIW
Semitool        Spin Rinse Dryer (SRD)       
Semitool        Spin Rinse Dryer (SRD)       
Semitool    880    Spin Rinse Dryer (SRD)       
Semitool    R 310 FMC 2    Semitool Raider Electroetch    12"    "- Full load current: 30 amps
- Frequency: 60Hz
- Largest motor or load: 20 amps
- Short circuit interrupt: 65,000 amps"
Semitool    Raider GT ECD    Copper Plating Tool    12"    "- Raider 12 chamber FM4910 cabinet
- Delta Tau automation and control center
- Enhanced ULPA mini environment with ionization system
- Tool operation, data logging & GEM SECS 300 software
- 3 FOUP load / unload ports & Buffer Station"
Semitool        Spin Rinse Dryer (SRD)        - Dual Stack
Semitool    SD 2700    Spin Dryer       
Semitool    ST 2600    Spin Rinse Dryer    4"   
Semitool    ST 260    Spin Rinse Dryer (SRD)        120 VAC / 15 Amp
Semitool        Spin Rinse Dryer (SRD)    8"    Single Stack
Semitool    Equinox EQ 212 PMPLTNG 150        4"   
Semitool    328    Upright Dryer       
Semitool    Raider M            Single Head
Semitool    Equinox           
Semitool    260           
Semitool    VTP 1500    Low Pressure Chemical Vapor Deposition (LPCVD) Polytube        SiH4
Semitool        Dual Stack Spin Rinse Dryer        "- Dual stack spin rinse dryer.
- Customized with PSC 102 controllers positioned on top."
Semitool    Magnum           
Semitool    8600    Spin Rinse Dryer (SRD)       
Semitool    4600    Spin Rinse Dryer (SRD)        Single Stack
Semitool    262 08    Spin Rinse Dryer (SRD)       
Semitool    8600    Spin Rinse Dryer (SRD)       
Semitool    ST 260 D    Spin & Dry Tool       
Semitool        Spin Rinse Dryer (SRD)       
Semitool        Spin Rinse Dryer (SRD)       
Semitool        Spin Rinse Dryer (SRD)       
Semitool        Spin Rinse Dryer (SRD)        - Parts and Rotors
Semitool        Spin Rinse Dryer (SRD)       
Semitool    Raider    NiFe Plating       
Semitool    ST 260 D    Spin Rinse Dryer       
Semitool    240 S-3-1-E-ML    Spin Rinse Dryer (SRD)        "- PSC-101 Controller
- Firmware version: 5.1
- Info on spinner: 2800 RPM max, H-BAR IN, BAL. 0-25
Voltage: 120
Phase: 1 ph 3 wire
Full load current: 15 amps"
Semitool    Raider ECD 312    Plating       
Semitool    Raider RE 10 O 3 ECD 0806            "Broken or Missing Parts:
- ATMI Silicon Paste Kit
- O-Ring 6 Mm X 2 mm
- O-Ring 6 Mm X2 mm Epdm
- Lamp Replacement Photospec Analyzer
- Amplifier Motor Digiflex
- Valve Block Tank 2
- V-Band Assembly, CFDIII 200mm (Clampco)
- Brd Assy Line Driver (for 61525-02)
- Rotor Asm Pltng 100a Belws Hg N2 200m
- Bps-3.6/Controller/Plc Module
- Motor Schematic Drivehead Asm
- CP6 Spin Motor
- CP5 : Spin Amplifier
- CP3: Levitronix Pump Danneggiata
- Controller And Plc Prelevati for  CP4 .
- CP4/CP8 /CP2 :  Fails of Motor Amplifier
- TR / PR Robot (Paddle Missed)
- TR Robot  Controller
- CP4   Levitronix Pump And Plating Power Supply
- CP7 :
    - Rotor
    - Shield Weir
    - Spin Driver Card
    - CPc Flow
    - CP8 Levitronix Pump
- Tank 2 Pump
- Tank 3 Pump
- Main PC Broken"
Semitool    ST 270    Spin Rinse Dryer       
Semitool    470 S    Rinser / Dryer       
Semix    Tazmo 6132 L    Sog Coater        Bsog901
Semix    Tazmo 6132 L    Sog Coater        Bsog902
Semix Tazmo    8131    SOG    6"   
SEMSYSCO    Galaxy    Batch Resist Strip Tool    12"    "- 2 x CRD-Chambers (Chemistry Rinse & Dry) Chambers
- 2 x SRD-Chambers (Spin, Rinse &  Dry) Chambers
- Staeubli Robot
- 6 x FOUP Loadports"
Senju        Reflow Oven 7 Zones       
Sensortek    TS 4 ER    Controller       
Sensovation        Electroluminescence (EL) Camera       
Sentech    SE 800    Spectroscopical Ellipsometry       
Sentrex    PCLC 650    Power Conditioner       
Sentro Tech        Oven       
Sentry    20           
Sentry    21    Tester        120 Digital Pins, 20 MHz
Sentry    21    Tester        60 Digital Pins, 20 MHz
Sepragen    Superflo            500 mL Prep Column with Stand
Serbig        Air Coil Winding Machines        "(QTY: 8) Serbig PNS-58
(QTY: 1) Serbig PRO-58
(QTY: 3) Serbig Unknown"
Serialtek    U 3051 C    SAS/SATA 3G/6G Protocol Analyzer       
Serop        RIES Equipment Automatic Cutting Station for Batteries Wafers       
Serop        Cover, Substrate, Battery Trays       
Serop        Test Tooling (Back Planes, Substrate Probe Cards, Stiffners)       
Serveno    Type 500    Peel Off Test Machine        with US 000735
Servo    7406           
Servo    M 7800    Mini-Mill CNC       
Servo    M 7875    Mini-Mill CNC       
Servo        Drill Head Parts        "Part # / Description:
- 2770 Assembly - Power Cord
- 3886 Assembly - Hand Lever
- 1077 Assembly - Hand Lever Clamp Ring
- 1075 Shaft Hand Lever
- 822 Knob
- 349 Assembly - Field
- 1054 Assembly - Column Clamp
- 1057 Nut Column Clamp
- 5294 Knob, 4 Prong Black Phen.
- 1056 Sleeve Column Clamp
- 5295 1/4-20 x 3"" Threaded Steel Stud
- 1155 Assembly - Armature
- 2794 Pulley
- 553 Bearing (2)
- 1745 6-32 x 3/16"" Socket Set Screw (2)
- 2798 Assembly - Cover
- 2808 Assembly - Worm Gear
- 2809 Assembly - Dial Bushing
- 1069-1 Assembly - Cross Shaft (inch)
- 1856 Assembly - Spindle Pulley
- 1849 Pulley Support Housing
- 2793 Spindle Pulley
- 3331 Washer Wave (2)
- 1207 Retaining Ring
- 1938 Retaining Ring (2)
- 5244 Bearing
- 5244 Bearing
- 2184-1 Assembly - Dial Indicator
- 2185-1 Assembly - Dial Indicator Rack
- 1061-1 Assembly - Down Stop
- 1874-1 Assembly - Quill Inch
- 1279 Bushing Rack (2)
- 1280 Spring Rack
- 3331 Washer Wave (2)
- 1879 Spindle
- 1067-2 Rack Quill
- 1847 Quill
- 5001 4-40 x 1/4 Phillips Pan Head Screw (2)
- 4926 SS Flat Washer (2)
- 1207 Retaining Ring (2)
- 5244 Bearing
- 5244 Bearing
- 3414-1 Assembly - Circuit Board
- 5603 Stud - Field (2)
- 1081 Gasket - Friction
- 1841 Clamp - Disc
- 1843 Drive - Ring
- 2977 Clip - Cover (2)
- 3805 Motor - End Bell
- 57925 Clamp - Dial Indicator
- 1080-2 Dial - Cross Shaft
- 1858-1,-2 Housing - Drill Press
- 3762-1 Cover - Bottom
- 811 2-56 x 3/16 Phillips Pan Head Screw (4)
- 5060 8-32 x 5/16 Long Socket Set Screw w/ Nylon Patch
- 796 6-32 x 3/8 Socket Head Cap Screw (6)
- 5063 6-32 x 3/16 Half Dog Pt. Socket Set Screw
- 507 10-32 x 3/16 Half Dog Pt. Socket Set Screw
- 1117 8-32 Hex Nut (4)
- 520 #8 Split Lockwasher (2)
- 1118 #8 Lockwasher (2)
- 555 Washer - Wave (2)
- 751 Washer - Fiber
- 790 Washer - Wave
- 739 Retaining Ring
- 821 Retaining Ring
- 1864 Heyco Clip
- 1291 Bushing
- 1310 Seal Felt
- 899 Cap Plug
- 952 Cap Plug
- 1292 O-Ring
- 2800 Drive Belt (2)
- 1409 Knob Speed Control
- 987 Power Switch
- 1149 Circuit Breaker 1.8A
- 573 Brush Cap (2)
- 5744 Brush Holder (2)
- 1283 Brush (2)
- 1859 4/40 x 1/2 Socket Head Cap Screw
- 2481 4-40 x 3/8 Socket Head Cap Screw (3)
- 944 Chuck Albrecht
- 3254 Shoe
- 2792 Quill Lock Bushing
- 1777 1/4-28 x 1/2 Socket Head Cap Screw
- 1481 Shear Lock Thumb Screw
- 1836-1 Assembly - Quill (Inch)
- 4829 Assembly - Spindle Collet with Groove Pin
- 57659 Draw Bar
- 57272 Knob - Draw Bar
- 57861 Screw - Draw Bar
- 5667 Washer - Conical
- 1069-2 Assembly - Cross Shaft
- 2184-2 Assembly - Dial Indicator
- 2185-2 Assembly - Dial Indicator Rack
- 1061-2 Assembly - Down Stop
- 1874-2 Assembly - Quill
- 1067-3 Rack Quill
- 1080-3 Dial Cross Shaft
- 57997 Seal, Slinger, Chuck
- 1745 6-32 x 3/16 Lg Socket Set Screw (2)
- 5586 6-32 x 3/8 Nylon Filister Head Screw
- 57629 Seal, Slinger, Collet
- 1844 Spindle, Collet
- 803 Washer, shim (3)"
Servo / ASA    808 Omega            Automatic
Servo / ASM        DTFS System       
Sessco               
Sessco        Test Handler        TO-252 pkg. gravity to tube
Sessco        Test Handler        SOIC 300 mil gravity feed to tube
Sessco        Test Handler        TO-247 pkg. gravity to tube
Sessco        Test Handler        DF (pwrflx) pkg. gravity to tube
Sessco        Test Handler        TO-220/TO-263 pkg. gravity to tube
Sessco Technologies    M 28    Test Handler       
Setra        Quick Count High Resolution Counting Scale        "- Capacity 55Lb-25Kg
- Readability: 0.5G"
Setra    E 1-2005           
SFI    Endeavor            "- RF rack + cables are missing
- N.1 AL chamber 6""
- N.2 Titanium chambers 4”"
SFR    1130659    Surface Analysis System       
SG    2002 E 60    Water Purification System       
SG    GW 4050    Water Purification System       
Shandon    Histocentre 2    Embedding Center       
Shandong Gaotang Jieshen Equipment        Etch Hood       
Shang Bai        Sandblasting Machine        "- Main Power Supply = 220V
- Ground 1 ohm"
ShangHai    HG 1400    Potting Machine       
Shanghai China        Regulating Transformer        "- Square Box Type
- 2Kva, Output 0-250V
- Needs Calibration"
Shanghai Espec Environmental Equipment    SE TH A 040 L           
Shanghai Espec Environmental Equipment    SE TH Z 062 UF           
Shanghai Hyperway Electrionics Equipment    HW DM 306    Die Demounter       
Shanghai Jiele Electric    GST-EL 10000 A    EL Tester        - 1200W pixel single camera
Shanghai JieLe Technology    GST-EL-10000 A    EL Tester       
Shanghai JieLe Technology    GST-EL-10000 AI    EL Tester       
Shanghai Jintai        Automatic Cutting Machine       
Shanghai Junda & AE    DMM 4020 & PS / EQ 003 R 400 22    Dark Current Tester       
Shanghai Meiyin Equipment    SH 05 3    Magnetic Stirrer / Heater       
Shanghai Pubei    PAT 990 AFL    Auto Frame Loader       
Shanghai Shengpu Machinery    SPZ-210 G    Border Glue Machine       
Shanghai Shenhang Import and Export        Fleece, Automatic Loading and Unloading machine        5-way
Shanghai Taiyuanpack Equipment    ORGAPACK    Packaging Machine       
Shanghai Taiyuanpack Equipment    TP-9011    Packaging Machine        - Semiautomatic
Shanghai Xinhao Packaging Technology    OR-T 250    Packaging Machine        "- Hand held
- Automatic"
Shanghai Xinjian Equipment    QT 2    Curve Tracer / Oscilloscope       
Shanghai Yihen Tech    DZF 6020    Vacuum Oven       
Shanghai Yinhuan    ED 005 F / ZD 005 F    Baking Oven       
Shanklin    S 24 B    Sealer       
ShaoYang Huabao Radio    DF 4810    Curve Tracer / Oscilloscope       
Sharemate    STR 2688 N    Smart PCB Router       
Sharp    HMV    Vertical Turret Milling Machine        "-Accu-rite Millpower 2-axis CNC controller
-X-axis travel: 30""
-Y-axis travel: 12""
-Knee travel: 16''
-Power knee
-Pneumatic drawbar"
Sharp    2060 C    Gap Bed Lathe       
Sharp        Lathe       
Sharp    LMV 48    Verticle Mill       
Sharp    3 HV    Vertical Horizontal Mill       
Sharp    RD 1600    Radial Drill        "- Issues with clutch feed and gears.
- Minor oil leak
- Diameter of Column: 17""
- Distance Column to Spindle: 13-3/8"" to 63""
- Spindle Head Travel; 49-5/8""
- Distance Base to Spindle End: 13"" to 63""
- Elevating Height of Arm: 35-7/16""
- Area of Table: 27-5/8""x19-5/8""x15-3/4""
- Spindle Feeds: 0.003"" to 0.038"" (6 steps)
- Main Motor: 7-1/2 HP
- Elevating Motor: 2 HP
- Clamping Motor (column & gearbox): 1 HP
- Clamping Motor (arm): 1/2 HP
- Coolant Pump: 1/8 HP
- Spindle Taper: #5 MT
- Spindle Stroke: 14-9/16""
- Spindle Speed: 40-1, 920 RPM (12 steps)
- Machine Height from Floor: 124-56/64""
- Double Tube Column Construction
- adjustable Counter Balanced Spindle
- Clutched Spindle
- Closed Grained, Fully Ribbed Iron Castings
- Hardened and Ground Nickel-Chrome Alloy Steel Head Gears
- Arm is Mounted on Ground Slideways
- Safety Device to Prevent Arm from Dropping
Includes:
- Power Arm Elevation
- Coolant System
- Box Table
- Work Light"
Sharp        Mill       
Sharp    618 3 A    Surface Grinder       
Sharp    RD 820    Radial Core Drill       
Sharpertek        Ultrasonic Cleaning Machine        "- Multi tank
- 2 full size tank baskets
- Industrial strength
- PLC and HMI"
Sharples    Mark 111    Centrifuge        Basket Style
Sharples    Mark III    Centrifuge        "- Basket Style
- 5 hp, 480VAC, 3 p
- No rubber liner for the bowl.  Bowl is bare metal"
Shawn Seiki        Heater Assy       
Sheepscot        Dispensing System       
Sheetmaster    SM 30    Rosenthal Film Cutter       
Sheffield    D-8    CMM       
Sheffield    Cordax RM-30    Coordinate Measuring Machine (CMM)       
Shel Lab    SL    Oven       
Shel Lab    Oven FX 2    Lab Oven       
Sheldon    53201 504    Oven       
Sheldon    1430    Vacuum Oven       
Sheldon    1350 F 2    Atmospheric Oven       
Sheldon    1430    Vacuum Oven        "- 120v
- 9amp
- 60hz
- Includes Manual"
Sheldon        Lathe       
Sheldon Manufacturing VWR Scientific    1326    Oven       
Shell Lab        Vacuum Oven       
Shen Wai    SW 900 GH    Lathe        12" x 36"
Sheng Ji    GPC-A 386    MSMA Automatic Foot Cutting Machine       
Sheng Ji Precision    A 566    Matrix Micro SMA Automatic Glue Brushing Machine        - Main Power Supply = 220V
ShengPu Machinery Manufacturing (Shanghai_    SPZ 210 G    Border Rubber Injection Machine        - without pressure plate pump
Shengzhen Hans Laser    YAG 50    Laser Maker       
Shenkeda    S 2 3-IUTBA    Flex / PCBA Bonding Machine       
Shenyang    CA 6140 A    Lathe       
Shenzhen    Niak 2    Polycrystalline Fleece Machine        - 4000/h
Shenzhen / JT Automation    ECH 3 HP    Reflow Oven Cooling System       
ShenZhen JT Automation    ECH 3 HP    Cooling System Reflow Oven       
ShenZhen PengChengTong Electronics    PCT-W200    Washing Machine       
Shenzhen S.C                - 5 Lane
Shenzhen Sidea Semiconductor Equipment    PT 301 MAP D    Wafer Probe        Dual Side
Sherr-Tumico    P-2500    Optical Comparator        - with digital readout and stand
Shibarua    CDE-80 N    Chemical Drying Etcher    8"    Single chamber, microwave based, Oxide/Hole
Shibaura    HCIW LWSRHC L    Cleaner After Rubbing       
Shibuya    SBM 360    Ball Drop    8"   
Shibuya    SBM 351    Ball Mount System       
Shibuya Kogyo    SCL 5315    Laser       
Shijiazhuang Yidunsm        Homothermal Hot Plate       
Shimadzu    TOC-L    TOC-LCSH        ASI-L 40 mL, TNM-L
Shimadzu    GC-14 A    Gas Chromatography       
Shimadzu        Spectrophotometer       
Shimadzu    ALIS 100 X 26 C    LC Filling       
Shimadzu    UV 2450    UV Spectrophotometer       
Shimadzu    1700    UV Spectrometer       
Shimadzu    IR Prestige    Fourier Transform Infrared Spectroscopy (FTIR)       
Shimadzu    UV 1700    UV Spectrophometer       
Shimadzu    GC-17 A    Gas Chromatograph        "With FIDs
No computers or software available"
Shimadzu    QP-2010    Gas Chormatograph       
Shimadzu    GC-2010    Gas Chormatograph       
Shimadzu    RF 1501    Flourophotometer       
Shimadzu    UV-2600    Spectrophotometer       
Shimadzu    UV 2401    Optical Bench & Fluorescence Spec       
Shimadzu        Liquid Chromatograph Mass Spectrometer (LCMS)       
Shimadzu        Gas Chromatograph (GC)       
Shimadzu    EDX-720           
Shimadzu    SLPC TB AHU TB    Plasma-Enhanced Chemical Vapor Deposition (PECVD)       
Shimadzu    XRD 6000    X-ray Diffractometer       
Shimadzu    RF 5301 PC    Fluorescence Spectrophotometer       
Shimadzu    RF 6000    Fluorescence Spectrophotometer       
Shimadzu    RF 6000    Fluorescence Spectrophotometer       
Shimadzu    QP 2010 S    GCMS System        "- Single Quad
- 220-240 V
- 50/60 Hz
- 1000 VA"
Shimadzu    A 15    Transformer        "- 100V
- 15A"
Shimadzu    ALIS 100 X 26 II (S)           
Shimadzu    RF 5301 PC    Spectrofluorophotometer        - W/ computer
Shin Etsu    SE LCAS    Panel Assembly       
Shin Uel    SU 6118    6 Tank Auto Cleaner       
Shin Uel    SU-4040    Ink Removing Soak       
Shincron    CES 3    Coater       
Shingawa    SUS 47    Al Wire Bonder       
Shingawa    SWB FA US 7    Ai Wire Bonder       
Shingawa    ACB 35    Au Wire Bonder       
Shini    HS 221    Compound Dryer Machine       
Shinkawa    UTC 2000    Wire Bonder       
Shinkawa    ACB 400    Wire Bonder        "- Width 27.559 in
- Depth 27.559 in
- Height 73.228 in"
Shinkawa    ACB 35    Automatic Ball Bonder       
Shinkawa    ACB 1000           
Shinkawa    SPA 300    Die Bonder       
Shinkawa    SPA 300           
Shinkawa    SPA 200    Bonder       
Shinkawa    UTC 2000    Bonder       
Shinkawa    UTC 3000    Bonder       
Shinkawa    DAF SFA 01    Laminating Machine        Fully Automatic
Shinkawa    UTC 200 BI    Wire Bonder       
Shinkawa    UTC 1000    Wire Bonder       
Shinkawa    UTC 1000    Wire Bonder        "- Bonding method: Ultrasonic thermocompression
- Bonding speed: 67 ms/2mm wire (with loop control).  Depends on device conditions
- Bonding wire length: Max 8 mm
- Pattern recognition unit:
    - Detection method: Gray scale correlation
    - Detection speed: 0.11 s max. / 2-point alignment (including move time)
- Bonding area: X: 28mm / Y: 33mm
- Number of bonding wires: Max 8000 wires
- Applicable lead frame dims:
    - Width: 20 ~ 80 mm
    - Length: 95 ~ 262 mm
    - Thickness: 0.07 ~ 0.3 mm
- Loader / unloader: Magazine stacker type
- Indexer: Center-parting type universal indexer
- Power supply: AC 100 V 5 % 50/60 Hz
- Power consumption: Approx. 1000 W
- Compressed air: 500 kPa{5 kgf / cm2} 60 I/min
- Vacuum pressure: -74 kPa{-550 mmHg} or less (Gauge pressure)"
Shinkawa    UTC 1000    Wire Bonder        "- Bonding method: Ultrasonic thermocompression
- Bonding speed: 67 ms/2mm wire (with loop control).  Depends on device conditions
- Bonding wire length: Max 8 mm
- Pattern recognition unit:
    - Detection method: Gray scale correlation
    - Detection speed: 0.11 s max. / 2-point alignment (including move time)
- Bonding area: X: 28mm / Y: 33mm
- Number of bonding wires: Max 8000 wires
- Applicable lead frame dims:
    - Width: 20 ~ 80 mm
    - Length: 95 ~ 262 mm
    - Thickness: 0.07 ~ 0.3 mm
- Loader / unloader: Magazine stacker type
- Indexer: Center-parting type universal indexer
- Power supply: AC 100 V 5 % 50/60 Hz
- Power consumption: Approx. 1000 W
- Compressed air: 500 kPa{5 kgf / cm2} 60 I/min
- Vacuum pressure: -74 kPa{-550 mmHg} or less (Gauge pressure)"
Shinkawa    UTC 1000    Wire Bonder        "- Bonding method: Ultrasonic thermocompression
- Bonding speed: 67 ms/2mm wire (with loop control).  Depends on device conditions
- Bonding wire length: Max 8 mm
- Pattern recognition unit:
    - Detection method: Gray scale correlation
    - Detection speed: 0.11 s max. / 2-point alignment (including move time)
- Bonding area: X: 28mm / Y: 33mm
- Number of bonding wires: Max 8000 wires
- Applicable lead frame dims:
    - Width: 20 ~ 80 mm
    - Length: 95 ~ 262 mm
    - Thickness: 0.07 ~ 0.3 mm
- Loader / unloader: Magazine stacker type
- Indexer: Center-parting type universal indexer
- Power supply: AC 100 V 5 % 50/60 Hz
- Power consumption: Approx. 1000 W
- Compressed air: 500 kPa{5 kgf / cm2} 60 I/min
- Vacuum pressure: -74 kPa{-550 mmHg} or less (Gauge pressure)"
Shinkawa    Super ACB 1000    Wire Bonder       
Shinkawa    Super ACB 2000    Wire Bonder       
Shinkawa    Super ACB 1000    Wire Bonder       
Shinkawa    Super ACB 35    Wire Bonder       
Shinkawa    Super ACB-1000    Wire Bonder       
Shinkawa    Super ACB-2000    Wire Bonder       
Shinkawa    Super ACB-1000    Wire Bonder       
Shinkawa    Super ACB 35    Wire Bonder       
Shinn Uel    SU-4336    Deflux       
Shin-Ohtsuka        HFE In-Line Ultrasonic Cleaning Machine       
Shin-Ohtsuka    SK-12 Y-1524 B            - Include LPC unit
Shin-Ohtsuka    YMPT-SK-13 &-1524    Ultrasonic Cleaner       
Shiny    Cl 0 B 8 T 1 N    Sputter Shielding Bake Oven       
Shiny    Cl 0 B 8 T 1    Oven       
Shiqiya    GU 30 B 100 A    Grinder       
Shiung Gu        Main Power Feeder Control Starter       
Shiung Gu        Wet Scrubber System       
Shoda Techtron        Double Corner Rounder       
Shoda Techtron        Vacuum Extractor       
Shonan        Centering Machine        "- Machine alignment out and parameter not constant.
- Alignment out due to mechanism wear and tear."
Shonan    BE-WF 802 SU-SUM    Centering Machine        "- Machine alignment out and parameter not constant.
- Alignment out was due to mechanism wear and tear."
Shore Western        Vibration Test Unit       
Showa Iron Works    MC 45 23    PI Maincure       
Shrader Scientific    CV DOT    Sputtering System       
Shuangyong        Oven        - Main Power Supply = 220V
Shurflo    2088-594-154    Pump        "- 115V
- 60Hz
- 0.9Amp"
Shuttleworth        Two Roller Conveyor       
Shuwa    SW-08    One-side Precision Polishing (Lapping) Machine        "- 200V, 3phase, 15A
- 0.5MPa Air Pressure
- Up to 4"" Diameter SiC and GaN
- Installation and User Manuals Available
Accessories Include:
- Conditioner
- Black Plate
- Slurry Dispenser
- Magnetic Stirrer
- Metallic Slurry Dispenser
- Toolbox
- Ceramic Plate with Membrane
- GRISH Slurry
- Shuwa Slurry
- Polishing Pad"
Shuwa    SW-07    One-side Precision Polishing Machine        "- Up to 4"" Diameter SiC and GaN
- 200V, 3Phase, 15A
- 0.5MPa Air Pressure
- Installation and User Manuals Available
Accessories Include:
- Conditioner
- Black Plate
- Slurry Dispenser
- Magnetic Stirrer
- Toolbox
- Ceramic Plate with Membrane
- GRISH Slurry
- Shuwa Slurry
- Polishing Pad"
Shuwa    SW-07    Single-Face Precision Polishing Machine        "- Up to 4"" diameter SiC and GaN
- 200V, 3phase, 15A
- 0.5MPa air pressure
- Includes manuals"
Shuwa    SW-07    Single-Face Precision Polishing Machine        "- Up to 4"" diameter SiC and GaN
- 200V, 3phase, 15A
- 0.5MPa air pressure
- Includes manuals"
Siacin    SCLD-LF           
Sicherheitsschrank Duperthal    G 90           
Sidai        Spindle Bank       
Sidel    SBO 4 Generation 2           
Sidel    SBO 4 Series 2           
Sidel    SBO 2 F           
Sidel    SBO 2 F           
Siecor    OTS 300    Optical Tester       
Siecor    M 90    Fiber Optic Splicer       
Siemens    80 S 23    Pick and Place Machine       
Siemens    Siplace S 25 HM           
Siemens    Siplace S 20           
Siemens    80 S 20 F 502           
Siemens    80 F 4           
Siemens    Siplace 80 F 4           
Siemens    Siplace    Feeders        "12/16 mm P/N: 00141092-xx Qty. 47 (Qty. 38 -05; qty. 3 S05; qty. 5 -04; qty. 1 -02)
24/32 mm P/N: 00141093-xx Qty. 28 (Qty. 19 -05; qty. 2 S05; qty. 6 -04; qty. 1 -02)
56 mm P/N: 00141095-xx Qty. 9 (Qty. 1 S05; qty. 4 -04; qty. 4 -02)
72 mm P/N00141097-xx Qty. 6 (Qty. 4 -05; qty. 1 -04; qty. 1 -02)"
Siemens    Optrix 3D           
Siemens    MVC 2011    Power Supply       
Siemens    D 5000    X-Ray Diffractometer        "- Fitted with closed Eulerian cradle and thin film angle attachment.
- With Harskris chiller.
- With original Theta-Theta configuration, low temperature state and LN2 flow monitor."
Siemens        J-VAP Extension to JWI Membrane Filter Press       
Siemens    470 mm Pilot Press    Membrane Filter Press       
Siemens    Simatic S 7    PLC       
Siemens    F 4           
Siemens    S 7 / 414    CPU       
Siemens    6 ES 7 407-0 KA 01-0 AA 0    AC Power Supply        120/230 V / 10 A Standard PS
Siemens    6 ES 7 414-2 XG 03-0 AB 0           
Siemens    6 ES 7 422-1 BL 00-0 AA 0    Digital Output Module        DO32, 24 V / 0.5 A, grouping 32
Siemens    6 ES 7 421-1 BL 00-0 AA 0    Digital Intput Module        DI32, 24 VDC, grouping 32
Siemens    6 SE 2108-3 AA 21    Simovert  P Converter Drive        7 HP, 5.5 KW
Siemens    6 SE 2103-3 AA 21    Simovert  P Converter Drive        2 HP, 1.5 KW
Siemens        Feeder        24/32mm
Siemens        Feeder        44mm
Siemens        Feeder        56mm
Siemens        Feeder        72mm
Siemens    D 5000    Powder XRD       
Siemens        Single Crystal Instrument       
Siemens        Feeder        "- 3-8 mm (15)
- 12/16 mm (11)
- 44 mm (3)
- 56 mm (3)
- 72 mm (1)
- 88 mm (1)"
Siemens    80 F 5    Placement       
Siemens    F 5 HM    Placement       
Siemens    HS 50    Placement       
Siemens    S 27 HM    Placement       
Siemens    S 5 115 U    Hydraulic Press        1000Kn
Siemens    S 7    Hydraulic Press        160 ton
Siemens    S 7 300    Hydraulic Press       
Siemens        Accessories        "- (Qty. 4) Tables for HS 50 Siplace machines
- (Qty. 14) tables for S Siplace machines
- (Qty. 3) Siemens 44 feeders
- (Qty. 11) Siemens 24/32 feeders
- (Qty. 5) Siemens 12/16 feeders"
Siemens        Feeder        44
Siemens        Feeder        24 / 32
Siemens        Feeder        12 / 16
Siemens    Siplace HS 60            "- 4 gantries DLM2
- Setup to work with only 1 conveyor. 2nd conveyor is disabled (some belts and motors are missing).
- Ganntry 3 DLM2: front cover of intermediate distributor is missing"
Siemens    Siplace HS 50            "- 4 gantries DLM1
- Gantry 4 DLM1: front cover of intermediate distributor is missing
- Gantry 3 DLM1: missing cover of camera illumination module"
Siemens        Change Over Table S-Series (for HS50)       
Siemens        Change Over Table S-Series (for HS50)       
Siemens    240    Power Module       
Siemens    15-FSV-500-2000-37    Circuit Breaker        13.8KV
Siemens    15-FSV-500-37    Circuit Breaker        13.8KV
Siemens    15-FSV-500-1200-37    Circuit Breaker        13.8KV
Siemens    15-FSV-500-2000-37    Circuit Breaker        13.8KV
Siemens / ASM    Siplace CA 4    Chip Assembly and Placement    8"    "- 4 Siplace Wafer Systems including 8"" wafer expansion
- 4 Portal microchip / SMD Hybrid Assembly system
- 4 Wafer Transfer Systems
- LP-Kamera (TYP 34) and bonding Head C + P 20
- Vakuum Tooling Single transport Chuck
- Chiller Cooling System
- Vacuum pump X-Series"
Siemens ASM        SMT Feeders        "- SL, different sizes
- 3x8 triple track to 88mm for Siemens S series machine
- 3-8mm (Qty: 15 good, 6 need repair)
- 12/16mm (Qty: 11 good, 4 need repair)
- 24/32mm (Qty: 1 need repair)
- 44mm (Qty 3)
- 56mm (Qty 3)
- 72mm (Qty 1)
- 88mm (Qty 1)
- Vibratory Feeders (Qty: 2 new, 4 used)
- COT Feeder Table Communication Module (Qty: 12 working)"
Siemens-Allis    HP 3    Induction Motor       
Sierra Instruments    Innova-Flo    Vortex Flow Meter       
SierraNet    T 328            "- 8-SFP28 Port Platform
- 128GB Trace Mem with Power
- USB
- Ethernet Cables
- No Transceivers or Optical Cables"
SierraNet    T 328            "- 25 G Ethernet Analysis Only
- License for 4 Ports (2 Logical Links)"
SierraNet    T 328            "- 100G
- License for 8 Ports (1 Logical Link)"
Sievers    355    Sulfur Chemiluminescence Detector (SCD)       
Sievers    1010    Total Organic Carbon Analyzer       
Siglent    SDS 1052 DL    Oscilloscope       
Sigma        Mixer       
Sigma    4-16    Centrifuge       
Sigma    10    Test Oven       
Sigma Intest    M 173    Air Calibration System       
Sigma Intest    M 173    Air Calibration System       
Sigma Systems        Thermal Cycle Chamber       
Sigma Systems    TP 781 G           
Sigma Systems    TP 294           
Sigma Systems    TPQ 294           
Sigmameltec Metron Technology    SFB 3000    Post Exposure Bake       
Sigmatech    UltraMap S-100           
Signal Test    Ascott S 450    Salt Spray Corrosion Test Chambers       
Signatone    S-250-6    Probe Station       
Signatone    S-250        6"   
Signatone    S-1160    Probe Station       
Signatone    S-1160    Probe Station       
Signatone    S 250    Manual Probe Station    6"    Temperature Controller 6" Chuck
Signode    Spirit V E 3000    Strapping machine       
SII    XV 300 DB    Particle Measurement System    12"   
SII NT    SFT 9550    Industrial X-ray       
Sikama    Falcon 5 C    Reflow Oven       
Sikama    Falcon 5 x 3    Reflow Oven       
Sikama International    Ultra Profile 2000    Reflow Oven       
Sikama International    M:Falcon 8500    Curing Oven        "-  Width: 62.992  in  (160.0 cm)
- Depth: 125.984  in  (320.0 cm)
- Height: 51.181  in  (130.0 cm)
- Weight: 1,102  lb  (500 kg)"
Sikora        Diameter Control System       
Silicon Industrial Equipment    QMO 6 DS    PMC       
Silicon Industrial Equipment    QMO 6 DS    Mold, Mark, Encapture       
Silicon Valley Group    Micrascan II+    Wafer Stepper    8"   
Siltec    860    Silicon Crystal Furnace        Furnace only does not include the control panel.  Could be made operational or used for parts
Siltec    860    Silicon Crystal Furnace       
Silver        Temperature Meter       
Simasv    Super    Bar Bender       
Simatic    S 5    PLC Rack       
Simco    AerostatXT           
Simco        Telecom Holdover Tester       
Simco Aerostat    43455    "ESD System
"        120 vac/400 MA
Simkom        Simkon Rails       
Simplimatic    A 1132    Conveyor       
Simplimatic    3040           
Simplimatic    CIMTRAK 3130 L    Multi Magazine Loader       
Simplimatic Automation    8190    Mini Magazine Loader       
Simplimatic Automation    8170    Flat Belt Conveyor       
Simpson    420    Portable Function Generator       
Simpson    229-2    AC Leakage Current Tester       
Simri, Noel        DLF Autoclave Racks        - Capacity 18T
Simtech        Parameter Inspection Machine        - Software outdated
Singleton    D 36    Dust Tester        Interior Dimensions: 39"x40"x36
Singold    KV 250 E    Shutter       
Singulation        Wafer Cleaner       
Singulation        Wafer Cleaner       
Singulus    Silex Cleantex 2800    Wet Station       
Singulus    Silex DHF 2800           
Singulus    PCE ISO 3000    Silicon Recycle Etch Bench       
Singulus    Timaris    PVD Cluster Tool    12"   
Sinometer    HY 3003 D 3    DC Power Supply       
Sinto    LCD 4    Seal Dispenser       
Sinton        Lifetime Tester       
Sinton        Lifetime Tester System       
Sipa    SFL 4           
Sipro    6    Milling Device, Meteor Winding Machine       
Sipro    D 5    Milling Device, Meteor Winding Machine       
Sitek        Spin Rinse Dryer (SRD)       
Sitek        Spin Rinse Dryer (SRD)       
Sitek        Photoresist Track System    6", 8"   
Sitek        Litho Mask Cleaner       
Sitek    Semitool C 225    Spin Dryer       
Sitek        Spin Dryer        6" / 8"
SJ Inno Tech    HP 620 S    Screen Printer        "- Left to Right
- 220V, 1 Phase, 858W
- 0.5 MPA
- PCB Size: 50x50mm to 620mm*480mm"
SJ Inno Tech    HPX 1300 S    Screen Printer        "- Left to Right
- 220V, 1 Phase, 858W
- 0.5 MPA
- PCB Size: 200x150mm to 1240mm*450mm"
Skar    3327 1 G 2 V 1 D    Hot / Cold Chamber        1 fan needs to be replaced, controller not displaying correct temperature
Skidmore Wilhelm        Torque Tension Tester       
SKT    AC PCB 100    Aqueous Conveyor Cleaning System       
SKY        Final Clean Washing Machine        - 2000K / M (5")
Skye        Washing Machine       
Skye        Slicer       
Skyray    EDX 3000    X-Ray Fluorescence Spectrometer       
Skyray    EDX 3000    X-ray Fluorescence Spectrometer       
Skyray    EDX 3000    X-ray Fluorescence Spectrometer       
Skyray Instruments    EDX 3000    EDXRF Spectrometer       
SLD        Ionizador       
Slee    SV10 M    Leak Detector       
Sloan               
Sloan    1800    Bell Jar       
Sloan    SL 1800    Coating System       
Sloan        E-Beam Evaporator       
Sloan    Dektak II A    Surface Profilometer       
Smart Power Systems        Uninterruptible Power Supply (UPS)       
Smart Sonic    Ergosonic    Stencil Cleaner        "- Automatic
- max 29 in stencil masks"
Smart Sonic    ErgoSonic-SR    Stencil Cleaner       
Smatech        Milling Device, Meteor Winding Machine       
Smatech    D 5    Modification of Arc Welding Round Table       
SMC    CBW 1026 Electric Heat    Cage Washer       
SMC    HRZ 001 L 1           
SMC    HRZD 020 WS WS    Thermo Chiller       
SMC    INR 496 003 D    Thermo Chiller       
SMC    INR 498 016 C    Thermo Chiller       
SMC    INR 498 012 D X 007    Thermo Chiller       
SMC    D A 53 L    Sensor       
SMC    D K 59 SAPC    Sensor       
SMC    HRZ 010 WS Z    Chiller       
SMC    Snap    Chamber Controller for Endeavor Cluster Tool       
SMC    HRG 015 W    SMC Temperature Controller       
SMC    INR 498-011 C    Chiller       
SMC    INR 498 012 C    Chiller       
SME    S 6000    BGA / LGA Rework Bench       
SME    ZX 600    Eylet Inserter       
SME    S 5100    Pallet Cleaner       
SME    S 5100    Pallet Cleaner       
Smeg    GW 4050    Glassware Washer       
Smithy    GN-1324    Mill / Lathe       
SMT    Opti-Print 2020    SMT Screen Printer       
SMT    HTT 4.0    SMT Oven       
SMT    SL 2220    Semi-Automated Welding Machine       
SMT    HTT 4.0    Curing       
SMT    2436 BAM    Semi-Automatic Solder Paste Brush Machine        610 x 900 mm
SMT    SL 2220           
SMT    SL 2220    Screen Printer       
SMT    460 / 1.6 C    Reflow Oven        with conveyor belt
SMT Maschinen Und Vertriebs GMBH    HTT 4.0 KZ 1.0    Oven       
SMT Technologies    SMT 2020    Printer       
SMTech    100 MV    Screen Printer       
SMTech        Screen Printer       
Smtech    UP 400    Solder Paste Machine       
SMTech    Benchmark           
SMW    Spacesaver 2003    Bar Loader / Feeder        Worked with Mazak Multiplex 6200
SNA    WMS    Slice Degumming Cleaner       
Snorkel    TB-A 60 RF 0    Boom Lift       
So Low    DHS 401    Deep Freezer       
So Low        Premiere Freezer       
Sofray    MFS 4080 E    Micro Focus X-ray Inspection System        80kv
Sofray    Compax 150    X-ray       
Soft Switching Technologies        Prodysc (Asml Power Switch)       
Soft Switching Technologies        Prodysc Dynamic Sag Corrector        "- Ds30050A
- 50Amp
- Input: 208Vac
- 50/60Hz
- 3Ph Output"
Softex    SFX-90    X-Ray       
Softronix    7613    Oscilloscope       
Soiltec    BPM 2000    HMDS Primer       
Sokudo    RF-300 A    Resist C/D    12"   
Sokudo    RF-300 A    Photoresist    12"   
Solar Swiss System (3S)    3621    Laminator       
Solar Swiss System (3S)    3s 3622    Laminator        includes Laminator System, Cooling system, loader conveyor and unloading conveyor.
Solar Swiss System (3S)    3s 3621    Laminator        includes Laminator System, Cooling system, loader conveyor and unloading conveyor.
Solaris    10223216    Sputtering System       
Solaris    10223799    Sputtering System       
Solaris    10223949    Sputtering System       
Solaris    10223956    Sputtering System       
Solaris    10223957    Sputtering System       
Solaris    10223958    Sputtering System       
Solaris    10223959    Sputtering System       
Solaris    10223960    Sputtering System       
Solartec    3000    Atomic Layer Deposition (ALD) system        - 3000/h
Solartron    1470 E    Cell Test System        - with new cable kit
Solartron Schlumbereger    1250    Frequency Response Analyzer       
Solarunics    STF 65    Light Soaking System       
SoLayTec        ALD Back Passivation Feeding machine       
SoLayTec        ALD Back Passivation, Loading and Unloading machine       
Solenoid    34B-L00-GD    Valve Kit        Quad
Solid State Cooling Systems    ThermoCube 600 L    Liquid to Liquid Recirculating Chiller        - Supplier Part #: 10-600L-1G-1-R2-EF-VD-AR-307A
Solidscape    MM PM 1    Pattern Master       
Solitec    5100    Wafer Mount Station    6"   
Solitec    820-SJX    "Auto Wafer Scrub Jet / Transfer System
"       
Solitec    5100    Photo-Resist Spinner       
So-Low    U40-13    Freezer       
Soltec        Lifter       
Soltec    Nutek    Conveyor       
Soltec    Maxi Wave    Soldering Machine       
Soltec        Fume Extractor       
Soltech    Sonica 5200 M    Ultrasound Bath       
Somont        Welding Machine       
Somont    3 S 3622 CP    Laminating Machine       
Somont    Rapid 2    Cell Stringer Tool       
Somont        String Solder Table       
Sondermaschinenbau    LDA 3000    Arc Welding Round Table       
Song Hong        BH Shuttle       
Sonitek    TS 500    Thermal Press       
Sonitek    TS 500    Heat Staking Machine       
Sonix    UHR 2001 SAM    Scanning Acoustic Microscope        "- Computer in need of repair
- Parts tool
- Work Station
- Software
- Manual & Misc Parts"
Sonix    Fusion    Scanning Acoustic Microscope       
Sonix    Echo LS    Scanning Acoustic Microscope       
Sonix    Echo LS    Scanning Acoustic Microscope       
Sonoscan    D 6000    CSAM       
Sonoscan    C-Sam 300 DX           
Sonoscan    D 6000    Scanning Acoustic Microscope (C-SAM)       
Sonoscan    D 9000    C-SAM        - No transducers
Sony    UP D 895    Digital Graphic Printer        "- 100-120V/220-240V
- 50/60 Hz
- 1.5A/0.8A"
Sony    UP D897    Video Graphic Printer       
Sony    SRX 610    Auto Frame Loader for 4L K-Pack Part Misc-01 PD97115       
Sony    PVM 1271 Q Trinitron    Color Video Monitor       
Sony - Tektronix    370    Curve Tracer        - Programmable
Sony Textronics    336    Digital Storage Oscilloscope       
SOPRA    SE 200    Inspection System    8"   
Sopra    EP 12    Dielectric Porosity Measurement System    12"   
Sopra        Inspection Tool       
Sopra    GESP 5    Thin Film Characterization Station       
Sorensen    DLM 60-66E    Test       
Sorensen    XHR 60-18    DC Power Supply       
Sorensen    DCR 40-25 B    Power Supply       
Sorensen    DCR 80-6 B    Power Supply        "- Input: 187/229V, 50/63Hz, 5.0A
- Output: 0-80V, 0-6A"
Sorensen    QRS 40-.75    Power Supply       
Sorensen    DCS 8-125 E           
Sorensen    DCS 20-50 E           
Sorensen    DCS 80-15 E           
Sorensen    DCS 40-75 E           
Sorensen    DCS 150-7 E           
Sorensen    DCS 60-18 E           
Sorensen    SFA 60/167           
Sorensen    SFA 60/83           
Sorensen    SFA 60/250           
Sorensen    SFA 160/62           
Sorensen    1030-20    H.V. Supply        "- 117V
- 60Hz
- 30Kv
- 20MA"
Sorensen    XT 7 6    Regulated DC Power Supply       
Sorensen    DCS 60 18 E    Power Supply       
Sorensen    DCR 20-1000A    DC Power Supply       
Sorenson    DCS 600           
Sorvall        GSA Fixed Angle Rotor       
Sorvall Instruments    RC-3 B    Refrigerated Centrifuge       
Sotax    TD 2    Tapped Density Tester       
Sotax    TBC    Dissolution Media Dispenser       
Sotax    MPS    Media Preparation Station       
Sotax    AT 7 Smart    Dissolution Device       
Sound Technology    1710 A    Distortion Measurement System       
South Bay Technology    660    Diamond Saw        "with
- Diamond Blade
- Sample Mount"
South Bay Technology    920    Lapping and Polishing Machine        with 4 Plates
South Bend    CL 187 AB    Lathe       
South Coast Enterprise        Ultrasonic Washing Machine        - All panels and baskets made of stainless steel
South Pend        Lathe       
Southbend    183 B    Lathe        14 1/2" Lathe
Southwest Science    SBV 1000    Vortex Mixer        "Speed 3000rpm
Power 115V 60 Hz
AMPS 1.5 A"
Sowotech    PL 29    Mylar Peeler       
SP Devices    SPD 01006    Digitizer        - 1 Gs / s
SpanTech        Curved Conveyor       
Spark    A 540    Tester       
Spark        Extraction Unit Lab       
Spark        Plasma Sintering       
Spark        Pulsed Power Supply       
Sparrow    MTS 30    In Circuit Tester (ICT)        "- FailSim MTS 30 P
- HYB 04
- UPC controller module 24V / 5A
- UPC controller module 9V / 10A
- UPC controller module 45V / 3.5A
- Multiplexer"
Spartanics        Punch       
SparTec    Norma 400 TU 4    Mitre Saw        Double Head
Spea    C 320    MXPS Tester       
Spea    H 3560    Automation Tester & Application       
Spea    H 3560    Inbound FRT SAP Test P&P Handler       
Spea    H 3560    Julian Automation Tester and Application       
Spea        Handler        Upgrade from OCB 120 to OCB 130
Spea    H 3560    Julia Automation Tester       
Spea        Handler        OCB 130
Spea    EE    Mylar Separation Station       
SPEA    RTA 330 EG    Rate Table        with motorized twist & tilt
SPEA    RPM 312    Presser Mechanism       
SPEA    DOT 100 RM BU 1100    Device Oriented Tester Base Unit        "- 16 slots
- multiple attachments"
Spea    4040    Flying Probe Tester       
Spec    SBXAT 5-80    Wet Process    8"   
Spec    SBXAT 1080    Wet Process    8"   
Spec    SBXAT 1080    Wet Process    8"   
Special Transfo        Electrical Transformer        - 6.3 KV / 33 KV
Specialty Coating System    SCS-4398    Conformal Machine       
Specialty Coating Systems (SCS)    Precisioncoat           
Spectra Can    STS 200    Foot Test       
Spectra Diode Labs    SDL 830    Laser Diode Driver       
Spectra Physics    69931    Power Supply       
Spectra Physics    69907    Power Supply       
Spectral Dynamics    SD 1825    Vibration Controller       
Spectra-Physics        Ion Laser        without power supply
Spectra-Physics    Nd: YV O4    Laser Systems        >3 watts output power and ~10 ns pulses (rep rate is ~10kHz - 100 kHz)
Spectra-Physics    MAI TAI HP    Sapphire Laser       
Spectro    X linker XL 1500           
Spectroline    DM 254 HA    UV Meter       
Spectroline    EN 104 L-BV    Long Wave Ultraviolet Light Lamp 365nm       
Spectrum Technologies    Capris 50-100     UV Laser Marker       
Speed Air    3 JR 77    Compressor        5 hp
Speed Control    C 18164-165           
Speed Control    C 18164, 18165            "Accessories:
- 2 assembly stations, 1 with 2 screwdrivers
- 2 Desoutter screwfeeder systems
- Branson AED 3.0 2000X-XAH10106610D Welder"
Speed Control    C 18164, 18165            Accessories: 2 assembly stations
Speedaire        Air Compressor       
Speeder    HBS 916 A    Band Saw       
Speedfam        Grinder        24"
Speedfam    Auriga    Oxide CMP    8"   
Speedfam    Auriga    Oxide CMP    8"   
SpeedFam        Double Sided Lapper       
SpeedFam        Double Side       
Speedfam    A 06 H    PI Remover       
Speedfam    SP 800    Single Sided Polisher       
Speedfam    9 B           
Speedfam        Polisher        24" Lapping Plate New Rebuilt Water Cooled Plate System
Speedfam    9 B 5 LP    Double Side Machine       
Speedfam    9 B 5 LP           
Speedfam / Westech / Ipec    472    CMP Polishers    8"   
Speedline    Bravo 8    Reflow Oven       
Speedline    Vectra Elite    Wave Solder       
Speedline    Accuflex            - Machine is under maintenance.  Parts can be used as spares.
Speedline    Accuflex           
Speedline / Electrovert    Microcel S 2            9 Gallon process chamber (16” diameter) which can process the maximum fixture/substrate of 13.5’ (34.3 cm) diagonal
Speedline / Electrovert        Wave Solder       
Speedline / Electrovert    Vectra 450 F    Wave Soldering Machine       
Speedline / Electrovert    Econpak Gold    Wave Solder       
Speedline / Electrovert    Econopak Plus 400 / F    Wave Solder Machine        - with external fluxers
Speedline / Electrovert    Econopak Plus 400 / F    Wave Solder Machine        - with external fluxers
Speedline / Electrovert    Aquastorm 200    In-Line Cleaner       
Speedline / Electrovert    Omni Flo 5    Reflow Oven        "- Left to Right
- Fully functional heaters and blowers
- Plug and play capable (hard wire and exhaust)"
Speedline / Electrovert    OMNI 7 E    Reflow Oven       
Speedline / Electrovert    Omni 10    Reflow Oven        "- Pin conveyor
- Center board support
- 480v 3 phase
- Numerous spare parts available"
Speedline / Electrovert    Vectra Elite VC 2    Wave Solder       
Speedline / Electrovert    Vectra Elite VC 2    Wave Solder       
Speedline / MPM    UP 2000    Screen Printer       
Speedline / MPM    Ultraprint 2000    Surface Mount Technology (SMT) Screen Printer       
Speedline / MPM    SPM / B    Solder Paste Printer        "- 61 x 45 x 46
- 1047 lbs
- Missing computer"
Speedline / MPM    UP 2000    Stencil Printer       
Speedline / MPM    SPM    Screen Printer       
Speedline / MPM    UP 3030    Solder Paste Printer       
Speedline / MPM    UP 3030    Solder Paste Printer       
Speedline / MPM    Accuflex    Screen Printer        "- Handling 29”x 29” stencil.
- Metal Squeegee.
- PCB Detection –Fiducial Mark.
- Cleaning-Wet ,Dry and Vacuum.
- Max Board Size ;
   :  609.6 mm(L) x 508 mm(W)or (24”x20”)
- Sigpro Operating Software"
Speedline / MPM    UP 2000/b    Screen Printer       
Speedline / MPM    UP 2000/hie    Screen Printer       
Speedline / MPM    Accuflex AFMMI    Screen Printer       
Speedline / MPM    Accuflex AFMMI    Screen Printer       
Speedline / MPM    Accuflex    Screen Printer       
Speedline / MPM    SPM    Screen Printer        "- 220 V AC, 1 phase
- Manual vision system"
Speedline / MPM    UP 2000 A    Screen Printer       
Speedline / MPM    UP 2020    Screen Printer        "- 30A
- 50/60 Hz"
Speedline Technologies    UP 1500            - Computer is not reliably running
Speedline Technologies    Accuflex           
Speedline Technologies    Microline    Ball Mount Cleaner       
Speedline Technologies    GEM 2           
SpeedMixer    DAC 150 FVZ-K           
Speroni    STP 46 V    Tool Presetter       
SPEX        Freezer / Mill       
SPI        Laser       
SPI    Plasma Prep X    Plasma Etcher       
Spire    PVL 1837    Solar Module Laminator Machine       
Spirent    SmartBits 600           
Spitfire    SP-F 888-24 PN    Single Sided Lapper       
Spitfire    DC 52    Double Sided Lapper       
SPR    R 201    Auto Cutting Machine       
SPR    R 201    Auto Cutting Machine       
Sproam    SA 1200 AR    Amplifier       
SPTS / STS    Multiplex    PECVD        "With:
- Advanced Energy Generator
- Edwards Dry Pump
- Backing Pump
- Gas Cabinet
- RF Supply"
SPV    Station 1010           
SPX    SPX-FP    Inline Strainer       
SPX    HT 953524    Inline Strainer       
SPX    FERR 11 D 0293    Inline Strainer       
SPX    CAPM 4 Y 9    Inline Strainer       
Square D    15 T 6 HCT    Transformer       
Square D    45 T 3 H    Transformer       
Square D    34349-17212-064 KVA-75    Transformer       
Square D Company    EE 750 T 79 H    KVA Transformer       
SRK Systemtechnik    GT 2           
SRM    STV 322    Handler        - For SOT package
SRM    S 328    Handler        - For SLP package
SRM    XD 208 R    Handler        - For SLP package
SRM    XD 326    Finishing Machine       
SRM    XD 326    Change Kit       
SRM        Handler       
SSE    Evergreen    Cleaner       
SSEC    M 3302           
SSEC    176    Mask Scrubber        - Needs controller
SSEC    3300 Trillenium           
SSEC    203    Wafer Cleaner       
SSEC    Trilanium    Wafer Scrubber        currently set up for Sulphuric and TEMA
SSEC    3302    Resist Removal and Wafer Cleaning Machine       
SSEC    M 3302    Resist Removal and Wafer Cleaner        "Two modules:
First module, the wafer is soaked in the mix of solvents (cleaning by submersion).
Second module, there is  processing by spray solvents (Fan Spray Processing) and nitrogen drying stage.
 
As solvents N- methylpyrrolidone  (NMP) and isopropyl alcohol (IPA) were used. The unit has dry-in/dry-out system  (dry wafers in/ dry wafers out)"
SSEC    1000    Seam Sealer        "- Includes glove box
- No heating component in the output or Input, just a transfer chamber
- Single package, hermetic sealing and solder reflowing on microelectronic and optoelectronic metal and ceramic packages to MIL SPEC 883
- Linear sealing for square and rectangular packages from 0.2″ to 5.5″ with fixturing available for packages up to 9″
- Self-contained tacking operation
- Force equalizing system between electrodes
- Microprocessor-controlled phase angle firing for power control
- Maximum power output of 3500 watts
- Moisture and oxygen set point interlock available
- Modular design for easy maintenance
- Inexpensive, quick-change electrodes
- Low package temperature during sealing process
- Positioning accuracy 0.001″ (stepper motor control)
- Self Diagnostic error messages
- Embedded microprocessor control of all functions
- Programmable welding force of O to 2500 grams
- Independent stepper motor control of welding motion
- No external control units–only a power supply needed
- Software upgradeable in the field
- 200 to 240 volt operation
- Automatic force compensation at corners of packages
- Weld speed of 1· per second"
SSEC    M 2334    Flux Cleaning        - Main computer does not turn on
SSI    150    Dual Track        with coater, coolplate, and cooling station
SSI    Solaris 200    Rapid Thermal Processing System    8"   
SST               
SST    5100    Programmable Vacuum/Pressure Soldering Furnace        "- Graphite target plate
- Oil-sealed vacuum pump
- Multiple temp MT-4
- Third gas input
- Formic acid system
- Locking casters"
ST Industries    20 4400    Comparator       
ST Supertec    G 60 A - 80 CNC    Cylindrical Grinder        "23.6"" x 32""
-Fanuc Oi-TD Control
-OGC 3 Full enclosure
-OG 3-7001 10 HP, 20"" wheel, Babbitt bearing Spindle Upgrade w/Inverter
-OGC 3-8007 Lube Oil Temp. regulator for Babbitt bearing spindle"
Stag    P 801    Programmer       
Stag    P 301    Programmer       
Stahli    Duomat 500           
Stalker    Pro II    Radar        34.7 GHz
Standard    25 X 37    Clam Shell       
Standridge Granite        Black Granite Scientific Surface Palte        "- 36L x 24W x 4H
- Mounted on a Heavy Duty Steel Utility Cart on Wheels
- With 6 Boxes of Assorted Hardware Supplies"
Stanelco    STA 45    RF Generator       
Stanely    G-10 P    Pedestal Riveting Machine       
Stanford    Doctor           
Stanford Research    SR 620    Universal Counter, 11-Digit       
Stanford Research    SR 620    Universal Counter        11 Digit
Stanford Research    SR 510    Lock-In Amplifier       
Stanford Research    DG 535    Pulse Generator        "- Four Channel
- Digital Delay"
Stanford Research    SR 720    LCR Meter       
Stanford Research System    DS 345    Synthesized Function Generator       
Stanford Research Systems    SR 570    Low-Noise Current Preamplifier       
Stanford Research Systems    SR 510    Lock-in Amplifier       
Stanford Research Systems    SR 830    Lock In Amplifier        - has been calibrated (12/17/18)
Stanford Research Systems    FS 725    Rubidium Frequency Standard       
Stangl        HEPA Fume Hood       
Stanley        2K Stak System Storage Racking       
Stanley Vidmar        File Cabinet       
Stanstead Fluid Power    FPG7 260 / 620    Cold Isostaic Press       
Star        CNC Lathe       
Star Micronics    SR 16           
Starlight    200    Microscope       
Starrett        Precision Stone on a cart       
Starrett    440 Z-3 L    Depth Micrometer       
Starrett    HD 400    Horizontal Benchtop Optical Comparator       
Starrett        Surface Plate       
Startrite    EFI    Single Pillar Drilling Machine       
State Technology        Dirty Visual Inspection Machine       
Statebourne    Bio 34           
Stats Chippac Korea    ML 300 FH           
Staubli    593163-01           
Staubli        Robots       
STC    L 155    Slicing Saw        22"
STC    155    ID Saw        - 100" wide x 60" deep
STC C&A Industries    L 155    Saw        "- 22""
- 235 / 208v
- 20amp
- Single Phase"
STC C&A Industries    L 155    Saw       
Steag / Mattson    AST 10    Rapid Thermal Processor (RTP)        - It can’t proceed with the annealing process due to failing to reach the required O2 flow problem. This might be due to one of the SMB board relays sticking or faulty. It also comes with a brand new Pyrometer for this machine as a spare and a brand new Touch screen monitor.
SteelSentry        32” x 72” Heavy Duty Workstation        "Laminate Surface
Total Lock Casters
Includes Articulating Keyboard Tray"
Stefor    1000    Surface Grinder       
Steinbichler    Comet LED 2M    Stero 3D Scanner        "- w/ Laptop and Software
- Rotary table
- Tripod
- Lenses
- Cables"
Steris    Basil 1000    Vivarium Bottle Filler       
Steris    SV-136 H    Autoclave       
Steritech        Parts Washer       
Steritech        Parts Washer       
Steritech        Creon Parts Washer       
Sterlco    SMCA 3.5    Chiller       
Sterrad    100 NX    Low Temperature Sterilizer       
STI    Octa Sprint    Integrated Packing Machine       
STI    TR 48    Tape and Reel       
STI    Quadrio 5-60    Plasma & Nutek Handler       
STI    TR 98    Tape and Reel Machine        "- Dual rail tape module
- 2D lead inspection for TSOP type
- 2D ball inspection for BGA type"
STI / Integra    Hexa Whizz           
Still    EXP 16    High Lift Pallet Jack       
Still    MX-XTR    Forklift       
Stock Konstruktion    NLP 220 HS-3    Lapping / Polishing Machine       
Stoe    STADI Rontgen-Dioffraktometer    x-ray generator        60kV/40mA
Stoe    STADI    X-Ray Diffractometer (XRD)        X-Ray Generator: 60kV/40mA
Stoelting        Cleaner Washer       
Stokes    1743    Vacuum Pump       
Stokes        Microvac Pump       
Stokes        Dual Pressure Press        4 ton
Stokes Vacuum        Oil Pump       
Stopa        Material Storage and Processing        Two Towers
Stopa        Material Storage / Processing Tower       
Strands    S 68    Drill Press       
Strapping        Chopper       
Strasbaugh    6 BL    Polisher        26"
Strasbaugh        CMP Tool       
Strasbaugh    6 DF-DC-1    Polisher       
Strasbaugh    7 H    Edger       
Strasbaugh    6 TV    Edge Polisher       
Strasbaugh    6 AV    Cadmium Fluoride Beveler       
Strasbaugh        Tilt Spindle       
Strasbaugh        Spindle       
Strasbaugh    6 DE 4    4 Bank Spindle       
Strasbaugh    6 UR 1    6 Bank Spindle       
Strasbaugh    6 UR 4    4 Bank Spindle       
Strasbaugh    6 DEDCZ    2 Bank Spindle       
Strasbaugh    6 AA    10 Bank Spindle       
Strasbaugh        Single Spindle       
Strasbaugh    6 DE 2    2 Bank Spindle       
Strasbaugh    6 SWSX 6    6 Bank Spindle       
Strasbaugh    7 E    Grinder        - 42" wide x 35" deep
Strasbaugh    7 J    Grinder        - 45" wide x 45" deep
Strasbaugh    7 H    Precision Edger       
Strasbaugh    G 0 J-72    Single Sided, Lapping & Polishing        72"
Strasbaugh    7 AA-SP    Grinder    6"    "- Upgraded Touch screen controller
- Grind spindle: Direct drive air bearing,
5 HP variable speed 500 – 4500 RPM / Work Spindle Air bearing,
1/4 HP variable speed motor,
20 – 400 RPM
- Coarse and Fine wheels grinding"
Strasbaugh    7 AA    Wafer Grinder    6"   
Strasbaugh    7 AA    Wafer Grinder    6"   
Strasbaugh    7 AA    Wafer Grinder    6"   
Strasbaugh    7 AF    Grind Wheels       
Strasbaugh    6 CA    Polisher       
Strasbaugh    7 AA    Grinder        - Previously used with grinding glass wafers
Strasbaugh    6 UR-6           
Strasbaugh    6 DE-4    4 Head       
Strasbaugh    6 UR 1    Single Spindle Polisher        "- With 13"" Pan
- 20V"
Strasbaugh    6 BL    Polisher        26" Water Cooled Table Pressure Plates
Strasbaugh    7 H    Edger       
Strasbaugh    6 UR 6    Polisher       
Strasbaugh    6 Y 4           
Strasbaugh    6 EH nTrepid    CMP Polisher    6"    "- Silicon Oxide, Poly-Si, a-Si
Polishing section:
- Load and unload stations
- 2 main polishing platens
- Rinse station
- Buff table
FEM section:
- Open cassette loaders
- Wet wafer output basin with cart interface mechanism
- Wet/dry transfer station
- Wet buffer station
- Robot to move wavers
Wafer Size Capabilities:
- 150mm round wafers - 1 Flat only, Flat length = 57.5mm +/- 2.5mm
- Wafer thickness #1 = 680 microns +/- 15 microns
- Wafer thickness #2 = 380 microns +70/-10 microns
nVision Endpoint Detection:
- Table motor current- Spindles A and B are equipped with spindle motor current endpoint
- Pad temperature
Electrical
- 208 Volt / 3 Phase / 50 to 60 Hertz
- Main circuit breaker: 150 Amps
- FLA (Full Line Amperage): 80
Computing Platform:
- Industrial PC running Windows XP and Adventa ControlWORKS for Graphical User Interface, recipe
editing and execution, event scheduling, and material tracking
- Giddings and Lewis Programmable Logic Controllers (PLC’s) for real-time movement control"
Strasbaugh    7 AF    CMP       
Strasbaugh    7 AF    CMP       
Strasbaugh    7 M    Generator        - 3 Phase / 220V
Strasbaugh    R 6 UR    Polisher       
Strasbaugh        Lens Grinding Machine       
Strasbaugh 10 H        Cutoff Saw       
Stratasys    uPrint SE Plus    3D Printer       
Stratasys    Eden 260 V    3D Printing System        - with its cleaning system Stratasys Balco Waterjet
Stratasys    Objet 30 Prime    3D Printer       
Stratasys    Fortus 450 mc    3D Printer       
Stratasys    Mojo    3D Printer       
Stress Relief Engineering (SRE)    C    Non Thermal Stress Relieving       
Strippit    12 MXP / 30    30 Ton CNC Mechanical Turret Fabricator Machine       
Strippitt    1250 MXP/30    30-Ton CNC Mechanical Turret Fabricator        - with tooling
Struers    TegraPol-21    Polisher       
Struers    CitoPress-10    Cold Mounting       
Struers    TegraDoser-5    Dispenses Polishing Slurry       
Struers    TegraForce-5           
Struers    Uniforce    Sample Mounter/ Leveler       
Struers    Accutom    Cut Off Machine       
Struers    ProntoPress 10           
Stryco    D 24-30    Spot Welder       
STS               
STS        Deep Advanced Silicon Etch (ASE)    6"    - With carousel and MACS
STS        ICP Modules       
STS        ICP Etcher        Loadlock Unit & Transfer chamber with robot
STT    BB 001    Vacuum Pump       
Stuart    STR 4    Rotator Drive Mixer       
Stuart    SD 300    Digital Hotplate       
Studer    S 36    Outside Grinding Machine        "- Manual Loading
- Diameters SEAL ø Ø25.000±0.005 and  FRONT Ø27.4987±0.004
- The Measuring Unit Marposs is not ok"
Studer    S 36    Grinding Machine        - Manual Loading
Studer    S 36    Grinding Machine        - The measuring unit Parposs is not ok, PC unit needs to be replaced
Studer    S 36    Grinding Machine       
Subcon Cypress    3000    Plasma Machine       
Submicron Systems    SPA 400 D-2    RF Generator       
Suez    Sievers InnovOx ES    Total Organic Carbon Analyzer TOC       
Suitmate        Centrifugal Dryer       
Sukitech        Laser Marking       
Sukitech        Test & Sort       
Sullair    ES 6-7.5 XH/A    Air Compressor       
Sullair        Air Compressor        25 HP
Sullair    SA 283    Air Compressor for Factory       
Sullair    LS-20 T    Air Compressor        "- 900 Gallon Receiver
- EM1000 Oilmist Eleminator
- ZEKS Dryer"
Sullair        Air Compressor       
Sullair    10-25    Air Compressor       
Sullair    12 BS-50 H    Compressor        50 hp
Sullair    5509 PS AC    Air Compressor       
Sullivan Palatek    25 DTW    Compressor       
Sullivan Palatek    25 DT    Compressor       
Sullivan Palatek    25 D    Compressor       
Sumco        Bare Si Wafers    18"    "- 25  / ste
- Sintered"
Sumco        Bare Si Wafers    18"    "- 25  / ste
- Single Crystal"
Sumida        End Measurement Turntable        P/N: 594-15
Sumida    3 Carrier D 5    Connector Pinning       
Sumida        Connector Pinning       
Sumida    Carrier 2    Connector Pinning       
Sumida    D 5    Plastic Tooling        P/N: 408 08 085 00
Sumimoto        O3 Generator       
Sumitomo    Sumitomo TYPE-39    Fusion Splicer       
Sumitomo    Sumitomo TYPE-39    Fusion Splicer       
Sumitomo    RDK 408 D 2    Cold Head       
Sumitomo    CSW 71 C    Helium Compressor Unit        "18,000 Hours
MRI Helium"
Sumitomo    Type 36    Fiber Optic Fusion Splicer       
Sumitomo    T 65    Mass Fusion Splicer       
Sumitomo    SWA 90 GD    Laser Anneal       
Sumitomo Eaton / Nova        Implanter Source        P/N 11A7104
Sumitomo Heavy Indus    SWA-90 GD    Laser Anneal        "- 208 V
- 50 Hz
- 3 Phase"
Summit    T 34            "- Licensed as Gen3 x 4 Analyzer at 4GB
- No Probes or Cables"
Sun    Ultra 5    Control Computer for Endeavor Cluster Tool       
Sun Microsystems    Ultra 5    Workstation Computer System        With Monitor, Keyboard, Cables
Sun Microsystems    V 210    Control Computer for Endeavor Cluster Tool       
Sun Yang    ATF 27 A           
Suneast    G 3    Printer       
Sung Woo Micron        Auto Cut Top Rail Cutter        - Production ID AMP-004_SWOO
Sunnen    MMRB 1660    Precision Honing Machine       
Sunny Instruments    MX 6 R    High Power Metallurgical Microscope       
Sunol Sciences    LP 760    Test Antenna        "With
- Polarizing Mount
- Complete Antenna Test System"
Sunred        Conductive Reflow Furnace       
Sunrise Telecom    SunLite GigE    Tester       
Sunrise Telecom    SunLite GigE    Responder       
Sun-Tec    ST-TMS-SA           
Sunyang        Marking Vision System       
Sunyang    3 Ram    DTFS System        Fully Automatic
Sunyang        Automatic Module Integrated system       
Sunyang        Automatic Integrated Dambar / Dejunk system       
Sunyang        Auto Loader Subse Trim Machine       
Sunyang        Inline System for TSSOP (20/24/48/56L) Matrix        Fully Automatic
Sunyang        DTFS for 80L SOIC Matrix       
Super Max    FV 56 A YCM-FV 56 A           
Super Unit    SUT 10 D 6021-30-01    Remote Hydraulic Power Unit       
Superior Automation    SA 100    Wet Bench        "- Used as fume hood for Lapmaster polisher/lapper
- Solid surface on left, DI sink on right"
Superior Automation    SA BCMDS 230    Mix and Bulk Fill       
Supermax    YCM-TC-2    CNC Turning Center        "- 2 Axis
- Spindle Speed: 4000 RPM
- Spindle Motor: 10 Hp
- Number of Tools/Turret Stations: 8
- Known Issues: Tailstock doesn't work"
Supertec    STP 1632 CII    Surface Grinder       
SuperVac    GK 113 G    Vacuum Sealer       
Suragus    EddyCus TFLab 2020    Non-Contact Sheet Resistance Tester    8"   
Surface Optics    SOC-210 BDR    Bidirectional Reflectometer Ellipsometer (Scatterometer)       
Surface Science Integration    Solaris 150    Rapid Thermal Anneal (RTA)       
Suruga Seiki    B 43 38 R + B 54 + 40 V + B 2    Rotation Stage       
Susalum        Backend        "Includes:
3 Flippers
1 J-box sealant dispenser pump
1 J-box station
1 Vertical Test
1 Hipot Tester
1 Gantry (RTV Dispenser)
1 Tester
1 Framing"
Suss Microtec    ACS 200            Litho
Suzhou AirTech    SW CJ 2 FD    Laminar Flow Hood       
Suzhou AirTech    SH 130    Laminar Flow Hood       
Suzhou Delphi    Femto    Laser Dicing Machine       
Suzhou Jufu    EPO 150 SP    Oven for MO Glop Top       
Suzhou MEP    280 HH    Band Saw       
Suzhou Purification    SJ 1381 E    Dehumidifier       
Suzhou Taisite Electronic    SG 255 G    Impulse Surge Generator       
Suzuki    SMT 2500    Pick & Place        - Tray included
Suzuki    SMT 2500    Pick & Place       
Suzuki    SMT 2500    Pick & Place       
Svecia        Solder Mask Squeeze Sharpener       
SVG    8632 CTD / 8635 HPO    Coater       
SVG    8800    Developer    6"   
SVG    8800    Developer    6"   
SVG    8800    Developer    6"   
SVG    8800    Developer    6"   
SVG    8800    Developer    6"   
SVG    Microscan II    DUV Stepper    8"   
SVG    90 S    Deep Ultraviolet (DUV) System    8"   
SVG    8100 Promis Coater 1-2    Coater    6"    - Poor Condition
SVG    8800 Promis Coater 19-20    Coater    6"    - Poor Condition
SVG    8800    Developer    6"    "With:
- Chemical Cabinet
- Alarm Box
- Devlpr 21/22"
SVG    VTR 7302 SN           
SVG    8136           
SVG    8838           
SVG    86    Track    6"   
SVG    8600    Track Coat & Development System       
SVG    Track 2, 8632    Track Tool       
SVG    Track 2, 8632    Track Tool        "- 3 Phase
- 208 Vac
- 50 Amp
- 60 Hz"
SVG    Track 2, 8632    Track Tool       
SVG / Aviza    VTR 7000    Oxidation Furnace       
SVGL    Micrascan III+    Scanners (Parts)        "Includes surplus parts:
- Laser
- BDS
- Enclosure
- Cymer laser
- Reticle rollup module
- Reticle library
- Most of the upper uniformer optics
- Hi resolution module
- Cabling / hoses
- Framing blades
- NEMA box / electrical panel
- Robot controllers (3)
- Robots - standard Staubli issue (2)
- Pallets of misc SVGL parts (3)"
SVGL    MS III    Lithography System    8"    "- 248nm 4x
- Tracking Interface (Dns 80)
- Lens 248nm 4x
- 0.6-0.4 Na
- Scan Field 26x32.5
- Resolution 250-180
- Cymer Krf Laser #Ex 5700 15w
- 60 Wph Throughput
- Illuminators: Quadrupole, Annular, Conventional (0.3, 0.6, 0.8)"
SVTA        MBE Thin Film Deposition System        "- Load lock with magnetic loading arm
- Heated rotating substrate stage
- Electronically controlled high-vac gate with automatic safety shutoff
- 8 effusion cells
- Cryopump
       Austin Scientific
       Model: CP-8-UHV
       Uses Austin Scientific M125  air cooled        helium compressor
- Turbopump
       Pfeiffer
       Model: TMU 071 P
- Mechanical Pump
        Franklin Electric
        Model: 1101006149
- Convection gauge on loadlock
- Ion gauge for main chamber
- Granville-Phillips 307 Vacuum Gauge Controller x2
- Granville-Phillips 350 Ionization Gauge Controller x1
- Eurotherm 2408 controller x8
- Eurotherm 2216 controller x1
- Sorensen DC560-18E power supply x7
- Sorensen DCS 80-37 power supply x1
- Sorensen DLM 40-15 power supply x1
- Infinicon XTC/2 Deposition Controller x1
- MKS Vac-Check LM78 Residual Gas Analyzer x1
- Inficon XTC/2 Deposition Controller
- Granville-Phillips 307 Vacuum Gauge Controller"
Swagelok    SS 43 S 6 LL           
Swagelok    SS 6 TF 7           
Sweco    M-45 L    Vibro-Energy Grinding Mill       
Sweco        Separator       
Sweco    M 18 L    Grinding De-Burring Shaker       
Sweco    M 18 L    Grinding De-Burring Shaker       
Sweco / Baldor        Screener       
Swift Pack Automation    XS 1 D 18 PA 140    Screw Capping        Capacity: 45-75 BPM
Swissturn    BZ 18 LIII           
Swivel    BS 712 MS    Hydraulic Metal Cutting Band Saw        - 7" x 12"
Sybron    Barnstead    Ultra Pure Cleaning System       
Sycon    STM-100/MF    Thickness Rate Monitor       
Syil    X 5 Speedmaster    Mini CNC Milling Machine       
Symbol        Bar Code Scanner       
Symmorphix        Physical Vapor Deposition (PVD) Tool        Multi Chamber
Symons        Cone Crusher       
Symtek    201           
Symtek    340 HC    Handler       
Symtek    300    Hander       
Symtek    1211    Hander       
Symtek    300    Handler       
Sym-Tek    300 HC 55           
Symtek / Schmid    SZ-500    3-Axis Robot       
Synax    SX 3100    Handler       
Synax    SX 1201    Handler       
Synax    SX 141    Handler       
Synax    SX 1211    Handler       
Synax    SX 1201    Handler       
Synax    141    Handler       
Synax    SX 1008 R    Test Handler       
Synax    2400    Handler       
Synax    SX 1211           
Synax    12xx    Handler       
Synax    SX 2400    Handler        "- Windows XP
- Does not power up due to parts issue:
    - input arm picker *4
    - contactor-R close (alignment issue)"
Synax    SX 2400    Handler        - Windows XP
Synax    SX 2400    Handler        - Does not power up due to parts and driver issue: output arm picker*2, SX2400-003  M8 (motor)  SP8 (servo pack)  driver &Input arm)
Syneo    Accu-Feed CPF    Auto Hooper / Coiler       
Synergy    H 4    Hybrid Reader       
Synrad    48 Series    Laser       
Syntron    J 1 B    Jogger       
Syntron    SMS    Manual Press       
Systec    VGF G 5 1    Furnace for Multi Crystalline Silicon Growth       
System Division    RB 1    SDI Brush       
System General    All Writer    Programmer        with Computer
Systemation    ST-485    Tape and Reel Machine        "AVS 2000 Vision System
Available Changeover Kits:
1- TSSOP
2- Wide Body SOIC Kit
3- 28 Lead Plcc Kit
4- Narrow body SOIC Kit
5- 32 Lead Plcc Kit
6- 44 Lead Plcc Kit"
Systemation    TP 150    Peel Test Analyzer       
Systemation    ST 60    Tape and Reel       
Systems Chemistry        Acid Waste Collection Unit        "- With:
- Two 55 Gal Drum Capacity
- Modular Control Panel
- Lp300 Controller 71X34X66"
Systron Donner    MRC 40-50 OV    Super Mercury Power Supply       
Systronic        Coater       
SZEGVARI Attritor    S-1    Union Process Attritor        Explosion Proof
T&S / Vestil    AHS-8-10-14 QIT 8    Crane        - 8,000 lb. capacity
Ta Chen        Solder Printer       
TA Instruments    Q 50    Thermogravimetric Analyzer        with Mass Flow Controler
TA Instruments    Q 2000    DSC       
TA Instruments    DSC 2920    Differential Scanning Calorimeter       
TA Instruments    DSC 2920 Modulated    Differential Scanning Calorimeter       
TA Instruments    Q 20    DSC Calorimeter       
Tab Machine        Hardness Tester       
Tabai        AC Humidity Chamber       
Tabai    STPH 201 M    Backing Oven       
Tabai    PH 200    Cure Oven       
Tabai Espec    TPC 421    Pressure-Temp & Humidity Chamber        220V, 60Hz
Tabai Espec    LC 113    Cure Oven       
Tabai Espec    PHH 200    Cure Oven       
TAC Instruments    ELD 400    Loader       
TAC Instruments    EUD 400    Receiver       
Tacchi        Deep Hole Gun Drill       
Tachen        Marking Machine       
Taeha Corporation    THE 100    Adhesive Dispenser       
Taejin Rotogravure    TJ GRP 2 Gravure    Printer        "- Printing width: 450mm
- Print speed: 8m/min
- Roll pressure: 0.8MPa
- Web tension: 10 Kgf
- Chamber temperature: 150oC
- Registration accuracy: ? 40 microns"
Tailored Lighting    ColorView    LightBooth       
Taiwan Chain Logic    LEDA 6 S    Wafer Prober       
Taiwan East Electric    AFM 1561    Automatic Folding Crystal Sticky Machine       
Taiwan East Electric    AFM 1561    Die Bonder    12"    - Additional option for detect carrier direction
Taiwan East Electric    AFM 1561    Die Bonder    12"   
Taiwan Fulin    FU 20 PEB    Evaporator       
Taiwan Fulin Tech    FU 16 PEB IRP    Plasma Etching       
Taiwan More Technology Services    MTS 8600    Developing Track       
Taiwan Poworld Electronics    TVR 7000    Electrical Characteristics Tester       
Taiwan Sampoong Instrument    Quick Vision Apex 302 L 1-C    Portrait Measuring System       
Taiwan Sampoong Instrument    Quick Vision Apex 302 L 1 L-C    Portrait Measuring Machine       
Taiwan Semi Auto    PK 316 H    Straping Machine       
Taiwan Think Technologies    DS 168    Die Sorter       
Taiwan Think Technologies    TK 170 - 2 K    Electrical Characteristics Tester       
Taiwan Think Technologies    TRR 170 - 3 K    Electrical Characteristics Tester       
Taiwan Think Technologies    TK 168 D    Electrical Characteristics Tester       
Taiwan Think Technologies    TK 170 - 3 K    Electrical Characteristics Tester       
Taiwan Think Technologies    TK 168 DQ - 3 K    Electrical Characteristics Tester       
Taiwan Think Technologies    TK 170 TVS    Electrical Characteristics Tester       
Taiwan Think Technologies    TK 168 RST - 3 K    Electrical Characteristics Tester       
Taiwan Think Technologies    MM 878 SCR    Electrical Characteristics Tester       
Taiwan Think Technologies    VC 168 1.5 KE    Vc Clamping Tester       
Taiwan Think Technologies    VC 168 5 KE    Vc Clamping Tester       
Takaki Tools    ETM 537    Taping Machine       
Takatori    812 SD    Wire Saw       
Takatori    MWS 812 SD    Down Cut Multi Wire Saw        "- 1000m/min and 45 newton wire tension
- 3 Spindle System
- Step Down Transformer
- Kanto-Seiki ""Oilmatic"" SCCB-11-AHM-N and SCCB-05A-N Automatic Oil Temperature Regulators
- Cleantec MS50N ""Sludge Free"" Liquid/Solid Centrifugal Separator
- Coolant Tank"
Takatori    MWS 612 SD    Down Cut Multi Wire Saw    6"    "- 2 Spindle System
- Step Down Transformer
- KAMUI type HOCA 840-40 Oil Cooler
Kanto-Seiki ""Oilmatic"" SCCB-05A-N Automatic Oil Temperature Regulator
- Coolant Tank"
Takatori    ATM 3100 EF    Automatic Wafer Lamination System    6", 8", 12"    "- Fully automatic
- Wafer loader system
- Alignment unit
- Wafer transfer robot unit
- Wafer transfer unit
- Lamination table unit
- Lamination roller unit
- Tape relation unit
- Cutter unit
- ESD unit"
Takatori    ATRM 4000 A    Automatic Wafer De-lamination System    6", 8", 12"    "- Wafer loader system
- Alignment unit
- Wafer transfer robot unit
- Tape removal unit"
Takatori    MWS 34 SN    Wire Saw       
Takatori        Bar Code Printer / Labber       
Takatori    ATM 1100 G    Wafer Mounter       
Takatori    ATRM 2300    Wafer Mounter       
Takatori    ATRM 2100 D    Fully-Auto Detaping       
Takatori    MWS-610    Saw       
Takaya    APT 8400 CI    Flying Probe       
Takikawa    LDM 1000    Laser Micrometer       
Takisawa    TCC 2000 L 3    Lathe       
Tamadenshi    MFD-K    Data Processing    8"   
Tamadenshi    MFD-K    Data Processing    8"    - Missing parts
Tamadenshi    MFD-K    Data Processing    8"   
Tamarack Scientific    PRX 500 / 1000           
Tamarack Scientific    155           
Tamglass    ProE 2448    Tempered Furnace       
Tamponcolor        Backside Metallization       
Tamponcolor        Backside Metallization Dots       
Tampoprint    Hermetic 90    Pad Printer       
Tamura    HC 33 27 SF    Wave Solder Machine        No lead
Tamura    SPD 11-300    Wave Solder Machine       
Tangerine    Akribis    Femtosecond Laser        the SHG/THG Harmonic Module is not in its best condition.
Tantec        Cable Treater       
Tapping System        Shipper Sealing Machine       
Tauring    ALFA 50 CNC 8/7    Coil Winder        "- Round Tube: 2.0” X .070” WT (Mild Steel)
- Square Tube: 1.75” X .090” WT (Mild Steel)
- Pipe: 1” SCH. 40"
Taylor    Y 15 WS    Forklift       
Taylor Hobson    Tally Surf 120 L           
Taylor Wharton    25 LD    Cutting Edge Tester       
Taylor Winfield    EN 24 3    Welder       
Taylor-Wharton    25 LDB    Nitrojeno Container       
Tazmo Track    SF 8001    Spin Coater    8"    "- Computer data corruption
- 3 x hot plates
- cool plate
- UV-station
- 2 X spin coating unit ( open and closed cup)
- wafer robot
- chiller ( for cool plate)
- solvent cabinet
- waste cabinet"
TCL    M5 100    Accessories    8"   
TCR Electronics Measurements    60054 5    Power Supply       
TD Industries    8600    Track       
TDK    GEN 30    Power Supply       
TDK    AFM 15    Flip Chip Bonder       
TDK    PD 21 B    Control Board       
TDK / Avimount    RX 11    Pick & Place Machine       
TDK-Lambda    GEN 50-30-LAN    Power Supply       
TDK-Lambda    GENH 12.5-60-U    Power Supply       
TDK-Lambda    GEN 50-200-IEMD-3 P 400    Power Supply       
TDK-Lambda    GEN IU    2.4kW Programmable DC Power Supply       
TDK-Lambda    GEN 30-170 DC    Power Supply       
TE    AMP 3 K / 40 Terminator    Bench Top Crimping Terminator       
TE        Crimper       
Team Technik Automation    TT 1200    Stringer        4 Bus Bar, 1060 Cells/Hr
Team Technik Automation    TT 200 HS    Stringer        4 Bus Bar, 1280 Cells/Hr
Team Technik Automation    Somont    Stringer        4 Bus Bar, 1280 Cells/Hr
TEC    H 18 X 8    Flat Belt Conveyor       
TEC    Minneapolis    Blower Door Kit       
Tec Lighting    TRS 16    Elevator       
Tec Lighting        Air System       
Teca    TPX 350    Pad Marking Machine       
Tecan    Genesis 100    Workstation        - w/ robotic arm
Tech Pro    Rheotech RE    Oscillating Die Rheometer (ODR)       
Techarmonic    EHTVS    Abatement    8"   
Techarmonic    EHTVS    Abatement    8"   
Techarmonic    MOJAVE    Abatement    8"   
Techcon Systems        Displacement Pump       
Techline Industries        Bottle Orientator M/C       
Techmatron    USB-2416-4 AO    Data Acquisition Module       
Technical Devices    Mark V    Radial Lead Trimmer       
Technical Instrument    1100 S    Wafer Stage / Controller       
Technical Machine Product        Press        with Control Panel
Technical Manufacturing    MICRO-g 63 18162    Air Table Bench       
Technics    Micro RIE Series 800    Reactive Ion Etch (RIE)       
Technics    PE-II A           
Technics    Planar Etch II           
Technics    Micro-RIE Series 800-IIC            - does not have a vacuum pump
Technics    PP 300 E           
Technifab        Phase Separator       
Techno Digm    CFM 1000    Fluid Centrifugal Aerator       
Technofour        Check Weigher        600gm
Technologies    CC 8 M 1    Conveyor       
Technologies    MCC 5 M 1 LT    Conveyor Unloader       
Technologies        Conveyor       
Technologies    CC IM 1    Conveyor       
Technologies    MCC 1 M 2    Conveyor       
Technology        Conveyor       
Technology    MCW LM 1-1/1 SC 5 D    Conveyor       
Technology    MCC IM 2    Conveyor       
Technology    MFIFO 6 M 300    FIFO       
Technology    MFIFO 6 M 30 2 CFT 12    FIFO       
Technology    MCW 1 M-1-1 / 1 SC 50    Conveyor       
Technos    TREX 610    Total Reflection Analyzer        "- Haskris Chiller
- X-ray Generator (2)
- Work Table (2)
- Dry Box Cabinet
- Misc. Pumps & Parts"
Tecmaq    Robotic 900    Sealer       
Tecnau    200.14.1    Bookmaking System        For parts
Tecnau    100.12    Bookmaking System        For parts
Tecnics    PE-11A    Plasma etcher       
Tec-sem    Practor-300 2 T    Wafer Handling    12"    "- Max wafer capacity: 788
- 2 Tec-Cell towers
- 4 Tec-Cell module per tower"
Tecson        Ultrasonic Machine       
Tecson        Ultrasonic Machine       
Tecson        Ultrasonic Machine       
Tecson        Ultrasonic Machine       
Tegal    981    Etcher    8"    Single chamber silicon nitride
Tegal    901-E    Etcher    6"    "With:
- ENI Generator
- Chiller"
Tegal    701           
Tegal    901 E        4"   
Tegal    6540        6"    "- 2x Ch Etch
- 1x Ch Passivation
- used for metal etch AlSi / AlSiCu
- PM1 not functioning at shutdown;
- PM2 in was up when shutdown but in bad condition;
- PM3 (stripper) was up at shutdown;
- PM4 (rinser) was up at shutdown
- PM5 (align) was up at shutdown
- CM1 (cassette module 1) was up at shutdown;
- CM2 (cassette module 2) was up at shutdown;
- TM1 (primary robot) was up at shutdown;
- TM2 (secondary robot – atmosphere) not work properly at shutdown ( axis Z movement issue, belt to change);
- Chillers in was up at shutdown;
- RF gen in was up at shutdown"
Tegal    901 e            6"
Tegal    903 E            4"
Tegal    901 E    Plasma Etcher        - Poly / Nitride
Tegram    900 A    Solder System Tester       
Tek    Matrix    X-ray       
Teka    THZ 250    High Speed Pan Mixer       
Teka    THZ  500    High Speed Pan Mixer       
Tekena    TDRC-SA 2100 DS-ULT-STD    Web Cleaning System        "Double-sided, Heavy Duty Web Cleaning System (S-wrap configuration)
Semi-automatic cleaning of contamination storage rollers: Includes integral drive motor
Storage roller cleaning fluid: IPA
Maximum cleaning width: 2100mm (82.67"")
Application line speed: Approx. 10ft/min
2 x 112mm OD, balanced cleaning rollers. Low weight to minimize added web tension
2 x 124mm OD, balanced, hi-capacity contamination storage rollers
Full cover Guard: Acrylic/Aluminum Cabinet
Control Box for line integration, pneumatic & electrical supplies
Automatic operation from line ON/OFF signal with Manual override
Utilities: 110V/60Hz single phase, 70-100psi dry, clean air

"
Tekena    TDRC-A 2100 SS-ULT-STD    Web Cleaning System        "Single-sided, Heavy Duty Web Cleaning System
Fully Automatic, Traversing Cleaning Head with cloth roll for storage roller
Storage roller cleaning fluid: IPA
1 x 5ltr capacity, stainless steel IPA tank with all associated pipework, regulators etc.
PLC Control System (Omron) with 5.4” touch screen control panel
1 x 112mm OD, balanced cleaning roller. Low weight to minimize added web tension
1 x 124mm OD, balanced, hi-capacity contamination storage roller
All other specifications as Item 2 above

·    Proprietary ULT Cleaning and Transfer rollers with a high surface energy run in contact with, and are driven by, the substrate. The rollers lift dry unattached contamination from the web down to nano-particulate size and transfers it to a specially engineered synthetic roll which acts as a storage reservoir. The storage roll is periodically cleaned by an semi or fully automated cloth/wipe system using IPA fluid. "
Tekena    TDRC-SA 2100 DS-ULT-STD    Web Cleaners        "Double-sided, Heavy Duty Web Cleaning System (S-wrap configuration) Semi-automatic cleaning of contamination storage rollers: Includes integral drive motor Storage roller cleaning fluid: IPA Maximum cleaning width: 2100mm (82.67"") Application line speed: Approx. 10ft/min
2 x 112mm OD, balanced cleaning rollers. Low weight to minimize added web tension
2 x 124mm OD, balanced, hi-capacity contamination storage rollers Full cover Guard: Acrylic/Aluminum Cabinet Control Box for line integration, pneumatic & electrical supplies Automatic operation from line ON/OFF signal with Manual override
Utilities: 110V/60Hz single phase, 70-100psi dry, clean air"
Tekena    TDRC-A 2100 SS-ULT-STD    Web Cleaners        "Single-sided, Heavy Duty Web Cleaning System Fully Automatic, Traversing Cleaning Head with cloth roll for storage roller Storage roller cleaning fluid: IPA
1 x 5ltr capacity, stainless steel IPA tank with all associated pipework, regulators etc.
PLC Control System (Omron) with 5.4” touch screen control panel
1 x 112mm OD, balanced cleaning roller. Low weight to minimize added web tension
1 x 124mm OD, balanced, hi-capacity contamination storage roller All other specifications as Item 2 above

"
Teknik        Tabber and Stringer        700 cell / hr
Tekronix    465    Oscilloscope       
Tektronics    TSG 100    TV Generator       
Tektronics    DPO 3034    Portable Oscilloscope       
Tektronix    TDS 6124 C    "Digital Storage Oscilloscope
"        "12GHz
"
Tektronix    TDS 3054    Oscilloscope       
Tektronix    AWG 610    Arbitrary Waveform Generator       
Tektronix    AWG 710    Arbitrary Waveform Generator       
Tektronix    DSA 70804 C    Scope       
Tektronix        Oscilloscope       
Tektronix    P 5102    High Voltage Probe        100 MHz
Tektronix    HFS 9009    Stimulus System       
Tektronix    2235    Oscilloscope        100MHz
Tektronix    485    Oscilloscope        350MHz
Tektronix    2430    Oscilloscope        150MHz
Tektronix    2230    Digital Storage Oscilloscope        100 MHz
Tektronix    DSA 71254 B    Scope       
Tektronix    DTG 5274    Data Generator       
Tektronix    DTGM 30    Module       
Tektronix        Multi-Instrument Chassis       
Tektronix    TDS 3012 B    Curve Tracer / Oscilloscope       
Tektronix    DPO 2012 B    Curve Tracer / Oscilloscope       
Tektronix    TYPE 577    Curve Tester       
Tektronix    TYPE 576    Curve Tester       
Tektronix        Curve Tester       
Tektronix    5103 N    Oscilloscope       
Tektronix    2430 A    Oscilloscope       
Tektronix    370 A    Programmable Curve Tractor       
Tektronix    PS 280    DC Power Supply       
Tektronix    TDS 460 A    Digi Oscilloscope       
Tektronix    TLA 720    Logic Analyzer       
Tektronix    2235    Oscilloscope        100 MHz
Tektronix    485    Oscilloscope        350 MHz
Tektronix    2430    Oscilloscope        150 MHz
Tektronix    2467    Oscilloscope       
Tektronix    MSO 2024 B    Oscilloscope       
Tektronix    MSO 2004 B    Oscilloscope        "With TETRONIX TPP0100 passive 10X Voltage Probe
"
Tektronix    PWS 2323    DC Power Supply       
Tektronix    DP 02024 B    Osciloscope       
Tektronix    TCP 0030    Current Probe       
Tektronix    DPO 3014    Osciloscope       
Tektronix    DPO 4034 B    Osciloscope       
Tektronix    TDS 644 B    Data Generator       
Tektronix    2467    Oscilloscope       
Tektronix    644 B           
Tektronix    TLA 704    Logic Analyzer Color Portable Mainframe        - Failed Hard-Drive
Tektronix    TLA 7 M 4    136 Channel LA Module with MagniVu Acquisition       
Tektronix    TLA 7 L 3    102 Channel LA Module with MagniVu Acquisition       
Tektronix    TLA 5203    Logic Analyzer       
Tektronix    TDS 8000    Digital Sampling Oscilloscope        "Includes:
- 80C12 Optical Sampling Module
- 80A05 Clock Recovery Module"
Tektronix    80 A 05    Clock Recovery Module       
Tektronix        Lot of Test Equipment       
Tektronix    80 C 00    Optical Module       
Tektronix    DSA 8300    Digital Sampling Oscilloscope       
Tektronix    11801 A    Digital Sampling Oscilloscope       
Tektronix    11801 B    Digital Sampling Oscilloscope       
Tektronix    200 C Scope    Mobile Tektronix Cart       
Tektronix    2225    Oscilloscope        50 Mhz
Tektronix    2230    Digital Storage Oscillscope        100 Mhz
Tektronix    2235    Oscilloscope        100 Mhz
Tektronix    2430 A    Digital Oscillscope       
Tektronix    2431 L    Digital Oscillscope        250 Ms/S
Tektronix    2445 A    Oscilloscope        150 Mhz
Tektronix    2465    Oscilloscope        300 Mhz
Tektronix    2465    Oscilloscope       
Tektronix    2465 A    Oscilloscope        350 Mhz
Tektronix    2465 A    Oscilloscope        "- 350 Mhz
- Needs Repair & Calibration"
Tektronix    2465 A    Oscilloscope       
Tektronix    2465 B    Oscilloscope       
Tektronix    2465 B    Oscilloscope        400 Mhz
Tektronix    464    Storage Oscilloscope        - Needs Calibration
Tektronix    465    Oscilloscope        - Needs Calibration
Tektronix    465    Oscilloscope        "- With Cart
- Needs Calibration"
Tektronix    475    Oscilloscope        - Needs Calibration
Tektronix    576    Curve Tracer        - Needs Repair & Calibration
Tektronix    577    Curve Tracer       
Tektronix    577    Curve Tracer        "- With 177 Standard Test Fixture
- Poor Tool-Parts Only"
Tektronix    577 D 1    Curve Tracer        Parts Tool
Tektronix    7854    Oscilloscope       
Tektronix    7904    Oscilloscope       
Tektronix    AM 5030    Programmable Amplifier       
Tektronix    AM 503 B    Current Probe Amplifier       
Tektronix    CPS 250    Power Supply        Triple Output
Tektronix    IPA 310    Interconnect Parameter Analyzer       
Tektronix    SM 11    Multi Channel Unit       
Tektronix    TDS 520 A    Oscilloscope       
Tektronix    TDS 644 A    Oscilloscope        "- Color
- Channel Digitizing
- Poor Tool - Parts Only"
Tektronix    TDS 644 B    Oscilloscope        "- Color
- Channel Digitizing
- Digital
- Real-Time"
Tektronix    TDS 684 B    Oscilloscope        "- Color
- 4 Channel
- Digital
- Real-Time
- Poor Tool-Parts Only"
Tektronix    TM 501    Function Generator        "- With Fg 502 11Mhz
- Poor Tool - Parts Only"
Tektronix    TM 502 A            "With:
- Pg 502 250 Mhz Pulse Generator
- Fg 503 3Mhz Function Gnerator
- Poor Tool - Parts Only"
Tektronix    2465 B    Oscilloscope       
Tektronix    7844    Oscilloscope       
Tektronix    TDS 340    Oscilloscope        "- 2-Channel
- With Manuals
- No Probes"
Tektronix    TDS 460    Oscilloscope       
Tektronix    PS 222    Portable Oscilloscope       
Tektronix    2445 A    Digital Oscilloscope       
Tektronix    TDS 2012    Digital Storage Oscilloscope       
Tektronix    TX 3    Multimeter       
Tektronix    TM 506 A    Mainframe / Chassis       
Tektronix    AM 502    Differential Amplifier       
Tektronix    DC 504 A    Counter / Timer       
Tektronix        11MHz Function Generator       
Tektronix    PS 503 A    Dual Power Supply       
Tektronix    TDS 7054    Oscilloscope        - 500 MHz Bandwidth, 5 GS/s
Tektronix    DPO 4034    Digital Oscilloscope       
Tektronix    DPO 3014    Digital Phosphor Oscilloscope 100 MHz 4 Channel       
Tektronix    MSO 4104    Mixed Signal Oscilloscope       
Tektronix    AFG 3021    Single Channel Arbitrary/Function Generator       
Tektronix    TM 502 A    Mainframe        "Includes:
- Tektronix AM503A Current Probe Amplifier, Opt 03
- Tektronix PG502 Pulse Generator, 250 MHz"
Tektronix    P 6201    900 Mhz FET Probe       
Tektronix    PS 280    DC Power Supply       
Tektronix    TDS 784 D    Oscilloscope       
Tektronix    TDS 420    Oscilloscope       
Tektronix    TDS 210    Digital Real-Time Oscilloscope        2 Channel
Tektronix    TDS 340 A    Digital Real-Time Oscilloscope        2 Channel
Tektronix    2221    Digital Storage Oscilloscope       
Tektronix    TDS 620 B    Oscilloscope        "- 4 Channel
- 500MHz / 2.5GS / s"
Tektronix    TDS 360    Oscilloscope        "- 2 Channel
- 200MHz / 1GS / s"
Tektronix    2213 A    Oscilloscope       
Tektronix    2213 A    Oscilloscope       
Tektronix    2235 A    Oscilloscope       
Tektronix    2235 A    Oscilloscope       
Tektronix    2235 A    Oscilloscope       
Tektronix    2236 A    Oscilloscope       
Tektronix    2215            60 MHz
Tektronix    PRO 8000    Laser Diode       
Tektronix    184    Time Mark Generator       
Tektronix    TDS 784 D    Digital Phosphor Oscilloscope        4 Channel
Tektronix    DG 2040    Data Generator       
Tektronix    TDS 6804 B            "- Specs: 4 channel, 8GHz, 20GS/s
- Date last passed calibration: Mar. 22, 2018
- Known issue: The lowest scale (500mV) intermittently displays twice the expected voltage amplitude"
Tektronix    2235    Analog Oscilloscope        "- 100 MHz
- Dual Trace"
Tektronix    TDS 320    Digital Oscilloscope       
Tektronix    TDS 3034 B            300 MHz
Tektronix    DPO 7354    Scope       
Tektronix    DM 44    Oscilloscope       
Tektronix    2201    Digital Storage Oscilloscope       
Tektronix    576    Curve Tracer       
Tektronix    P 6015 A    High Voltage Probe       
Tektronix    TDS 5054 B    Oscilloscope       
Tektronix    TDS 460    Digital Oscilloscope        with GPIB
Tektronix    TDS 1002 C-SC    Digital Oscilloscope       
Tektronix    TCA 300    Amplifier AC/DC Current Probe       
Tektronix    TDS 1012    Oscilloscope        2 Channel
Tektronix    TDS 220    Oscilloscope        2 Channel
Tektronix    A 622    Current Probe       
Tektronix    AFG 3102    Oscilloscope       
Tektronix    MD 03024    Oscilloscope       
Tektronix    TBS 2000    Digital Oscilloscope       
Tektronix    DPO 2024    Digital Phosphor Oscilloscope        200 MHz, 4 channels
Tektronix    22819    Digital Oscilloscope       
Tektronix    MSO 4034    Mixed Signal Oscilloscope        "- 350 MHz
- 2.5 GS/s"
Tektronix    177    Standard Test Fixture       
Tektronix    11801 B    Oscilloscope Mainframe       
Tektronix    577    Curve Tracer       
Tektronix    TDS 640 A    Oscilloscope       
Tektronix    SD 24    Sampling Head       
Tektronix    SD 26    Sampling Head       
Tektronix    TLA 704    Logic Analyzer       
Tektronix    TBS 2104    Digital Storage Oscilloscope        "- 4 channels
- 100 MHz bandwidth
- 1 Gs/s sampling rate"
Tektronix    P 7350    Differential Probe        "5.0 GHz
<100 ps tr
6.25X ATTEN
Common Mode
Range +6.25V, -5V
Offset +/- 1.25V
Diff Input
C, <0.3pF
R, 100KOmega
Range +/- 2.5V"
Tektronix    TCP 202 A    Current Probe        "Broken , Needs Repair
300V CAT I
15A peak"
Tektronix    TDP 3500    Differential Probe        "3.5 GHz
tr<110ps(typ)
Vdifferential: +/-2.0V"
Tektronix    P 67 SA 08    x4 Midbus Probe        "HFN1519 Rev 01
872-0015-00-OPS Rev 01
3958850-01 1248
Includes:
Tektonix CD
020305700 - RA TRX 2912
131-8617-00
131-8617-00"
Tektronix    TCP 202    Current Probe        - Probe is functional but is missing one button to slide the probe open and close. The probe can still be opened by hand
Tektronix    RSA 6120 B    Real-Time Signal Analyzer        9kHz - 20GHz
Tektronix    AM 503 A    Current Probe Amplifier        "- Options: 03
- This module powers on but the functionality has not been tested"
Tektronix    PG 502    Pulse Generator        "- 250 MHz
- This module has been tested and it working"
Tektronix    TM 502 A    Mainframe        - This mainframe powers up and is working
Tektronix    576    Oscilloscope       
Tektronix    TDS 1012    Oscilloscope        "- with Instek Programmable Power Supply
- 100mhz"
Tektronix        Oscilloscope        "- with HP Signal Generator
- 300mhz"
Tektronix    TDS 754 D    Digital Phosphor Oscilloscope        "- Four channels
- 1GHz, 4GS/s
- Options: 13, 1F, 2F
- Unit works and passes self-tests, all four channels are working"
Tektronix    11801 C    Sampling Oscilloscope       
Tektronix    AWG 7101    Arbitrary Waveform Generator       
Tektronix    DSA 72004    Digital Serial Analyzer       
Tektronix    TDS 6604    Oscilloscope        6 Ghz
Tektronix    TDS 684 A    Digital Oscilloscope       
Tektronix    TDS 684 B    Digital Oscilloscope       
Tektronix    TDS 794 D    Digital Oscilloscope       
Tektronix    370    Programmable Curve Tracer       
Tel    Act 12            "– Application of adhesion promotors (e.g. HMDS)
– Spin coating (automated and manual dispense)
– Film thickness uniformity (< 1 nm, 3‐sigma)
– Coating of top‐ and bottom coats
– High precision hotplates (temperature uniformity < 0.2°C)
– Multiple temperature steps up to 250°C
– Multiple develop options (TMAH, TMBH)"
Tel    P 8 XL    Wafer Prober    8"    "- SACC, Air Cool Chuck
- Ni Hi Voltage Hot Chuck
- WAPP Probe Card Cleaning Plate & Brush
- GPIB Board
- Semi Automatic Card Changer
- VIP3A CPU Board
- PTPA Accuracy +/- 4.0µm
- PTPA Z Accuracy +/- 5.0µm
- Ink Dot Inspection
- Probe Mark Inspection
- Indexer Slide Loader
- Wafer Prealigner
- Wafer Table
- Interface for Credence Quartet tester
- Weight: 870kg
- Rated Power Input: AC 220, 50/60Hz
- Rated Max Motor: 200VAC, 50Hz"
Tel    78 S    Automatice Wafer Prober    8"   
Tel    Lithius Stand alone    Lithius Stand Alone    12"   
Tel    TE 8500 PE    Oxide Etch    8"   
Tel    78 S    Probe    8"   
Tel    8500 PE    RATC Oxide Etcher    8"   
Tel    Trias    CVD    12"   
Tel    8500 PE    Etch    8"   
Tel    8500 PE    Etch    8"   
Tel    8500 PE    Oxide Etch Tool    8"   
Tel    8500 PE    Etch    8"   
Tel    8500 PE    Etch    8"   
Tel    8500 PE    Etch    8"   
Tel    8500 PE    Etch    8"   
Tel    TE 8500    Etching System    8"    "- Chiller SMC
- RF generator
- Turbo pump"
Tel    Telius SP 304 poly    Etch    12"    "- RF generator(60MHZ): Oxide generator 60MHZ/5KW AGA-50B2
- RF generator(2MHZ): Oxide generator 2MHZ/5KW WGA-50E"
Tel    TSP 308888 SSSS    Deep Trench Etch system    12"    "- 4 chambers with range of 900 to 1600 RF hours
- Process Gases: HBr,SiF4,SF6,O2,Ar,N2
- Power Input: 200VAC
- 50/60 Hz"
Tel    Lithius    I-Line Photoresist    12"    "- P*4/2C5D, for coating and developing wafer
- "
Tel    78 S    Probe    8"   
Tel    Telius 308 S SCCM DT    Deep trench Si etch process chamber    12"    - Chamber only
Tel    Trias    CVD    12"    "Chamber Parts:
- Slot antenna
- Susceptor heater
- Quartz liner
- Susceptor cover
- Baffle cover
- Capacitance Manometer
- Gate valve"
Tel    Trias    UVRF/High-k CVD/ SPA-N/ LPA Process Modules    12"    "- Trias platform
- UVRF module
- High-K CVD module
- SPA-N module
- LPA module"
Tel    Trias    TI/TiN ALD    12"    "Accessories:
- Mainframe with 4 Chambers:
- 4 x TiN chamber
Tool Codes:
- CVD314-01-A,
- CVD314-01-B,
- CVD314-01-C,
- CVD314-01-D"
Tel        Minibatch Furnace    12"    Nitrid
Tel        OfenTel Minibatch    12"    Oxid
Tel    Act 12    Stand Alone Track    12"    "- 2 Wafer Transfer Robot Arms: 1 Carrier, 1 Process
- 3 FOUP Loader Port
- SECS/GEM I/II Interface required is iUSC
- 2 SOG Coater Process Station
       * 2 SOG Nozzles w/ Temperature Control
       * 4 Solvent Capability
       * TARC Compatible: Teflon Coated Chamber
       * PTI Mass Flow Exhaust Controller
       * Temperature Humidity Control
       * 1 Set of SS Back Rinse and Cup Rinse Nozzles
- 4 Chill Plate Process Station
- 1 Transfer Chill Plate Process Station
- 3 Transfer Low Temperature Hot Plate (LHP)
- 6 High Temp Hot Plate Process Stations (HHP)
- 1 TEL Temperature and Humidity Controller
- Side Chemical Cabinet
       * 8 – 2.5 L Bottle Storage Capacity
       * 4 – 2.5 L Bottle Storage Capacity
       * 4 – 12 L Tank Solvent Canisters
- 1 AC Power Box
"
Tel    Unity M 85 TD    Etcher    8"   
Tel    Act 12 SOD        12"    HSQ, Photoresist
Tel    SPS 450    BEOL Surface Prep, Wafer Clean System       
Tel    Trias    ALD    12"    TI / TiN
Tel    Lithius 1.0    Clean Track       
Tel    Telius    UD Deep SI Etch       
Tel    UI 2604    Horizontal Sinter / Anneal    6"   
Tel    Mark 7 SS 2    Wafer Scrubber        "- Includes: Main Frame, Power Rack
- Missing Parts: Valve, Robot, Belts, PCB, Parts, Pump"
Tel    Tactras RLSA    Chamber       
Tel        Operator Platforms for Tel Mark 8 Photo Resist Coat / Develop Systems       
Tel    Mark V    Coater / Developer       
Tel    UW 300 Z    Wet Bench       
Tel    ACT - M    Developer        "- SMIF Load
- (2) DEV Develop Process Stations
- CWH Cup Washer Holder
- (2) TRS Trasfer Stations
- (2) SMIF I/O
- Bowl 1: (2) NDL Nozzle, FIRM Surfactant, H2O Rinse
- Bowl 2: GP nozzle, H2O Rinse, No bake/cool plates"
Tel    NS 2 SCCM - JIN    Etch SCCM Module        - This module goes on to a Tel Tactras
Tel    Alpha 8 SE        8"    "- Batch of 100wfr
- LPCVD CoSi-Nitride"
Tel    Alpha 8 SE Z        8"    Batch of 100wfr
Tel    ACT M    Coater       
Tel    P/N: 30-113-001 RF SLUG           
Tel    P/N: 39-431-002    Reactor RF F.T       
Tel    P/N: 40-011-001    O-Ring       
Tel    P/N: 40-046-001    Window Qtz       
Tel    P/N: 40-230-001    RF Slug Flag       
Tel    P/N: 51-112-001    Spacer Reactor       
Tel    P/N: 80-095-053           
Tel    P/N: 80-095-057           
Tel    P/N: 80-095-185    Fan       
Tel    P/N: 80-127-825    Screw       
Tel    P/N: 80-153-002    Nut       
Tel    P/N: 80-170-002    Standoff       
Tel    P/N: 80-192-121    Ferule       
Tel    P/N: 80-198-054    O-Ring       
Tel    P/N: 80-202-083    O-Ring       
Tel    P/N: 80-202-187    O-Ring Reactor       
Tel    P/N: 80-202-188    O-Ring       
Tel    P/N: 80-202-227    O-Ring       
Tel    P/N: 80-202-228    O-R for Door       
Tel    P/N: 80-202-340    O-Ring       
Tel    P/N: 80-202-396    O-Ring       
Tel    P/N: 80-202-397    O-Ring       
Tel    P/N: 80-202-405    O-R Bellows       
Tel    P/N: 80-202-769    O-R Spacer R.       
Tel    P/N: 80-380-009    RF V. Capacitor       
Tel    P/N: 80-640-018    Lamp       
Tel    P/N: 80-671-007    Push Botton       
Tel    P/N: 80-671-034    Switch       
Tel    P/N: 80-679-001    Photodiode       
Tel    P/N: 80-76058    Bracket       
Tel    P/N: 81-007-077    Bellows Gate       
Tel    P/N: 83-105-001    Switch       
Tel    P/N: 93-137-002    RF Cable       
Tel    LK 201-EE    Keyboard       
Tel    Aries    CryoKinetic Cleaning System    8"    "- Open cassette (2)
- Brooks Marathon 5000 Handler System
- Hardware Configuration: EDP200 / QMB1200 (2)"
Tel    MB 2           
Tel    Lithius    Track    12"   
Tel    P 12 XLn    Prober        - Parts Machine
Tel    Precio    Prober    12"    "- Stage type: Combo 350
- Chiller type: C355
- OCR type: Insight 1700
- Chuck Material: Nickel
- Mac configuration: 0000.cc10.b842"
Tel    P 8    Prober        "- CPU type: IP-DP:VIP
- Top loader, cold ready: D204
- Gold chuck
- Head plate
- 50mm polish pad
- GPIB
- RS232"
Tel    P 8    Prober        "- Operating system: Pzz09-R012.15-T
- CPU type: IP-DP:VIP
- Top loader, cold ready: D204
- Gold chuck
- Head plate
- 50mm polish pad
- GPIB
- RS232"
Tel    P 8    Prober        "- Operating system: Pzz09-R012.15-T
- CPU type IP-DP:VIP
- Top loader, cold ready: D204
- Gold chuck
- Head plate
- 50mm polish pad
- GPID
- RS232"
Tel    P 8    Prober        "- Operating system: Pzz09-R012.15-T
- CPU type IP-DP: VIP
- Top loader, cold ready: D204
- Gold chuck
- Head plate
- 50mm polish pad
- GPID
- RS232"
Tel    P 8    Prober        "- Operating system: Pzz09-R012.15-T
- Chiller type: C355"
Tel    P 8    Prober        "- CPU type IP-DP: VIP
- Top loader, cold ready: D204
- Gold chuck
- Head plate
- 50mm polish pad
- GPID
- RS232"
Tel    P 8    Prober        "- CPU type IP-DP: VIP
- Top loader, cold ready: D204
- Gold chuck
- Head plate
- 50mm polish pad
- GPID
- RS232"
Tel    Unity 2 E        8"    "- 2 chamber silicon etch platform
- Software vs. 3.60rev206
- CIM: SECS
- Factory interface: open cassette
Hardware:
- Tool RF rack
- Scrubber: mystaire MTS-30C
- T/C and C/C pump - Ebara AIOS
- Chamber pump: Edwards iH80
- Chiller - SMC INR-499-213-X020
- Some missing/faulty parts"
Tel    Unity M  85 TD / SCCM    Copper Trench Etch Process    8"    - One Chamber
Tel    ACT 12        12"    "Hardware Config:
- AC power box
- Chemical cabinet
- Temperature & humidity unit (T&H)
- TCU (2)
- Factory Interface: FOUP (4)
- Handler system: CRA, IRA, PRA (2)
- 2 Block
- Pump: Entegris RDS-01 10cc
- Dev nozzle: LD
- T&H Controller: Shinwa ESA16"
Tel    LTI Precio Nano    Chuck       
Teledyne    HSF T 328 128 X    Protocol Analyzer       
Teledyne    Summit T 34    Analyzer       
Teledyne    PCI Express SFF 8639            12"
Teledyne    Summit T 34    Analyzer       
Teledyne    SierraNet M 408    Net Protocol Suite        "- Software Version 2.10 Build 942
- Firmware Version: 2.23
- Build Number: 0.31
- Net10G Analyzer x2 Version: 11.92
- Build Number: 0.75
- Net10G Infusion Version: 41.91
- Build Number: 0.23
- Net40G"
Teledyne    WAVERUNNER 640 ZI            4 GHz, 20 GS/s, 4ch, 16 Mpts/Ch DSO with 12.1" WXGA Color Display.
Teledyne    WaveSurfer 10 M            1 GHz, 10 GS/s, 4 Ch, 16 Mpts/Ch DSO with 10.4" Touch Screen Display. 10 GS/s, 32 Mpts Interleaved; Includes Advanced Debug Toolkit option                                                            
Teledyne    WAVEACE 1001           
Teledyne    WAVEJET 354 T           
Teledyne    WAVERUNNER 8404 M           
Teledyne    WAVESURFER 10           
Teledyne    WAVESURFER WS 3024 Z    Oscilloscope        "- 4 Channel
- 200 MHz"
Teledyne    WAVESURFER 3034    Oscilloscope       
Teledyne    WAVESURFER 3054    Oscilloscope       
Teledyne    WAVEACE 1002           
Teledyne    WAVEACE 204           
Teledyne    ThermoCube    Chiller       
Teledyne    UA 6           
Teledyne    Wavesurfer 3034 Z    Oscilloscope        "- 350MHz
- 4 Channel"
Teledyne    44 MXS-B    Oscilloscope        "- 4 Channel
- 400MHz
- 5 GS/s DSO"
Teledyne    AP 022    Active Fet Probe        2.5GHz
Teledyne    AP 1 M    Adapter for WM        1MOhm
Teledyne    AS SYNC    ArbStudio Sync Cable       
Teledyne    D 1605 A    Amplifier        with D1x05-SI
Teledyne    D 300 A AT    Differential Adjustable Tip Module        4 GHz
Teledyne    D 350 ST    Differential Small Tip Module        3 GHz
Teledyne    WaveLink D 400 A-AT    Adjustable Tip Probe        4 GHz
Teledyne    WaveLink D 420            4 GHz, 5V
Teledyne    D 500 PT    Differential Positioner        6 GHz
Teledyne    D 600 A AT    Differential Adjustable        7.5 GHz
Teledyne    WaveLink D 600 A AT    Adjustable Tip Probe        6 GHz
Teledyne    D 600 ST    Small Tip Module        6 GHz
Teledyne    WaveLink 610    Module        6 GHz, 2.5V
Teledyne    D 830 PS    Differential Probe System        8 GHz
Teledyne    DA 101    Passive Attenuator        Divided by 10
Teledyne    DA 1855 A    Probus Differential Amp        "100MHz
With PV"
Teledyne    DX 10 HiTemp    Temperature Cables        SI Lead
Teledyne    DX 10 SI    Replacement SI Lead Set        2.5V
Teledyne    DX 20 HiTemp    Replacement Cables        SI
Teledyne    DX 20 PT    Replacement Tip       
Teledyne    WaveLink DX 20 PT Kit    Replacement Tip for D320/D620       
Teledyne    DX 20 SI 0 HiTemp    Replacement Tip for DX20 Temp       
Teledyne    DXC 100 A    Differential Pass Probe Pair        100:1/10:1
Teledyne    DXC 5100    Probe Pair        "- 250 MHz
- 2500V x 100"
Teledyne    HDO 4032            "- 350 MHz
- 2 Channel"
Teledyne    HVFO 103    HV Fiber Optic Probe        60 MHz
Teledyne    LPA K A    Pro-Link to K Adapter       
Teledyne    LSIB 2            Includes Bracket
Teledyne    PCI Express    LSIB Hostcard       
Teledyne    MCMZI            32 GB to 192 GB RAM Option
Teledyne    ME 15    Module Extender        1.5 Meter
Teledyne    MS 250    Mixed Signal Oscilloscope        "- 250 MHz
- 18 Channels
- 10 Mpts/Ch"
Teledyne    MS 500    Mixed Signal Oscilloscope        "- 500 MHz
- 18 Channels
- 50 Mpts/ch"
Teledyne    MSO-MICTOR, D 0-D 35    Mictor Cable       
Teledyne    OE 425    Optical to Electrical Converter       
Teledyne    Phoenix PER R 0008-S 01 X    PeRT System        "- 8G
- 1 Channel"
Teledyne    PP 066    Probe        "- 7.5 GHz
- 10:1 and 20:1"
Teledyne    PPE 5 KV    High Voltage Probe        100:1
Teledyne    RP 4030    Voltage Rail Probe        "- 4 GHz
- 1x"
Teledyne    DA MCM ZI A    Master Control Module       
Teledyne    TF-PCIE 4-CTRL    CBB Controller        4.0
Teledyne    TF-SATA-C    SATA Compliance Test Fixture       
Teledyne    TF-SATA-C-KIT    SATA Compliance Test Kit       
Teledyne    TF-USB 3 SuperSpeed    USB Text Fixtures       
Teledyne    TF-USB-B    Test Fixture        USB 2.0
Teledyne    TPA 10    TekProbe to ProBus Adapter       
Teledyne    Waveace 1001    Digital Storage Oscilloscope (DSO)        "- 2 Channel
- 40 MHz
- 1 GS/s"
Teledyne    Waveace 1002    Digital Storage Oscilloscope (DSO)        "- 2 Channel
- 60 MHz
- 1 GS/s"
Teledyne    Waveace 2024    Digital Storage Oscilloscope (DSO)        "- 4 Channel
- 200 MHz
- 2 GS/s"
Teledyne    WavePro 404 HD    Digital Storage Oscilloscope (DSO)        "- 4 GHz
- 20 GS/s
- 4 Channel
- 12 Bit"
Teledyne    Waverunner 8404            "- 4 Channel
- 4 GHz
- 20 GS/s
- WR8K"
Teledyne    Waverunner 9404 MS            "- 4 Channel
- 10 GS/s
- 4+16 Ch
- WR9K"
Teledyne    Wavesurfer 3034 Z            "- 4 Channel
- 350 MHz
- WS 3000z"
Teledyne    Wavesurfer 44 MXS B    Digital Storage Oscilloscope (DSO)        "- 4 Channel
- 400 MHz
- 5 GS/s"
Teledyne    WaveJet Touch    Rack Mount Kit       
Teledyne    Wavelink    Platform Kit        2.92MM
Teledyne    Wavelink    Platform Kit Case        2.92MM
Teledyne    WM 8 ZI-RACKMOUNT    Rack Mount Accessory for  WM8Z       
Teledyne    WPHD-UPG-32 GBRAM    32GB RAM Upgrade for WPHD       
Teledyne    WR 6 ZI-8 CH-SYNCH            8 Channel Synch Option
Teledyne    WR 8 K-RACK    Rack Mount Accessory for WR8K       
Teledyne    WS 3 K-SOFTCASE    Soft Carrying Case for WS3000       
Teledyne    WSTA-RACK    Wavestation Rackmount Kit       
Teledyne    ZS 1500    Active Probe        "- 1.5 GHz
- 9pF
- 1MOhm"
Teledyne    ZS 1500-QUADPAK    Probe        - 1.5 GHz
Teledyne    ZS 2500    Prober        "- 2.5 GHz
- Single Ended"
Teledyne    TAC PR 53    Prober       
Teledyne    TAC PR 53    Prober       
Teledyne    Wavesurfer    Oscilloscope        with Agilent Digital Multimeter (2)
Teledyne LeCroy    Voyager M 3 i    USB Advanced Analyzer        USB-TZA 2-V 02 X
Teledyne Leeman Labs    OES Profile Plus    ICP Machine       
Telemark    820    Optical Monitoring System       
Telemark    TI 10/15           
Telemark    Controller PWRS           
Telemark    ST 6           
Telemark    TT 10 HV    Control Module        PN: 123-1010-1
Telemark        Programmable Pocket Hearth Indexer System       
Telemark    TT 10    Sweep and Source Controller        15hv
Telemark    TT 10    PSU        15hv
Telemark    TT 1015    Power Supply Controller       
Telequipment        Curve Tracer       
Tellkamp    4 RTO    Incinerator        "- measures 7.5’ wide x 19’ long x 12’ height
- has an Allen Bradley PLC controlling it
- has a Maxon burner
- has a 20 HP main processing blower
- weighs about 15,000 lbs
- ceramic media inside of the model 4 weighs about 20,000 lbs

"
Tellkamp    10 RTO    Incinerator        "- measures 9’ wide x 27’ long x 12’ height
- has a Direct Logic PLC controlling it
- has a Maxon Burner
- has a 40 HP main processing blower
- weighs about 23,500 lbs
- ceramic media inside of the model 10 weighs about 45,000 lbs
"
Telmac        Scoring tool       
Telops Inc    OTC 1 5 W           
Telosense    ACM    Air Composition Monitor Cabinet        With Manuals / Data Stored in Back of Cabinet
Telosense    ACM 5000-10030    Air Composition Monitor Cabinet       
Telstar    BIO II A    Class 2 Cabinet       
Temescal    BJD 1800    Thermal Evaporator    8"   
Temescal    4 25 CC    Spare Pocket Electron Beam Gun       
Tempress    2 X Omega    Diffusion Furnace        6" L
Tempress    2 X Omega    Low Pressure Chemical Vapor Deposition (LPCVD) Furnace        6" L
Tempress    TS 61004 LH    Diffusion Furnace    8"    "- Panels 1 & 3 need replacing
- Heating element type: MRL AZTEC HELIX
- Oven 1,2,3 have Si tubes installed
- Tube size: inner diameter = 220mm
- Oven 2 includes SiC paddle (ovens 1,3,4 do not)
- Configured for N2, O2, H2
- All gas lines have functioning and calibrated Mikrolys MFCs
- Upgraded Eaton SPX2200
- Original board is operational
- All 4 ovens have connected bubbler systems, integrated with N2 carrier flow
- 2 original ABB boards used for controlling all 4 ovens
- Functioning electro-preumatic control system with digital output
- 16 new isolation adaptors fit between heating element and tube"
Tempress        Furnace       
Tempress        Process Controller        Not working
Temptek    1010 EM    Grinder        Horsepower: 7.5 HP
Temptek    1010 EM    Grinder        Horsepower: 7.5 HP
Temptek    1216 M    Grinder        Horsepower: 15 HP
Temptek    1010 EM    Grinder        Horsepower: 7.5 HP
Temp-Tek        Heater/Chiller Unit       
Temptronic    TPO 4300 B - 8 x 32-3            "US 30A 250V (L6-30A Connector)
220V - 244V (Strapped)
50 or 60 Hertz (Strapped)
1 PH
30 Amps
110 PSI
GPIB Compatible"
Temptronic    TPO 4300 B - 8 x 32-4            "US 30A 250V (L6-30A Connector)
220V - 244V (Strapped)
50 or 60 Hertz (Strapped)
1 PH
30 Amps
110 PSI
GPIB Compatible"
Temptronic    TPO 4300 B - 3 C 32-4            "US 30A 250V (L6-30A Connector)
220V - 244V (Strapped)
50 or 60 Hertz (Strapped)
1 PH
30 Amps
110 PSI
GPIB Compatible"
Temptronic    TPO 4010 A - 3 C 20-2            "US 20A 250V
220V - 244V (Strapped)
50 / 60 Hertz
1 PH
20 Amps
110 PSI
No GPIB"
Temptronic    TPO 4010 A - 3020-2            "US 20A 250V
220V - 244V (Strapped)
50 / 60 Hertz
1 PH
20 Amps
110 PSI
No GPIB"
Temptronic    ThermoStream TP 0412 A           
Temptronic    ThermoStream           
Temptronic    ThermoStream X-Stream    Thermal Chamber       
Temptronic    TPO 4300 A 3 C 32 4 ThermoStream    Thermal Inducing System       
Temptronic    TPO 4000 A 2 B 21 2    Temperature Forcing System       
Temptronic    TPO 4010 A Thermostream    Temperature Forcing System        - Non functional
Temptronic    TPO 4310-3044-4    Thermal Stream        "- 220V / 60Hz
- 30 Amps
- 110 PSI
- This machine works but cuts in and out and the temperature fluctuates up and down.  We believe it is an internal board related issue.  Cold solder joint or a chip of some kind that is malfunctioning."
Temptronic    TP 04000 A 2 A 21-2    Thermostream       
Temptronic    T 2500 E    Thermostream       
Temptronics    TP 04000 A-2 B 21-2    Thermal Induction System    8"   
Temptronics    TPO 412 A           
Temptronics    TPO 412 A           
Temptronics    TPO 4000 A           
Temptronics    T 2500    Precision Temperature Forcing System       
Tenma    72-6696    Spectrum Analyzer        "- 150Khz - 1000Mhz
- W/Manual
- (No Cables)
- Needs Calibration"
Tenma        DC Power Supply       
Tenma    72 410 A    True RMS Digital Multimeter       
Tenma    72-7245    Lab DC Power Supply       
Tenma    72-2015    DC Power Supply       
Tenma    72-4045 A    Triple Output DC Power Supply       
Tennant    5680    Floor Scrubber       
Tennant Nobles    Speed Gleam 604206    Rechargeable Commercial Floor Burnisher        "- 36 VDC
- 60 Amps
- 3 Trojan Deep Cycle TG 18 Batteries
- Dust Controller
- 45 lbs Pad Pressure Floating Head Design
- 2000 RPM
- 20 Burnishing Path
- Filter Bag Provides 98% Filtration"
Tenney        Environmental Chamber       
Tenney        Burn-In Oven       
Tenney        Environmental Chamber       
Tenney    TJR    Environmental Chamber       
Tenney    T 20 RS           
Tenney    TFO 32    Burn-In Oven       
Tenney        Oven       
Tenney    BTRC-XP    Temperature / Humidity Chamber        "- VersaTenn III Controller
- Vapor-Flo II Humidity Generator"
Tenney    JR            -73 to 177C
Tenney    Space Jr    Vacuum Chamber       
Tenney    BTRC    E-Chamber       
Tenney    Space Jr           
Tenney    T 10 RC           
Tenney    TUJR    Temperature Test Chamber       
Tenney    I 2 CC    Industrial Sander / Planer       
Tenney    T 30 G 112350    Chamber       
Tenney        Temperature Chamber       
Tenney        Temperature Chamber       
Tenney        Junior Temperature Chamber       
Tenney    SPX    Chamber       
Tenney    BTC F 4 T-C    Benchtop Environmental Chamber        "- Temperature: -70C to +200C
- with an upgraded F4T Wattlow controller"
Tenney Engineering    BTC 100350    Environmental Chamber        "- 30"" cube heat / cold chamber
- 73C to 177C temperature range
- 208/230 volt
- 60 Hz, 17 amps, 25A fuse"
TennSmith        Manual Shear       
Tenny    Jr           
Tenny        Environmental Chamber       
Tenny        Oven       
Tepla    400    Cleaner        - Used it with 230mm x 71mm substrates
Teradyne    Ultra Flex    Boards        BBAC (974-214-00)
Teradyne    Ultra Flex    Boards        VHFAC (805-014-00)
Teradyne    J 937    Tester       
Teradyne    J 972    Test System    8"    "Functional, automatic
Crates:
1 of 5 - Mainframe
2 of 5 - Aux - Frame
3 of 5 - Chiller Frame
4 of 5 - Boards
5 of 5 - Accessories"
Teradyne    J 973    Test System    8"    "Functional automatic
512 Channels AP
512 Channels of Wide 9200MHz) ADS Fanout
2 x 50 A GVS
64M LVM
128 Channels of 256K VMO
200MHz MTO
SPO 128M x48
Crates:
1 of 5 - Mainframe
2 of 5 - Aux - Frame
3 of 5 - Chiller Frame
4 of 5 - DCS + Boards
5 of 5 - Accessories"
Teradyne    J 973    Test System    8"    "Functional automatic
512 Channels AP
512 Channels of Wide 9200MHz) ADS Fanout
2 x 50 A GVS
64M LVM
128 Channels of 256K VMO
200MHz MTO
SPO 128M x48
Crates:
1 of 5 - Mainframe
2 of 5 - Aux - Frame
3 of 5 - DCS-Board
4 of 5 - Accessories
5 of 5 - Chiller-Frame"
Teradyne    J 973    Test System    8"    "Functional automatic
512 Channels AP
512 Channels of Wide 9200MHz) ADS Fanout
2 x 50 A GVS
64M LVM
128 Channels of 256K VMO
200MHz MTO
SPO 128M x48"
Teradyne    J 973    Test System    8"    "Functional automatic
512 Channels AP
512 Channels of Wide 9200MHz) ADS Fanout
2 x 50 A GVS
64M LVM
128 Channels of 256K VMO
200MHz MTO
SPO 128M x48"
Teradyne    J 973    Test System    8"    "Functional automatic
512 Channels AP
512 Channels of Wide 9200MHz) ADS Fanout
2 x 50 A GVS
64M LVM
128 Channels of 256K VMO
200MHz MTO
SPO 128M x48"
Teradyne    J 973    Test System    8"    "Functional automatic
512 Channels AP
512 Channels of Wide 9200MHz) ADS Fanout
2 x 50 A GVS
64M LVM
128 Channels of 256K VMO
200MHz MTO
SPO 128M x48"
Teradyne    J 973    Test System    8"    "Functional automatic
512 Channels AP
512 Channels of Wide 9200MHz) ADS Fanout
2 x 50 A GVS
64M LVM
128 Channels of 256K VMO
200MHz MTO
SPO 128M x48"
Teradyne    J 973    Test System    8"    "Functional automatic
512 Channels AP
512 Channels of Wide 9200MHz) ADS Fanout
2 x 50 A GVS
64M LVM
128 Channels of 256K VMO
200MHz MTO
SPO 128M x48"
Teradyne    A 580    Tester    8"    Unix, mix signal
Teradyne    A 567    Tester       
Teradyne    A 567    Tester       
Teradyne    A 360           
Teradyne    Tiger    Tester       
Teradyne    GIII    Tester       
Teradyne    Catalyst    Tester       
Teradyne    A 530    Tester       
Teradyne    A 535    Tester       
Teradyne    A 585        8"    184 pin, 20MHz
Teradyne    A 585        8"    184 pin, 50MHz
Teradyne    J 994    Tester       
Teradyne    A 580    Tester        - 3 Phase
Teradyne    Ultra flex    Tester        "- 12 Slot System
- 512 Channels
- Z 800 Workstation
- Ultra DSP with 64G memory
- OPD, Docking Plate, and Pogo Tower Not Included
- It is used with a Direct Dock probecard, which means that it doesn't actually use a pogo tower and the docking plate is different to a non-direct-dock setup.
- Boards:
     - 2x HSD 1600  
     - 1x UVI 80
     - 1x UVS 256
     - 24 Channels Epsilon Microwave "
Teradyne    Analog LSI A 370 Series    Test System        - With computer keyboard, monitor, HP Printer
Teradyne    J 973           
Teradyne    Tiger    Tester       
Teradyne    Tiger    Tester       
Teradyne    Catalyst    Tester       
Teradyne    Catalyst    Tester       
Teradyne    Catalyst    Tester       
Teradyne    Catalyst    Esmo Phoenix for Catalyst       
Teradyne    Catalyst    Reid-Ashman for Catalyst       
Teradyne    Magnum    Tester Frame       
Teradyne    Ultra Flex DCIO    Board for Tester        "- 2x IMG
- 2x AMG"
Teradyne    Catalyst    Tester       
Teradyne    Catalyst    Tester       
Teradyne    Catalyst    Tester        No RF, No OVI
Teradyne    J 750    PV Frame        Case Only
Teradyne    J 750 EX    Tester        "- DPS (4)
- CTO (1)
- 8 Channels"
Teradyne    J 750 EX    Tester        "- DPS (4)
- CTO (1)
- 8 Channels"
Teradyne    J 750 EX    Tester        "- DPS (4)
- CTO (1)
- 8 Channels"
Teradyne    J 750    Tester        "- 512 Testhead Frame
- 8 Channel
- DPS (3)
- CTO (1)
- YAC Manipulator"
Teradyne    J 750            "- 512 Testhead Frame
- 8 Channel
- DPS (4)
- CTO (1)
- Intest Manipulator"
Teradyne    J 750            "- 512 Testhead Frame
- 8 Channel
- DPS (4)
- CTO (1)
- YAC Manipulator"
Teradyne    J 750            "- 512 Testhead Frame
- 8 Channel
- DPS (4)
- CTO (1)
- YAC Manipulator"
Teradyne    J 750            "- 512 Testhead Frame
- 8 Channel
- DPS (4)
- CTO (1)
- YAC Manipulator"
Teradyne    A 360    Tester       
Teradyne    J 750 EX 512    Tester        "- Test head frame: 512 Frame
- HSD200 Channel Boards
- Takaki manipulator
- Pin/Channel Card: 64
- # of cards: 8
- Total channel: 512
- LVM (M): 16
- Data Rate: 100 MHz
- Edge Placement Accuracy: +/- 500 ps
- CTO: 2
- DPS (8 Channel board): 4
- IGXL: 3.40.xx/3.50.xx
- System Computer Work Station: Pentium-Xeon
- System Computer Model: XW 6000 or better
-1 sli 239-624-00
0 channel 239-700-04
0 terminator 239-701-50
1 channel 239-700-04
1 terminator 239-701-50
2 channel 239-700-04
2 terminator 239-701-50
3 channel 239-700-04
3 terminator 239-701-50
4 channel 239-700-04
4 terminator 239-701-50
5 channel 239-700-04
5 terminator 239-701-50
6 channel 239-700-04
6 terminator 239-701-50
7 channel 239-700-04
7 terminator 239-701-50
17 cto 239-029-01
18 cub 239-020-09
21 dps 239-016-06
22 dps 239-016-06
23 dps 239-016-06
24 dps 239-016-04"
Teradyne    J 750 EX 512            "- Test head frame: 512 Frame
- HSD200 Channel boards
- Takjaki manipulator
- Pin/Channel Card: 64
- # of cards: 8
- Total channel: 512
- LVM (M): 16
- Data Rate: 100 MHz
- Edge Placement Accuracy: +/- 500 ps
- CTO: 2
- DPS (8 Channel board): 4
- IGXL: 3.40.xx/3.50.xx
- System Computer Work Station: Pentium-Xeon
- System Computer Model: XW 6000 or better
-1 sli 239-624-00
0 channel 239-700-04
0 terminator 239-701-50
1 channel 239-700-04
1 terminator 239-701-50
2 channel 239-700-04
2 terminator 239-701-50
3 channel 239-700-04  
3 terminator 239-701-50
4 channel 239-700-04
4 terminator 239-701-50
5 channel 239-700-04
5 terminator 239-701-50
6 channel 239-700-04
6 terminator 239-701-50
7 channel 239-700-04
7 terminator 239-701-50
17 cto 239-029-02
18 cub 239-020-06
21 dps 239-016-06
22 dps 239-016-06
23 dps 239-016-06
24 dps 239-016-06"
Teradyne    J 750 EX    DSMTO Card        - Part # 239-701-00
Teradyne    J 750    Tester        Empty Chassis
Teradyne    J 750    Tester        "- Chassis only
- Z 800 work station
- No boards"
Teradyne    J 750    Tester        "- 512 STD
- HSD100 x 8
- DPS x 3
- CTO x 1
- XW Workstation
- Power condition x 1"
Teradyne    J 750 EX 1024    Tester        "-    HSD 200 x 16
-    DMSTO x 8
-    DPS x 4
-    CTO x 4
-    XW Workstation x1
-    Power Conditioner x1"
Teradyne    J 750    Tester        Chassis only
Teradyne    J 750    Tester        - 512 Standard
Teradyne    J 750    Tester        "- HDS 100 x 8
- LVM (Min 8 MB)
- Z800 Workstation (without GPIB Card)
- DPS X 3
- Manipulator x 1
- 512 Test head"
Teradyne        PV Frame        - Case Only
Teradyne        PV Frame        - Case Only
Teradyne        PV Frame        - Case Only
Teradyne    J 750 512    Tester        "- HSD100 x8
- DPS x3
- CTO x1
- XW 8400 Workstation x1
- Power conditioner x1"
Teradyne    A 311    Tester System        "With
- H594 Sync Pulse Panel
- H595 Control Panel"
Teradyne    UltraFlex Epsilon    Boards       
Terasolar        Laser Scanning System       
Terenzio        Hydraulic Press        "- 150 tonnage
- with one loading robot
- 3m x 1.2 m"
Termo        Oven       
Termomatic    Plus    Dehumidifier       
Terotest    Linx    Backplane Tester       
Terra Universal        Lab Stability Chamber       
Terra Universal        Desiccators       
Terra Universal    1570 PP 01    Vibration Free Work Station        - 72" x 34"
Terra Universal        Portable Clean Room for Backgrind       
Terra Universal        Desiccator       
Terra Universal    9101-44 A    Wire Bond Pull Tester        "- 15-150g gauge with 10X Eyepiece
- Comes complete with the following: One tester with microscope, 10x eyepiece,   base/stand, one gauge with hook (15-150), and a dash pot"
Tes    6210    Power Supply       
Tesa    Visio 500    Video Based Measuring Machine        - Hexagon PTIV 3 Classic 4.5.3
Tesa    3.10001    Micrometer       
Tesa    590093    Caliper       
Tesa    590095    Caliper       
Tesa Micro Hite        CMM       
Tesam    HN 2655           
Tescom    44 5262 24 V 016    Regulator       
Tesec        Test Box Manual       
Tesec        High Current Unit       
Tesec    8115 PU    Power Supply Unit       
Tesec    8114 KT    VBE Tester       
Tesec    9214 KT    DVDS Tester       
Tesec    7818 HV    HV Tester       
Tesec    8713 PH    Test Handler       
Tesec    9306 HT    Handler       
Test               
Test Equity    3007 C    Temperature Chamber        Very clean, low hours, fully functional
Test Equity    1000           
Test Equity    1000 H           
Test Equity    1000 H Series    Temperature / Humidity Chamber        +10*C to +85*C
Test Equity    123 H    Temperature / Humidity Chamber       
Test Equity    1020 C    Temperature Chamber        - Temperature Range (-75C to 175C)
Test Equity    TE 107    Benchtop Thermal Chamber       
Test Equity    1007 S    Temperature Chamber       
Testech    "Focus-7600 SHF
"    Burn In Chamber        "Operational System: Windows
BIB Slot: 48 Slot (1 zone)"
Testek        Fuel Pump Performance Bench       
TestEquity    115 A    Temperature Chamber       
TestFOS    FOS-1740    Burn In Chamber        "Operational System: Linux
BIB Slot: 48 Slot (1 zone)"
TestFOS    FOS-1740    Burn In Chamber        "Operational System: Linux
BIB Slot: 48 Slot (1 zone)"
TG Energy    E 2000 HT 300 5    Diffusion Furnace       
THA Germany    WLP-VISION           
Thai Robot    IMS-Xy-5001 L-Ink-On-V 1.0    Laser Marker System       
ThalesNano    H-Cube    Hydrogenator       
The A.W.T. World Trade Group    APHTHL - 2230 NT 0    Printing Machine       
The Baker Co    Sterigard    Fume Hood       
The Baker Company    EdgeGARD    Hood       
The Furnace Store LLC    390    Annealing Oven       
The Welsh Scientific Co    1398           
Therm AIX    RHT 160 80 550 2 H           
Therm AIX    FHT 175 7           
Thermal Care        Chiller       
Thermal Care    AQOAO 604    Water Chiller and Tank       
Thermal Care    SQ 2 A 1004    Acuchiller       
Thermal Care    NQR 15    Chiller       
Thermal Dynamics    PAK 2 XT    Plasma Cutter       
Thermal Dynamics    Pak Master 75    Plasma Cutter       
Thermal Engineering        Bake Oven       
Thermal Product Solutions        Vacuum Oven       
Thermal Product Solutions (TPS)    TL-02-70-F            "TPS SPEC are:
1.    Inner size: 500 X 600 X 700 mm
2.    Temperature rate: -70°C ~ +150°C
3.    Temperature Uniformity: <= -/+ 2°C
4.    Temperature Control Tolerance: <= -/+ 0.5°C
5.    Voltage: 380V; 50Hz
6.    Power: 8.5kw"
Thermal Product Solutions (TPS)    DCC-206    Oven       
Thermal Scientific    FB 1415 M    Lab Muffle Furnace        1 Phase
Thermal Technologies    TTL-5.5-0-24-3 B-J 6204    Anneal Furnace    4"   
Thermal Technology    161632 W    Tungsten Hot Zone Furnace        "- Rated up to 2200?C (but current controls are
thermocouple only so it is recommended for 1850?C operation)
- Currently set up with a 10”
diffusion pump for high vacuum operation as well as inert gas partial
pressure.
- Six-Sided heating
configuration for optimal temperature uniformity. "
Thermall    160 C 100    Pellet Preheater       
Thermaltronics    TMT R 9800 S    Precision Robot Soldering System        "- 6 axis soldering/dispensing platform
- Full vision mapping & fiducial marks
- Laser height measurement
- Curie heat technology
- Flux & solder paste dispensing
- Fume extraction"
Therma-wave    TP 500    Ion Implanter    8"    "- Laser Assy Pump not working
Computer:
- Main CPU: 486DX
- Memory: 16MB Ram
- HDD Drive
- 3.5 FDD Drive
- Color Monitor / Keyboard / Printer
Wafer Handling:
- Robot: 3-Axis
- Cassette Stage: 2
IMS Dose Range:
- B: 1E11~2E16
- P: 1E11~3E14
- AS: 1E11~1E14
- BF: 1E11~2E14
Energy Range: 5KeV~3MeV
- Automatic System Calibration
- SCW (Silicon Caribration Wafer)"
Thermco    MB-80    Mini Brute Furnace    3"   
Thermco    4100    Diffusion Furnace       
Thermco    MB-81           
Thermco    6204    Horizontal Diffusion Furnace    4", 6"    "- Semy Controller
- Max Temp: 1250C
- 3 Control Zones
- Overtemperature Protection
- Left-hand Load Station
- (Qty 4) Furnace Tubes:
Furnace Tube #1: Platinum Diffusion Process
- Gas System Process Gases:
  - 95%N2/5%H2  30 SLM  UNIT 8100
  - N2 20 SLM - UNIT 8100
  - H2 30 SLM - UNIT 8100
  - O2 20 SLM - UNIT 8100
  - O2 500 SCCM - UNIT 8100
- Cantilever Loader:
  - Cryco 2"" SHANK SiC  D LOADER
  - Element: Helix II HC-58 DR
Furnace Tube #2: Process - CVD
- Gas System Process Gases:
  - 95%N2/5%H2 30 SLM - UNIT 8100
  - N2 20 SLM – UNIT 8100
  - H2 30 SLM - UNIT 8100
  - O2 20 SLM - UNIT 8100
  - O2 500 SCCM - UNIT 8100
- Cantilever Loader:
  - Cryco for 2""  SiC  Motion Loader
  - Helix II HC-58 DR
Furnace Tube #3: Process - Sinter
- Gas System Process Gases:
  - 95%N2/5%H2 30 SLM - UNIT 8100
  - N2 20 SLM – UNIT 8100
  - H2 30 SLM - UNIT 8100
  - O2 20 SLM - UNIT 8100
  - O2 500 SCCM - UNIT 8100
- Cantilever Loader:
  - Cryco for 2""  SiC  Motion Loader
  - Helix II HC-58 DR
Furnace Tube #4: Process - Aluminum
- Gas System Process Gases:
  - 95%N2/5%H2 30 SLM - UNIT 8100
  - N2 20 SLM – UNIT 8100
  - H2 30 SLM - UNIT 8100
  - O2 20 SLM - UNIT 8100
  - O2 500 SCCM - UNIT 8100
- Cantilever Loader:
  - Cryco for 2""  shank paddle  Motion Loader
  - Helix II HC-58 DR
- 480Vac, 3 Phase, 60Hz, 250 amps"
Thermco    4304    Furnace       
Thermco    4104    Furnace       
Thermco    4300    Furnace       
Thermco    MB 80    Mini Brute       
Thermco    MB 71    Oven       
Thermco    4100    Furnace       
Thermco    4104    Furnace        Not working
Thermco    4104    Furnace       
Thermco    4000 S    Furnace        Not working
Thermco    MB 71    Furnace       
Thermcraft    TransTemp    Gold Coated Transparent Furnace        with Temperature Controller
Thermex Thermatron    F 10 25    RF Generator       
Thermo    Nicolet 6700            with external IR laser source
Thermo    Trace DSQ GC MS    Gas Chromatography-Mass Spectrometer (GC-MS)       
Thermo    LCQ    Mass Spectrometer       
Thermo    Trace GC Ultra    Gas Chromatograph       
Thermo    HP 133425    Hot Plate       
Thermo    HP 141925    Hot Plate       
Thermo    Niton XLT7 97 WZ           
Thermo    Niton XLT 79 7WX           
Thermo    LCQ Fleet    Mass Spectrometer       
Thermo    Sorvall RC 6 Plus           
Thermo    Heraeus UT 6760    Oven       
Thermo    Advant'X    Wavelength Dispersive XRF Instrument        with UniQuant software package
Thermo    EC 250-90           
Thermo Electron    IRIS Intrepid II XSP    ICP        - with auto sampler (Cetac ASX-510)
Thermo Electron    D 37520 Osterode    Biofuge        "- 120 Vac
- 60 Hz
- 3.8 A"
Thermo Electron    TYP 003 4558    Chiller       
Thermo Fischer    R 421 FA 15    Explosion Proof Refrigerator       
Thermo Fisher    IRIS Intrepid II XUV    ICP System       
Thermo Fisher    Ion Torrent    Personal Genome Machine (PGM)       
Thermo Fisher    Ion One Touch ES           
Thermo Fisher        HPLC Thermo Spectra System       
Thermo Fisher    Spectra Chrom CF-1    Fraction Collector       
Thermo Fisher    Accel 250    Chiller       
Thermo Fisher    ThermoFlex 900    Cooling System        5* to 40* C
Thermo Fisher    RSK 600 SD 5    Chiller       
Thermo Fisher    GeneAmp 9700    PCR System       
Thermo Fisher    Sorvall ST 40    Centrifuge       
Thermo Fisher    S 1    Electric Pipettor       
Thermo Fisher Scientific    Fluoroskan Ascent           
Thermo Fisher Scientific    HX 750 A    Chiller       
Thermo Fisher Scientific    HX 750 A    Chiller       
Thermo Jarrell Ash Smith    Hiefte 22    Spectrometer       
Thermo NESLAB    Merlin Series m 75    Recirculating Chiller       
Thermo Neslab    CFT 33    Refrigerated Recirculator        - with wheels
Thermo Neslab    Coolflow System 1    Liquid Recirculator       
Thermo Neslab    RTE 7    Water Bath       
Thermo Nicolet    Nexus 470    Fourier Transform Infrared Spectroscopy (FTIR)        Lot off accessories and mounts plus Omnic software and computer tower included.
Thermo Orion    Three Star    pH Meter       
Thermo Orion    Three Star    pH Meter       
Thermo Scientific    Nicolet 6700    Analytical MCT spectrometer        Includes: Long Path Cell (7.2 meter with detected mounts)
Thermo Scientific        Research Grade EBSD System for EDS/WDS       
Thermo Scientific        Research Grade EDS System       
Thermo Scientific        WDS System with UltaDry SDD       
Thermo Scientific    Nicolet 380    Infrared Spectrometer       
Thermo Scientific    NanoDrop 3300    Fluorospectrometer       
Thermo Scientific    Precision 180    Water Bath       
Thermo Scientific    Catalyst 5    Robotic Arm       
Thermo Scientific    Sorvall RC 6+    Centrifuge        - has a Sorvall HS-4 swinging bucket rotor and 4 PP inserts
Thermo Scientific        Fourier Transform Near-Infrared (FT NIR)       
Thermo Scientific    Forma Series II    Water Jacket       
Thermo Scientific    HP 130915 Q    Hot Plate        4x4
Thermo Scientific    SP 131325 Q    Hot Plate        7x7
Thermo Scientific    Cellomics ArrayScan VTI    HCS system       
Thermo Scientific    XL 3 t-700 S    Portable Handheld XRF RoHS Analyzer       
Thermo Scientific    Forma 3961    Chamber       
Thermo Scientific    Niton XLt 7972           
Thermo Scientific    Orion Star    pH Meter       
Thermo Scientific    iS 10    Fourier Transform Infrared Spectroscopy (FTIR)       
Thermo Scientific    D-37520 Osterode           
Thermo Scientific    Sorvall Legend Micro 21           
Thermo Scientific    Niton FXL 970    XRF        "- Helium tank included
- All software included"
Thermo Scientific    HP 11515 B    Hotplate       
Thermo Scientific    Matrix PlateMate Plus    Microplate Stacker       
Thermo Scientific    1300 A 2    Safety Cabinet       
Thermo Scientific        Oven Cure       
Thermo Scientific    ARL Advant'X    Sequential XRF        "- New XRT installed July 2017
- UniQuant software and standards, computer, Chiller (motor replaced)
- Last PM performed March 2019
- Haskris Chiller included "
Thermo Scientific    Heratherm OMH 60 S    Oven       
Thermo Scientific    KeyTek ECAT    Control Center       
Thermo Scientific    KeyTek ECAT    Control Center        "With
- E4552A Coupler / Decoupler
- E509A Surge Network
- E518 Surge Network"
Thermo Scientific    KeyTek ECAT    Control Center        "With
- E515 Surge Network
- E508 Surge Network
- E501B Surge Network
- E506-4W Surge Network"
Thermo Scientific    KeyTek ECAT    Control Center        "With:
- E504A Surge Network
- E515 Surge Network
- E501B Surge Network"
Thermo Scientific        Gas Chromatograph       
Thermo Scientific    Forma 3960    Environmental Chamber       
Thermo Scientific    Trace 1300 / 1310    Gas Chromatograph (GC)       
Thermo Scientific    Excelsior    AS Processor       
Thermo Scientific    Excelsior AS    Processor       
Thermo Scientific    Orion 2    Robotic Charged Device Model (CDM) Test System        "- Test Area: 10.16 cm x 10.16 cm (4 in x 4 in)
- Motion System:
    - x,y axis: minimum step size 0.001 in with 0.00025-in accuracy (minimum step size 25.4 µm with 6-µm accuracy)
    - z axis: vertical travel to 1.5 in with 0.00025-in accuracy (vertical travel to 38.1 mm with 6-µm accuracy)
- Test Voltage Range: ±25 V to ±2000 V (±1V steps)
- Temperature Range:
    - Operating Temperature: +15°C to +30°C (+59°F to +86°F)
    - Non-operating temperature: +4°C to +60°C (+40°F to +140°F)
- Humidity Range: 30-60% non-condensing
- Power Requirement: 110-240 VAC, 15A, 50/60 Hz
- Customer Supplied:
    - Vacuum: ≥ 16” Hg
    - Dry air / nitrogen: 0.25 scfm @ 60 psi max"
Thermo Scientific    HERAcell 240 i    CO2 Incubator       
Thermo Scientific    3950    Reach In CO2 Incubator        3 x 7'
Thermo Scientific / Nicolet    Nexus 6700    Fourier Transform Infrared Spectrometer (FTIR)        "- DTGS detector
- IR source
- Optional gas cell"
Thermo Scientific / Nicolet    Magna 760    Fourier Transform Infrared Spectrometer (FTIR)        "- MCTA detector
- Dual source (IR, white light)
- KBr and CaF2 beamsplitters
- DTGS detector (non-functional)"
Thermo Shandon    Cytospin 4    Thin Layer Cell Preparation Centrifuge       
Thermo Shandon    HistoWave 35100           
Thermocarbon    Tcar 864-1    Programmable Dicing Saw       
ThermoFischer    Thermoflex 10000    Chiller       
ThermoFischer Scientific    Thermoflex 10000    Chiller       
ThermoFisher    MagMAX Express 96    Magnetic Particle Processor       
Thermofisher    Ultimate 3000           
Thermofisher    Ultra    Gas Chromatography       
ThermoFisher    iCAP Q    ICP-MS        "Includes:
- Autosampler: Ceta Technologies ASX-520
- Water Chiller: Labtech Smart H150-300
- PC: Dell 00186-231-306-020"
ThermoFisher    Flash 2000    Elemental Analyzer        Includes HP computer
Thermofisher Scientific    6540    Thelco Lab Oven       
Thermofluor    TF 384 ELS    Microplate Reader       
Thermokey        Air Cooled Condenser       
Thermokey    TME 660/2    Evaporator       
Thermokey    TME 385/2           
Thermoline        Laboratory Oven       
Thermolyn        Kiln       
Thermolyne    HP 88725    Hot Plate       
Thermolyne    2200    Hot Plate       
Thermolyne    2200    Hot Plate       
Thermolyne    HP 46825    Stirrer Plate       
Thermolyne    2200    Hot Plate       
Thermolyne    HPA 1915 B    Hot Plate       
Thermo-Nicolet    Nexus 670    Fourier Transform Infrared Spectroscopy (FTIR) Spectrometer        with Computer
Thermonics        Temperature Forcing System       
Thermonics    T 2820    Precision Temperature Forcing System       
Thermonics        Temperature Forcing System       
Thermonics    T 2500 HFE    Precision Temperature Forcing System       
Thermonics    T 2425           
Thermonics    T 2500 SE           
Thermonics    T 2427 75    Temperature Forcing System       
Thermonics    T 2420 S 7    Temperature Forcing System       
Thermonics    T-2600 BV    Heat Injector       
Thermonics    ThermoStream T-2425           
Thermonics    Precision    Temperature Forcing System       
Thermonics    T 2420    Precision Temperature Forcing System        "- 220V / 15A
- 120 PSI
- Current operating range is between -40c - +120c."
Thermonics    T 2500 E    Temperature forcing system       
Thermonics    T 2400 R    Precision Temperature Forcing System       
Thermoscientific    Genesys 10 uv           
Thermoscientific    Genesys 10 uv           
ThermoScientific    ICS 1600    Pyrophosphate Ion Chromatography System       
ThermoSteam               
Thermotron    WP-1372-CH-40/40    Chamber       
Thermotron    SE-1415           
Thermotron    SM-8-2800           
Thermotron    SM-8-3800    Thermal Chamber        With Recorder
Thermotron        Environmental Chamber       
Thermotron    FM-10-CH-705    Environmental Chamber       
Thermotron    S-1.2 C           
Thermotron    S-16-3800    High and Low Temperature Oven       
Thermotron    F 72 CHV 30    ESS Chamber - Air Cooling       
Thermotron    WP 867 TCM 4-25    ESS Chamber - Water Cooling       
Thermotron        Temperature cycling chamber       
Thermotron    SM-16-1600    Environmental Chamber        16 c.f.
Thermotron    SM 4 S-1600    Environmental Chamber        4 c.f.
Thermotron    XSE 3000-7.5    Environmental Chamber        104 c.f.
Thermotron    ATS 900 Y 15-15-LN 2    Thermal Shock Stress Test Chamber       
Thermotron    S 27    Oven       
Thermotron    ATS 900 v 25-25 LN 2    Test Chamber       
Thermotron    S 8 C    Temperature Chamber       
Thermotron    SM 32 C    Temperature / Humidity Cycle Chamber        "- Temperature Range: -77* to 177* C
- Humidity Range: 20% RH to 95% RH
- Workspace: 32.00 cubic feet (38"" w x 38"" h x 38"" d)
- Utilities Required: 208-230/1/60 or 208-230/3/60; DI Humidifier Water Supply; Drain"
Thermotron    S 4 S SL           
Thomas Swan    31x2    MOCVD Reactor       
Thomson        Electric Encapsulation Welder       
Thor Labs    S 3 FC 1550    Distributed Feedback (DFB) Laser Source        50NM
Thor Labs    LDC 500    Laser Diode Control       
Thoreson McCosh    TD 40 A/B HMI    Dyer       
Thorlabs    LDC 4005    Laser Diode Controller       
Thorlabs    ASE FL 7002 C 4    Broadband ASE Light Source       
Thorlabs    S 1 FC 1550    Laser Source       
Thorlabs    S 3 FC 1550    DFB Laser Source       
Thorlabs    ASE FL 7002    Light Source       
Thorlabs    APT Nanotrak    Auto-Alignment Controller       
Thorlabs    SIFC 1550    Laser Source        1550nm
Thorlabs    MC 2000    Optical Chopper       
Thorlabs    MC 2000 B    Optical Chopper       
Thorlabs    MC 2000 B-EC    Optical Chopper       
Thorlabs    MDT 693 B    Piezo Controller       
Thorlabs    PMD 100 D    Optical Power Meter       
Thru-Med        Annealing Furnace        "- c/w Yokogawa UP 55A & UT35A Temp Controls
- Konics KR100N Recorder"
Thru-Med        Annealing Furnace        "Includes:
- Yokogawa UP 55A & UT35A Temp Controls
- Konics KR100N Recorder"
Thurlby Thandar    PL 33008    DC Power Supply       
Thurlby Thandar    PL 330 QMT    DC Power Supply       
Thurlby Thandar    PL 33 OP    DC Power Supply       
TI    AT 4000           
TianJin    VML 300    Optical Image Measuring Instrument       
Tianjin    EG 1700    Stand Blaster       
Tianjin Danaher    PM 3000    Electronic Scale       
Tianjin Danaher    BL 301 A    Electronic Scale       
Tianlongguang    GF 1046    Denon Band Saw       
Time Group        Ultrasonic Flaw Detector       
Time Saver    2211-23-0    Deburring Machine        24"
Timesaver Speedbelt Sander        Environmental Test Chamber / Incubator       
Timesavers    3111 11 0           
Timesavers    237-MPLW    Deburring Machine       
Tinius Olsen    Super L    Universal Testing Machine        - 60,000 lbs capacity
Titan    LEO-6180 T    6 Tank Auto Cleaner       
Titan        De-Film Machine       
TKC    K 2 SD    Vertical Injection Machine 15 T       
TKD        Power Supplies       
T-Machine    TMJ-9712 B    Constant Temperature and Humidity Testing Machine        - Voltage (V) = AC 220V 1φ 60Hz
TMC    Stacis    Anti-Vibration Platform        for a Joel-2100 F TEM
TMC    Stasis 2100    Active Vibration Cancellation System       
TMC    Stacis 2100    Vibration Isolation System       
TMElectronics    Worker Integra    Leak Detector       
TMI        UV Fab Test Lens 4 Area       
TnR        Handler       
Todaka    TLR 421 R    Lead Repair Machine       
Toellner    TOE 8735    Power Supply       
Tofflon        Powder Charging       
TOK    TSE-306 W    Plasma Asher       
Toko        Silicon Block Slice Machine        120K / M (5")
Tokyo    A WD 200 T    Wafer Dicing Machine        - 1 spindle down
Toledo        Scales       
Toledo    Balanca            50kg
Tolo    LY 800    Reflow Oven       
Tolo    LY 800    Reflow Oven       
Tolomatic    1164 0725    Screw Actuator       
Tomco    TE 1000    Vector Impedance Analyzer       
Tonghui    TH 2828    RG Tester       
Tongtai    TRM-122-CCD    Routing       
Tonkaflo    SS 1806    Pump        With Motor
Tonkaflo    SS 1806 X    RO Pump       
Tonkaflo    SS 19 X    Pump        With Motor
Topack    R-65 GT    Horizontal FFS High Speed Packaging Machine       
Topack    R-35 GT    Horizontal FFS High Speed Packaging Machine       
Topcon    WM 5000 FOUP    Wafer Surface Analyzer       
Toptec        Auto Glass Loader       
Toptec        Cell Layup Station       
Topward    TPS-4000    Dual Tracking DC Power Supply       
Topward    3303 A    DC Power Supply       
Topward    6306 D-10    "DC Test Power Supply
"       
Topward    6306 D    DC Test Power Supply       
Topward    3185 D    DC Test Power Supply       
Topward    3185 D    DC Power Supply       
Topward    6306 D    Dual-Tracking DC Power Supply       
Topward    6306 D-10    Dual-Tracking DC Power Supply       
Topward    6303 D    Power Supply       
Topward    6603 D    DC Power Supply        Dual Tracking
Topward    6302 D    DC Power Supply       
Topward    8140    Function Generator       
Topward    TPS 4000    DC Power Supply        - Dual Tracking
Topward    33010 D    DC Power Supply       
Topward    3601 D    DC Power Supply       
Topward    3603 D    DC Power Supply       
Topward Electric Instruments        DC Power Supply        Dual Tracking
Toray    FG 3000    Fully Automatic Bonder       
Torch    T 300           
Tori        Dust Collector       
Torit    SDF 6    Downflow Dust Collector        "- 208 V
- 10 HP
- 3450 RPM
- 3 Phase
- 60 Cycle"
Tormach    PCNC 1100    Small CNC Milling Machine       
Toromont    Cimco R 507    Refrigeration Skid        "- Rated at 4MM BTU/hr
- Three RXF-85 Frick Rotary Screw Compressors"
Torrey Pines    HP 51 A    Hot Plate       
Torrington    FM 100    Handheld Airflow Measurement Tool       
TorrVac        E-Beam Evaporator       
Toshiba    USM-20 A (F)    Wafer Dicing Machine       
Toshiba    SR 654 H    Auto Leadframe        231 x 184 x 140cm
Toshiba    SR 654 H    Auto Frame Loader for GTS 2000 Device        72 x 82 x 210cm
Toshiba    TH 650 A    Auto Frame Loader for Automold Single Chase        150 x 130 x 212cm
Toshiba    ISGT 1150 W    Injection Molding Machine        "- Type: hydraulic
- Tonnage: 1150 tons (US)
- 415 V, 50 Hz, 3 Phase
- Tie bar distance (length): 1245 mm
- Tie bar distance (width): 1245 mm
- Max mold thickness: 1100 mm
- Min mold thickness: 500 mm
- Clamp stroke: 2250 mm
- Max ejector stroke: 200 mm
- Screw diameter: 105 mm
- Max capacity: 3980 g
- Injection speed: 100 mm/s
- Max injection pressure: 26396 psi
- Valve gate
- Hydraulic core
- RJG
- Safety drop bar"
Toshiba    SR 654 HSP    Auto Frame Loader for GTS 2000 device        150 x 128 x 197cm
Toshiba    TH 650 A    Auto Frame Loader for GTS-SE Package        150 x 130 x 212cm
Toshiba    FREI NH 15008    Gas Mixer       
Toshiba    TH 650 A    Auto Frame Loader       
Toshiba    TH 350    Robot        - Some rewiring needed
Tosok        Die Bonder       
Tosok    DBD 4600           
Tosok    DBD 3550    Soft Solder Die Bonder       
Tosok        Die Bonder       
Tosok        Die Bonder       
Tousimis    Samdri PVT 3 B    Dryer       
Towa Asia Pacific        TF PO Machine for VSOP 20 P       
Townsend    1447    Shrinker       
Tox    8-16 KN KFW 016.001    Hand Press       
Toyo    TI 35 BS    Injection Molding Machine        "Materials: Acrylic, Polycarbonate, Polystyrene, Topas
35 tons
Screw Diameter: .94 in
Shot: 1.3 oz
H.P. 10
Tie Bar Clearance: 9.96in x 9.96in
Mold Height (in): Min-4.7   Max-9.8
Ejector Stroke: 1.77in"
Toyo    TI 35 BS    Inection Molding Machine        "Materials: Acrylic, Polycarbonate, Polystyrene, Topas
35 tons
Screw Diameter: .94 in
Shot: 1.3 oz
H.P. 10
Tie Bar Clearance: 9.96in x 9.96in
Mold Height (in): Min-4.7   Max-9.8
Ejector Stroke: 1.77in"
TOYO    T SM 200 A    Inline Slicer       
Toyo    TI 35 BS    Injection Molding Machine        "- Materials: Acrylic, Polycarbonate, Polystyrene, Topas
- 35 tons
- Screw Diameter: .94""
- Shot: 1.3 oz
- 10 HP"
Toyo Adtec    Aero DYJ 8 W 3 P 1    High Precision Water Chiller        25L/min capacity
Toyoda    GL 4 A 50 EII    OD Grinder       
Toyota    7 FBCU 18    Forklift       
Toyota    7 HBW 23    Pallet Jack       
Toyota L&F    VY 60 / 61    Box Creating Machine       
TPI    376    Infrared Thermometer       
TPS    CEO 932-3    Humidity Header       
TPS    BTC    Oven        - Watlow 942 controller
TQC Sheen    AB 5005    Washability Tester        "Accessories included:
- Wild boar brush tool DIN 53778
- Nylon brush tool ASTM D2486
- Sponge tool ASTM D4213 / ASTM D4828
- Abrasive tool complete ISO 11998
- 2 test beds
- 2 sample clamps
- tubing and fluid container set
- power cord manual"
TR Systemtechnik    20.FOS03    Needle Staking Machine        - P300MT/P300MQ Power Supply
Trace        Bed of Nails Test        18x24
Trak    1630 RX    Lathe        with Prototrack RLX CNC
Trane    CGAF C 504 AEA 1    Chiller       
Trane        Chiller       
Trane    TACBO 483 XBOA 31120    HVAC Unit       
Trane    TACBO 243 XBOA 31120    HVAC Unit       
Trane        Water Chillers       
Trane    CHHA 240 FAVON 197 NN    Compressor       
Trane    DWA 1210 LDJK 3 CBWA    Fancoil       
Trane        Air Handler       
Trane    RTAA 125    Chiller        - 125 gallons
Trane    CGAM 026 F 2 E 02    Chiller       
Trane    CVHF 1300    Chiller        - with heat recovery
Trane    CHHB 100 ENLON 120 A    Chiller       
Transcell    TI 500 E    Mineralight Lamp       
Transheat    TFF 141    Furnace        "- 9"" furnace
- Dryper 17'
- Furnace 19'
- Height over belt 2"""
Transistor Devices    DLM 130-5-100    Electronic Load       
Transistor Devices    DLM 50-20-100    Electronic Load       
Transor        Filtration Unit       
Traub    A 25    Screw Machine       
Traub    A 60    Screw Machine       
Traub    TNL 12/7 TX 8 i    CNC Long Tuning tool       
Travaini    EVO 10 M    Vacuum Pump       
TRE / Electromask        Mask Maker       
TRE / Electromask / Interserv    MM 958    5X I-line Semiconductor Photolithography Machine       
Tree        CNC Mill       
Tree    TC 615    Lathe       
Tree Machine Tool    J 425 Journeyman    CNC Mill       
Tree Machine Tool    J 425 Journeyman    CNC Mill       
Trek    158    Charge Plate Monitor       
Trek    Triton IV Mil SMT    Washer       
Trend Zone    UH 130-12           
Trendelkamp    TASK 4.1 L    Screen Changer       
Trent        Dryer        -used to clean gloves
Trextonic    DSA 8200    Digital Serial Analyzer       
TRI    TR 7100    AOI System       
TRI    7006           
TRI    TR 7500           
TRI    7006           
TRI    TR 7100    Optical Inspection Components       
TRI    TR 7500    AOI       
TRI    TR 7550    Inspection Machine       
Triangular    M 3025    Vertical Grinder       
Triathlon    901 1401 1 Precision    AC Source        47260
Trilogy Systems    T 4 Ds 84-6 ADKS    Linear Motor       
Trimech Pinotech    TM-101 PR-MK III    Pulse Heating FCB Machine       
Trimech Technology    TM 101 PR-MK III    Pulse Heat Machine        "- 230 Vac
- 50 Hz
- 1 Phase"
Trimech Technology    TM 90 MK III    ACF Pre-Attachment Machine        "- 230 Vac
- 50 Hz
- 1 Phase"
Trimos Fowler    Horizon Premium 500           
Trion        Plasma-Enhanced Chemical Vapor Deposition (PECVD)        "- Gas cabinet
- Load lock & Chamber rough pump"
Trioptics    U 200    UV Illuminator    6", 8"   
TrioTech    HAST 6000 X    HAST System       
Trio-Tech    G 203 A    Bubble Leak Detector       
Trio-Tech    A 244 MPV    Die Penetration       
Trio-Tech    A 244    Autoclave Testing System       
Tripod        LED Tester        "5W
Power:        110V, 10A, 1P
CDA:       85 psi
Weight:   350 kg"
Tripod        LED Tester        "10W
Power:        110V, 10A, 1P
CDA:       85 psi
Weight:   350 kg"
Tripod        LED Tester        "40W
Power:        110V, 10A, 1P
CDA:       85 psi
Weight:   400 kg"
Tripod        Tube to Tape & Reel        "5W
Power:        110V, 10A, 1P
CDA:       85 psi
Weight:   400 kg"
Tripod        Tube to Tape & Reel        "10W
Power:        110V, 8A, 1P
CDA:       85 psi
Weight:   400 kg"
Tripod        LED Bowl Feeder into Tube        "10W
Orients 10W LED devices prior to Testing in the 10W TESTER.
Power:        110V, 18A, 1P
CDA:       85 psi
Weight:   400 kg
"
Tripp Lite    1000 VA Smartonline UPS 163587 U 1000 VA Rackmount, 163587 U Series           
TriTek    Crystal Pro HT           
Triton    EU 420 B    ESD Safe Work Chair       
Trivac    D 16 A    Vacuum Pump       
Trivac    D 16 A    Vacuum Pump       
Trivac    D 16 B    Vacuum Pump       
TRM    0,1/100 i    Resistivity Measurement Device        "- 230B, 50Tu
- Measurement range: 0,001-100 omxcm
- Contactless"
Trontec Laser    Speedy 300 FLEXX    Laser for Micro Batteries Materials       
Trump        CNC Milling Machine       
Trumpf        Laser Marking Systems        "Lot of 3 Laser Marking Systems:
- Vectormark (VMC) TruMark 7000 (1)
- TruMark 6000 (2)
- One laser not working due to water leak"
Trumpf    TLC 1005    Laser       
Trumpf        Laser Mark Machine       
Trumpf    Trumabend V 855    Brake       
Trumpf    Trumabend V 130    Brake       
Trumpf    Trumatic L 3050    CNC Plate Laser        "- 5,000 Watt
- 5' x 10' Dual Pallet"
Trumpf    Liftmaster    Robotic Load System       
Trumpf    L 3050    Laser Cutter        "-CNC Plate Laser
- 5,000 Watts
-5' x10' Dual Pallet
-Auto Pallet Shuttle
-Linear Drive
-Lift master
-Trumpf TLF 5000 Resonator
-Siemens Sinumerik 840 D Control
- Hours: Cut - 4827, Laser on: 22079
- Might be issue with one of the cooling doors."
Trumpf    HL 204 P    Laser       
Trumpf    HF 506 P    Laser Welding       
TruView        X-ray Machine       
TSC    Tushar AS           
TSC    Tushar AS           
TSC        Manipulator       
TSC        Manipulator       
TSI    3772    Condensation Particle Counter       
TSI    9201    Beam Separator       
TSI    9253-350    Laser Fiber Optic Probe       
TSI    3772    Condensation Particle Counter       
TSI    3755    Laser Particle Counter       
Tsugami        Lathe       
Tsugami    BS 18 LII    Screw Machine        - with Mini Boss 325 CNC bar feeder
Tsugami    B 012 C III    Lathe       
TT Vision    F 240 XL    Inspection Machine       
TTI    EX 354 T    Triple Power Supply       
Tulsa        Power Respooler       
Tulsa        Rewinder        -1620 hours on machine
Turbo Conveyor    6275 8551    Robodrill Chip Conveyor       
Turbotronik        Turbo Controller       
Tuthill    T Series 5.3    Gear Pump       
Tuthill    T Series 5.3    Gear Pump       
Tuthill    T Series 5.3    Gear Pump       
Tuttnauer    2340 M    Autoclave Steam Sterilizer       
Tyco        SMD Laser Marker       
Tyco / Quad    QSV-1 plus    Pick and Place        including feeders, offline setup computer station, feeder carts, and interchangeable feeder bases
Tyco Electronics    Harmony SPX    Flexsite Selective Solder Module       
Tykma    Zetalase    Laser Marking System       
TyrellTech    2525    CNC Router Engraving Equipment       
U.S. Electrical Motors    R 900    AC Motor       
U.S. Electrical Motors    0103934    Direct Current Motor       
UC Stat    UC TE 502    Static Tester       
UCT    CMW 8018 - 3971    Cleaning Machine       
UDT    S 370    Optometer       
Ueno Seiki    N 402 MT    TF Separation Machine       
Uhimann    1020    Blistering and Boxing Machine       
UL        Portable High-Shear Mixer       
Ulmatec    DDE 3200    Dust Collector / Vacuum for Lissmac       
Ultimaker    S 5    3 D Priner        "- Material Station
- Air manager"
Ultra Clean Products    1600 VA    Clean Room Shoe Cleaner       
Ultra Compressor    TA 120    Air Compressure Machine       
Ultra Pointe    LIS 1000    Laser Imaging System    6"   
Ultra Pointe    500    Particle Detection    5"   
Ultra T    IRL 400    In-Line CO2 Reionizer        "- Voltage: 115 Vac
- Frequency: 60Hz
- Current: 5 Amp
- Single Phase"
Ultra T    FSC 132    Substrate Cleaner        - Outfitted to clean LCD glass panels that are 16"x14"
Ultra T    PSC 605    Spin Coater, Vertical Double Sided Substrate Cleaner       
Ultra T Equipment    SCS 125    Sapphire Wafer Cleaning unit    2", 3", 4", 6"   
Ultraclean    1600 VA    Clean Room Shoe Cleaner       
Ultra-Kool    1812-LVS    Liquid Vapor Degreaser        with ALS, Auto Lift system
Ultra-Kool    1012    Liquid Vapor Spray Degreaser       
Ultramatic        Tumbler       
Ultraphot        Optical Instrument       
Ultrasonic    T 40           
Ultrasonic Cleaning        Line of items       
Ultrasonic Engineering    UFB 00594    Wire Bonder       
Ultrasonic Engineering    UFB 00595    Wire Bonder       
Ultrasonic Engineering    UFB 00596    Wire Bonder       
Ultrasonic Power Corp    BT-90 H            "- Includes Tank, Controller, Basket and Lid
- Lightly used - estimated 100 hours each. DI Water bath"
Ultrasonic Systems    Prism Ultra Coat 500    Ultrasonic Spray       
Ultrasonix        Sonicator       
UltraTec    Blue Mill    Milling Machine       
UltraTec    Ultraslice    Diamond Saw       
Ultratech    1500    Stepper        Non MVS
Ultratech    UT 1500    Stepper    3"   
Ultratech    1000    Stepper    4"    - Manual Loader
Ultratech    1500    Wafer Stepper    6"   
Ultratech    1100    Stepper       
Ultratech        Pellicle Mounter       
Ultratech    AP 300    Exposure Station    12"    "- Reticle handling: 6 x 6 x 0.250"" with 6 Slot
- 2 Dial Illuminator System (1200W GHI Line)
- WEP System
- DSA System
- Lens Type: 2um (Gen2 Lens)
- Wafer Alignment System (MVS with PatMax)
- Field Size: 44 x 26.7 mm Stage"
Ultraviolet Technology, INC    M 150    Fluid Sterilizer       
Ultraviolet Technology, INC    H-100    Ultraviolet Disinfection System       
Ultron    UH 101           
Ultron    UH 114    Wafer Mounter       
Ultron    UH 130    Expansion for Shielding UH 130       
Ultron    UH 117           
Ultron    UH 114    Saw Tape Mounter       
Ultron    UH 114    Tape Mounter       
Ultron Systems    UH 114           
Ultron Systems    USI UH 114    Wafer Framer / Frame Fill Mounter       
Ultron Systems    UH 108    Film Frame Laminator       
Ulvac    Phoenix    Asher    8"   
Ulvac    Phoenix    Asher    8"   
Ulvac    Phoenix    Asher    8"   
Ulvac    Phoenix    Asher    8"   
Ulvac    Phoenix    Asher    8"   
Ulvac    Phoenix    Asher    8"   
Ulvac    Entron EX W 450    PVD / CVD (Physical Vapor Deposition / Chemical Vapor Deposition) System       
Ulvac    ZX 1000           
Ulvac    Enviro 2 CH           
Ulvac    Z 1000 DC 2 CH           
Ulvac    ZX 1000           
Ulvac    ZX 1000 RF 2 CH & DC 1 CH           
Ulvac    CE 300 i    RIE Machine    3"    "- Load Lock
- RF discharge source
- Inductive Coupled Plasma (ICP)
- RF frequency : 13.56MHz RF power
- ICP assembly upper electrode: Max 1000W
- RF biased lower electrode: Max 300W Control of discharge impedance
- Auto Purge gas: N2* Temperature control of sample substrate: water circulator and He gas Wafer hold type
- Electrostatic chuck Target materials : Mo, TiN, NbN, Si* Wafer tray: quartz ""
- Gasses: SF6, CF4, C4F8, O2
- Available for automatic recipes
- Abatement Pump"
Ulvac    ZEM-3            "Includes:
- software
- computer
- chiller"
Ulvac    Enviro I    Resist Stripper    6"   
Ulvac    Enviro I    Resist Stripper    6"    Removed Astex Fi20160 & Eni 6B Rf Generators
Ulvac    CE-300 l    Load Lock Type Etching Machine (ICP etcher)       
Ulvac    Phi Adept 1010    Scanning Electron Microscope (SEM)       
Ulvac    G 100 D    Oil Free Sliding Rotary Vane Vacuum Pump       
U-Mets    MP 202 F    Form System       
UMSCO        Board Handling       
Unaxis / Nextral    860    Plasma Etcher        "- UHF generator not working but can be repaired
- Ar; O2; C2F6, CHF3; SF6; He"
Unaxis Balzers    MSP 1000    Platform Coating Machine       
Uncopiers    PS 10    Particle Scout       
Uncopiers    PS 20    Particle Scout       
Uni Plane        Orienting Rolls       
Uni Wash        Wet Dust Collector       
UniMac    UW 35 PVQU 1 D    Commerical Washer       
Union Hisomet    II    Measuring       
Unique Metal Detection        Metal Detector       
Uniscan / Bio-Logic    SECM 270           
Unisen    ECC 01    Alessi Prober Control Unit       
UNI-T    UT 50 A    Digital Multimeter (DMM)       
UNI-T    UT 60 G    Digital Multimeter (DMM)       
Unitechnologies / MTA    TR 300    Robot       
United States Enterprise    KE-40 B 1    Controller       
United States Enterprise    KZ-30 W 2    Syringe Sampler       
United States Enterprise    SK-13 Y-1524 B            Modify to MP machine parts
United States Enterprise    KS-42 C    Liquid Particle Sensor       
Unitek        Bonding Machine        with accessories
Unitek    Unibond 1-124-05            "- 115V
- 1.5Amp
- 60 Cycles"
Unitek    9 001 01           
Unitek    2 127 05           
Unitek    1 124 05           
Unitek    1 162 02           
Unitek    1 191 02           
Unitek    MP 4 / 6 099 03 01           
Unitek    MP 4           
Unitek    6 099 03           
Unitek    2-152-02           
Unitek    1 191 01           
Unitek    6 095 06    Wire Bonder       
Unitek    250 Dual Pulse    Power Supply        - Comes without workbench
Unitek    250 Dual Pulse    Micro Welder       
Unitek        Track Welding Machine       
Unitek / Miyachi    ML-2150 A    Laser Welder Maker        Maintenance is recommended (optical axis?Water chillerr?Filter)
Unitek / Miyachi    UB 25    Resistance Welding System       
Unitek / Miyachi    HF 25    DC Resistance Welder       
Unitek / Miyachi    350    Electronic Weld Head       
Unitek Miyachi    LW 10    Laser Welder       
Unitek Miyachi    Uniflow 2 1-292-01-01    Pulsed Thermode Control (HotBar)       
Unitek Miyachi    80 / E 2 2-143-04-23    Thin Line Weld Head        with EZ AIR PECO
Unitek Miyachi    LW 52    Compact Yag Laser System       
Unitek Peco    DC 25    Linear DC Resistance Welding Control       
Unitek Peco    Phasemaster 7    Welder       
Unitek Weldmatic    1 132 01    DC Welder       
Unitron        Microscope       
UnitySC    ODIN    Automated Inspection System        "- True Color Imaging Technology
- Advanced Automasking
- Probe Mark Inspection
- 3D CCS Bump Measurement
- Integrated High resolution review µscopy
- Integrated Metrology for CD/OVL"
Universal    US 66-RA 2610    Wet Process    8"   
Universal    GSM 2            - Includes feeders & feeder holders
Universal        GSM       
Universal    GSM 1    Pick and Place        "- 7 Spindle Flexjet, PTF and Shuttle
- Feeders:
QTY: 30 - 8 mm x 2 mm
QTY: 94 - 8 mm x 4 mm
QTY: 5 - 12 mm multi Pitch
QTY: 9 - 12 mm x 4 mm
QTY: 5 - 16 mm
QTY: 6 - 24 mm
QTY: 2 - 32 mm"
Universal    PTF           
Universal    ADB 1240            "- Part Verification System-PVS
- Board size ;
     : 450mm(L) x 560mm(W) (17.7"" x   22"")
- Min component size;
: (0603) standard
- Min ball diameter / Pitch : 80/140 micron
- Handle QFP, BGA, µbga and CCGA (Ceramic  Column Grid)
-  UPS + operating Software  ( Windows 2K )
- 7 spindles  single  beam placement "
Universal    ADC 100 C            "- Part Verification System-PVS
- Board size ;
     : 450mm(L) x 560mm(W) (17.7"" x   22"")
- Min component size;
: (0603) standard
- Min ball diameter / Pitch : 80/140 micron
- Handle QFP, BGA, µbga and CCGA (Ceramic  Column Grid)
-  UPS + operating Software  ( Windows 2K )
- 7 spindles  single  beam  Combo placement "
Universal    4982 C / AX 72            "- Part Verification System-PVS
- Board size ;
     : 450mm(L) x 560mm(W) (17.7"" x   22"")
- Min component size;
: (0603) standard
- Min ball diameter / Pitch : 80/140 micron
- Handle QFP, BGA, µbga and CCGA (Ceramic  Column Grid)
- UPS + operating Software  ( Windows 2K )
- 7 spindles  single  beam  Combo placement head "
Universal        Conveyor       
Universal    GC 60    Placement       
Universal    AC 72    Placement       
Universal    GSM 2    Placement       
Universal    AC 30 L    Placement       
Universal    GSM 2    Placement       
Universal    5423 i    Line Loader & Bare Board Loader       
Universal    5423 i    Line Unloader       
Universal    6285 20600 CHS 1432           
Universal                "- 1 Phase
- 50/60 Hz
- 2.0 Amp
- 115 Volt"
Universal    6285 20600 CHS 1432           
Universal    8222 30042800 LSI 4139           
Universal    4983 A    Pick and Place        - With feeders and spare parts
Universal        Lift Conveyor        "- Single Phase
- 3.0A, 110V, 60Hz
- Currently disassembled. Will need to reassembled"
Universal    AC 30    Placement       
Universal    AC 30    Placement        WFOV camera not functioning, limits part size capability
Universal    AX 72    Placement        PEC Fiducial Camera not functioning
Universal    AC 30    Placement       
Universal    GC 60    Pick & Place Machine        "- Component Handling Capabilities: 0201”-20mm
- Board Handling: 50.8mm-508mm
- Operating system: Win2000
- Max CPH: 60100
- 8mm Input feeders: 136"
Universal    CG 60    Pick & Place Machine        "- Component Handling Capabilities: 0201”-20mm
- Board Handling: 50.8mm-508mm
- Operating system: Win2000
- Max CPH: 60100
- 8mm Input feeders: 136"
Universal    GX 11    Pick & Place Machine        "- Machine can not power on, confirmed Y axis motor, PEC camera, EPC card damage
- Component Handling Capabilities: 0402”-55mm
- Board Handling: 50.8mm-508mm
- Operating system: Win2000
- Max CPH: 18000
- 8mm Input feeders: 120"
Universal    GX 11    Pick & Place Machine        "- IL7 head+IL4 head
- Component Handling Capabilities: 0402”-55mm
- Board Handling: 50.8mm-508mm
- Operating system: WinXP
- Max CPH: 18000
- 8mm Input feeders: 120"
Universal    AC 30    Pick and Place       
Universal        VCD and Sequencer       
Universal    Series 5    Radial Insert / Axial Insert       
Universal    Advantisx    Pick & Place Machine       
Universal               
Universal        Sequencer for Axial Machine       
Universal Instruments    S 362 I GSM    Pick and Place System       
Universal Instruments    2351    Reel to Reel Axial Counter       
Universal Instruments    6285 20600 CHS 1432    Axial Inserter       
Universal Instruments    8222 30042800 LSI 4139    Axial Inserter        Single phase
Universal Instruments        Insertion Axial Machine       
Universal Instruments        Pick and Place and Precision Dispenser       
Universal Plastics    Solvent Bench    Solvent Bench    8"   
Universal Plastics    Megasonic    Wet Bench       
Universal Plastics        Etch Bench        "- 72""
- with 1 Verteq sunburst megasonic tank
- 1 Verteq ultrasonic tank
- 1 Intech quartz heated bath
- 3 dump rinse tanks"
Universal Robots    G Series UR 3    Robot Arm       
Universal Shielding        RFI / EMI Shielded Enclosure        12" x 20" x 8"
Univex        Mixer       
Uniweld    9793.020    Pump        "- 110/220V
- 60/50Hz"
Up    Semi Wet Process        8"   
UPRtek        Portable Spectrometer       
Urano    CP 30 / 2, GF 300    Scales       
US Baird    3 24 Vertislide           
US Baird    0           
US Electrical Motors    XA 900-01    Lathe       
US Motors    XA 900-01           
US Motors    F 537           
Ushio    SP 7-250 DB    Uv Light Curing System       
Ushio    SP 7-250 DB    Uv Light Curing System       
Ushio    USH-205 DP    UV Mercury Lamp       
USI    P 350-2-280    Ink Coating       
UST Microvision    853           
Usun Technology        Separate Plate Scrubbing Machine       
UTI    A 2-162    Curve Tracer       
Utopia        Camera Vision System       
uTWS        Wafer Transfer System       
UVEXS    SCU 110 B    Spot Cure System       
Uvitron    Intelliray 600 UV 0832    UV Oven       
Uvitron International    Intelliray 600            Optional Stand/Shield
UVP    UVG-11    Short wave UV-254NM       
VAC    HE-493    Laboratory Glove Box        "- 110-120 V
- 5 A
- 50/60 Hz
- Pressure Limit: +10"
VAC    Nexus    Glove Box       
Vac        Lam Equipment       
VAC    Nexus    Glove Box        "- Chamber dimensions: 5' x 3' x 2.5'
- 2 compartments with 4 glove ports
- Main antechamber
- Smaller antechamber for smaller items
- Modified to have bypass and condensate trap
- Capable of 2 gas feed in (to form gas and nitrogen, for spin coating process in vacuum)
- Replaced O2 sensor"
VAC    DLX 002 S 6           
Vac Long    VSG B 200    High Temperature Furnace        "with Hanbell PS902-A Screw Dry Pump:
     - 380V, 50Hz
     - Pumping Speed: 12500 L/min"
Vac-Long    VSG-B 200    Furnace       
Vacutec        Plasma-Enhanced Chemical Vapor Deposition (PECVD) and Reactive Ion Etch (RIE)        "- Two, Chamber Etch & Deposit
- PECVD: SiNx Deposition
- RIE: SiNx Etch
- Gas Lines PECVD: SiH4, Ar, N2, NH3, SF6
- Gas Lines RIE: Ar, O2, CF4, SF6
- Pumps: with Rotary and Rootsblower (1), with Rotary and Turbo (1)
- RF Generator: ENI ACG5 500W
- Including Sofie Camera for endpoint detection.
- The control software is not working"
Vacutec    Plasmasystem    Etch / CVD       
Vacutec    1500           
Vacuum Research        Gate Valve        "ANSI 16"" ports both sides.
Pneumatically operated, ~80 PSI, 120V.
"
Vaisala        Weather Station       
Valad        Hot Plate        12x24 Electric Heater
Valhalla Scientific    2100    Digital Analyzer       
Valhalla Scientific    2100 A    Digital Power Analyzer & Power Factor Meter       
Vamo        Foot Grinding       
Van Dorn        80 Ton Hydraulic Molding Machine        "Parts list available in the machine:
- CPU of molding machine
- Controller Pathfinder 2500
- Barrel
- Screw
- Resistance
- Hydraulic Motor (injection unit)
- Hydraulic valves of injection unit
- Hydraulic valves of Clamp unit
- Electrical circuit
Parts list NOT available in the machine:
- Screen of Pathfinder control
- Bidirectional valve (for speed control of clamp )
- Manual grease pump
- Some electrical fuses"
Van Dorn        170 Ton Press        "- Screw Dia. (in): 40
- Shot Size Grams: 220.00
- Shot Size Lbs: 0.486
- Shot Size (oz): 7.76
- Injection Pressure (ppsi): 21,994
- Hyd Inj Press (psi): 2320
- Platen Size PAR: 9.48
- Platen Size horz (in): 30.00
- Platen Size vert (in): 30.00
- Tiebar Dist HxV (in): 20.0 x 20.0
- Mold Height Min / Max (in): 11.00 / 17.75"
Van Dorn        85 Ton Press        "- Screw Dia. (in): 40
- Shot Size Grams: 220.00
- Injection Pressure (ppsi): 27009
- Hyd Inj Press (psi): 1970
- Platen Size PAR: 13.71
- Platen Size horz (in): 23.00
- Platen Size vert (in): 20.50
- Tiebar Dist HxV (in): 16 x 13.5"
Van Dorn Newbury    200 VTCR 9-0114    Injection Molding Machine        "200 ton clamp unit, 9 oz. shot capacity, 44mm screw.
Max injection pressure of 20,898 ppsi. This machine has the heavy duty (no-lift) 72” table. And the Pathfinder 5000 controller.
The machine was converted from 230 VDC to 480 VDC. "
Vanstron        Destacker       
Variac    W 20 Mt 3 A    Autotransformer       
Varian    3190        5"    - Conmag Targets
Varian    3180    Sputtering System    5"   
Varian    3190    Sputtering System    5"   
Varian    936-40    Leak Detector       
Varian    Cary 100 BIO    UV/Vis Spectrophotometer       
Varian        Ion Implanters       
Varian    Cary 500    UV-VIS-NIR Spectrophotometer        "- VW specular reflectance attachment (standard reflection measurement)
- VN specular reflectance attachment (low %R measurement)
- Variable specular reflectance attachment (motorized)
- Transmittance attachments/sample holders
- Motorized rear beam attenuator
- Static rear beam attenuators
- WL range 300-3000nm


"
Varian    3190    Metal Sputter       
Varian    3180           
Varian    Mercury VX 400    Console with a SMS 50 Autosampler       
Varian    979    Helium Mass Spectrometer Leak Detector       
Varian    430-GC           
Varian    CP-4900           
Varian    Cary 50 Bio    UV-VIS Spectrophotometer       
Varian    V 70    Turbo Controller        With Turbo Pump V 70 LP
Varian    TV 70    Turbopump        - No controller
Varian    4001 XL    Load and Lock        Column with Pump
Varian    DS 102    Turbo Pump       
Varian    3180           
Varian    3190           
Varian        Diffusion Pump       
Varian    430 GC           
Varian    CP 4900           
Varian    Inova    Spectrometer System        "- 300 MHz
- long-hold Oxford 7 T magnet
- 5 mm Varian quad-nucleus probe (1H/13C/19F/31P)
- additional spare probes"
Varian    3180    Sputter        "- Configured for 125mm wafers
- Four target stations;
         One RF etch and three metal
- Ideal for high throughput, 24/7 operation.
- Mini quantum sources
- Cryopump for main chamber
- Turbo pump for load lock
- Large selection of hardware
- Neslab IV chiller"
Varian    3180    Sputter    6"    "- Four target stations;
      One RF etch and three metal  - Cryopump for main chamber
- Turbo pump for entry/exit load locks
- Large selection of hardware"
Varian        Auto HCN PFG Probe        "- 500 MHz
- 5mm"
Varian    HCN XYZ            - 700 MHz
Varian    700 TTR/PFG            with N15/Rh103 Capstic
Varian    SD 200    Vacuum Pump       
Varian    Mercury 300    Spectrometer System        "- 300 MHz
- 7 T Oxford Alox Magnet
Mercury 300 MHz NMR Spectrometer
- Extra accessories like additional probes, helium meter, 2H deuterium shimming, VT controller, z=gradient PFG capabilities"
Varian        Pump       
Varian    HS 2    Diffusion Pump       
Varian    3800 / 3380    GCMS System        "- 50/60 Hz
- 2400 VA"
Varian    Saturn 2000    GCMS System        "- 50/60 Hz
- 1440 VA"
Varian    3800 / 3380    GC/MS Ion Trap        "- 50/60 Hz
- 2400 VA
- Configured for 230 V"
Varian    Saturn 2000    GC/MS Ion Trap        "- 1440 VA
- 50/60 Hz
- Configured for 230 V"
Varian    3800    Chromatography System        "- 220 V
- 50/60 Hz
- 2400 VA
- FID + ECD Option"
Varian    MR 15    Helium Leak Detector Mass Spectrometer        "- Sensitivity Ranges: 5 x 10-12 atm cc/sec
- Min. Detectable Leak: 5 x 10-12 mbar l/s
- Nominal Pumping Speed: 232 l/min
- Includes Varian DS 302 rotary vane vacuum pump and mobile cart
- ½” ID Swagelok braided stainless flex hose (Length 7')
- 1.0” bellows flex lines (2) with KF25 connections (Length 3' each)"
Varian    820 MS    Inductively Coupled Plasma Mass Spectrometers (ICP-MS)       
Varian    DS 102 9499315    Vacuum Pump       
Varian    SD 200 0421 P 1211 07    Vacuum Pump       
Varian    SD 450 0423 p 1231 307    Vacuum Pump       
Varian    820    MS ICPMS        "- With Chiller
- Recent software and firmware
- Computer system
- New RF generator. Replaced (Sept. 2018)
- New Electron Multiplier. Replaced (May 2018)
- Custom Spray Chamber"
Varian    820 MS    ICP-Mass Spectrometer       
Varian        Vacuum Chamber        with Varian Diffusion Pump
Varian    200    DF-4 Implanter    4"    "- With Polycold PCT 550 ST / PCT 500 XC Chillers
- Runs BH3 and PH3 Beam"
Varian    EHP 500    Spare Parts       
Varian    Viision 80    Spare Parts       
Varian    Gen II    Molecular Beam Epitaxy System (MBE)       
VarioKlav    VarioKlav    Steam Sterilizer       
Varioklav        Steam Sterilizer       
Variomag    Multipoint HP 15    Stirrer       
VAT        Gate Valve        with 3-pos. pneumatic actuator
VAT        Gate Valve        10"
VAT    04312-BA 24-AAG 2/0001    Slot Valve    12"   
VAT    26424 KA 11 0002    HV Angle Valve        KF-25 Flange
VAT    28432 GE 11 CAN 1/0009 CF-R    UHV Angle Valve        DN 40 Rotatable Flange
VAT    01232 KA 24 0001    Mini Gate Valve        KF-40 Flange
VAT Vakuumventile AG    29032-KA/H/E/11/21/31/41    Angle & Incline Valve with soft-pump function       
VAT Vakuumventile AG    610 PM-26 AC-AAW 1    Controller PM-2       
Vatec        GIS       
VATech    TABs 170    Current Transformer        "Mass: 300Kg
Insulation Level: 170/325/750kV"
VATech    TVBs 170            "Insulation Level: 170/325/750kV
60Hz"
VATech    GDHB 1-170 HB 7    Circuit Breaker       
VATech    TVBs 170    Voltage Transformer       
VATech    TVBs 170    Voltage Transformer       
VATech        GIS        "- 170kV
- 53 Chambers
- 2 Incoming / 2 Outgoing Bays
- Single Bus
- 3 Phases Separated Design"
Veco    CFLH 90    Flow Equipment       
Veco        Laminar Hood       
Vector    Scrubber 01    Gas Scrubber        - With 5 Ctns of Scrubber Balls
Vector    1    Scrubber       
Veeco    Nxp 55 PM 2    Reactive PVD Module    8"    "Cabinet
Pinnacle power supply
Rough Pump
Cyro compressor"
Veeco    K 465    MOCVD Reactor       
Veeco    E 400    MOCVD Reactor        "Water requirement - 14GPM at 18℃
Electricity requirement - 3ψ380V 150A
Gas requirement - PH2:60PSIG[4.14BARS] PN2:30PSIG[2.07BARS] N2
House Feed:20PSIG[1.38BARS] N2 or CDA:80~100PSIG[6.92BARS]
Exhaust requirement- Exhaust1:1000CFM[1699CMH] Exhaust2:500CFM[549.5CMH]
Maximum yield- 2"" *43                 3"" *19                       4"" *12                6"" *5
Hydride Source Line:
Normal *6
Dopant *2
MO Source Line:
Normal *7
Dopant *2
Computer:
EPIVIEW *1"
Veeco    E 400    MOCVD Reactor        "Water requirement - 14GPM at 18℃
Electricity requirement - 3ψ380V 150A
Gas requirement - PH2:60PSIG[4.14BARS] PN2:30PSIG[2.07BARS] N2
House Feed:20PSIG[1.38BARS] N2 or CDA:80~100PSIG[6.92BARS]
Exhaust requirement- Exhaust1:1000CFM[1699CMH] Exhaust2:500CFM[549.5CMH]
Maximum yield- 2"" *43                 3"" *19                       4"" *12                6"" *5
Hydride Source Line:
Normal *6
Dopant *2
MO Source Line:
Normal *7
Dopant *2
Computer:
EPIVIEW *1"
Veeco    E 400    MOCVD Reactor        "Water requirement - 14GPM at 18℃
Electricity requirement - 3ψ380V 150A
Gas requirement - PH2:60PSIG[4.14BARS] PN2:30PSIG[2.07BARS] N2
House Feed:20PSIG[1.38BARS] N2 or CDA:80~100PSIG[6.92BARS]
Exhaust requirement- Exhaust1:1000CFM[1699CMH] Exhaust2:500CFM[549.5CMH]
Maximum yield- 2"" *43                 3"" *19                       4"" *12                6"" *5
Hydride Source Line:
Normal *6
Dopant *2
MO Source Line:
Normal *7
Dopant *2
Computer:
EPIVIEW *1"
Veeco        FCA        3 phase
Veeco        Step Height Standards       
Veeco    Nexus-LDD    Ion Beam Deposition System        "- Brooks Automation SLR 200X-rot 30M/L. The tool is designed to handle 6” reticles
- Load chamber, process chamber, controls, pumps for process chamber, another view of process chamber, other side of load chamber, control racks, 2 pumps (IQDP40 & IQDP80), 3 compressors (CTI 9600), power panel
- The tool was operational and last used in 2015"
Veeco    CP II    Atomic Force Microscope (AFM)        - SThM function
Veeco    770    Automatic Vacuum Controller       
Veeco    FPP 5000    Four Point Probe       
Veeco    D 180    LDM MOVPE System        "- Epitaxy of (In,Al,Ga)As on GaAs
-  “Turbodisc” reactor with two heater zones, and three alkyl inlet zones
- Wafer surface temperature and growth rate via emissivity-compensated pyrometry
- Configured for 1x100mm wafer, or 3x75 mm wafers
- Gases: H2, N2, AsH3, 100 ppm Si2H6 in H2
- MO-sources: 2xTMGa, TEGa, 2xTMAl, TMIn, CBr4
- Thickness uniformity (AlGaAs): +/-0.4 % on 100 mm wafer, 5 mm exclusion"
Veeco    210    IBD        "- 1 Process Chamber & 1 Transfer Chamber
- Used for Etching and Metallization, Targets: 1  AL203,  1 Si and  1TaO
- Win 3.1 Software
- Chamber Turbo Pump - Leybold MAG W200 CT
- Loadlock Pump - TMH 260 P"
Veeco    210    IBD        "- 1 Process Chamber & 1 Transfer Chamber
- Used for Etching and Metallization, Targets: 1  AL203,  1 Si and  1TaO
- Win 3.1 Software
- Chamber Turbo Pump - Alcatel ATH 2300 M
- Loadlock Pump - Alcatel ATP 150 C
- System is not complete, fixture was removed and used as spare on other system"
Veeco        Ion Mill       
Veeco    E 300    Reactor       
Veeco    K 465 i    Reactor       
Veeco    Dekak V 200 i    Profiler       
Veeco    1001    Ion Mill        "- Microetch Control Rack
- CTI 8500 Compresso
- Extra power supply
- 2 spools of tungsten wire"
Veeco    FPP 100    4 Point Probe       
Veeco    E 400    GaAs Reactor       
Veeco    E 300    GaN Reactor       
Veeco    E 300    GaN Reactor       
Veeco    E 300    GaN Reactor       
Veeco    E 300    GaAs Reactor       
Veeco    E 450    Reactor       
Veeco        Carbon Sputter       
Veeco        4-Point Probe       
Veeco / Bruker    Dektak II A    Profilometer       
Veeco / Bruker    Dektak II A           
Veeco / Bruker    Dektak XT           
Veeco / Bruker    Dektak II A           
Veeco / Bruker    Dimension 3100    Atomic Force Microscope (AFM)        -Scanner needs to be replaced
Veeco / Bruker    Dektak XT    Surface Profiler       
Veeco / Bruker    Dektak II A           
Veeco / Burker    Dektak    Profilometer       
Veeco / Commonwealth    Mark II    Ion Controller       
Veeco / Digital Instruments    Dimension 9000    Atomic Force Microscope (AFM)       
Veeco / Digital Instruments    D 9000    Scanning Probe Microscope    8"    Full Automatic
Veeco / Digital Instruments    D 9000    Atomic Force Microscope (AFM)    8"   
Veeco / Digital Instruments    Dimension 5000    Scanning Probe Microscope       
Veeco / Digital Instruments    Nanoscope III A            - Windows XP
Veeco / Digital Instruments    Nanoscope III A NS 3 A           
Veeco / Digital Instruments    Dimension VX 340    Atomic Force Profiler    8"   
Veeco / Digital Instruments    Dimension 3100    AFM Microscope        - Includes Witec digital pulse force mode (DPFM) controller
Veeco / Wyko    NT 8000    Optical Profiling System       
Veeco / Wyko    NT 2000    Surface Profiler System        - Vertical resolution of a few angstrom over a wide area (5 X 5 mm2)
Vektrex    APS 1001-01           
Velonex    345    High Power Pulse Generator       
Veltop    VIP 100 B    Wire Bonding Machine       
Vender Scientific    PM 400    Retsch Planetary Ball Mill       
Ventisol        Fans       
Verifier        CDM Semiconductor Analysis Test System       
Verimation    M 4900 C    Portable Conductivity Tester       
VersaDoc    1000    Gel Documentation       
Versatest    V 1204           
Verson    1648    Brake with Safety Curtain       
Verson        HTC 10 Ton Mini Brake       
Verson    270 MN-0.9 3    Hydro Press       
Verson    41000 R    Wheelon Hydro Press       
Verson    250 S 2 84    Press        "- 250 Ton
- 51 x 84"
Verson    150    Press        - Equipment is working at 60%
Verteg    Mark V 2000    Centrifuge       
Verteq    1600-55 M    Spin Rinse Dryer (SRD)    6"    - Dual Stack
Verteq    1600-55 M    Spin Rinse Dryer        "- 6""
- Dual Stack
- 120 VAC
- 50/60 HZ"
Verteq    1800-6    Spin Rinse Dryer (SRD)       
Verteq    1600    Spin Rinse Dryer (SRD)       
Verteq    C / ASSL    Spin Rinse Dryer (SRD)       
Verteq    1800-6    Spin Rinse Dryer (SRD)       
Verteq    1800-6    Spin Rinse Dryer (SRD)       
Verteq        Liquid Filtration System        With Metal Stand P/N Mrf1Uft25Eh1K3
Verteq    ST 600    Ultrasonic Cleaning Tank       
Verteq    1600 55 M    Spin Rinse Dryer (SRD)       
Verteq    1600-55    Spin Rinse Dryer (SRD)        -4" & 6" Rotor Included
Vesca Clim    H-E Vim    Tempering Zone Heat Exchanger       
Vesta    PRO    Gluer for Corrugates Cases       
Vesta    PRO    Bocket Former       
Vestil    SWA-48-R    Shrink Wrap Machine       
Vestil        Forklift Boom        1750-4000 lbs capacity
Vestil    LM    Forklift Boom       
Vetraco        Lip Gloss Hot Fill Machine       
VG        STEM       
Vi Technology    SPI    3D Solder Paste Inspection       
VI Technology    3 K    AOI       
Viavi        Portable IR Spectrometer       
Vibco    US RD 24 x 24    Vibrating Table       
Vibco        Vibrator Table       
Vickers    Photoplan    Scope       
Vickers Photoplan        Microscope       
Victor    2480 T    Lathe       
Victor    1440 G           
Videojet    1520    Inkjet Printer       
Videojet    VJ 1520    Ink Jet Coder        "- 70 microns
- IP65
- Positive air pump 3M
- Max lines of print: 5
- Max line speed: 279m/min (914 ft/min)
- Environmental protection: IP55
- Core life (maint. interval): up to 12,000 hrs
- Umbilical length: 3m (9.8ft) optional 6m (19.6ft)
- Message storage capability: 100
- Communications: ethernet
With:
- Smart cartridge
- Plug and play printhead modules
- USB
- LCD with membrane keyboard"
Viewsonic    15699 GS 3    Monitor       
Viewsonic    VA 702 b    Monitor       
Vijay Engineering        Standard Weightbox Trolley       
Viking Masek    Stick Pack ST 400    Packaging Machine        "- 10 lane
- 17mm bag width
- Volumetrick cup filler
- Heat seal
- Simens controls with Siemens HMI
- Dust suction preparation for dusty products
- 1 chute
- Splice table"
Viking Semiconductor    1061    Wafer Prober       
Viking Semiconductor Equipment    1042    Pick and Place Die Sorter       
Villa Precision Int’l    C 69514 051    Laser Repair System       
VimTec        Bending Annealed       
VimTec        Fragment Test       
VimTec        Bending Annealed        - Dim 1100*2100mm
VimTec        Zebra + Light Screen       
Vincent Technologies    HBM 650 G    Bake Oven       
Viron International    VHS 3036-FRP-Z    Air Scrubber        208-440 volt 3 Phase, Sized for the 3000 CFM
Virtual Measurements & Control    VW 330 C    Scale       
Viscom    MX 2000 IR    IR Control System    8"   
Viscom    S 3088 Basic AOI    Defect Detection        "- Board magazine loader and unloader
- 8 camera"
Viscom    S 3088 Flex           
Viscom Machine Vision    S 3088 Ultra    AOI       
Viscotek    VE 2001    GPC Solvent / Sample Module       
Vision    VSO-2 CM-00    Substrate PreBake / BStageCure       
Vision    VE 810    All Purpose Engraver       
Vision    Semicon 88 D Pro + M    Plasma Cleaning Machine       
Vision Engineering    Lynx 5/10    Microscope       
Vision Engineering    Lynx    Microscope       
Vision Engineering        Stereo Dynascope       
Vision Plasma Cleaning    VSP 88 D Pro           
Vision Semicon    VSO 2 CM    Bake Oven        "- 2 Chamber
- 3 Phase
- 220 Vac
- 50 / 60Hz
- 60A"
Vitraonics    1224 W           
Vitronic    SMR 520 A    Unitherm Curing Machine       
Vitronics    6622 CC    Solder Machine        "- L to R
- Leadfree
- Preheater Config: Calrod - Forced Convection- Lamps
- Finger Cleaner
- Chip and Main Wave
- Yaskawa mini J7
- Software Rev: 12.01.00.00"
Vitronics    XPM 820    Reflow Oven       
Vitronics    Multi-Pro 306    4 Zone Reflow Furnace        "-missing 3 controllers
-belt roller may need some work"
Vitronics    Multi-Pro 306    4 Zone Reflow Furnace       
Vitronics    Multi-Pro    Reflow Oven       
Vitronics    XPM 2 1030    Reflow Oven        "- 220 V, 3 Phase
- Windows XP OS"
Vitronics    GP 104    Convection       
Vitronics    XPM 2    Oven       
Vitronics    XPM 3 730    Reflow Oven       
Vitronics    XPM 2-1030    Oven        10 zone
Vitronics Soltec    6721 GG    Wave Solder       
Vitronics Soltec    6622 CC    Wave Soldering Machine       
Vitronics Soltec    6622 CC    Wave Soldering Machine       
Vitronics Soltec    6622 CC    Wave Soldering Machine       
Vitronics Soltec    MR 933 +    SMT Oven       
Vitronics Soltec    XPM 1030    Oven        nitrogen capable
Vitronics Soltec    XPM 2 730    Reflow Oven        "- Single conveyor
- 177 x 71 x 56"
Vitronics Soltec    6622 CC    Delta Wave Solder Machine        "- Frequency Inverter: Hanning CCI 2000
- Supply Power: 400V 3 PH
- Delta Software Version 7.03
- Includes Finger Cleaner and Finger Wetter
- Spray Fluxer
- Left to Right
- Leadfree
- Preheater: 3 Zones.  Zone 1 & 2 are Convective, Zone 3 Calrod
- Chip Wave is Not Functional
- No Air Knife"
Vitronics Soltec    6745    Selective Soldering System        "- Internal fluxer and a pre-heat system
- Point Soldering System
- Lead-free Capable"
Vitronics Soltec    6745    Selective Solder        "- Upgraded with Sonotek ultrasonic fluxer
- Two pots (one lead-free, one leaded)
- 4 nozzle sets for Pb and Pb-free as follows: 4mm, 6mm, 8mm, and 12mm.
- Windows 7, Software version V2.6.5b"
Vitronics Soltec    XPM 820    Reflow Oven       
Vitronics Soltec    6745    Selective Soldering System        "- Power: 10 kVA
- Voltage: 3x 400 V
- Cycles: 50/60 Hz
- N2 Pressure: 88 PSI / 6 bar
- N2 Consumption: 177 cfh / 5 m3/h
- Full Load: 5 A
- Largest Load: 15 A"
Vitronics Soltec    XPM 2 1030    Reflow Oven       
Vitronics Soltec    XPM 820    Reflow Oven       
Vitronics Soltec    XPM 2    Reflow        "- Heating zones: 10
- Cooling zones: 3
- Total heating length: 335 cm
- Total cooling length: 91 cm
- Total process length: 506 cm
- Maximum heater temperature set-point: 350 °C
- Maximum product width: 457 mm
- Minimum product width: 38mm
- Maximum product clearance:
      above edge-chain pins 25mm
      below edge-chain pins  22mm"
Vitronics Soltec    XPM 2 1030    Reflow Oven        - 380V / 160A
Vitronics Soltec    Delta 3    Wave Soldering Machine       
Vitrox    V 510    AOI       
Vitrox    V 510    AOI        Single
Vitrox    VB 50           
Vitrox    VB 50           
VJ Electronix    SRT Micra    SMT       
VJ Electronix    400 M    Rework System       
VJ Electronix / SRT    Summit 1100    Rework Station       
VJ Electronix / SRT    Summit 1100    Rework Station       
VLSI Standards    100    Particle Deposition System (PDS)       
VMR    1300 U    Gravity Convection Oven        "Interior Dimensions: 12” W x 12” D x 12” H
Exterior Dimensions 16” W x 17” D x 18.5” H
Temperature range: 40C to 220C"
VOGT Electronic    Gen 2    Plastic Tooling        P/N: 406 06 039 00
VOGT Electronic        Plastic Tooling        P/N: 408 08 053 00
VOGT Electronic        Plastic Tooling        P/N: 408 08 051 10
VOGT Electronic        Plastic Tooling        P/N: 406 06 051 01
VOGT Electronic        Plastic Tooling        P/N: 406 06 051 00
VOGT Electronic        Plastic Tooling        P/N: 408 08 055 00
Voith    Triplex           
Volpak    SL 140           
Volpi    Intralux 4000 1    Light Source Fiber Optic Illuminator       
Voltech    PM 1200    AC Power Analyzer       
Voltech    PM 100    Power Analyzer        Single Phase
Voltech    AT 3600    Transformer Tester       
Voltech    PM 100    Power Analyzer       
Voltech    PM 101    Power Analyzer       
Voltech Instruments    PM 3000 A    Universal Power Analyzer - Unstables       
Von Ardenne    Xeanova    Physical Vapor Deposition (PVD) system Inline Sputtering system       
Vonder    2000 W    Thermal Blowers       
Voorwood    S 60 18 18 Z    Slitting Machine        "- Width : 60” max working width
- Unwind Diameter: 18”
- Rewind Diameter: 18”
- Core Diameter: 3”
- Minimum Cutting Width: 0.5”
- 230 VAC
- 1 Phase
- 30 Amps
- Duplex Type
- Shaftless Unwind
- With Core Cutter Mounted on top"
Votsch    VT 7033    Environmental Chamber       
Votsch    VC 0057    Humidity Chamber       
Votsch    7012 S 2    Temp Cycle       
Votsch    VC 7033           
Voyantic        Radio Frequency Identification (RFID) Measurement Cabinet       
VPI        Dry Type Transformers        custom built
VPT        Optical Coating Machine        "- 48""
- 12"" Diameter Planets (7)
- EB Guns: 25 cc Pockets, 6"" single Pan
- Telemark TT 10/15 Power Supply
- MKS 250 Pressure Controller
- Telemark XY Programmable Sweep Control Model 379 and Model 376
- Granville Phillips 307 and 316 Vacuum Gauge Controller
- Inficon IC/5 Deposition Controller
- Eddy LMC-20 Optical Monitor with Chip Changer
- Heat and Rotation Controllers
- Alcatel 2063 SD Mechanical Pump with Blower
- CVI CGR-511 LS2 Cryo Pumps (2)
- Commonwealth Scientific N2000 Ion Assist Unit with Gas Controllers
- Lesker EPS6000 Thermal Evaporation Power Supply
- Poly Cold R2000 Chiller
- Large Assortment of Coating Fixtures"
VPT        Optical Coating Machine        "- 48""
- 12"" Diameter Planets (7)
- EB Guns
   - 25 cc Pockets
   - 6"" Single Pan
- Telemark TT 10/15 Power Supply
- MKS 250 Pressure Controller
- Telemark XY Programmable Sweep Control 2 Model 379
- Granville Phillips 307 and 316 Vacuum Gauge Controller
- Inficon IC/5 Deposition Controller
- Eddy LMC-20 Optical Monitor with Chip Changer
- Heat and Rotation Controllers
- Alcatel 2063 SD Mechanical Pump with Blower
- CVI CGR-511 LS2 Cryo Pumps (2)
- Ion Tech RF 2001 Ion Assist Unit
    - Gas Controllers
    - Neutralizer
    - DC Bias Control
- Large Assortment of Coating Fixtures"
VRC        Pneumatic Gate Valve        "- 10""
- ANSI Flange"
VSKO    200    Oil Hydraulic Transfer Molding Press        with Micro Processor
VSKO    250    Oil Hydraulic Transfer Molding Press        with Micro Processor Controller LP003
VSM    5200    Sinter Furnace    6"    "- Semy Engineer Control Pads
- Loader
- Gas Cabinet
- Air Flow Vacuum System
- Parts Crate
- Righthand (Furnr01)"
VSM        Phosphine-Phosphine-Nitrogen Gas Cabinet        With Norcimbus Mini Monitor Plus
V-Tek    TM 220    Stamp Reel       
V-Tek    PT 35    Tape Peel Force Tester       
Vulcan        Broiler       
VWR    DL 53 DRY-line    Convection Oven       
VWR    DRY-Line DL 53    Drying Oven       
VWR        Oven       
VWR    97042 618    Sargent Welch Hot Plates       
VWR    1300    Oven       
VWR    1370 F    Oven       
VWR        Lab Refrigerator       
VWR    1410    Vacuum Oven       
VWR        Magnetic Stir Plate       
VWR    1380 FM    Oven       
VWR    Labconco    Lab Hood        4'
VWR        Oven       
VWR    MR 406 GA 14    Undercounter Refrigerator       
VWR    1325 F    Oven        120V / 50, 60 hz
VWR    1327 F    Oven        120V / 50, 60 hz
VWR        Oven        "- P/N 414004
- 120V, 60hZ, 1.2KW"
VWR        Incubator       
VWR        Incubator       
VWR    414004-568            1.6KW
VWR    414004-564            900W
VWR    1430            1.2KW
VWR International    1685    Oven       
VWR Scientific    1310           
VWR Scientific        Clean Room Oven       
VWR Scientific        Heat Block       
VWR Scientific    1410    Vacuum Oven        120V, 60hz, 600W
VWR Scientific    1180 A    Chiller       
VWR Scientific Products    2300    CO2 Incubator       
Vytek        Laser System Printing       
Vytran    GPX 3000    Fusion Splicer       
W.M. Welch        Duo Seal Vacuum Pump       
Wabash    Genesis    15 Ton Press        No heated platens
Wabash    V 75 H-24-BCX    Hot Press       
Wabash    DA 50-1818-2 TM    50 Ton Press       
Wabash    PC 100 2424 4 TM    Press        24" x 24, 2 openings
Wabash    V 100 1212 3 CTMX    Press       
Wabash    V 100 1212 3 CTMX    Press       
Wafab    WHRV 1212-134 A    Heat Exchanger       
Wafab        48” SST Polish- Solvent Fume Hood       
Wafab        72” 304 SST Rear Access Demount Bench       
WAFAB        Wafer Processing Equipment       
WAFAB        Manual Wet Bench       
Wafer Mark    345    Laserscribe       
Wafermark        Differential Scanning Calorimeter (DSC) Scriber        - Needs laser PS
Wafios    RB-20    CNC Bender        Needs new servo motors and new pc.  Spare sitop available.
Wafios    FS 02    Spring Coiler       
Waftech    WL 200 03 L    Auto Laser Marking Machine        - c/w ROFIN "Powerline C 301C Laser Head & Transformer
Waftech    WL 200-03 L    Auto Laser Marking Machine        "Includes:
- Rofin ""Powerline"" C 301C Laser Head & Transformer
- 50/60 Hz
- 1 Phase"
Wako / LGR        Dispenser       
Walker National                Coil Lift Magnet
Wallace    M 837 FA 500 KG 11    Gantry Crane        "- 20'3""
- 500kg Weight Rating"
Wallace        Hydraulic Driven Pipe Bender        "- 3 Phase Motor
- ~15ft Long"
Walter Lemmen        Gold / Ni Plating Line       
WAM    VLQ 0300 L 1    Slide Gate       
Wan Run    RK MCM 720    Automatic Box Changing Machine       
Wan Run        2D Plant Inspection Machine       
Wan Run        Box Replacement Machine       
Wan Run        Double Track Roller Feeder       
Wan Run        Feeder       
Wan Run        Lane Change       
Wandel & Goltermann    SF 60    Jitter Analyzer       
Wanjun Engineering        Auto Tape to Tube Transfer Station       
Wanrun Technology        Boat to Tray       
Wanrun Technology    Allring RK-IBT 2000    Transposed Machine       
Wanrun Technology        Allring Load / Unload for Plasma       
Ward Seiki        Stamping Machine       
Warner & Swasey    2 SCL    Lathe       
Wascomat    9881130204 E    Flatwork Ironer       
WASP    WCS 3900    Scanner       
Waters    515    HPLC Pump       
Waters    717    Autosampler       
Waters    Delta 600    HPLC System       
Waters    2695    Separation Module       
Waters    2475    Multi Fluorescence Detector       
Waters        Preparative HPLC       
Waters    600    Controller       
Waters    717 Plus    Autosampler       
Waters    996    HPLC PDA       
Waters    484    Tunable Absorbance Detector       
Waters    501    HPLC Pump       
Waters    2695    Gel Permeation Chromatography        "- Includes Waters 2695, 2410, 996, computer, Temperature Control Module
- MS Windows XP"
Waters        HDX Manager        with Leap Technology
Watkins Johnson    6 CM 58    Belt Furnace       
Watkins Johnson (WJ)    6 CM 58    Conveyor Furnace       
Watlow    DIN-A-MITE DC 23 40 S 0 H 100 SCR    Solid State Power Controller       
Watlow    EZKB H 3 AA-AAAA EZ Zone    Panel Mount       
Watson        Payoff Module       
Wavelength Electronics    LFI 3551    Temperature Controller       
Wavetek    Model 801    Pulse Generator       
Wavetek    Model 802    Pulse Generator       
Wavetek    907    Signal Generator        7 - 11 GHz
Wavetek    801    Pulse Generator        50 MHZ
Wavetek        Pulse Generator        50 MHZ
Wavetek    180    Function Generator        - 2 MHz
Wavetek    270    Programmable Function Generator        12Mhz
Wayne    Yellow Jacket            "- 1 1/4""
- 7.5hp
- 480V
- Converted from vertical to horizontal"
Wayne    1.5           
Wayne Kerr    F 1 J 3260 B    Magnetic Anaylizer       
Wayne Kerr        Magnetic Anaylizer        DC Bias Unit
Wayne Kerr    SMD 2    Magnetic Anaylizer        High Current Terminal Fixture
Wayne Kerr        Magnetic Anaylizer        Analysis Function (Graph)
Wayne Kerr        Magnetic Anaylizer        Insulation Resistance
Wayne Kerr        Magnetic Anaylizer        Kelvin Lead (Large Jaw)
Wayne Machine & Die        Extruder        "- 1/4""
- 24:1"
Web Technology    QT    Test Handler       
Web Technology    6000    Bubble Detector       
WEC    BL 175 C    Conveyor Unloader       
WEC    BC 100 LG    Conveyor       
WEC    UL 210 C    Conveyor       
WEC    BL 176 C    Conveyor Unloader       
Weco    K 2 TV 504    Angular Gear Box        "- Power 1 MW
- Torque 6366nm"
Wegoma        PVC Corner Welder       
Wei Chen Industrial    KSY-015 H    Punching Machine        Hydraulic High Speed
Weigmann    PB 03 WE 30 MM    PB Enclosure       
Weijin Technology    MUT 500    Tester       
Weiler Engineering        Mold        100 ML
Weiler Engineering        Mold        200 ML
Weinschel    8310           
Weiss    TS-120    Thermal Shock Chamber       
Weiss    WK-2500    Thermal Humidity Chamber       
Weiss    WK3-180 / 40-S    Temperature Cycler       
Weiss    WKL-100 / 40    Temperature Cycling Machine       
Weiss    VK 3-180 / 40    Constant Temperature and Humidity Cabinet        - High Temperature
Weiss    WMF 290 V-F    Lathe       
Weiss    TS 130    Temperature Test Chamber       
Weiss Technik    TS 130    TCT       
Weisstechnik    PV Series    Temperature / Humidity Test Chamber        "Electrical Items:
- Power Requirements: 480 Volts, 3 Phase, 60 Hertz
- ETL (UL STD 508A) approved main power panel
- Halogen lighting package
- Emergency Stop push-button with guard
Instrument Items:
- Weiss Technik WEBSeason® controller, located on front left door
- Ethernet and USB interfaces
- “T” Type thermocouple for control, read out in “°C”
- Solid state relative humidity sensor, read out in “%RH”
- Digital high/low temperature safety limit
Refrigeration Items
- LEEF® high-efficiency advanced cascade refrigeration system, with water-cooled condenser
- R-449A Low GWP refrigerant
- Steam generating humidity system
- Demineralizer with Pre-filter, Chlorine Filter & Cartridge
- Compressed Fresh air inlet: 1/2"" N.P.T.F.
- Compressed Dry air inlet: 1/2"" N.P.T.F."
Welch    DuoSeal 1374    Vacuum Pump       
Welch    W Series           
Welch    1397    Mechanical Pump       
Welch    1402    Pump       
Welch    1397    Vacuum Pump       
Welch    1397    Vacuum Pump       
Welch    1402 B 01 R    Vacuum Pump       
Welch    1402 B 10    Vacuum Pump       
Welch    1405 B 01    Vacuum Pump        115V / 60hz / 9.8A
Welch    1405 B 1    Vacuum Pump       
Welch    1405 B 10    Vacuum Pump       
Welch    Duoseal 1376 B 01    Vacuum Pump        115V / 60hz / 9.2A
Welch    Duoseal 1402 B 01    Vacuum Pump        115V / 60hz / 9.8A
Welch    1405 B-01    Vacuum Pump        "- 115V
- 60Hz
- 9.8A"
Welch    1402 B 01    Vacuum Pump        Belt Driven
Welch    1397    Vacuum Pump        Duo Seal
Weldan    NWS 2005    Shuttle Table       
Weldan    NWS 200 S    Shuttle Table        Shuttle Type BX
Weldan    NWS 200 S    Shuttle Table       
Weldan    260    Shuttle Table        NWS 200S
Weldan        Hugo Finger       
Weldan    266    Shuttle Table        NWS Z00S PP
Welding King    WSEM 315 P    Argon Welding tool       
Welding King    MBC 300 A    Welding       
Weldlogic        Welder       
Weldlogic    AWS-200 N           
Weldon    320 A Midas    Grinder       
WELL    3242    Precision Diamond Wire Saws       
Well Expediting    WMS SSA 10    Vacuum Wax Bonding Machine       
Weller    WE S 51           
Weller    WXT WXMT WDH 50    Soldering Station       
Weller        Fume Extractor       
Weller    WES 51    Soldering Station       
Weller    WHP 1000           
Weller    EC 1002    Soldering Station       
Weller    WES 51    Soldering Iron       
Wells    F 15    Horizontal Dual Post Saw       
Wenco        Coiler Machine       
Wenesco        High Temperature Pump Parts       
Wenglor    MLWL 144    3D Scanner       
Wenlin    WL FA 7500    Laminate Machine       
Wentworth    2803    Power Supply Unit       
Wentworth    Pegasus S 200    Prober       
Wentworth    Pegasus S 200    Prober       
Wentworth        Manual Probe Station    6"   
Werner Electric    MCS 202 E    Unwiding Section       
Werth    VideoCheck IP 400    Coordinate Measuring Machine       
Westar    FPM 520    Flat Panal Performance Measurement System       
Westbond    70 PTM           
Westbond    7400 A-26-28-44 B-45           
Westbond    7700 AA-25 A-45           
Westbond    2416 B            "- 115 V
- 7.0 A
- 50/60 Hz"
Westbond        Gold Wire Bonder       
Westbond    7372 E    Ectectic / EWpoxy Die Bonder       
Westbond    K 1200 D           
Westbond    70 PTE 75 A           
Westbond    1200 D           
Westbond    242627 B    Bonder       
Westech    372 M    Polisher       
Westeck        Spin Drier        0 ``9/c
Westeck        Spin Dryer       
Westinghouse    RAR-6083    Substation        "- Voltage Ratings 67000 X 115000-12470Y/7200
- 12000/16000/20000 KVA
- 7.3% Impedance"
Westinghouse    HP 3    AC Motor       
Westinghouse    Minisplit            1.5 Ton
Westover    CleanBlast    Fiber Optic Connector Clean System       
Wexxar    WFT-S    Case Former, Case Sealer       
Whirlpool        Refrigerator       
Whitlock    DH 3    Desiccant Air Dryer       
Whitney        Punch       
Wickes Boiler Co        70,000 lb/hr natural gas-fired (with fuel oil back-up) boiler        "S Stamp  ASME E6151
Max. W.P.:200 psi
Boiler H.S.: 5030 sq ft
N.B. No.: 3008
W.W.H.S.: 556 sq ft"
Wideband    5017 D    Power Sensor       
Wieland    IS 76    Vacuum Cleaner (Tempering)        7, 5 Kw
Wild    Makroskop M 420    Low Mag Microscope       
Wild Heerbrug        Lower Power Microscope       
Wild Heerbrugg    M 5 A    Binocular       
Wild Heerbrugg        Microscope       
Wild HeerBurgg    M 8    Microscope        60 to 500X Magnification
Wilmat    312 S    Electric Hydraulic Lift Hoist        200 KG 440 LB 9.5 FT 12 V
Wilson Instruments    Tukon 300    Hardness Tester       
Wilton    8201    Band Saw       
Wiltron    6617 B    Sweep Generator        10Mhz to 8GHz
Wiltron    561    Scaler Analyzer       
Wiltron    9361 B    Signaling Test Set       
Wintek        Vacuum Skid       
Wisys    WS 2000    Inspection Machine       
Wittmann    Micro 160           
Wittmann Battenfeld    MicroPower 15    Injection Molding Machine       
Wolverine        PrePreg Treater       
Woolam    VUV 400 R 02    VUV-VASE Spectroscopic Ellipsometer    12"   
Workplace Solutions    Series 4500    Module Workbenches       
Workplace Solutions    25115 B    Module Workbenches       
Worthington        Old Centrifugal Pump       
Wotan        Mill       
WPI    1209    Attach Tape       
WTS        Test Handler        MSOP clear pkg. gravity to tube
WTS        Test Handler        MSOP clear and regular pkg. gravity to tube
WTW    Oxi 340 i    Dissolved Oxygen Meter       
Wuhan HG Tech    LDF 20 W    Laser Cutter       
Wuhan Sunic Photoelectricity Equipment    SCT-B    Singe Piece Solar Cells Separator       
Wuxi Fanhua Electromechanical    SVG 86    Al Coating Track       
Wuxi Radar Equipment    MG 001    Etch Hood       
Wuxi Radar Equipment    MG 002    Etch Hood       
Wuxi Radar Equipment    MG 003    Etch Hood       
Wuxi Radar Equipment    MG 004    Etch Hood       
Wuxi Radar Equipment    MG 005    Etch Hood       
Wuxi Radar Equipment        N2 Cabinet       
Wuxi Ruida        Batch Develop Hood       
WuXi Soiflong    IMI 1510 U    Image Measurement       
Wuxi Xibin Opto-Electronic Equipment    JP 40.2 B    Two Axis Grinding and Polishing Machine       
WWOPT        Wiresaw Cutting MB       
WWOPT        Lapping Machine    2" to 4"   
Xactix    X 3 M    Xenon Difluoride Etching System       
Xaloy    JCP Mini 2424    Jet Cleaner       
Xantrex    XHR 40-25    DC Power Supply       
Xantrex    XFR 40-30    DC Power Supply       
Xantrex    XHR 60-18           
Xantrex    XHR    DC Power Supply        7.5-130
Xantrex    XDL 35-5 P    DC Power Supply       
Xantrex    XFR 100-12    Programmable DC Power Supply       
Xantrex    XT 120-0.5    DC Power Supply       
Xantrex    XT 60-1    Regulated DC Power Supply       
Xceltran    XCT-100           
Xennia    XenJet 4000    Ink Delivery System        - It is setup for UV but there is no UV light source
Xenogen    IVIS    Biophotonic Imaging System       
Xenon        UV Cure       
Xenon    RC 847-LH 910    UV Curing System        "- Pulsed UV/VIS
- High Intensity
- 107 mm Lamp Housing, LH 910 (qty 3)
- 890-2038 Type B, Flash lamp
- Comm. cables high flow cooling blower
- Operation manual"
Xenon    Max 302    Light Source       
Xerox    Docucolor 2060           
X-Fab        Lamp Dry Acetone Hood       
Xi An Electric Power Electronics Technology    DBC 2242 M    SCR Electrical Characteristics Tester       
Xiang Qing    SCIV LF    Turning Machine       
Xiangqin    SCLD 66    Buffer Maintenance       
Xiangqin    SCWT 2 M    Tack Maintenance       
Xiangqing    SCLD 66    Buffer Maintenance       
Xiangqing    SCWT 2M    Tack Maintenance       
Xianjinyiqigz        High Precision Manual Coupling Alignment System       
Xicheng        NMP Bench    5"    "- Power = 220V
- 3 Phase
- 4 Wire
- 150A"
Xicheng        NMP Bench    5"    "- Power = 220V
- 3 Phase
- 4 Wire
- 150A"
XiHu    ZB 512    Drill Press       
XiHu    JZS 16    Drill Press       
Xilinx    XCZU 27 DR-2 FFVE 1156I_SCD           
Xin Li Industrial    VO 70    Oven       
Xin Ze    XINZE-C 2    Vacuum Machine       
Xinix    1014    Endpoint Controller        P/N 01-1014-40
Xinjie    ST 500    Tape System       
Xiudro        SMIU Assembly Line       
Xiudro    CAB 265    AIM Assembly Line        6 Stations
Xiudro    CAB 357 / 358    AIM Assembly Line        6 Stations
Xiudro    CAB 234    AIM Assembly Line        9 Stations
Xiudro    CAB 329 / 417    AIM Assembly Line        14 Stations
Xiudro    CAB 329 / 417    AIM Assembly Line       
Xkchem Technology(Shanghai)    HTJBD 200    Glue Machine       
XMT        Hydraulic Die Cutting Machine       
XPect Solutions        Static Robotic Tester       
X-Rite    MA 68 II    Multi-Angle Spectrophotometer       
XTM        Cu Punching Machine       
Xylon    Cougar    VXP X-Ray       
Xyron    2500    Laminator       
YAC        Handler       
Yamada    RH 87 2    Trim System       
Yamada    DE 62    Oven       
Yamaha    SCARA    Robot       
Yamaha    KX 2    X-Ray       
Yamaha    KJK-M1500-010    Feeder       
Yamaha    KJK-M1300-010    Feeder       
Yamaha    EG 200    Imaging Unit       
Yamaha    YK 800 X    High Speed Scara Robot       
Yamato    DKN 600    Natural Convection Oven       
Yamato    DX 600    Oven       
Yamato    DNE 610    Bake Oven       
Yamato    DP 63    Oven       
Yamato        Curing Oven       
Yamato    #IC 600    Incubator        Bench Style
Yamato    DKN 600    Constant Temperature Oven       
Yamato    DE 410    Clean Room Oven       
Yamato Scientific    DKN 400    Oven       
Yamato Scientific    BH 300 Thermo Elite    Measurement Process Machine       
Yamazaki Mazak    MT V 655 B           
Yambo    LT 102146    Etching Machine       
Yan Cheng    M 3025    Buffering System       
Yang        Analytical Prober Unit       
Yangfa    YF-4050 HP    Water Cleaner       
Yangfa Industrial    MCB-3000 CMOS    Cleaner       
Yangzhou Shekonic Electronic    WWL LDX    Power Supply       
Yangzhou Shuanghong Electronic    WWL PD    Power Supply       
Yant        Ultrasonic Cleaning Machine       
Yaskawa    ES 165 N    Robot        With NX 100 Controller
Yaskawa    ES 165 N    Robot        With NX 100 Controller
Yaskawa Electric        Vacuum Dryer Motor        - 220V 60Hz
Yasnac    MRC II / SV 3    Robot        - Pin Weld
Yasnac    MRC II / SV 3    Robot        - Pin Weld
Yasnac    MRC    Robot        - CYL Fab Overflow
Yasunaga    TD 200    Solar Wafer Inspection Machine        "- 2,000K / M (5"")
- Short"
Yasunaga    TD 200    Solar Wafer Inspection Machine        "- Long
- 2,000K / M (5"")"
Yeong Shin        Digital Circulating Heated Water Bath        "- With Temperature Control
- With Wheels
- Missing lid."
Yes    15    Vapor Prime Oven       
Yes    F 1    AOI       
Yes    FX    AOI       
Yes    YTV F 1    AOI       
Yes    F 1    AOI       
Yes    3    HMDS Primer Oven       
Yes    1518 SME    Vacuum Oven       
Yes Tech    B 2 AOI    AOI       
Yestech    YTX-3000    Imaging System       
Yestech    F 1    AOI        "- 120V
- 1500W"
Yestech    2020    Automated Optical Inspection (AOI) system       
Yestech    2010    Automated Optical Inspection (AOI) system       
Yestech    YTV F 1    Automated Optical Inspection (AOI) system        - 1 Phase
Yestech    YTV 2000    AOI       
Yestech    YTV F 1    Automated Optical Inspection (AOI)        "- 120 Vac
- 1500 W Max"
Yestech    YTV F 1            - Parts machine
Yestech    YTV-FX    Inline AOI       
Yestech    YTV F 1    Inspection Machine       
Yestech    YTV F 1    Inspection Machine       
Yi Chuan    ZX 600 DH    Eyelet Inserter       
Yidelong    SP-982    Dispenser       
Yidelong    SP-982    Dispenser       
Yidelong    SP-982    Dispenser       
Yihong    S 3000 N    Electron Microscope       
Yingkou Jinchen Machinery        Laminating       
Yingkou Jinchen Machinery        Automation Line       
Yingkou Kinah Machinery    300 MW    Assembly Line        - Automated
Yishi    VP 800    Steam Furnace       
Yishi    VP 800    Steam Furnace       
Yitong    YTO 06 A    Chiller        "- with air cooled condenser
- Cooling capacity: 12.6 kW.
- Power supply voltage: 380 V
- Freon R-410 A
- Evaporator-submersible type
- Hydro Kit-built in, open tank
- Size: 610 x 1005"
YJ Link    AVL 60 Y    Vacuum Loader        - 220V / 32A
YJ Link    ACC 60 Y    Conveyor        - 220V / 32A
YJ Link    AMR 35 Y    Buffer Machine        - 220V / 32A
YJ Link    AWT 80 Y    Conveyor        - 220V / 32A
YJ Link    AWT 80 Y    Conveyor        - 220V / 32A
YJ Link    AUD 12 Y    Unloader        - 220V / 32A
YJ Link    ALD-CE    Magazine Board Loader       
YMJ    ICP 6000    PVC Punching Machine       
YMJ    ICP    Chip Punching Machine       
YMJ        Index Hold Punching Machine       
YMJ        Wire Bonding Machine        "(QTY: 10) YMJ D 01 L Welder M 30
(QTY: 1) YMJ unknown"
Yokagawa    DL 1540 C    Oscilliscope       
Yokagawa Electrical Works    2041    Portable Single Phase Watt Meter       
Yokogawa    MT 6060    Probe    12"    "- Max operating frequency: 100MHz / 200MHz (DDR)
- Max number of parallel DUTs: 512DUTs/system
- T data memory (TDM): 64KWx36bit"
Yokogawa    M 530    Line Computer        "- 100-110-115-120Vac
- 50/60Hz-550Va
- With Kb71 Keyboard
- Du71-A10*A Display Unit (Monitor)
- Complete W/Power Cord & Cables"
Yokogawa    W 3000    Power Analyzer        2 Channel
Yokogawa    TDLS 8000    Oxygen Laser Analyzer       
Yokogawa    ST 6730           
York        Chiller        Approx.11,000lbs of R-22
York    Y K Q 2 Q 1 H 1    Chiller       
York        Roof Top Commercial Heat Air Ventilation Cooling System (HAVC)       
York Technology    FK 11 STD    Fiber Cleaver       
Yoshida    TSL 60 S    Thermal Shock       
Yoshikawa        Rotary Grinder       
Youcheng Printing    8002            "- 380V
- 9Kw"
Youfeng    CCD           
Young Jin    AYD 012 Y    Conveyor       
Young Jin    ACC 60 Y    Conveyor       
Young Jin    AGI 80 Y    Conveyor Gate       
YRSC        Roll to Sheet Cutting Machine       
Yuan Tiansheng    YTS 100 DHD    Automatic Slicer       
Yuan Xing        Wafer Packaging Machine       
Yujin Discom    SW-15003    Automatic Screen Printer       
Yumex    THC 09 W H 2 S    Water Cooled Chamber       
Yumex    THC 09 W H 2 S    Water Cooled Chamber        - Without DS 06 pallet
Yunnan Machine Tool Works    CY 6266B X 1500    Lathe       
Yushin        Robot       
Yushin    RA II A 400 SL 13           
Z Corp    Z 450    3D Printer       
Zael        AC Voltage Stabilizer Kit       
Zanchetta        Roto Cube Lab        with spares / accessories
ZBV        Wafer Stacker       
Zebra    ZUPS 1000 N    UPS Unit       
Zebra    S 600    Label Printer       
Zebra        Printer       
Zebra    PAX 410    Printer       
Zebra        Label Printer       
Zebra    110 xilll    Labeling       
Zebra    170 I    Thermal Bar Code Printers       
Zebra    ZM 600    Printer       
Zebra    Z 4 M            203 dpi
Zebra        Printer       
Zebra    TLP 3842    Jet Printer       
Zebra    TPL 2844 GX 430 T    Jet Printer       
Zebra    TPL 3842, TLP 2844, GX 430 T    Jet Printer       
Zebra    105 SL Plus    Printer       
Zecchetti    PAL 300 B PET            - 8000 kg
Zed    L-3    Vacuum Forming Machine       
Zeeko    IRP 100    CNC Polisher        -  Built-In Jet Polishing
Zeiss    DB 900    CMM        "Software: Calypso 5.4.2
Controller: C90 HP
with Probes
Sensor Type: Touch Trigger Probe
Measuring Range (X/Y/Z) 1219 x 2438 x 914
Length Measuring Uncertainty: 6.5 + L/250
Positioning Speed: 330 mm/s
Max. Acceleration: 0.4 m/s2
Max Acceleration - Vector: 2.3 m/s2
Max Workpiece Weight: 2700 kg
Size: 48"" x 96"" x 36"""
Zeiss    Axiotron 2    Optical Inspection Microscope    8"   
Zeiss    Axiotron    Optical Inspection Microscope    8"   
Zeiss    Axiotron II    Inspection Microscope    8"   
Zeiss    Axiotron II    Inspection Microscope    8"   
Zeiss    Axiotron II    Inspection Microscope    8"   
Zeiss    Axiotron II    Optical Inspection Microscope    8"   
Zeiss    Axiotron 2    Microscope    8"   
Zeiss    H 8           
Zeiss    CDC 200    Critical Dimension Control       
Zeiss    Apotome           
Zeiss    DSM 940    Digital Scanning Microscope System       
Zeiss    510    Inverted Confocal Microscope        - with 3 continuous wave lasers (488, 543, and 633 nm) and a mail tai deep sea titanium sapphire 2photon laser from Spectra physics
Zeiss        Microscope       
Zeiss        Universal Research Microscope       
Zeiss    LSM 20    Confocal Microscope       
Zeiss    Axioscope 40    Optical Microscope       
Zeiss    Imager M 2 m    High Mag Microscope       
Zeiss        Microscope       
Zeiss                "- 6-12 Volt
- 200 Watt"
Zeiss    ZSML 200           
Zeiss    NVision 40    FIB-SEM        "- It is a FE SEM with Ga FIB column, airlock, Kliendiek MM3 micro-manipulator, and multi-chemistry gas-injection system (currently it runs C and W for depositions, though it has capacity for others in non-heated precursor chambers). 
- The GIS is a single-nozzle system, but can run multiple gas chemistries.  As we mentioned, it only uses C and W at the moment, as it has two chambers that can heat the precursor.
- It is also set up with a Leica VCT100 cryo-stage, though the interlock is currently not functional (can still be used for cryo during milling, but requires samples to be loaded and unloaded at room temperature and in atmosphere).  - Oxford EDS system"
Zeiss    200 M    Live Cell Microscope        with DG4 for fura2 work
Zeks    160 ZPA 1 HE 000    Compressor       
Zeks    125 HSEA 200    Dryer       
Zellweger Analytics    DIGI-CAT 2414-0017    Non-Intrusive Calibrator        Rev. 08
Zenith Ultrasonics    260 2    Passivation Tank        "- Dial temperature control
- Digital ultrasonic timer
- Basket. Crossfire multiple frequency ultrasonic system
- Tank Size 12""x10""x10"""
Zero    BNP 720 900 R & DL    Blast Cabinet       
Zero    BNP 520    Blast Cabinet       
Zero    1642    Blast Cabinet       
Zevatech / Juki    TR 3 D           
Zevatech / Juki    FM 760           
Zevatech / Juki    FS 750           
Zezatech    FM-740           
Zhejiang    4020    Sawing Machine       
Zhejiang Xiling    ZXJ 7016    Bench Drill       
Zheng Qi    ESCA 35    Tape Heavy Industry Machine       
Zhong Ni International    Nano Dry    Independent Drying Equipment: SUS 316        "- 3mm Thickness
- with Stainless Steel Cover"
Zhongdian Technology        Laser Repair       
Zhongye Electronics(Suzhou)    7440    IV Tester       
Zhuhai Bojay Electronics    Bojay E 2    Tester       
Zhuhai Bojay Electronics    BF 00047    E2E Tester       
Zibo Taiji Industrial Enamel        Reactor       
Zibo Taiji Industrial Enamel        Reactor       
Zinter        Gantry Crane        - 1390lb Weight Rating
Zippe    SD 300604 S    Dustproof Rotary Restributer        4 Exits
Zippe        Mixer        - used to mix the sand with chemicals as a feeding for the crystal furnace
Zoller    Venturion 400    Tool Setter       
Zwick        Top Load Device or Vertical Compression Tester       
Zygo               
Zygo    Mark II    Interferometer        4” reference lamina and dedicated PC (software MetroPro 8.1.0)
Zygo    Mark III-01            "- P/N: 6176-0100-01
- Table Included"
Zygo    NewView 7200    Profilometer        "- 2 Objectives
- Includes Strobe Power Supply for High-Speed Imaging
- Missing Hard Drive & Software
- Approximately 20 hours of operation time"
Zygo    NewView 5000    Optical Profiler       
Zygo    Zaris    Mask Sorter       
Zygo    AV 9000    Scanner        - Parts Machine
    AIS 2100    Scanning Electron Microscope (SEM)        "Rontec EDS
3.5 nm
Magnifcation: 15x, 300,000x
Secondary Electron Image SEI
Beam Scan Mode: Scarch, TV, Inspection, Photo(4Step)
Accelerating Voltage: .5 kV - 30 kV
Scan Speed: Search (320 x 240) 1080ms
TV (320 x 240) 880.0us
Inspection (640 x 480) 19.2 ms
photo (1280 x 960) 75.2 ms"
    HC-21    Ion Sputter Coater       
        Optical Rail       
        Vacuum Thermoformer       
        Chilled water System        30 Ton
        Continuous Grinder        "48” O.D., 13” I.D. 
Open face  lapping machine
Cast iron table top with 1 ½” deep checker board grooves (1”x1” square cross section)
10 hp motor
3 phase 220V
Comes with 4 steel 20” OD rings
Comes with Variable Frequency Drive to control table speed
"
        Continuous Polisher        "48” O.D., 13” I.D. 
Open face lapping machine
Steel table top
10 hp motor
3 phase 220V
Comes with Variable Frequency Drive to control table speed
Hoist and table conditioner
Currently not operational
"
        Trailer       
        72 MW Solar Cell Line       
        30 MW Solar Cell Line       
    12 * 15 ml angle rotor    Td4A Desktop Low Speed Centrifuge       
    7.5 KW    Air Compressor       
        Adhesive Pumping, slot die coating, adhesive drying, roll-roll lamination       
        Adhesive Pumping, reverse roll coating, adhesive drying, roll-roll lamination       
        Conveyors       
               
               
               
        300 hp 15 lb Dual Fuel Cleaver Brooks Boilers       
        Environmental Chamber       
        Sun-Blaster Work  Station       
        Stainless Steel Chemical Wet Bench       
        Magic Mirror       
        Conveyor System       
        Lot of Electric Test Equipment       
        Lot of Electric Test Equipment       
        PV Module       
        Silicone Sealing Machine       
        Framing Machine       
        Curing Stage       
        Sun Simulator (IV Tester)       
        Hi-Pot Tester       
        Conveyors       
        Lot of Electric Test Equipment       
        Lot of Electric Test Equipment       
    GTST-150-40-AW    Thermal Shock Tester       
        Cu Plating Bench       
        Ultra Pure Pump Station with Trebor Pumps       
        Ribbon Blender       
        Vacuum Chamber       
        Lens Polishing Equipment       
        Lot of Electric Test Equipment       
        Wet Etcher       
        Metrology Tool       
    Q 262    Tester       
        Erbium Doped Fiber Amplifiers       
        Trichlorosilane (TCS) Distribution System       
    IR 820    Wafer Inspection System       
    IR 820    Wafer Inspection System       
        Vacuum / Thermal Cycling Chamber       
        Lot of Electric Test Equipment       
        Lot of TFT LCD Equipment       
        250-mm triple-grating monochromator       
    PTS 160    Signal Generator       
        Lot of Electric Test Equipment       
        Lot of Electric Test Equipment       
        Yag 300 W Laser Beam Welding Machine       
               
        Photo Litho Machine       
        Cooled Air Chiller       
        Class 10,000 Cleanroom        "1250 square foot
- ISO 7"
        Class 1,000 Cleanroom        "- 1550 square foot
- ISO 6"
        Lot of Electric Test Equipment       
        GPD Tape & Reel Machine       
        CNC Manuel Milling Machine       
        Chiller       
        Motors       
        Pumps       
        Biodiesel Processing Equipment       
        Cabinets       
        Lot of Electronic Test Equipment       
        4 Port Cassette Stage        Used to handle gen 4.5 flat panel glass
        Optical Tables       
        Lot of Electric Test Equipment       
        48" Chamber        "- Heat Lamps
- E-Gun
- 2 Cryo Pumps"
        Shielding Box       
    LT 139 / DT 139    In-Line Wirebond Transporter       
    COE 139 H    Standalone Snap Cure Oven       
        Framing Table       
        Flasher       
        Hipot Station       
        Flipper       
        Glass Washers       
        Planetary Lapper       
        300 CFM Compressor       
        Lot of Electric Test Equipment       
        Hydraulic Spotting Press       
        Oven       
        Coating Machine       
        Baking Machine       
        Lot of Electric Test Equipment       
        Chiller       
        Roll to Roll Sputtering System       
        Chemical Metering Pumps       
        Lab Bench       
        Lab Rack       
        Progressive Stamping Press       
        Hydraulic Power Unit       
        Test Meters for Fiber Optics       
        Miscellaneous Lot of Equipment       
        Industrial Sized Oven       
        Punch Window Machine       
        Punch Window Machine       
        Bonding Machine        with accessories
        Roll Slitting and Rewinding Machine       
        Auto Assembly Keyfob Machine       
        Lot of Electric Test Equipment       
        7.5 kw Dust Collector       
        Hass Temperature Test Chamber       
        Curing Oven       
        Miscellaneous Lot of Equipment       
        Lot of Electric Test Equipment       
        Pellet Hopper       
        Gas System Torch Booster       
    GJM-S 2    Milling Machine       
    14018500000000    Ultrasonic Cleaning Machine       
    TM-R533F, 380V    Sandblasting Machine       
        Lot of Electric Test Equipment       
        Custom Test Bench       
        Miscellaneous Lot of Equipment       
        CO2 Laser Cutting Machine        300w
        Lot of Electric Test Equipment       
        Flatbed Mounted Chiller       
        Lot of Electric Test Equipment       
        Lot of Electric Test Equipment       
        Lot of Electric Test Equipment       
        Quadrupole Mass Spectrometer       
        Forklift       
        Lot of Electric Test Equipment       
        Lot of Electric Test Equipment       
        Lot of Electric Test Equipment       
        Hazardous Material Storage Containment        8-Compartment
    MC 810 C    Screen Printer       
        Lot of Electric Test Equipment       
        Lot of Electric Test Equipment       
        Chiller       
        Screen Stretcher       
    4 GB 6346-8 D    Transformer        H/T.Switch.&Transformer
    HDC-900-IDC    Drum Crusher       
        Hopper Tank        2 Pumps and a Motor
    DMZK-11    Pack Frame Machine       
        Gas Cabinet       
    SW 1200    Cutting Circular Machine        - Cutting saw diameter 1000 mm
        SS Bench       
        Lot of Electric Test Equipment       
        Lot of Electric Test Equipment       
        Lot of Electric Test Equipment       
    Shuttleline    PECVD       
        Robotic Welding Gun       
        Lot of Electric Test Equipment       
        Laser Interferometer       
        Lot of Electric Test Equipment       
        "Medical Ultrasonic Transducer
"       
        Infrared Sensor       
        Magnetic Sensor       
        Calander for Laminated Production        PLF dimension : 2 nip-roll systems, 2 heating area before first nip-roll, 6 heating area between first and second nip-roll
    LIJ-2    Disintegration Tester       
        Lot of Miscellaneous Equipment       
        Mixing Station       
        Chiller       
        Stainless Steel Tank       
        Boiler       
        Professional Smoke Generator       
        Laser Raster Scanner       
        X Y Waterjet Table       
        4,000 Gallon Stainless Steel Tank       
        Steam Turbine Chiller       
        Paint Booth       
        Lot of Electric Test Equipment       
        Meters        "For VNWL, VINT, VPP, VBLH,
VBLEQ,VBB,VREFC,VBGR,VBLP,VTRM"
        Liquid Nitrogen Bucket        with Wheel Frame
        Diamond Turning Lathe       
        Gun Drill       
        Surface Grinder       
               
               
               
    M 310    Sorter    8"   
    M 310    Sorter    8"   
        Stainless Steal Sink    8"   
    5 UP H 73 5 UP 002    Laser Handler       
        RF Scanner       
        Nitrogen Pot Adapter assembly       
        Diffusion Pump       
        Lot of Electric Test Equipment       
        Lot of Electric Test Equipment       
        Fiber Connector End-Face Checker       
        Lot of Electric Test Equipment       
        Wire Bonder       
        Scanning Electron Microscope (SEM)       
        RF Power Source       
        Testing Station       
        Testing Instrument       
        Optical Amplifier       
        Tunable Lasers       
        Lot of Machine Tools       
        Stainless Steel Reactor Tank        "-300 gallon
-Double walled for heating / cooling"
        Teflon Lined Reactor Tank        "-300 gallon
-Double walled for heating / cooling"
        Silo with Slurry System        80 Ton
        Chiller        50 Ton
        Wet Bench       
        BSC Cabinet       
    V 3    Verticle Slide       
    YCBY 9    Silk Screen Machine       
    JY 960    Silk Screen Machine       
    XP 6090    Silk Screen Machine       
        Press Machine        "(QTY: 1) Model: XCLL 2 300
(QTY: 1) Model unknown "
        Swing Arm Punch Machine        "(QTY: 1) Model: GRT SZ 7 L
(QTY: 1) Model unknown"
        Mini Computer Numerical Control (CNC) M/C Carving       
        Pneumatic Doube Stations Heat       
        Conveyor plus Control       
    HW 2803    Ultrasonic Plastic Welding Machine       
        Manual Gluing Machine       
        Air Condition        "(QTY: 1) 12,000 BTU
(QTY: 1) 48,000 BTU
(QTY: 2) 60,000 BTU
(QTY: 2) 150,000 BTU"
    HT 1501 f    Dehumidifier       
    DHR 30    Air Dryer       
        Exhaust       
        Distribution Board       
        ESD Simulator       
        Pipe Tapping Tool       
        Film Chamber       
        Lot of Power Supplies       
        Lot of Spin Rinse Dryers (SRD)       
        XYZ Table       
        Wire Electrical Discharge Machine (EDM)       
        Air Compressor       
        CDA Dryer       
        Chiller       
        Vacuum Pump       
        DI System       
        Gantry System       
    DMG 601    Back Grinder       
        Cabinet Stainless Steel       
        Die Demounter       
        N2 Cabinet        Stainless Steel
        Chamber Carts - Extra Heavy Duty Table, Mobile with Shelf        "34” Height x 48"" Width x 30"" Depth.
They have a 3,600 lbs capacity"
        Lot of Universal Equipment        "Models:
- GSM (2)
- MAR (1)
- PTF (1)"
        Lot of Sunsda Conveyors        "11 Models include:
- SMV 600 (1)
- SBC 100 A (3)
- SWT 100 A (1)
- E 01045 0 (1)
- C Con XL (1)
- Flowtrack (1)"
               
        UL Switch Cabinet        WIS 01 w
        UL Switch Cabinet        WHP 03 w
        Analog Tachometer       
        Rapid NMR quadrature mouse body coil for a 4.7T MRI       
        Flowbench       
    LACI 38 A 5    Despatch Oven       
        Flow Booth       
        Germanium Growth Station        Upgrade 50KW
        X-ray Machine       
        Homemade Polisher        72 inch
        Conditioners/Bruisers (Multiples)       
        Tilting Waste Hopper       
        1000 Gallon Fuel Tank       
        Push Back Racks       
    NM 300 TT A    Kudoa Nanometro Gage for Disc Insp       
        Convection Reflow Oven       
        Compressor       
        Helium Leak Detector       
        Hot Plate       
        Low Power Microscope       
        Luxo Lamp       
        Manual Press       
        Assorted Machine Manuals       
        N2 Shutter Unit       
        Support Rack       
        Schotkey Reverse Energy Pulser       
        Vacuum Pump       
        VF Tester Fixture       
        Weld Head Assembly       
        Power Supply Unit       
        Proportioning Control       
        Silicon Half Mask       
        EA Die Sorte       
    C 166 4    Magazine Line Loader        -78x49x35
    CV 1022    Post Reflow Accumulator Conveyor        - 96 x 38 x 31
        Matrix Tray Feeder for a Fuji QP3        - 43 x 54 x 26
    B 00 1689    PCB Conveyor        "- Programmable
- 40 x 24 x 55"
        Removable Feeder Bank for a QP3        - 37 x 36 x 37
        Removable Feeder Bank for a Qp3        "- 37 x 30 x 23
- 1 Phase"
        Autosplice Autoheader Trimmer        - 28 x 15 x 11
        Lot of Machine Tools       
        Electronics Rack for Physical Vapor Deposition (PVD) Tool       
        Spray Coater       
        Laser Repair System       
        Rack, Electronic with Power Supply used for AKT PVD / Etel Controllers        "There are 5 Etel modules total:
3 of the DSB2-152 that when we originally purchased our spare from Amat were 21,492.43 each
2 of the DSB2-132 that when we originally purchased our spare from Amat were 17,266.39 each,
So we have potentially 100 K in controllers.
Then the rack with the power supply, and a big, heavy transformer."
        Transformer for AKT PVD / Etel Controller Rack        "There are 5 Etel modules total:
3 of the DSB2-152 that when we originally purchased our spare from Amat were 21,492.43 each
2 of the DSB2-132 that when we originally purchased our spare from Amat were 17,266.39 each,
So we have potentially 100 K in controllers.
Then the rack with the power supply, and a big, heavy transformer."
        Flat Panel Sorter       
        Microscope       
        Shaker Table for sorting scrap       
        Lot of Electric Test Equipment       
               
               
               
               
               
               
               
        Lot of Turbo Pumps        "Leybold Turbovac Tw 220/150 S Turbo Pump
Leybold Turbovac 150 Turbo Pump
Edwards EXT 255 H Turbo Pump (2001)
Balzers TMU 260 Turbo Pump (2001)
Balzers TMH 260 Turbo Pump (1994)"
        Reflow Oven       
        SMC Air Cylinder       
        Lot of Electric Test Equipment       
    Spectronic 20    Spectometer       
    IF HN 05    Industrial Fiber Optics Lasers       
        Lot of Miscellaneous Equipment       
        Lot of Miscellaneous Equipment       
        Composite Can Sealer       
        Lot Of Machine Tools       
        Assorted Tech Tables        "Includes:
- SVS Tech Table Cart 24L x 18W x 42 H
- 2 Tiered
- With Wheels
- Barry Controls Serva Bench"
        Ultrasonic Tanks    8"   
        Horizon Three-Knife Trimmer       
        JBI Alpha Doc Puncher       
        Horizon Perfect Binder BQ-333       
        Probe Card       
        Lot of Machine Tools       
        Pneumatic Pack Frame Machine       
        Glass Washing and Drying Machine       
        Wafer Transfer       
        Rack        -56 x 60 x 125 cm
        Rack        - 56 x 60 x 85 cm
    PS 5050    Laser Power Supply        "- C = 40µF
- L = 140µF
- 4 High voltage junctions
- 1 Powering Cable
- 1 Operation Manual"
    PS 5053    Laser Power Supply        "- C = 40µF
- L = 150µH
- 4 High Voltage Junctions
- 1 Powering Cable
1 Operation Manual"
    PS 5050    Laser Power Supply        "- C = 100µF
- U = 2000V
- L = 64µH
- 4 High Voltage Junctions
- 1 Powering Cable
- 1 Operation Manual"
    PS 5053    Laser Power Supply        "- C = 100µF
- L = 64µH
- 4 High Voltage Junctions
- 1 Powering Cable
- 1 Operation Manual"
        Vacuum Pump       
        Lot of Tester Boards       
    310    RIE System    4"   
        Lot of Lab Equipment       
        Hardness Tester       
        Ultrasonic Cleaner       
    HSIATVGIAI    Centrifuge Machine       
        Muffle Furnace       
        Thermal Transfer Label Printer       
        DI Water Unit       
        Blower / Fan Roof Units       
        Rock Saw       
        Lapidary Wheels       
        Lot of Electric Test Equipment       
        Lot of Electric Test Equipment       
        Ultrasonic Processor       
        Cathodic Screen (Cathodic Ray Tube)       
        Cut Machine       
    IPS 8652 H    IC Tray Handler       
    IPS 8655    IC Tray Handler       
    1120 3 10    Burn-In System        - Alternating Current
        Laminar Hood       
        100 Ton Carrier Air Cooled Chiller       
        Optical Coating Chamber Planetary Arms from Large Coating Chamber       
        Lot of Electric Test Equipment       
        Zoom Microscope       
    913 Mityflex    Peristaltic Pump       
        DC Power Supply       
        Lot of Machine Tools       
        Gel Permeation Chromatography Instrument       
        Air Cylinder        "- 5""x8""
- Standard NFPA"
    4 Z 502    Parallel Shaft Reducer        19.1:1
    # 25    USA Standard Test Sieve       
    #40    USA Standard Test Sieve       
        Reflow Oven 7 Zones       
        High Power Scope 2nd Optical       
    MJ 3045 E    Aqueous Parts Washer       
    EC    Washer / Dryer       
        Rotary RTO       
        Zerolites Rotors       
        Galvo Scanner       
        ID Fan Motors        1500 HP
        Diffusion Pump       
        Mill       
        Ram Check       
        Automated Visual Inspector    4", 6"    "- Online Characteristic Sorter
- 2 x Input, 7 x Output
- c/w Zebra ZM 400 Label Printer"
        Lot of Electronic Test Equipment       
        O-Ring Installation Machine       
        Pressure Vessel        100 PSI
        Heating Bar Station       
        Hydraulic Payoff and Take-Up Set       
        Liquid Control Two-Part Dispencing System       
    C 500-1-2-3    Liquid Control Two-Part Dispencing System       
        Environmental Working Chamber       
        Cyclone Blasting System       
        Sliding Dorr Cooler       
        Hydraulic Power Pac       
    Capstan    Hydraulic Power        5ft
                5 Gallon
        Roller Payoff and Reel Stand       
        Gas Heaters       
        Barrel Crusher       
        Manual Tape Dispenser and Conveyer       
        Hanging Pressure Tanks       
        Vacuum Pump        - with Tank
        Pallates Misc Items       
        Wire Scrapper Bench       
        2 Pin Presses        - with Granite Block Stand
        UV Conveyers Label Printers        - with Berrel Heaters
        Pac Strapping Product Strapper       
        UV Light Tubes Fume Extractor       
        Test Engineering Unit        - with Benthos Tank
        Jib Cranes       
        Layout Line       
    MDL D 3543    Payoff DS       
        Take-Up Reel O Matic       
        Cable Fatigue Tester        - 30000 lbs Capacity
        Centrifugal Water Pump       
        Robotic Manipulator       
        Lot of Electric Test Equipment       
        Small Angle X-Ray Scattering System       
        Car Lift        - 2 Post
        Furnace       
        Lot of Test Equipment       
        Mechanical Shaker        sieves available
        Lot of Electric Test Equipment       
        Powder Transfer System       
        Lot of Electronic Test Equipment       
        Edge Gride       
        Flatness Measurement Tropel       
        Secondary TFE Distillate Tanks       
        Glass Reactor       
        Lab Roto-Vap (NIB)       
        Lab Shakers       
        8 Liter Columns       
        28" Chamber Column        Stainless Steel
        Lab Walk In Hood       
        Lab VCT        with Covebase
        Computer Equipment       
        Separator Package       
        Conveyor Unloader       
        Lot of Gas Chromatographs       
        Industrial Motors       
    SRC 320 / SRC 300 / LIM    Over Head Transport System       
        Micron Battery Assembly Line       
        Mask Aligner       
        PR Spinner       
        Lot of Electric Test Equipment       
        Poly Lift Station        - 50 Gallon
    SI 234    Analytical Balance       
        Auto Vacuum Pressure Control System (Pump)        - from OOCTW
        Ducted Fume Hood & Exhaust Port       
        Low Temperature Chiller        "- 6000 BTU/hr
- 115V
- 1/2HP"
    FAS 072    White Polypropylene Wet Station        - Front Access
        Lot of Electronic Test Equipment       
        Process Chiller for Chill Plates       
    549227    Temperature Recorder       
    8510 R-DTH    Ultrasonic Bath       
        Vacuum Chamber       
        Test Bench       
        Manual Aligner       
        Lot of Electric Test Equipment       
        Flat Belt Conveyor       
        Flat Belt Conveyor        - 1.5 M
        Flat Belt Conveyor        - 3.0 M
        Precision Silicon Sizing Tool       
        Micol Wafer Eraser Ultraviolet       
               
               
        Lot of Lab Equipment       
    Custom    Flat Glass Conveyor       
    NTE    One Shot Welder SSEC       
        One catalyst 9" pogo tower 576 pins with EG docking system       
        Lot of Machine Tools        "- Micro Matic Precision Wafering Machine
- Bridgeport Vertical Mill
- Fongex Chip Conveyor
- Evey SD 2500 Pump
- RH Strasbaugh Single Spindle Polisher with Flexible Head
- RH Strasbaugh 60 E 00-4 Four Spindle Polisher
- LOH WG Edge Polisher
- RH Strasbaugh 6 CX Single Spindle Polisher
- LOH Wetzlar PM 3 Three Spindle
- Blue M Oven"
        Portable Air Compressor       
        CO2 Laser Cutting Machine        500w
    ID 27    Thermal Vacuum Form Production Station       
        Gas Fired Furnace       
        17" Sanding Station Aligner       
        17" Sanding Station       
        Auto Sanding Machine       
        Manual Probe Station - 18" Aligner Station       
    N-2    Fogger       
    SLD 402 (SM 64)           
        Conveyor Dryer       
        Lot of Electronic Test Equipment       
        HEPA Bench       
        Heat Staking Machine       
        Tumble Tester        "a. This piece of equipment was purchased from Blackberry over 3 years ago, tested as functional, but has not been used for any testing requirements.
b. The tumbler has been crated and its dimensions are 54”x66”x72” "
        Bottle Feeder of Cartnator Machine       
        Induction Sealing Machine       
        SS Sink       
        Ventilation Unit       
        BOD Incubator       
        2D Code Reader System       
        Vertical Autoclave       
        "Parts:
ITEMQUANTITYPART #DESCRIPTIONMFGRQuantity Total Quantity 11129139AMATRIX SERIES M2 CABINET ASSEMBLY 19""Electrorack66 21KPL-529-ABLOWER, KOOLTRONICKOOLTRONIC66 31FSM726-300NASETHERNET SWITCH CHASSISNETGEAR66 41ISOBAR12ULTRATRIP-LITE ISOBAR AC DISTRIBUTIONTrip-Lite66 53CS-DSDHD78MF0-005D-SUB CABLE, DOUBLE SHIELDED, 78 PIN, 2.5 FTAmphenol618 6297F8868COMPUTER CABLE, MALE-FEMALE, DB37 CONN, 6FT (45-466)GC Electronics612 78184428B-01NI CABLE, SERIAL CONVERTER TO DB-9, 1 METERNational Instruments648 88CS-DSDMDB09MF-003D-SUB CABLE, DOUBLE SHIELDED, 9 PIN, 3FTAmphenol648 91MAX-AC-40300INTERFACE CABLE, 1.5 METERMAX Technologies66 102SPC19825D-SUB GENDER CHANGER, 25-PIN MALE - MALEMulticomp612 116SCH68-68-RDIONI SHIELDED CABLE, (191667-01), 1 METERNational Instruments636 121CS-DSDMDB50MM-002.5D-SUB CABLE, DOUBLE SHIELDED, 50 PIN, 2.5FTAmphenol66 13178677604310AC DISTRIBUTION POWER STRIP, 6 OUTPUTWiremold66 141317500Mains Power Cord, 18 AWG, NEMA 5-15P, IEC 60320 C13, 6.5 ft, 2 m, BlackVolex678 15934980-60001CAT 5E PATCH CORD, 6FT654 161S-KDS-2U-XKEYBOARD DRAWERBlack Box66 17GROSS STATE RESISTOR LOAD -01/-02 184ECM60US05POWER SUPPLY, SWITCH MODE, 5V WITH ECM POWER SUPPLY COVERXP Power624
190ECM40/60 COVERECM40/60 POWER SUPPLY COVERXP Power60 20DISTRIBUTION CHASSIS ASSEMBLY 211ECM60UT33POWER SUPPLY, +5V +/-15V DC, 60 WATT, WITH ECM POWER SUPPLY COVERXP Power66
22
231ECM40US05POWER SUPPLY, 5V DC, 40 WATT, WITH ECM POWER SUPPLY COVERXP Power66 241PMC-24V150W1AAPANEL MOUNT POWER SUPPLY, 24V DC, 150 WATT66 25UUT POWER PANEL
261ULT404764 PORT USB HUBUltra Products66 27EMER POWER PANEL ASSY
281PMC-24V150W1AA+28V POWER SUPPLYDelta Power66
291PTK15-Q24-S12-TDC/DC ConverterCUI Inc66
30
31178050A4-1/2 Digital MultimeterFluke17 3258060ATrue RMS Multimeter, HandheldFluke5
3348062A4-1/2 Digital MultimeterFluke4
3438840A5-1/2 Digital MultimeterFluke3
3528842A5-1/2 Digital MultimeterFluke2
3613468A5-1/2 Digital MultimeterHP1
3713466A4-1/2 Digital MultimeterHP1
3832445AOscilloscopeTektronix3
3912445OscilloscopeTektronix1
4012430OscilloscopeTektronix1
4112430AOscilloscopeTektronix1
4212432OscilloscopeTektronix1
433LK342APower Supply, DCLambda3

"       
        Laminar Flow Hood       
        Consolidated Sterilizer       
        Fume Hood       
        Plant Spray Chamber with Tracks       
        Dicing System       
        Baking Machine       
        Large Fumigation Chamber       
        Power Source       
        Two Ton Gantry       
        One Ton Electric Hoist       
        Lathe        AC Tech LL 01 Sigma Toss 1
        Oil and Emulsion Filtration System       
        Incubator       
        Shaking Incubator       
        UV Spectrophotometer       
        Automatic Cold-Compact Pill Press        - 5 ton automatic pill press with rectangular die
        DC (SPS) Hot-Press with Electronic Pressure Control        "- 48KW SPS with 15 ton automated carver press
- Digital electronic power control and thermocouples
- with 1"" 2"" and other die and tooling
- with graphite parts, spray and tooling
- with cooling pumps and tanks
- with electrical power systems
- with fans"
        Kilogram Scale        "- with microwaves
- with 5L Ace Glass Reactors 2x
- with Millipore Nutsche Filter
- with Ace Glass 6L Glass Filter
- with stirrers and motors
- with automatic Grundfos DDA metering pumps
- spare parts and equipment"
        Industrial Scale       
        Mix Tank        - with pump and flow-meter and stirrer with control panel on wheels
        Mix Tank        - with pump and stirrer and control panel
        Evaporator System       
        Chemical Fume Hood        - with hood fan
        Glass Reactor       
        Manifold Actuator       
        Fiber Optic Bending Tester       
        Sputtering Targets        Tantalum, Niobium, Tungsten, Molybdenum, Titanium, Nickel, Chromium, ITO etc.
        Lot of Electronic Test Equipment       
        Vibratory Tumbler       
        Floor Model Incubator       
        Continuious CA Pilot Plant       
        Distillation Plant       
        Mobile Mixing and Dosing Vessel       
        Thistle Mini Continuious CA Plant       
        PCR Machine       
        Solder Reflow Oven       
    SPEX 500    Spectrophotometer       
        Poly Tank       
        Box Assorted Cables       
        Dryscrub 20H Abatement Units        - Parts only
        Electrical Disconnect Plugs       
        Foot Switches       
        Softwall Clean Room       
        Custom Vacuum Laminator (Parts Only)       
        40 Gallon Chilled Water Jacketed Tank       
    PSM-41           
        Uprights        42" x 240 " H
        Step Beams        4" x 96"
        Adjustable Supports        20-36"
        Carton Flow Tracks        "- With Hangers
- 12"" x 101"""
        Gas Cabinet       
        APCVD       
        Automated Solar Cell Tester       
        Batch Si Etch & Clean       
        Conveyor Annealing Furnace       
        Horizontal Oxide Etch Resist Strip and Clean       
        Silicon Wafer Dicer       
        Packing       
        OTB       
        Roth and Rau       
        Jusung       
        Screen Printer Tool        Thermal Cure
        Screen Printer Tool        UV Cure
        Plating Tool        Sn & Cu
        Die Casting 2 Million BTU gas-fired Dry Hearth Furnace / Re-melt       
        Press Connection Machine       
        Grinding Machine       
        SMC Water Chiller       
        Four Head Drill Press       
        Air Cooler       
        Micro Centrifuge       
        Lot of Four H6 Hogan Hydrogen Generators and Three Chillers       
        Lot of Electronic Test Equipment       
                "List of Parts:
Material    Description     Pieces
C16221ANA01    ISI (INTEGTD STBY INSTRUMENT)               1
3945123506    ELAC A PRIME (ELEVATOR AILERON COMPUTER)    1
4645496A    PCB,ASSY                                    1
C13172AC05    FCM (FLIGHT CONTROL MODULE)                 1
C13172AC04    FCM (FLIGHT CONTROL MODULE)                 1
E16485EA01    PCB,INTERFACE PROG                          6
GMT4190-020    CLOCK,ELECTRONIC                            1
E16453LA01    CONTROL,PROCESSOR GRAPHIC DISPLAY UNIT      7
959960711124    TRANSCEIVER, AHV5-011A LRRA                 1
C5571AABM2    PCB,POWER COM                               1
E24119GA    OPTICAL,ASSY                                1
39451205    PCB,CAN                                     2
899-2725-007    BCH2725,BTE DE CDE                          1
C13090AA    MER (MODULAR ELECTRONIC RACK)               1
LA2L50400H3B100    PCB,POWER                                   1
L109CGM    ACTUATOR,ELECTROMEC ROTARY                  1
5010-825-00-14    EVMC (ENG VIBRATION MONIT COMPUT)           1
E19403EA    UNIT,LIGHTING                               1
E16804DB    FACE,FRONT ASSY                             1
E13699AA01    PCB,ARINC COM                               1
E29940AB01    PCB,CPU I/O COM                             1
E13086AA01    PCB,CPU MP                                  1
E29934CA    PCB,REAR ASSY                               1
S1821502-02    TRANSMITTER,LOCATOR EMERGENCY               1
E12926BB    PCB,EXTENSION B COM                         1
351118092881    PCB,MICROPROCESSOR                          1
J172ABM01    UNIT,ACCELEROMETER                          1
E14035BA    PCB,LPS                                     1
64279-530-2    TRANSMITTER,PRESSURE (TRANSMETTEUR PRESS    1
E12427DA    PCB,CONTROLLER                              1
C4066ABCM    PCB,ARINC COM EXPAND                        1
C6117AAM05    PCB,FM I/O CONTROLLER                       1
980-6022-011    SSCVR (COCKP VOI FLGHT DAT RECORD)          1"
        SMT Automatic Packaging Machine       
        Pick and Place       
        Custom Chiller       
        Lot of Electronic Test Equipment       
    ADS 10    Mixing Machine       
        Snap Cure Oven       
    DS 500    Automatic Dispenser       
        E-Flow Bubbler Machine       
        Loader Inspect machine       
    CRH 3603    Automatic Test Handler       
        Vacuum Oven       
        Ultraviolet Ozone Cleaning       
        Glass Laminator       
        Automatic Positioning System       
        Replacement Laser Tube       
        Dispenser       
        Squeegee Cutting Machine        With Squeegee Holder
        Manual Gas Cabinet for Laser Ablation       
    CAS 140 B    Spektrometer       
        Conditioning Furnace       
        Ultrasonic Bonding Tool        With Mounting
        Stimulation Laser        375nm/18mW
        Spray Etcher       
        Spin Coater       
        Optical Table       
    B 1    Speed Disc Grinder        "- Variable Speed
- With Diamond"
        Cryopump       
        Drawer Cabinet       
        Silkscreening Table       
        Microscope       
        Chemical Clean Conveyorized Machine       
        PCIe Analyzer       
        Ethernet Analyzer       
    SFF 8639            "- G3x2
- 12"" Dual Port Interposer for NVME/SCSI
- Express Dual Port Drives
- Supports SRIS/CLKREQ#"
    SFF 8639            "-G3x4
- 12"" Single Port Interposer or Port A Interposer for NVe x4/x2
- SCSI Express x4/x2 or SATA Express x2
- Supports SRIS/CLKREQ#"
               
    M-5900 RVe    Barcode Printer       
    Champomator 2.5    Crimping Machine       
        Coiler       
        Compound Drying Machine       
        Powder Coat        "Large Spray Booth
2x Large Oven
dip Tanks
Overhead Conveyor System"
        Inserter        Needs guarding, mounted to LOMAR
        HP 6/8 Crimper        Needs guarding and  jaws are all for obsolete/ service  crimp versions
        ITP CMM        Needs software reloaded (key for dongle is not working on new pc)
        Deburrer Aluminum        Needs guarding, runs via foot pedal
        Deburrer Steel        To be scrapped (more cost effective to replace with newer, more safe model)
    CL 4 NX    Barcode Printer       
    EC    Washer / Dryer        "- 480 Vac
- 3 Phase
- 100 Amps"
    SE 3105    Temperature Cycling Oven       
        Gas Cabinet       
    VUF 90 / 2-100    Plasma-Enhanced Chemical Vapor Deposition (PECVD) Vacuum Pump       
        Optical Tables       
        HEPA Filters        For Creating Class 1000 Clean Spaces
        Rotary Phase Converter       
        Step Down Transformer       
        Skinned Water Tank        "- 20 Ft 25,000L Container
- Skid Mounted
- Double Lines"
        Diesel Storage Tank        "- 50m3
- In DNV Frame with Pump"
        Workshop Container        "- 20ft
- Skid Mounted"
        Small Explosives Transport Containers       
    375 HP    Portable Trainer Air Compressor       
        Open Top Water Storage Tanks        100m3
        Brake Press       
        Transmission Sphere       
        Manual Coiler Machine       
    220    Water Pump        "- V-50 HZ
- CPM 158
- 1 HP"
        RF Test Chamber       
    CA 20191 C    Controlled Atmosphere Transparent Furnace       
        Board Dryer       
        2000 Amp Rectifier       
        500 Amp Rectifier       
        50 Amp Rectifier       
        Plating Tank       
        Drills       
        Microscope       
        Microsection Scope       
        Inspection tool       
        Film Developer       
        Plotter       
        Plating Copper Scrubber       
        Copper Tank        500 Gal
        Tin Tank        350 Gal
        Nickel Gold Tank        35 Gal
        Screw Compressor        40 HP
        Fume Scrubber       
        Injection Molding Machine       
        Lot of pumps and controllers       
        Automatic Ultrasonic System        "7 Station

Tanks are 24"" x 18"" x 16"" and hold about 25 gallons of water Tanks 1 & 2 are static soak Tanks 3 & 4 have ultrasonics and oscillation Tank 5 is a DSR (Dump, Spray, Rinse) Tank 6 has Cascading Overflow, Oscillation, and Ultrasonics Contains a Hot Air HEPA dryer Front-end load station and back-end unload with conveyer Updated CTC controller (2010) Ultrasonics are 104kHz Blackstone-Ney Transducers 480V, 3ph power with transformer."
        Liquid Particle Counting System for USP Testing       
        Cable Crimping and Welding Machine       
        Vacuum Pump and Oven       
        GTEM Immunity Test Cell       
        Lab Table       
        CNC Machine       
        Vertical Furnace       
        CNC Machine       
        Lot of Miscellaneous Equipment       
        Unloading Unit       
        3 Zone Tube Furnace        with Eurotherm controllers. with quartz tube and boat
        12 x 12 Cleanroom       
        Digital Megapixal Camera       
    I-V    Tester        With Temp Control
        PV Measurement QE Susteme       
        Temperature Recycling Fixture       
        Vacuum Wand       
        Temperature / Humidity Chamber       
        Box Furnace       
        Motorized Cleaning Station       
        Wet Bench        16 Slot
        Vacuum Oven       
        Plating Tool        Nickel / CU / Tin
        Solar IR Field Inspection Camera       
    TC 200    Temperature Cycling Center       
        Clean Benches       
        Plasma Etcher       
        Centrifuge        Small
    EP Select    Track Carton Flow        "- 12” W
- 101” L
- Rollers on 3” Centers"
        Bar Feeder       
        Patch Recording Microscope       
        Spectrometer       
        Automatic Glue Machine       
        Universal Conveyors       
        Inspection Conveyors       
        Forklift       
        Spot Cooler       
        Pallet Racking       
        Pandaboard Rev A 4       
        Compressor        2 HP pumps
               
        ICT & Flash & EOL Integral Tester       
    TR 6800    IC Tester       
        Vending Machine       
        High Temperature Furnace with Controller       
        FTIR Spectrometer       
        UV-Vis Spectrometer       
        Planetary Ball Mill       
        Wafer Dicing Saw       
        Wafer Polisher       
        Linear Slides with Stepper Motors       
        Lab Bench       
    MM 818-M    Lazer Marking Machine        Fully Automatic
        Ultrasonic Tank       
        Lot of Electric Test Equipment       
        Autovenyor Linking machine       
        Lot of Electric Test Equipment       
        Small Steam Turbine System       
        Rotation W2 Pressing Machine       
    87406 B    RF Test Switch       
        Solenoid (water cooled DC Electromagnet system) and Power Supply       
        Chip Conveyor       
        CMM       
    Mikdro Sampl    Blue Hamermill       
    7 06 576    Control Panel for Centrifuge       
    1880    100 Gallon Reactor       
        Small Cement Mixer       
        50 Gallon Kettle       
        Effluent RVF       
        8 Foot Pin Mixer       
    Lab 2    Hock Meyer Small Mixer       
    5 MA    Hammermill       
        Small Denver Mixer       
    Forma    Incubator       
        TGA Unit       
        Controlled Temperature Chamber       
        Lot of Electric Test Equipment       
        Rough Pump       
        Stainless Steel Jacket Reactor        -500 gallon
        Glass Lined Reactor        -2,000 gallon
    FANTOM FULL WEB    Manual Full Skid Stretch Wrapper       
        Lot Of Electric Test Equipment        "Tektronix TM 502 A
Temptronic TPO 4000 A-2 B 21-2
Agilent 4142 B Modular DC Source / Monitor
Agilent 8114 A 100V / 2A Pulse Generator
Tektronix 371 Curve Tracer
Agilent 54542 A Oscilloscope
Agilent 4284 A Precision LCR Meter
Agilent 6030 A System Power Supply
Glassman Series EK"
        Refrigerant Recovery Unit       
        Sheet Laminator       
        2 Ton Hoist        Length is 141”
                14 mini bag filter
    PC 130    Exposure system       
        Automatic Test Equipment (ATE)       
    EC 9000    Power Supply       
        3D Printer       
        Surface Grinder       
        Fatigue Test Equipment       
        Hydraulic Tensile Test Machine       
        48” HEPA single work area filtration systems with stands       
        FTIR       
        Mechanical Shaker       
        Agricultural Ariel Vehicle       
        Vacuum Pump for Plasma Tool       
        DI Water Exchange Resin System        - without the resin bottles) including control panel, PVDF lines, and the TOC unit.
        DI Water Reservoir Tank        - (~1500-2000 gals)
        Inline RO Water System and Pretreatment       
        Granite Coordinate Measuring Table        36"x36"x6" with a metal adjustable stand
    HFL-2018-2 IQ    Brazing Oven Furnace       
        Vapor Degreaser       
        Gun Drill       
        Large Size Indexer       
    SMT 400-CL    Aqueous Washer       
        Compressor       
        Hot Air Leveling Machine       
        Developer       
    CRH 3603    Automatic Test Equipment       
        PCB Onloader / Offloader System       
    PBS 2    Dual Chamber Bombing Station       
    CHR 3603    Automatic Test Equipment       
        RIE       
        Viewing Hemisphere       
        Pressure Canister       
        Magnifying Lamp       
        Crock Meter       
        Rack        18 x 25.5 x 30.5
        KH Offload       
        Radial Taping Machine        - Production ID TRF-003_SILIN
        Polishing Machine        24-60 Nanometer
        Lot of Equipment       
        Lot of Equipment       
    SLEC 5000 A    Laser Plotter Machine        With Accessories
    GO-7 A    Hot Air Oven        With Accessories
        Hot Air Oven        With Accessories
        PCB Flating Machine        With Accessories
        Loading Machine        With Accessories
        Auto Conveyor Oven Machine        With Accessories
        CO2 Absorption Test Station        With 50W Coherent Laser
        0-100 Ohms Resistance Meter       
        Abrasion Test Kit       
        Ohm Meters       
        Hi Voltage Probe       
        Recirculation Unit, Chamber Cooler        With Buck Boost Transformer for 440V Operation
        Sand Blast Cabinet        With Compressor and Dryer
        Clean Benches        "- 8' Laminar Flow Bench (2)
- 5' Laminar Flow Bench (3)"
        DI Water System        "With:
- Spray Booth
- Water Softener
- Acetone Dryer"
        Pallet Jack       
        N2 Storage Box       
        Spare Parts for Cary Spectrophotometer       
        Spare Parts for Temescal CV-8 Power Supply and Controller       
        Misc Spare Parts for all Optical Coaters       
        Waste Processing        - Including 6 Chem-Tainer tanks
        Magazine Unloader and Assorted Conveyor       
        Welder        "- With Benchmark Weld Controller
- With Model-1100 Power Supply"
        Pipe Fitting Equipment       
        Precision Silicon Sizing       
               
        Lot of Electric Test Equipment       
        20 cu ft  V-blender       
        High Level Conveyor System       
        Single Screw Feeder        Length 165cm & Ø 3"
        Container Scale        400 kg
        Vibratory Tray Conveyor        Length 165 cm
    W 02    Chaton Press       
    G 12    Automatic Injection Press       
        Batch Charger       
        Air Handler       
    08 M 20    Clean Room Filter with Fam       
        HelmHolz Coil       
    YZ 28 G 200 F    Hydraulic Machine       
    SF-L 1    Pad Printing Machine       
        Force Measurement Application of Belt Motors        With Analysis Software
        Table for Siemens Siplace S 25       
        Table for Siemens Siplace HS 50       
    YAC 8086    Test Handler       
    YAC 246    Test Handler       
        Power Supply        0-111mV
    LPS 200    Igniter       
        Hand Spin Lathe       
        Granite Polisher        - 36"
        Distillation Reactor       
        Drip Decks       
        Megasonic Machine       
        Small Crystal Grower       
        Spill Pallet Containment Deck       
        Tanks        165 Gallon
    DV 85    Fast Vacuum Pump       
    RD 2    Vapor Phase Oven        "- Pallet Size: 18"" (455 mm) x 15"" (380 mm)
- Pallet Clearance Height: 2.5"" (63 mm)
- Unit Size: 95"" long x 39"" wide x 54"" high
- Power Required: 208 VAC, 3 Phase, 63 Amps, 50/60 Hz
- Standard 380 VAC, 3 Phase, 1 Neutral, 63 Amps. 50/60 HZ
- Main heater: 12.5 KW
- Preheat: 6 KW
- Operating Temperature: 200 °C to 320 °C depending on fluid used
- Water Requirements: 3-5 GPM supplied, 25 °C - 40 °C
- Inert Fluid Capacity: 3 gallons"
        Acid Wet Bench        "- One Aluminum etch tank w/ robot arm
- One dump rinse w/ upper sprayer & a hand held DI water gun
- One dump rinse without upper sprayer.
- One Amerimade dump rinse controller and heated tank controller.
- One exhaust meter.
- Built in timer"
    VNMRS 700    Nuclear Magnetic Resonance (NMR) Console       
        Lab Hood        5'
    FIL 300 C    Quad Filament       
    3700 Riber    Coated Crucible       
    1700 Riber    Coated Crucible       
    5000 M    Assembly-Cracking Tube       
    R 7000    Shutter Arm        Dopant C
    R 6000    Transfer Rod Bellows       
    2/5 L Cracker T/C    Feedthrough       
    ULK 1019 X-P 5 P-L 23    Bearing       
    ID x    Silver Plated Gasket        254mm
        Polypropylene Prismatic Tank        4000 Litros
    MS 15    Mill        "- 220/380
- 15 CV"
        Picogate Oven       
        Washer Inline Machine       
        Depanelization Machine       
        Glue Machine       
        Burn In Chamber for Power       
        Shower Station / Sink Bench       
    CAP 412-10 T 30-WO    Laminar Flow Bench        10'
    XM 260    Grinding Machine       
    CM 95    Chip Mounter, Loader       
        Vacuum Packaging Machine       
    139 B    Snap Cure Oven        Stand Alone
        Wafer Spin Coating Machine       
        Sand Blasting Machine       
        Inspection Conveyor       
        Automatic Die Bonder        8", High Speed
        PCB Onloader / Offloader       
        Inspection Conveyor Belt, Onloader / Offloader       
        Ultrasonic Cleaning       
        Rotary Screw Air Compressor        75 HP
        Rotary Screw Air Compressor        150 HP
    84000    RFIC Test System, Measurement       
        Print Process R&L Side Feeder Bowl       
        Linear Gauge Measuring Scope       
        Set Air Flipper Machine       
    F 060705    PCB Loader / Unloader       
        Motor        - 2300 volt
        HVAC       
    YTO 02 ACH    Chiller        "- with air cooled condenser
- Cooling capacity: 5.6 kW.
- Power supply voltage: 220 V
- Freon R-410 a
- Evaporator-submersible type
- Hydro Kit-built in, open tank
- Dimensions: 800 x 500 x 1150"
    YTO 02    Chiller        "- with air cooled condenser
- Cooling capacity: 5.6 kW.
- Power supply voltage: 220 V
- Freon R-410 a
- Evaporator-submersible type
- Hydro Kit-built in, open tank
- Dimensions: 800 x 600 x 1150"
    TAC 15            "- with air cooled condenser
- Cooling capacity: 3.5 kW.
- Power supply voltage: 220 V
- 940 x 520 x 1200"
        Custom Lubrication Unit       
        Transformer       
        PCB Router       
        Lot of Electric Test Equipment       
    FRT MicroProf    Optical Profiler       
        Paint Booth       
        Drill Press        - Max 15 Watt
    TO-220 FM    Auto Frame Loader       
    ST 250 L    Manual Mold       
        Hydraulic Press        120 x 150 x 197cm
        Hydraulic Press        "- 5 tons
- TK/KE Trim
- 114 x 195 x 192cm"
    DIAS 133    Post Bond Inspection System        30 x 30 x 50cm
        Press       
        Laboratory Table       
    Atlas Copco    Compressor        - 5 hp
    61088    Gas Tank        8 cubic meters
    Z 4116    Bench Drilling Machine       
    TSB 550    Crane for Tools on Wheels       
    H 150    Digital Display       
    AEK 7    Electrical Control       
    MY 1224    Grinding Machine       
    BPM 75 S    Grinding Machine       
    H 0 CL-107-907    Hydraulic Press       
    PH 800 B    Industrial Vacuum Cleaner       
    DH 800 B    Industrial Vacuum Cleaner       
    15264    Machine for transfer to molding rings       
    300 R    Manual cut-off machine       
    CS 1532    Manual Forklift       
    PS 16 W    Manual Forklift       
    X 5325    Milling Machine       
    ET 150 MR-L    Packaging machine for wrapping with foil       
    H 1 L 45    Precision Punch       
    KB 30 A    Screw Compressor       
    JN R 0-4200    Water Heating Device       
        Oven Molding       
        Wet bench        "Plastic 6 feet long
Used for gold plating"
        3 Unit Lockers       
        Barrel Cart       
        Barrel Hauler / Tipper       
        Blue Storage Trunk       
        Cyro Pump       
        Polishing Table       
        Polisher        Single Spindle
    E 8257 C    Analog Signal Generator        20 GHz
    SL 1727-37 H    Signal Amplifier       
        Batch Mixing Station       
        Foam Cutter        14"
    S 1815 E    Laminator       
        Data Loggers       
    ESL-Solar    Electronic Load       
        Thermal Oven       
    AE 1 / RD 1    Handheld Emissionmeter       
        IR Camera       
        Sensor        HF, RH, Thermal Air speed
        Soldering Station       
        Thermocouple Welder       
        Vacuum Oven       
    PSD 3-49 S-1 E    H-Oven       
    PSD 3-49 S-1 E    G-Oven       
    SPEC RAD 2-19-2 E    J-Oven       
        Electric motors       
        Laser Cutter        1300mm x 900mm
        Jib Crane        1/4 Ton
        Vacuum Sing Adsorption Plant (VSA)        "- Flow rate of Oxygen:      3,400 Nm3/h at 90% O2
- Purity of Oxygen:             90%
- Pressure of Oxygen:       1.2 barg"
        Gravitational Pin Checker       
        Press and Verify Sealing Station       
        Rotating Station for Stator Winding       
        Zinc Die Casting Machine       
        Large Bandsaw       
        Large Multiwire Saw       
        XP Nozzles        "- ADNPN 7110 (13)
- ADNPN 7120 (3)
- ADNPN 7131 (9)
- ADNPN 7140 (12)
- ADNPN 7150 (10)
- ADNPN 7190 (7)
- ADNPN 7701 (3)
- ADEPN 8961 (45)
- ADNPN 8970 (14)
- ADNPN 7612 (11)
- ADNPN 7621 (15)
- ADNPN 7631 (38)
- ADNPN 8263 (1)
- ADNPN 8258 (29)
- ADNPN 8459 (9)
- DNPN 3101 (4)
- DNPN 3111 (3)
- DNPN 3131 (6)"
        Vacuum Sealer        Large Patform R2D2 Size
        UV Cure belt furnace       
        Reel to Reel X Ray       
        Particle Sizer       
        UV Spectrophotometer       
    IRE 3000 / 400    Oven for CHI Sticker Healing       
    GUD 120 YL    Unloader       
        Feeders        "Electronic Feeders for NXT-AIM Machines
- W 08 (x334)
- W 12 (x31)
- W 16 (x1)
- W 24 (x5)"
        Sherer        3/8-12'
    PC 4002    Lightwave Polarization Controller       
        Large Disperser head       
        Photoglass Crock Roller System        "- Ceramic Jars, Lids
- Tin Cups & Sub-Components PGROll01"
    MF 47    Analog Multimeter       
    E 3612 A    DC Power Supply       
    E 3641 A    DC Power Supply       
        Oven       
    MTD 48    Curing Oven        - Dual Screen
    MTV 24 ECO    Oven        Ramp up/down
    NTI 32    Smart Oven       
        Fiber Stub Removal System        "- 24 Positions
- Uni-Plate Included
- MT24-ASR
- MT/APC24-ASR
- lc24-ASR
- Milspec Plates"
        Manual Scope        - uM MT Fiber
        Scope        "- uM MT Fiber
- with Software / Computer"
        Ferrule Protrusion Measurement Instrument        2.5mm
        Ferrule Protrusion Measurement Instrument        1.25mm
        Universal Hand Puck       
        LC/APC       
    E 2000    APC       
        SMA       
        SC/APC Hand Puck       
        FC/APC Hand Puck       
    FOCP 1 K    Hand Polishing Kit       
        Field Ultra Polisher       
        Compressor        5,000 Nm 3.hr H2 Compressors
        Boiler        Design around 100 tph at 65 barg
    LM 2500            Without hot section
        Vacuum Chamber       
    The Equalizer    Film Stabilizer       
        Micro Sections Sets        "With:
- Microscope
- Camera
- CPU, Monitor, Keyboard
- Printer with Software"
        UV Spectrometer       
        Multi Layer Ceramic Capacitor       
        Thermal Chamber       
        Salt Fog Chamber       
        Machine Material Preparation       
        Manual Material Preparation       
        Machine for Hipot Test       
        Vibratory Table       
        SD Racks       
        Vacuum pump       
        Valve       
        Gas Chromatograph (GC)       
    15 REY 019    Burn In Tester       
        Conveyor Base       
    V 3.1    Finger Sensor Tape Press       
    E 0818 S    Bubble Removing Machine       
    E 0810    Soft to Ridgid Laminator        Up to 5.5"
    E 0802    Laminator        - One Head
    E 0802    Glass Laminator       
    V 3.1    Graphite Attach Press Base       
    V 3.1    Island Attach Press Base       
    5    OCTA Press        "- For Top & Side
- 2 Line"
        OCTA Press        - For Top & Side
        Back Glass Attach Jig       
        Back Glass Auto Attach Jig       
        Back Glass Graphite Attach + Press Base       
    264413    Steel Cabinet       
    064-410    Steel Cabinet       
    V 4.3    Back Glass Attach Jig       
    V 2.1    BG Tape Attach Jig       
    YQ E 0809 S    Laminator        - Two Heads
        Grinding Dust Collector       
        Zinc Die Cast       
        Dust Filtration System       
        Ball Grinder       
        Automated Visual Inspector        "- 4""/6"" Online Characteristic Sorter
- 2x Input, 7x Output
Includes Zebra ZM 400 Label Printer"
        Granite Surface Plate        "- Grade O
- 1000mm x 1000mm x 200mm"
        Impulse Tester       
        Surge Tester       
        Air Compressor       
        Cooler        - Stainless Steel Serpent Type
        Condenser        - Carbon Steel
        Heat Exchanger        "- Stainless Steel Tubes
- Carbon Steel Shell"
    OMS 11358    Strapping Machine        "- 4.5 x 2 x 6
- 3 Phase
- 60 Hz
- 440 V
- 1800 kg"
        Downdraft       
        95 Smut Go Tank, 86 Rinse Tank       
    CPJ-3015 Z    Digital Measuring Projector       
        Semi Auto Basin Rinsing System        Customized
        SEM       
        Handler       
        Narrow Baths        "- Polypropylene with iron reinforcements
- Inside 120 x 20 x 110cm
- Outside 130 x 31 x 130cm"
    IS 200    Engraver       
        Lot of Kilns        "- Eisenmann Chamber Kiln - 1400*C, reduction atmosphere including automatic loading and discharging for continuous production
- Eisenmann Chamber Kiln - 1000*C, osidation atmosphere, 6 kiln-cars
- Nabertherm Electric Kiln, 650 liters, 1340*C
- Nabertherm Electric Kiln, 1000 liters, 1340*C
- Riedhammer Decoration Kiln, gas heated, reduction atmosphere, 1450*C
- Riedhammer Chamber Kiln, gas-heated, reduction atmosphere, 1450*C
- CIC Electric Kiln, 450 liters volume, 1280*C
PadeltTherm Electric Kiln, 650 liter volume, 1320*C
- Riedhammer Kiln, TS30/60/25-G, 30 Meters"
        Uniroller Line        "- Dorst SRHV1 Jiggering Unit, Vintage 1980
- Thuringia Netzsch Mould Handling System, Vintage 2000
- Lippert Leatherhard-Dryer, Vintage 1980
- Lippert Transfer Dryer, Vintage 2000
- Dimensions: 18000 x 9000 x 2500mm"
        Upper Cap Press       
        Crimping Base Valve       
        Piston Drilling Machine       
        Piston Drilling Machine        8 Station
        Piston Tapping Machine       
        Coining Bench       
    A 150 WN    Pump       
        Tank        "- 24"" Diameter
- 24"" H
- 47 Gallon"
        Tank        "- 31"" Diameter
- 35 H
- 115 Gallon"
        Jacket Temperature Controller        "- Chemineer Motor
- 27"" Diameter
- 35"" H
- 87 Gallon"
        Blender        - 30 cu ft
        Bins       
        Dedusters, Metal Checks       
    Comil    Milling Machine       
        Capsule Filler       
        Time Domain Reflectometry System       
        Test Fixture       
        PC for Sonoscan Facts 2       
        Temperature Humidity Chamber       
    HT 8040    Logic IC Tester       
    CS 1250    PNP       
        Wet Bench       
        Electro Plating Stations       
        Vacuum Oven       
        Microscope       
        Cross Section Epoxy Oven       
        Forklift       
        Croaker Mixing System        "- Includes access stand and controls
- No loading system or dust collection system"
        Vibratory Tables        38" x 29" x 26.5" (in lowered position)
        Utility Arm        "- Beam Mounted
- Span: 9' 10"""
        Stress Rupture Frames       
    A 401    Extruder Water Trough       
        Capstan Takeup Taper       
        Barbie Doll Oven       
    D 126    Braider        12 C Varspeed
    C 310    Braider       
    A 401 / 008    Water Trough Extruder       
        Hyperserver       
    M 409    Stripping Machine       
        Swift Server       
        Main breaker        2000 Amp
        Water from Air       
        Clean room       
    Systemation Peel Strength    Peel Back Force Tester       
    Fuzion           
        Autoveyor Loader       
        Flipper       
        Conveyor        0.5 Meter
        Link Conveyer        0.6 Meter
        Autoveyor Unloader       
        Grout Pump       
    NV 60 N 3    Dry Vacuum Pump       
        Heat Sealer       
        Fusion Light Cure System       
        High Pressure Hydraulic Pump and Test Chamber       
        Hot Bath       
    Y 3    Tension Tester / Tensiometer       
        Replacement Platesetter        2BOAN + CH21
    ACTU 801    Automatic Tray to Boat Handler       
    AU 800    Offloader       
        Auto Flux Dipping Machine - Flux Cell       
        Auto Flux Dipping Machine - Load Cell       
        Auto Flux Dipping Machine - Lower Convey Cell       
        Auto Flux Dipping Machine - Unload Cell       
        Auto Flux Dipping Machine - Upper Level Convey Cell       
    MA 6012 2 R    Automatic Board Closing Machine       
        Automatic Box Changer       
        Automatic Box Changer        with Loader & Unloader
    GM 620    Automatic Hot Press Machine       
        Automatic Hot Pressing Machine       
        Automatic Substrate Cleaning Machine       
        Automatic Tray to Boat        Requires flip mechanism, UPH 5K
        Conveyor Agency, Plasma Station Magazine Transmission       
        Cover Removal Box Changer       
        Double Layer Rotating Platform       
        Double Track Roller Receiving and Feeding Machine       
    CT C 20 07    Exchange Runner       
        Feeder       
        Hot Pressing Machine        Fully Automatic
        SECS / GEM+ Hot Pressing Machine        Fully Automatic
    GM 620    Gang Bond Machine       
    ACTU 900    Heat Sink Automatic Implanter       
    781    Lane Change        With Static Eliminator
        Lane Change Distributor       
        Laser Ablation Machine       
        Loader / Unloader       
        Loader Feeder       
        Manual Press       
        Automatic Feeder        Trolley Type
        O/S Detector       
    OP 62 AU 800 Plus    Offloader       
        Oven Automation and Magazine Cart Combine Loader       
        Oven Automation and Magazine Cart Combine Unloader       
    LC AOIUL 8000    Receiver       
        Automated Optical Inspection (AOI) system       
        Semi-automatic Ball Planting Machine       
    SP 1010 FCBGA    Solder Paste Printing       
        Substrate Unloader       
    TR 7550 SII    Automatic Optical Inspection Machine       
    2 BOAN CH 21    Winder       
        Vacuum Oven        Customized
    CH 475 2 AIS    Ingot Cutting Machine OD Saw       
    Rest 6000    Cell Gap Measurement       
        Transformer       
    SP 36    Vibration Table       
    TLS 200    Tinning Machine       
        Soldering Work Station       
        Cell Layup Station       
        Glass Buffer       
    0-160    Stainless Steel Psi Gage       
        Unloader Charger       
    SMD 10 M 12 HANO    Reflow       
    ALS 204    Conveyor, Labelizer       
        Black Metalic Cabinet       
        Spiral Sleeving       
    NWS 2005    Shuttle Table       
        Mechanical Conveyor        "- 2' x 2'
- Green Rollers without Data"
        Conveyor        220M180300A0103
        MFC        N2, Ar, etc
        Vacuum Gauges, Fittings, Parts        ~ 100lbs
        Shields and Parts for Endeavor AT Cluster Tool        ~ 50lbs
        Clean Cell for SMOP Line        With Hepa Filter
    LTT 189    Line Transporter       
        3D Optical Inspection Station       
        Automated Dual Acid Decapsulator System       
    E 60 AP    Wire Bonder       
    Flextrak    Inline Plasma System       
        Laser Mark Integration       
    Fluoroderm XRF Eagle    Measuring Equipment       
    Hexa Whizz    Post Mark Scanning Equipment System       
    Hisomet Z    Microscope       
        Peel Back Force Tester       
        Microscope Support Image Sensor       
    BD 200    High Speed Die Sorter       
    P 300    Tester Printer       
               
        Powder Sieve and Blender Station       
    PH 3515 F    Profile Projector       
    48 L    LQFP Trim System       
        Fabrication Pellet Shaker       
        RPS Steam Ager System       
        Digital Multimeter       
        Spray Etching Machine        - 10 Sheets Type
        Applicator       
    DF 410    Curing Oven for SOXR Production       
        Pneumatic Clamp Station       
        Shuttle       
        Lighting Structure        with Camera Mounting
        Low Mag Microscope       
        Storage Bench for Silicon Saws       
        Lot of 3, Power Supplies        "- HP 3610A
- BK Precision 1666
- DC 6205C"
        Lot of 4, Test Equipment        "- HP 4145B
- HP 8084A
- Tektronics 2715
- Tektronics 2707
- Computer Access Technology (CATC) Advisor"
        Pump Assembly Line        "Includes:
- PRP Calibration Line
- PRP Welding Station
- PRP Washing Machine
- PRP Assembly Line: 7 Stations
- Loccioni, Unistand, CTG (Suzhou), Osai"
        DBW Assembly Line       
        SX Assembly Line       
        Jar Roller       
        Press       
        Thermo-Gravimetric Analyzer (TGA)       
        Microscope       
        Microscope       
        Solder Dip Test       
        Waste Water System       
        Mixer       
        Cabinet       
        Hood       
        Drying Oven       
        DI Water System       
        Mixing Bowls       
        Hot Oil Heater       
        Lot of Inserter - for Parts        "- Kern 658
- Kern 656"
        Lot of Equipment        "- Kern 4000 Inserter: 2 Channel
- Kern K 940 Merger (x2)
- Kern K 990 Cutter (x2)"
        Lot of Equipment        "- Kern 4000 Inserter: 2 Channel
- Kern K 940 Merger (x2)
- Kern K 990 Cutter (x2)"
        Lot of Printing Systems for Parts        "- OCE PS 440 (x2)
- OCE PS 500 (x4)"
        Lot of Printing Systems        OCE 7550 (x2) with UW4 and RW4
        Lot of Printing Systems        OCE 7550 (x2) with UW4 and RW4
        Lot of Equipment        "- Kern 4000 Inserter
- Kern K 940 Merger (x2)
- Kern K 990 Cutter (x2)"
        Lot of Suction Systems        "- Hunkeler HKA 6000
- Hamm RTH 355 / 6
- Mecair Filter M 670021
- HSM KP 88.1"
        Lot of Suction Systems        "- Hunkeler HKA 6000
- Hamm RTH 355 / 6
- Mecair Filter M 670021
- HSM KP 88.1"
        Lot of Equipment        "- Kern K940
- Kern K990
- Direkteingang"
        Lot of Equipment        "- Kern K940
- Kern K990
- Direkteingang"
        Lot of Equipment        "- Pitney Bowes XPV 06 CD Inserter
For Parts:
- MB CAS 38 + TP50449
- MB Multifeed 3208
- MB Suction Feeder
- Bell Howell TP50462"
        MV LV Switchgear       
        Generator       
        MV / LV Switchgear Generators        "- Section1 : 7 VCB panel (3 VCB panels for Genset synchronize , 4 VCB panels for feeder )
- Section2 : 2 VCB panel (4160v) / 2 TRs (3ø4160v – 480v 2500kVA) / 5 LV panels(3ø 480V)
- Section3 : 2 VCB panel (4160v) / 2 TRs (3ø4160v – 480v 2500kVA) / 5 LV panels(3ø 480V)"
        Lot of Generators        "3516 C (3)
- 2250kVA
3516 B-TA (4)
- 2000kW"
        Water Demineralization System        With 2 Lone Exchangers
        Clean Room       
        Mechanical Deflash Machine       
    D 162    Die Bonder       
        Magnet Attach Machine        with UPS
    UVKO 250    Oil Hydraulic Transfer Molding Press       
    A 898    Magnet Bonder       
    PC 139    Snap Cure Oven       
    OB 139 SC    Output Buffer       
        Chase MGP Mold for UA Matrix       
               
        Waterjet Takeover       
        Mechanical Guards       
        Binder Extractor Takeover       
        Motor Structure & Sisthume Takeover – Mechanical Installation       
        Booth Forming & Collector Takeover       
        Cleaning System        High Emulsion Band Pressure
        Structure and Equipment for Lifting Equipment       
        Pump for Emulsion Management       
        Mechanical Installation in Emulsion Line (Rollers)       
        Link Collector       
        Emulsion Line       
        Mechanical Installation for Sizing M705       
        Air Pocket Knives       
        Extractor       
    Apex 25-30 A    Compressor        25hp
        Manipulators       
        Humidifier       
        Anti-Static Bars       
        Washing Machine Drum Assembly Line        "Consists of:
- Drum wrapper (sheet metal)
- Front and rear flange (sheet metal)
- 3 Lifters (plastic)
- Spider (aluminium alloy)
- 3/6 Screws (metal)"
        Gallium Reactor       
        Distillation Reactor       
        Tank        165 Gallon
        Small Crystal Grower       
        CA Polisher       
        Planetary Lapper       
        Mega Sonic Machine       
        RO System       
        Airflow Hoods       
        Paint Booth        "- Fan: 2- 24"" with 1.5hp single PHASE TEFC 9000 cfm
- Duct: PO 518018, 24” round galvanized sheet metal ductwork"
        Electronic Cabinet        "Includes:
- Control Operating Program
- Pinnacel Plus 10kw Power Supplies (2)
- Mosquito Microwave Generators (2) with Manual Control Panels
- Turbopump Controller TC2403-10
- Control Panel for Machine Control"
        Bath Coater        "Includes:
- 36"" Cathodes Ports (4)
- Microwave Ports with Applicators (2)
- Microwave Heads (2)"
    GEA-5 KCF-141 A    R2R Auto Alignment Single Side Exposure System        "- Working material(RFID): Thickness :20μm ~200μm
- Top side pasted sensitizer dry film
- Width : 500~550mm
- Working material roll: Core diameter 3"", Outer diameter Max.400mm
- Both edge 5mmis dummy area
Un-winder & cleaning:
- Un-winder distensible reel 3""
- Ionizer blower : after stick roller
- EPC(Edge Position Control)
- Material connection table
- Speed: Range 0.5-10m/min
- Sticky roller device
- Unwinder tension: With digital meter display %
Equipment ability:  
- Speed:  2 panel/min (Included exposure time)  
- Line/Space:  50μm /50μm (Vacuum mode)
- Exposure gap (Grey area): ±0.1mm
- Position alignment: ±0.1mm
Exposure:
- One collimated lamp from top side and single side exposure.
- Able to select the exposure mode by time or UV energy value.
- UV energy detector for main wavelength 365 nm
- By vacuum exposure.
- UV Intensity : >25mw/cm2
Exposure lamp:
- Lamp type: 5KW arc collimated lamp
- Effective exposure area:  Max 500mm x 600mm
- Uniformity : > 90%  
- Reflector by optic mirror
- Collimation Half Angle:1.5°
- Declination Angle:1.5°
- Turn-on the lamp by electrical power supply system.
Exposure table
- Top side exposure
- Top table: By glass with auto alignment structure.  
- Down table: By vacuum system
- Vacuum level can be adjustable.
Alignment:
- CCD Lens: By coaxial lens CCD x 4 sets,
- With Monitor     
- Alignment accuracy: +-10um (Center)
Exposure booth:
- Cleanness:  Inside booth with HEPA Filter
- Static-electricity remover:  With ionizer blower.
- Temperature:  With chiller cooling to keep temperature < 25℃
Winder:
- Pulling material: The distance can be setting, accuracy ±0.5mm
- Winder distensible reel 3""
- Ionizer blower: Before winder.
- EPC(Edge Position Control)
- Speed: Range 0.5-10m/min
- Winder tension: With digital meter display %"
        Tank       
        Tank       
        Robot       
        Hopper       
        Racks       
        Tank       
        Dust Collector       
        Transfer Station       
        Fill Station       
        Magnifying Glass       
        Semi Auto Pizza Cutter Machine       
        RTOL System       
        RTOL Rack Improvement       
        Quad Site Test Handler        "- 4 arms
- with NI tester (not complete card)
- with Dark Box test function + reflective"
        Rotary Feeder       
        Pre-Clean Washing Machine        "- 7 Tank
- 4,500K / M (5"")"
        Counting & Weighing Machine        "- IWH
- Not working"
        Freezer        "- CRA Process
- Parts Machine"
    DTP 27 C 1           
        Semi Auto Pizza Cutter Machine       
        RTOL System       
        RTOL Rack       
        RTOL System       
        GT Manipulator       
        Abrasive Dry Media Deflash       
        Annealing Oven        Interior of Furnace: 1200 x 1200 x 1450mm
        Coolant recycler       
        Hot Caulking Device for XenGen 4       
        HV-Pole Cutting for Xenon Gen4       
        Hotstamping for Xenon Gen4       
        Milling Device, Meteor Winding Machine       
        CO2 Cleaning Round Table       
        Winding Devices Secondary Wire       
        Winding Device Secondary Wire       
        Winding Device Secondary Wire       
        Stamping Tooling Trafo Pin Terminal       
    D 5    Coilformer Tooling        P/N: 321 71 000 00
        Hydraulic Tube Bender       
        Granite Frame       
        Lifted Bond Inspection Station       
    Marc 16    Final Visual Inspection Station       
    Marc 16    Final Visual Inspection Station       
    Marc 16    Final Visual Inspection Station       
    Marc 16    Final Visual Inspection Station       
        Clean Room Module        "- Class 1000/1000
- New (less than 10hrs used)
- with air shower at entry"
        High Speed Automatic Wire Bonder       
        Pick & Place Machine        "- Surface Mount
- New / unused"
    BT 40    Tool holder       
        Microscope table (air float type)       
        Fiber Coupler Production Work station       
        Rheometer       
        Lot of Electronic Test Equipment       
        Clean room        14,000 sq. ft.
        Lot of Electric Test Equipment       
        Optical Power Meter       
        Solder Ring Remover        - Wafer broken rate too high
    014-451    Cell Test Handler        "- Vertical motion top and Bottom probe head
- Can handle 1 EL1/RevK, 2EL2/RevD, 7EL2/RevD and 9EL1/RevK protector cells with diameter of .152 to .24"" and thickness between .096 to .075. System can be adjusted to handle other reasonable sizes."
        Capping Machine       
        Chip Aging Test Device       
        Bonding Pressure Welding Machine       
        Bonding Pressure Welding Machine       
        Anti-Vibration Platform       
        Anti-Vibration Platform       
        Remote Air Cooled Condensers        "- Drive In Chamber (1)
- Walk in Chamber (1)"
        Walk In Chamber Mechanical System        "- Hours: 26,516.6
- 2X30 HP Semi-Hermetic Compressor"
        Drive In Chamber Mechanical System        "- Hours: 11132.5
- New Low Stage Compressor 2018
- 2X30 HP Semi-Hermetic Compressor"
        Isolation Transformers        110v-120v <--> 220v converters
    3824 9327    Emulator and Manikin Control       
    CF 30 CTQAZBM    Toughbook        - With Noise Book
        HVAC Centrifugal Spin Table       
        Exhaust Gas Recirculation Bench       
    BK 063 NADP 5 STACK TEACHING JIG MEP-P 0999            Parts only, not yet assembled as machine
    BK 062 NADN 1 PALLET MESUREMENT JIG 3D MEP-P 0999            Parts only, not yet assembled as machine
    BK 062 NADN 6 PALLET MESUREMENT JIG TOOLING MEP-P 0999            Parts only, not yet assembled as machine
    BK 062 NADF 1 PALLET TOOLING MEP-P 0999            Parts only, not yet assembled as machine
    BK 062 NADD 1 ANGLE PIN MEP-P 0999            Parts only, not yet assembled as machine
    B 0 PD 05079-B 121 ESD SHEET UNIT MOUNT MEP-P 1033            Parts only, not yet assembled as machine
    ESD SHEET UNIT MOUNT; ETC (6555582)            Parts only, not yet assembled as machine
    FC-20-60-PE    Refrigeration       
        Compress Air Dryer       
        Lot of Electric Test Equipment        "- Mitsubishi Diamond Plus
- C&C Power"
        ISO Tanks       
        Stainless Steel Mixing Tank        550 Gallon
        Stainless Steel Mixing Tank        550 Gallon
        Solvent Tank        "- 5L
- With Mixer"
        Stainless Steel Mixing Tank        600 Gallon
        Automated CIP Portable Skid       
        Bottle Air Rinse       
        Centrifugal Pump       
        COP Portable Parts Washer       
        Coriolis Mass Flow Meter Display Controller       
        Emulsion Support Cart        "With:
- Aqueous Phase Control Valve
- Oil Phase Control Valve"
        External Vial Washer       
        Liposome Reactor       
        Liquid Ring Pump       
        Mass Flowmeter       
        Sanitary Control Valve        with Positioner
        Stainless Steel Mix Tank        with Agitator
        Scales       
        Lab tables with vent       
        Metal racks       
        Slurry Recycled Module       
        Arlink Table        30 x 60
        Arlink Table        "- Feet not available
- 30 x 60"
        Bench Depot Table        "- 24 x 60 (11)
- 20 x 96 (1)
- 20 x 60 (1)
- 24 x 96 (2)
- 30 x 60 (1)
- 24 x 72 (1) with Hole
- 24 x 84 (1) with Hole"
        Bench Depot Post        "- Grey
- Depth: 18"
        Bench Depot Post        "- White
- Depth: 12"
        Grid Posts        Chromium
        Grid Posts        Black
        LED Lights        Length: 48
        Chromium Rack Grid        "- 14 x 36 (12)
- 12 x 48 (17)
- 24 x 48 (18)
- 18 x 48 (2)
- 16 x 48 (21)"
        Black Rack Grid        24 x 48
        Blue Trolley       
        Wire Mesh Shelf        "- 4 Shelves
- 18 x 48"
        Wire Mesh Shelf        "- 2 Shelves
- 24 x 24"
        Chromium Wire Shelves        "- 12 x 60 (4)
- 12 x 72 (6)"
        Tube Furnace       
        Linear Sputtering System        CPA Style
        Manual Wet Bench       
        Industrial Band Saw       
        Glove Box       
        Drill Press and Bits       
        Water Pump       
        Handle Box       
        Servo Amps       
        Electrodes       
        Oil Less Air Compressor       
        Double Sided Polishing Machine       
        Press Brake        Unit has an internal leak that causes the beam to "drift" down. The leak stops after cycling the beam 50-100 times
    SP 66 36 TO    Sandblast Cabin        "- 420 Liter
- With expanding mandrel
- Max. Width up to 660mm
- Max. Coil weight: 2000kg
- For coil inside diameter of 380mm
- Driven by a special gear motor
- Synchronized to the expanded metal machine by lever switch
- Power requirement: approx. 0.75 kW
- Operating voltage: 3x 400V, 50 Hz
- Control voltage: 230V
- 1 recoiler - type AU 66
- Max. Width up to 660mm
- Max. Coil weight approx. 1500kg
- With linear motor, adjustable for constant tension and potentiometer setting
- Synchronized with the expanded metal machine
- Mandrel suitable for holding the bobbins
- Power requirement: approx 2kw
- Operating voltage: 3x 400V, 50 Hz
- Control voltage: 230V
1 soundproof cabin for expanded metal punching machine type SP 66-36 t
- Basic dimensions: approx. 3.20 x 3.20 x 2.30 m, with a soundproof tunnel at the material inlet and outlet
- Ventilation by roof fan with upstream exhaust air silencer, 25-fold air exchange
p / min
- Fan type Siemens diam. 250 2 CC2 252 - 1 AA
- Guaranteed reduction to approx. 85 dBA, measured at a distance of 1 m perpendicular to the
Direction of sound propagation"
        Bench Phos-Etch       
        CDU        3 Drum
        CR Etch CDU        3 Drum
        Phos Etch CDU        3 Drum
        Solvent Deliver Unit        3 Drum
        Steam Kettle       
        Light Up Tester       
        Sieving Plant        "- Max load capacity: 700 kg
- Tolerance: ± 0.5 kg
- Big-Bag (LxWxH): 1000mm x 1000mm x 1000mm; 580 kg
- Paper bags (LxWxH): 600 - 700mm x 250 - 500mm x 200 - 400mm; 20-25 k
- Throughput at least 500kg / h"
        Semi Auto Inspection System       
        Lot of Electronic Equipment       
        Power Distribution Cabinet        "with:
- Direct Logix PLC
- Operator screen mounted on a frame utilizing an industrial computer"
        Ionizator       
        Torque Measurer       
        Press and Depanelizer       
    SC 500 1 G    Ultra Sound Appliance        with Tank
    SC 500 1 G    Ultra Sound Appliance        with Tank
        Repair Tools        "With:
- Welding Tip
- Desoldering Mesh
- Cleaning Cloth
- Pliers
- Thingband"
        Equipment Lot        "Includes:
- Hakko Sucker
- Hakko Hot Air Station
- Magnifying Glass
- Hakko Soldering Iron
- Welding Iron Meter"
        Solder Pallet        "- Lead Free
- 640kg"
        Solder Pallet        "- Lead Free
- 535kg"
        Scanner Bar Code Reader       
        Blower       
    T 412 S 5432    Transheat Belt Furnace       
    TL 84 3 72 N    Transheat Belt Furnace       
        Vacuum Paint Chambers       
        Burn in Rack       
        Fluoroware System Spin Dryer       
    10 140 E    Incubator       
        Inclined Link-Belt Conveyor       
        Heat Seal Turbo Heat Tunnel       
        KX Cream and Lotion Fillers       
        Mixer       
        Standing Drill Press       
    5336 DH    Solder Paste Die Bonder for TO263    Below 8"    "- Main Power Supply = 220V
- Ground 1 ohm"
        Die Expansion Machine        - One way 220V
        Mobile Manual Push-Pull System for Furnace Tube        - Power Supply: One-Way 110V
        Automatic Wire Stripping Machine        "- 220V
- Wire cutting: AWG10#-AWG28#
- Wire length: 42mm-99999.9mm
- Strip length: 3-30mm"
        Panel Saw       
        Lens Grinding Machine       
        Grinding Machine       
        Small Lathe       
        Continuous Polisher       
        Lathe       
        Micro Blaster       
        Pallet Rollers       
    8808    Singulator       
        Substrate Printer       
        Dryer Unit       
        Cart Washer       
        Stainless Air Tanks       
        Boring Machine       
        Panel Tester Robot Arm       
    CSD    Centrifuge       
    PS 110 1 C 30    Filter Pump       
               
        Punching Machine for AOI       
        Burn-In Machine       
        Large Ceramic Lined Ball Mill       
    HBQ 8040 E    Bench Crimping Press        8 Ton
        German Type Conveyor        for 18  1.5 X 0.9 m boards 3*220VAC
        Stainless Steel Fume Hood       
        High Frequency Soldering Apparatus       
        Lot of Electronic Test Equipment       
        Manual Probe Station       
        Autoclave        8' diameter by 30 Feet
        Central Fuse for Meyer Burger Saw       
        Wafer Track       
    CR 8000    Full Convection Reflow Oven        "- 8 independently controlled upper heating zones
- 8 independently controlled lower heating zones
- PID temperature controls in each zone with ±1?C accuracy
- Motorized and hand-crank adjustable pin conveyor with max width 450 mm (17.7"")
- 570 mm (22.4"") wide mesh belt conveyor
- Industrial PC w/Windows-based OS and LCD monitor
- CR series oven management software
- KIC Auto Focus profile prediction software
- Timed automatic startup and shutdown modes
- UPS battery backup"
        Lot of Electric Test Equipment       
        Ultra Sonic Cleaner       
        Mega Sonic Cleaner       
        Plasma Oxygen Asher       
        Clean Room Solvent Wet Bench        "- Mask clean station
- Heated pre-bonding clean station
- Heated photoresist strip station"
        Acid / Base Bench        "- Heated and circulated Si3N4 etch station
- Heated Piranha clean station
- Cr etch station
- Au etch station
- RCA (pre-furnace) clean station (organic and ionic)"
        Acid / Base Bench        "- Glass etch station
- BHF etch station
- Heated Piranha clean
- Photo-Resist develop station
- Lift-off station
- Ultra-sonic clean station"
        Metal Etch Unit        "- Ultrasonic Station with Programmable Digital Control
- Wafer Cleaning Station"
        Clean Room Ovens        - Ambient, Vacuum
        Horizontal Air Oven        High Temperature
        Wafer Flatness Measurement Tool       
        DI Water       
        Comprehensive Piped Waste Management System       
        Compressed Air        Multiple Generators
    M 3 X 2 Twin Head    CNC Milling and Drilling Machine        "- Flood Cooling System
- Tooling & Tooling Holders
- Vise & Clamping Fixtures
- Internet Interface
- Hand Pendant Tooling Remote Control Interface"
        Fermenter System        "- Controlled manually or with a DCU
- Sartorius control towers
- Vessels by Unilever Research with ""multiple purpose design."" Spare parts and probers are interchangeable.
- DCU can control one, two or three fermentors. Fermentors can also function manually.
- Vessels are interchangeable, due to chosen magnetic coupling for the stirrers. Magnetic couplings give more space inside the vessels and provide a larger amount of inputs/outputs at the top and bottom plates."
        Ingot Growth System       
        Metal Deposition Chamber        Not operational
        Tube Furnace        "Lot of 2:
- Thermolyne 21100
- Thermo Scientific Lindberg Blue M"
        Static Mold Machine       
        Static Mold Machine       
        Sand Blaster       
        Mega Safe       
        Spool Polishing Machine        "- Has a foot pedal for rotation
- Can fit different types of spools (arbor 4-8"", with appropriate chucks)"
        Scale and Wrapping Machine       
        Custom Sputtering System       
        Controlled OL/TF Life Test       
               
        RTOL System       
        RTOL System       
        RTOL System       
    FMC 1201    Fourier Infrared Transform Spectrometer        "- 230V, 60W
- Wpectrum workspace: 400-7800 c1
- Resolution: 1 cm-1"
        10'x5' Optical Granite Table       
        8'x4' Optical Granite Table       
        4'x4' Optical Granite Table       
        Shear       
        Punch Press, Drill Press       
        Optical Comparator       
        Grinder, Sheer, Roller, Sander       
        Belt Furnace       
        Belt Sander       
    Advanced Energy    MDX-L 12 M    Power Supply    "M/N 3152313-014 A
208 V, 3 phase, 4 wires power"
Advanced Energy    Pinnacle M/N 3152412-233 A    Generator    - 20kW
Advantest    R 3162    Spectrum Analyzer    "- 9kHz-8GHz
- Malfunctioning display when machine turns on.  View our video for details."
Advantest    TQ 8346    Optical Spectrum Analyzer   
Aeroflex Weinschel    7005 A 3    Planar Crown RF Connector    18 GHz
Agilent    4395 A    Network / Spectrum / Impedance Analyzer 10 Hz to 500 MHz    "- Firmware: Rev 01.04
- The unit passes all of the start up tests"
Agilent    N 5106 A    PXB Baseband Generator and Channel Emulator   
Agilent    Harrison 6428 B    DC Power Supply    "0-20V
0-45A"
Agilent    E 366 XA, E 390 XA Series, Model C 2786-60024    Electric Cabinet    - Rack Only (Test Equipment inside not included)
Agilent    8561 B    Spectrum Analyzer    "- 5.5GS/s
- 50Hz - 6.5GHz"
Agilent    8703 A    Lightwave Component Analyzer    "- 1550 nm / 130 MHz- 20 GHz
- OPT: 012100210"
Agilent    8168 F    Tunable Laser Source    With Option 022 (Angled Contact)
Agilent    81576 A    Variable Optical Attenuator Module    with Power Control
Agilent    86130 A    BitAlyzer Error Performance Analyzer    "- 3.6 GB/s
Following errors occur at boot up:
- 0251: System CMOS checksum bad
- 02BO: Diskette drive A error"
Agilent    83493 A    Single-Mode Clock Recovery Module    - 2500 Mb/s
Agilent    8164 A    Lightwave Measurement System   
Agilent    8163 A    Lightwave Multimeter    - With Agilent 81576A Attenuator Power Control
Agilent    83493 A    Single-Mode Clock Recovery Module    - 2500 Mb/s
Agilent    83493 A    Single-Mode Clock Recovery Module    - 2500 Mb/s
Agilent    83493 A    Single-Mode Clock Recovery Module    - 2500 Mb/s
Agilent    E 4402 B    Spectrum Analyzer    - 9kHz - 3.0GHz
Agilent    E 6607 A    EXT Wireless Communication Test Set    - 50/60/400 Hz
Agilent    N 4872 A    Data Generator 13.5Gb/s / 7Gbs   
Agilent    81134 A    Pulse / Pattern Generator, 3.35GHz    "- 47-63Hz
- 100-240V
- 200VA"
Agilent    81133 A    Pulse / Pattern Generator, 3.35GHz    "- 47-63Hz
- 100-240V
- 200VA"
Agilent    8644 A    Synthesized Signal Generator    Option : 001, 002
Agilent    4275 A    Multi-Frequency LCR Meter    "- Internal power supply is damaged, blows fuses
- Parts System"
Agilent    5370 B    Universal Time Interval Counter    "- 2.5 A
- 1.25 AT
- 220 VA Max"
Agilent    8114 A    Pulse Generator    "- 100V / 2A
- Option 001 Installed
- Error:
     The unit gives the following error when running the self-test for the signal: No input from output board
     The problem is on the output board (Part 08114-66506)
     The fuse F103 on the output board is broken (fuse for floating +17 volt rail)
     The fuse is a 1/8 amp through hole fuse (Mouser P/N 576-0251.125MXL)
     The floating +17 volt rail is fine coming from the power supply. There is approx. 35 volts on the other side of the fuse and has 20 ohms resistance to ground while the unit is powered up.
     All other boards in the unit have been tested and are working."
Agilent    6645 A    DC Power Generator    "- Voltage 0-120V, Amp 0-1.5A
- Unit is tested and fully functional"
Agilent    81104 A    Pulse / Pattern Generator    "- Parts Unit
- 80 MHz
- One output board is broken and one output board is missing (HP 81110-66508)
- It still has a good power supply, front end/working screen
- The following self tests pass: Interface, microprocessor, ROM and RAM"
Agilent    E 4426 B ESG-AP Series    Signal Generator   
Agilent    E 4438 C ESG    Vector Signal Generator    "- 250 kHz - 2.0 GHz
- Options: 005, 1E5, 409, 502, 602"
Agilent    N 4903 B    J-BERT High Performance Serial BERT 12.5 Gb/s    "Options: C13, D14, U02
Passes all self-tests"
Agilent    8562 B    Spectrum Analyzer    - 1kHz-2.9GHz & 2.75GHz-22GHz
Agilent    E 5287 A    Atto Level High Resolution SMU Module    - Passes self test and calibration
Agilent    E 5287 A    Atto Level High Resolution SMU Module    - Passes self test and calibration
Agilent    E 5287 A    Atto Level High Resolution SMU Module    - Passes self test and calibration
Agilent    E 5287 A    Atto Level High Resolution SMU Module    - Passes self test and calibration
Agilent    85052 B    3.5mm Calibration Kit    "Includes:
- Software
- HP 85052-60040 3-26.5 GHz
- 3.5mm Sliding Load
- One Male Connector Gage 3.5mm
- One Female Connector Gage 3.5mm"
Agilent    85110 A K-30    Test Set Control / IF Multiplexer    - Option: K30
Agilent    N 2890 A    Passive Probe for Oscilloscope    "- 500 MHz
- 11pF
- 300 VRMS
- New in box"
Agilent    N 2890 A    Passive Probe for Oscilloscope    "- 500 MHz
- 11pF
- 300 VRMS
- New in box"
Agilent    N 2890 A    Passive Probe for Oscilloscope    "- 500 MHz
- 11pF
- 300 VRMS
- New in box"
Agilent    N 2890 A    Passive Probe for Oscilloscope    "- 500 MHz
- 11pF
- 300 VRMS
- New in box"
Agilent    4294 A    Precision Impedance Analyzer 40Hz-110MHz    "Options: 800
Unit passes all self tests"
Agilent    04294-61001    100 Ohm Resistor   
Agilent    6236 B    Triple Output Power Supply    0-6V, 0-2.5A / 0+/-20V, 0-0.5A
Agilent    4194 A    Impedance / Gain-Phase Analyzer with Measurement Unit    "- 100Hz-40MHz
- Options: OPT350
- Notes: Version 2.3, Scope appears to be working, passes start up tests and is able to make measurements"
Agilent    4194 A    Impedance / Gain-Phase Analyzer with Measurement Unit    "Options: OPT350
Notes: Version 2.4, Scope appears to be working, passes start up tests and is able to make measurements"
Agilent    1745 A    Oscilloscope    - 100 MHz
Agilent    86140 B    Optical Spectrum Analyzer    - Passes self tests on start up
Agilent    8350 B    Sweep Oscillator    "- with 83595 A RF Plug-In
- .01-26.5 GHz"
Agilent    3457 A    6.5 digit Multimeter DMM    - Unit passes self test
Agilent    34902 A    16 Channel Multiplexer   
Agilent    34902 A    16 Channel Multiplexer   
Agilent    83595 A    RF Plug-in    .01-26.5 GHz
Agilent    8350 B    Sweep Oscillator    .01-26.5 GHz
Agilent    6460 A    Triple Quad Mass Spectrometer (LC/MS)    With a jet stream ESI source, rougher pump and quiet cover.
Agilent    81654 A    Laser Source Module   
Agilent    81614 A    Return Loss Module   
Agilent    81632 B    Power Sensor Module   
Agilent    81656 A    Laser Source Module   
Agilent / Keysight    E 8257 N    PSG Analog Sweep Signal Generator    "- 10MHz-40 GHz
Options:
- 007 - RAMP SWEEP (STD)
- 1E1 - MECHANICAL ATTENUATOR (STD)
- 1EH - IMPROVED HARMONICS BELOW 2.0 GHz
- 1EU - HIGH OUTPUT POWER
- 340 - ENABLE FULL E8257D FEATURE SET
- 540 - 40 GHz FREQUENCY (STD)
- UNT - AM/FM/PHASE MODULATION AND LF OUT (STD)
- UNW - HIGH-PROFORMANCE PULSE MODULATION (STD)
- U01 - R2C: CORE FW ENHANCEMENT
- U02 - R2C: CORE FW ENHANCEMENT, C.06.15
This unit passes all self tests"
Ando    AQ 8203    Halfsize Frame   
Ando    AQ 2141    Optical Multimeter Expansion Frame   
Ando    AQ 4321 D    Tunable Laser Source    - Passes start up tests and completes self calibration
Ando    AQ 4321 A    Tunable Laser Source    - Passes start up tests and completes self calibration
Anite    12954    Dual RF Antenna Combiner    "- Rev 1.2
- Dual Antenna
- Power 120/240
- 50/60Hz
- 6/3A"
Anritsu    MU 150100 A DVT        - 10/10.7 G Unit
Anritsu    MS 96 A    Optical Spectrum Analyzer   
Anritsu    MT 8801 B    Radio Communication Analyzer - 300kHz-3GHz   
Anritsu    MP 1590 B    Network Performance Tester    "with 3 Modules:
- MU150100A 10/10.7G Unit
- MU150121B 10/10.7G Optical / Electrical Unit (Tx)
- MU150125A 10/10.7G Jitter Unit"
Anritsu    MS 9001 A 1    Optical Spectrum Analyzer    "- 0.6-1.75um
- Powers ON, Screen does not work"
Anritsu    MP 1763 B    Pulse Pattern Generator    - 0.05-12.5GHz
Anritsu    MP 1763 C    Pulse Pattern Generator    - 0.05-12.5GHz
Anritsu    37347 A    Vector Network Analyzer    -  40MHz-20GHz
Anritsu    MP 1632 C    Digital Data Analyzer    "Plug-ins Included:
- MU163220C 3.2G PPG
- MU163240C 3.2G ED"
Anritsu    MW 9076 D    Optical Domain Time Reflectometer    - SMF 1.31/1.41/1.55/1.625UM OTDR
Applied Materials        Profiler Carrier    P/N: 0040-51791
Applied Materials        Profiler Membrane    "- P/N: 0020-75115
- New and sealed in OEM bag"
Applied Materials    Retaining Ring Grooved PPS AEP 300MM    Profiler Retaining Ring    "- P/N: 0040-49965
- New in sealed OEM bag"
Applied Materials        Lower Cross Cover    "- P/N: 0020-79378
- Includes wafer loss sensor and PCB"
Applied Materials    0010-19188    Assy, Bosch Rexroth Wafer Lift Assembly    "- Rev. - 001 , 3007051-488-5818
- Panasonic Servo MQMAO12A3F"
Applied Materials    Original Profiler Carrier    Profiler Carrier    P/N: 0040-51791
Applied Materials    Original Profiler Carrier    Profiler Carrier    P/N: 0040-51791
Applied Materials        Profiler Membrane    "- P/N: 0020-75115
- New and sealed in OEM bag"
Applied Materials        Profiler Membrane    "- P/N: 0020-75115
- New and sealed in OEM bag"
Applied Materials    Retaining Ring Grooved PPS AEP 300MM    Profiler Retaining Ring    "- P/N: 0040-49965
- New in sealed OEM bag"
Applied Materials    Coated Silicone 300mm Titan    Profiler Membrane    P/N: 0020-75159
Applied Materials    200mm Lower Cross Cover includes Wafer Loss Sensor and PCB    Lower Cross Cover    "- P/N: 0020-79378
- Includes wafer loss sensor and PCB"
Applied Materials    200mm Lower Cross Cover includes Wafer Loss Sensor and PCB    Lower Cross Cover    "- P/N: 0020-79378
- Includes wafer loss sensor and PCB"
Applied Materials    0190-13714    Assy, Bosch, Motorized Lift, PVD/PC Panasonic    - Complete stand
Applied Materials    0190-13714    Assy, Bosch, Motorized Lift, PVD/PC Panasonic    Used, damage to motor see pictures
Applied Materials / Semplastics    Retaining Ring AMT MRA ACIES X3G    300mm Profiler Retaining Ring    "- For Amat Mirra
- Open in Bag
- P/N: S-9073"
Applied Materials / SPM Technology    Retaining Ring AMT MRA ACIES X3G    300mm Profiler Retaining Ring    "- For Amat Mirra
- New in OEM Bag
- P/N: 30675"
Asyst        SMIF Wafer Case Transfer Pod    "- Internal Wafer Transport Carrier: Entegris KA198-80MB STAT-PRO 3000, 25 slots
- : 4001-7102, 4001-4302-XX Rev C
- Carrier seems to be in good condition"
Asyst        SMIF Wafer Case Transfer Pod    "- Internal Wafer Transport Carrier: Entegris KA198-80MB STAT-PRO 3000, 25 slots
- : 4001-7102-01, 4001-4302-XX
- Carrier seems to be in good condition"
Asyst        SMIF Wafer Case Transfer Pod    "- Internal Wafer Transport Carrier: Entegris KA198-80MB STAT-PRO 3000, 25 slots
- : 4001-7102-01, 4001-4302-XX
- Carrier seems to be in good condition"
Asyst        SMIF Wafer Case Transfer Pod    "- Internal Wafer Transport Carrier: Entegris KA198-80MB STAT-PRO 3000, 25 slots
- : 4001-7102-01, 4001-4302-XX
- Carrier is pretty dirty and has a small crack in the side"
Celestry    9812 B    Noise Analyzer   
Chatilliom    DFG 2    Digital Gram Gauge   
Chroma    61501    Programmable AC Source   
Chroma    650    Switcher Analyzer    "- 100V, 50A, 300W
- 20 MHz"
Clippard    ET 2 M 12    2 Way Valve    "- 12 volts
- New (open box)"
Clippard    ET 3 M 12    3 Way Valve    "- 12 volts
- New (open box)"
Coherent    Sabre    Ion Laser System    "- Laser Head
- Two Heat Exchangers
- One Power Supply"
Datron Instruments / Wavetek    4950    Multifunction Transfer Standard    "- Options: 80
- Unit passes self confidence test, this unit is missing one button on the front but can still be pressed"
Dickson    THDX    Humidity and Temperature Meter    - Untested
Dickson    THDX    Humidity and Temperature Meter    - Untested
Dickson    THDX    Humidity and Temperature Sensor   
Dranetz    206 A    Digital Tone Burst Timing Generator   
Dynamco    D 1 K 2202    Electric Valve    "- 12 volts
- 2.5W
- New (open box)"
Ericsson    RSU 12    Optical Ribbon Fiber Fusion Splicer   
Exatron    902    Linear Pick & Place    "With:
- Dual Vision Inspection System
- Gamma Scientific 0.5 Meter Optical Integrating Sphere and Spectrometer
- Manual available upon request"
Excelta    MB 1    Mini Beeper   
Fluke    TI 400    Thermal Imager    "- IR Fusion Technology, 60Hz
- Passes start up test, comes with manual, CD, 2 batteries and charger"
Fluke    BT 521    Battery Analyzer    "Version: 1.2
Analog Board Version: 0.58
Device name: FLUKE-BT521-6FD13
Other items included: i410 AC/DC Current Clamp, BTL21 Battery Test Leads, BTL10 Battery Test Leads, BTL-A, TL175, Case, Calibration Board"
General Eastern (GE)    Hygro M 2    Dew Point Monitor   
Hart Scientific    2100        - Power cord cut
HP / Agilent    16195 A    7mm Calibration Kit    "Includes:
- Comes with Low Loss Capacitor
- HP / Agilent 04919-85300
- HP 04191-84302"
HP / Agilent    10435 A    Passive Probe for Oscilloscope    10:1, 7.5pF
IFR Systems / Marconi    2042    Low Noise Signal Generator    "- 10kHz - 5.4GHz
- options: 01 - Second LF Oscillator"
IFR Systems / Marconi    2041    Low Noise Signal Generator    "- 10kHz - 2.7GHz
- Options: 01 Second LF Oscillator"
Inframetrics    760       
Intelix Balun    Digi-VGASD-R    VGA, Audio, & RS232/IR over Twisted Pair Receiver    : 900-DIGI-VGASD-R
JDSU    MAPF+ 1 GGP 01 FP    MAP Tunable Filter    - Can be sold with or without JDSU MAP + 2M00 Mainframe
JDSU    MAP+ 2 M 00    Multiple Application Platform    - Can be sold with or without MAPF+ 1 GGP 01 FP MAP Tunable Filter
JDSU    SWS 15101 3642 HE 15    Tunable Laser Source    Options: P6 / M
JDSU    SWS 15104    C-Band 4-State Polarization Controller   
JDSU    SWS 15101 3642 HE 1500    Tunable Laser Source   
JDSU    SWS 15104    C-Band 4-State Polarization Controller   
Karl Suss    MJB 3    Mask Aligner    "- Array of 1W (flux output) 365nm diodes (LED EnginLZ1 UV 365 LED)
- Configured for 230V power supply
- Lamphouse with mercury lamp
- 3"" wafer chucks
- 2"" and 4"" mask holders
- The pneumatics control box has leaky connections inside
- The machine originally had a mercury lamp and power supply. The power supply failed a few years ago, so an array of 365nm diodes were built into the light path. The system has good power at 365, but has a dark spot on the south east edge of the exposure area.
- Vacuum contact mode still worked"
Keithley    2500    Dual Photodiode Meter   
Keysight / Agilent    N 4916 B    De-Emphasis Signal Converter    "- Options: STD
- Power 115
- 60Hz"
Kraft Dynatronix    CDC 1012-.5-1 CC    Current Distribution Module    "- PN: 990-0114-02
- Output 10V, 110V 50/60 Hz
- Single Phase"
Krohn-Hite    7500    Amplifier   
Leader    LG-3804    DVB-T Signal Generator    Options: OP74, OP71
LeCroy    SDA 4000 A    Serial Data Analyzer    "- Dual 20GS/s, Quad 10GS/s
- Hardware Options: -XXL
- Software Options: ASDA ET SBA
- Unit powers on and completes its self test and calibration"
Lin Engineering    4218 M 01-22    Stepper Motor    1.7A
Lioni Precision    DMT 12    Dual Range Sensitivity Probe Driver Module    Lo Range: 1v .001 Hi Range: 1v .0001, +/- 15 VDC @ 130 mA
Litepoint    IQview AN 4031        "- WLAN 2.4/5 GHz
- Unit powers on but does not boot up, bad hard drive"
Lumenis    VersaPulse PowerSuite 20 W    Holmium Laser System   
Maury Microwave    MT 982 E    Automated Tuner    0.8-8GHz
Newport    1931 C    Optical Power Meter   
Newport    819 C    Spectralon Collimated Beam Integrating Sphere    - 5.3 inch diameter, 4-Port, 2.5 inch large port
Newport    1936 C    Power Meter   
Newport    1936 C    Power Meter   
Newport    1936 C    Power Meter    - Power supply turns on but unit does not come on. For parts, has damage to circuit board.
Newport    1936 C    Power Meter    - This unit was calibrated December 2018
Newport    819 C    Spectralon Collimated Beam Integrating Sphere    - 5.3 inch diameter, 4-Port, 2.5 inch large port
Newport    zED-TH/N    Humidity Sensor    - Untested
Newport    zED-TH/N    Humidity Sensor   
Newport    zED-TH/N    Humidity Sensor   
Newport    zED-TH/N    Humidity Sensor   
Newport    zED-TH/N    Humidity Sensor   
Newport    zED-TH/N    Humidity Sensor   
Newport    zED-TH/N    Humidity Sensor   
North Atlantic    8500 F 141    Angle Position Indicator   
Osaka Vacuum    TG 1300 MCAB    Mag Suspended Turbo Pump   
Photonetics    Walics 3651 HR 12    Optical Spectrum Analyzer    FC-PC Options
Progressive Technologies    Sentry 1000    Blower for Tel Mark 8    "Model: 11452G01
MODUS Instruments Inc - Pressure Transmitter (Model: T10-0205)
Motor: BODINE Electric company Model: 34R6BFCI, 115 volt"
Progressive Technologies    Sentry 1000    Blower for Tel Mark 8    "Model: 11452G01
MODUS Instruments Inc - Pressure Transmitter (Model: T10-0205)
Motor: BODINE Electric company Model: 34R6BFCI, 115 volt"
Progressive Technologies    Sentry 1000    Blower for Tel Mark 8    "Model: 11452G01
MODUS Instruments Inc - Pressure Transmitter (Model: T10-0205)
Motor: BODINE Electric company Model: 34R6BFCI, 115 volt"
Progressive Technologies    Sentry 1000    Blower for Tel Mark 8    "Model: 11452G01
MODUS Instruments Inc - Pressure Transmitter (Model: T10-0205)
Motor: BODINE Electric company Model: 34R6BFCI, 115 volt"
Quadtech    7600    Precision RLC Meter    - Unit passes self tests
Rohde & Schwarz    ZVC    Vector Network Analyzer    20kHz to 8GHz
Rohde & Schwarz    SME 03    Signal Generator 5kHz-3.0GHz    "Options:
- SME-B19 1039.3907.02 (Rear panel connections for RF and LF)
- SM-B5 1036.8489.02 (FM/PM-modulator)"
Rohde & Schwarz    SFE 100    Test Transmitter   
Royce Instruments    550    Wire Bond Pull Tester    with 5Kg STM Load Cell
Rudolph / August    NSX 90    Inspection System   
Rudolph / August    NSX 90    Inspection System   
Scientech    37-4002, 374    Power and Energy Meter    - Missing Fuse Holder
Scientific Atlanta    1844-3    Digital Position Indicator   
Scientific Atlanta    4100    Positioner Control Indicator Series    "Model: 4111
Watts: 1k
Volts: 115
Cycles: 50/60 Hz
Notes: on/off light is not working, adjustment controls working 2-116 VAC, forward/reverse switch working, equipment seems to be working but unable to test without motor"
Semitool    240 S-3-1-E-ML    Spin Rinse Dryer   
Spectra Physics    117 A    Stabilized HeNe Laser Controller    - Unit is missing keys to power on but you can change the locks to switches
Spectroline    EN 104 L-BV    Long Wave Ultraviolet Light Lamp 365nm   
Spirent    GSS 6300    Multi-GNSS Generator    "- PN: GSUA-6078
- Single Channel
- Power 120/240
- 50/60
- 3 Amps
Includes:
- GPS L1
- Sbas 6001 firmware upgrade support
- Gal IN single chassis"
Spirent    GSS 6700    Multi-GNSS Simulator    Model: GSUA-0120
Stanford Research Systems (SRS)    SR 510    Lock-in Amplifier   
SyntheSys Research    DPP 12500 A-4 T BERTScope    Four Tap Digital    "- 1-12.5Gb/s
- 14GB Upgraded
- 100-240V 50/60 Hz CE"
Tegram    900 A    Solder System Tester   
Tektronix    TLA 704    Logic Analyzer Color Portable Mainframe   
Tektronix    TLA 7 M 4    136 Channel LA Module with MagniVu Acquisition   
Tektronix    TLA 7 L 3    102 Channel LA Module with MagniVu Acquisition   
Tektronix    TLA 5203    Logic Analyzer   
Tektronix    TDS 8000    Digital Sampling Oscilloscope    "Includes:
- 80C12 Optical Sampling Module
- 80A05 Clock Recovery Module"
Tektronix    80 A 05    Clock Recovery Module   
Tektronix    DTG 5078    Data Timing Generator    "- 750Mb/s
- Includes National Instruments PXI-GPIB Module"
Tektronix    TLA 5202    Logic Analyzer    "- 68 Channel
- Chassis: 512MB DRAM / 80.0 GB HDD
- TLA5F202 Option 51 Field Upgrade Increase to 8Mb Record Length @ 235 MHz State Speed"
Tektronix    TLA 5203    102 Channel Logic Analyzer    "- Options: 8S - 8Mb Memory Depth @ 235 MHz State Speed
- 47 to 63 Hz
- 100V to 240V
- 220W Max"
Tektronix    1230    Logic Analyzer   
Tektronix    DTG 5078    Data Timing Generator    "- 750Mb/s
Includes:
- National Instruments PXI-GPIB Module
- (QTY: 1) DTGM10 Output Modules"
Tektronix    DTG 5078    Data Timing Generator    "- 750Mb/s
- National Instruments PXI-GPIB Module"
Tektronix    PS 2520 G    Programmable Power Supply    "- Power: 110/240
- 50/60
- 0-20A"
Tektronix    P 7350    Differential Probe    "5.0 GHz
<100 ps tr
6.25X ATTEN
Common Mode
Range +6.25V, -5V
Offset +/- 1.25V
Diff Input
C, <0.3pF
R, 100KOmega
Range +/- 2.5V"
Tektronix    TCP 202 A    Current Probe    "300V CAT I
15A peak"
Tektronix    TDP 3500    Differential Probe    "3.5 GHz
tr<110ps(typ)
Vdifferential: +/-2.0V"
Tektronix    TLA 704    Logic Analyzer Color Portable Mainframe    "- Windows 95 Based
- Power: 90-250V 50/60 Hz
Includes:
- TLA 7D2 4 Channel DSO
- TLA 7L1 Module
- CRT Monitor
- Cart"
Tektronix    AWG 5012    Arbitrary Waveform Generator    "- 1.2 GS/s
- Passes all start up tests and calibration"
Tektronix    2402 A Tekmate    Floppy Disk Drive    - Powers on and runs through start up tests, does not appear to have any issues. Does not come with any disks.
Tektronix    TLA 7 D 2    DSO Module    4CH, 500MHz, 2.5GS/s, FW V1.1
Tektronix    TLA 7 L 1    Logic Analyzer 34 channel module, FW V2.0    Options: STD - 2GHz timing, 100MHz state, 32K depth
Tektronix    2402 A Tekmate    Floppy Disk Drive    - Powers on but does not run any self diagnosis start up tests. Unit does not come with any disks.
Tektronix    TCP 202    Current Probe    - Probe is functional but is missing one button to slide the probe open and close. The probe can still be opened by hand
Tektronix    MSO 2024    Mixed Signal Oscilloscope    "- 200 MHz, 1 GS/s, 1M Record Length, 4+16 Channels
- Scope passes all self tests, has only been powered on a total of 3 times with a total 'on' time of 1 hour, included original manuals and CDs"
Tektronix    MSO 3054    Mixed Signal Oscilloscope    "- 4 Channel Analog + 16 Channel Digital, 500 MHz,  MHz, 2.5 GS/s
- Total time powered on only 6 hours, includes original manual, CDs, and front cover for scope"
Tektronix    MSO 4104    Mixed Signal Oscilloscope    "- 1GHZ, 5 GS/S, 10M
- Application Module: DPO4COMP - Computer Serial Triggering and Analysis
- Passes all self tests and has only been powered on a total of 6 times, has original manual and CD"
Tektronix    MSO 3054    Mixed Signal Oscilloscope, 500 MHz, 2.5 GS/s    Scope passes all self tests, includes the original manual and CDs
Tektronix    P 6139 B    Passive Probe for Oscilloscope    "- 500 MHz
- New in box
- Includes 2 probes total"
Tektronix    P 2221    Passive Probe for Oscilloscope    "- 200MHz
- 16pF/95pF
- 10X/1X
- 300V/150V
- 6MHz
- 10X / 1X"
Tektronix    P 6139 A    Passive Probe for Oscilloscope    "- 500MHz
- 8.0pF
- 10X
- 300V"
Tektronix    P 6203    Bipolar Probe    "- 1.0 GHz
- 1.8pF
- 10X
- 40V"
Tektronix    P 6243    Active Oscilloscope Probe    "- 1GHz
- 10X
- <1pF
- 40V"
Tektronix    P 6417    Logic Analyzer Probe Cable   
Tektronix    P 2221    Passive Probe for Oscilloscope    "- 200MHz / 6MHz
- 16pF/95pF
- 10X/1X
- 300V/150V"
Tektronix    P 2221    Passive Probe for Oscilloscope    "- 200MHz / 6MHz
- 16pF/95pF
- 10X/1X
- 300V/150V"
Tektronix    P 2221    Passive Probe for Oscilloscope    "- 200MHz / 6MHz
- 16pF/95pF
- 10X/1X
- 300V/150V"
Tektronix    P 6139 A    Passive Probe for Oscilloscope    "- 500MHz
- 8.0pF
- 10X
- 300V"
Tektronix    P 6139 A    Passive Probe for Oscilloscope    "- 500MHz
- 8.0pF
- 10X
- 300V"
Tektronix    P 6139 A    Passive Probe for Oscilloscope    "- 500MHz
- 8.0pF
- 10X
- 300V"
Tektronix    P 6243    Active Oscilloscope Probe    "- 1GHz
- 10X
- <1pF
- 40V"
Tektronix    P 6243    Active Oscilloscope Probe    "- 1GHz
- 10X
- <1pF
- 40V"
Tektronix    P 6417    Logic Analyzer Probe Cable   
Tektronix    AM 502    Differential Amplifier    - Does not power on, parts machine
Tektronix    PS 5010 and TM 5006    Programmable Power Supply    with TM 5006
Tektronix    2520    6 Slot Mainframe Test Lab Multi-Channel Wave Analyzer    Includes Modules: (QTY: 1) 25AD3, (QTY: 4) 25AA1
UVP    UVG-11    Short wave UV-254NM   
Virgina Scientific Instruments (VSI)    FW 101    NMR Magnetometer    - Unit powers up but the pump was disconnected inside because of leaks and missing tubing for liquid
ViTrek    V 60    AC Safety Analyzer   
Wavecrest    DTS 2077    Digital Time System    "- 100-230V
- 50/60Hz"
Wavetek    4950    Multifunction Transfer Standard    - Unit passes self confidence test
Yaskawa    XU-ACL 4203    Ball Screw, 1000mm Travel    "- Applied Materials PN: 0190-10289
- 63"" x 28"" Platform
- Carrier - 13 x 10
- Stage in good condition, cover has dent"
Tektronix    P 6139 A    Passive Probe for Oscilloscope    "- 500MHz
- 8.0pF
- 10X
- 300V"
Tektronix    P 6139 A    Passive Probe for Oscilloscope    "- 500MHz
- 8.0pF
- 10X
- 300V"
Tektronix    P 6139 A    Passive Probe for Oscilloscope    "- 500MHz
- 8.0pF
- 10X
- 300V"
Tektronix    P 6139 A    Passive Probe for Oscilloscope    "- 500MHz
- 8.0pF
- 10X
- 300V"
Tektronix    P 2221    Passive Probe for Oscilloscope    "- 200MHz
- 16pF/95pF
- 10X/1X
- 300V/150V
- 6MHz
- 10X / 1X"
Tektronix    P 2221    Passive Probe for Oscilloscope    "- 200MHz / 6MHz
- 16pF/95pF
- 10X/1X
- 300V/150V"
Tektronix    P 2221    Passive Probe for Oscilloscope    "- 200MHz / 6MHz
- 16pF/95pF
- 10X/1X
- 300V/150V"
Tektronix    P 2221    Passive Probe for Oscilloscope    "- 200MHz / 6MHz
- 16pF/95pF
- 10X/1X
- 300V/150V"
Tektronix    P 6243    Active Oscilloscope Probe    "- 1GHz
- 10X
- <1pF
- 40V"
Tektronix    P 6243    Active Oscilloscope Probe    "- 1GHz
- 10X
- <1pF
- 40V"
Tektronix    P 6243    Active Oscilloscope Probe    "- 1GHz
- 10X
- <1pF
- 40V"
Tektronix    P 6139 B    Passive Probe for Oscilloscope    "- 500 MHz
- New in box
- Includes 2 probes total"
Tektronix    AM 502    Differential Amplifier    - Does not power on, parts machine
Tektronix    P 6203    Bipolar Probe    "- 1.0 GHz
- 1.8pF
- 10X
- 40V"
Tektronix    P 7350    Differential Probe    "5.0 GHz
<100 ps tr
6.25X ATTEN
Common Mode
Range +6.25V, -5V
Offset +/- 1.25V
Diff Input
C, <0.3pF
R, 100KOmega
Range +/- 2.5V"
Tektronix    TCP 202    Current Probe    - Probe is functional but is missing one button to slide the probe open and close. The probe can still be opened by hand
Tektronix    80 A 05    Clock Recovery Module   
Tektronix    TLA 5203    Logic Analyzer   
Tektronix    TCP 202 A    Current Probe    "300V CAT I
15A peak"
Tektronix    TDP 3500    Differential Probe    "3.5 GHz
tr<110ps(typ)
Vdifferential: +/-2.0V"
Tektronix    TLA 7 D 2    DSO Module    4CH, 500MHz, 2.5GS/s, FW V1.1
Tektronix    TLA 704    Logic Analyzer Color Portable Mainframe    "- Windows 95 Based
- Power: 90-250V 50/60 Hz
ES510.1
MOOG    G463-407A    A    G-3-V4-062-06-02-01-00    SERVO MOTOR
MOOG    G463-425A    A    G-3-V4-062-08-03-01-00    SERVO MOTOR
MOOG    G464-627A    A    G-4-V6-042-08-02-01-00    SERVO MOTOR
MOOG    G466-604A    A    G-6-V6-029-06-01-01-00    SERVO MOTOR
MOOG    G484E206    A        SERVO MOTOR
MOOG    G484E406    A        SERVO MOTOR
MOOG    G484E606    A        SERVO MOTOR
MOOG    G484E806    A        SERVO MOTOR
MOOG    G493K003    F        SERVO MOTOR
MOOG    G493K005    F        SERVO MOTOR
MOOG    G493K008A    F        SERVO MOTOR
MOOG    G493K010    F        SERVO MOTOR
MOOG    G493K012    F        SERVO MOTOR
MOOG    G493K012A    F        SERVO MOTOR
MOOG    G493K014A    F        SERVO MOTOR
MOOG    G493K015A    F        SERVO MOTOR
MOOG    G493K016A    F        SERVO MOTOR
MOOG    G493K021    F        SERVO MOTOR
MOOG    G493K021A    F        SERVO MOTOR
MOOG    G493K022A    D        SERVO MOTOR
MOOG    G493K025A    F        SERVO MOTOR
MOOG    G493K029A    F        SERVO MOTOR
MOOG    G493K030A    F        SERVO MOTOR
MOOG    G493L1001    B        SERVO MOTOR
MOOG    G493L1004    B        SERVO MOTOR
MOOG    G493L1029    B        SERVO MOTOR
MOOG    G493L1037    B        SERVO MOTOR
MOOG    G493L1044    A        SERVO MOTOR
MOOG    G495E500    F        SERVO MOTOR
MOOG    G495E505A    F        SERVO MOTOR
MOOG    G495E826    F        SERVO MOTOR
MOOG    G495K009A    F        SERVO MOTOR
MOOG    G495K503A    F        SERVO MOTOR
MOOG    G495K504A    F        SERVO MOTOR
MOOG    G495L1008    B        SERVO MOTOR
MOOG    G495L1031    A        SERVO MOTOR
MOOG    G4X2-010    A        KIT BRAKE EXPANSION
MOOG    G4X3-010A    A        KIT BRAKE EXPANSION
MOOG    G4X3-020A    A        KIT BRAKE EXPANSION
MOOG    G4X4-010A    A        KIT BRAKE EXPANSION
MOOG    G4X4-020A    A        KIT BRAKE EXPANSION
MOOG    G4X5-010A    A        KIT BRAKE EXPANSION
MOOG    G4X5-020A    A        KIT BRAKE EXPANSION
MOOG    G4X6-010A    A        KIT BRAKE EXPANSION
MOOG    G4X6-020A    A        KIT BRAKE EXPANSION
MOOG    G631-3004B1    A    H40JOFM4VBR    SERVOVALVE
MOOG    G631-3800X    W    H60JDGM4VBR    SERVOVALVE
MOOG    J073-103Z    O    S038XOFM VPH    SERVOVALVE 2-STAGE
MOOG    J073-104Z    O        SERVOVALVE 2-STAGE
MOOG    J661-102D    F    PXXFXEACN6A0    PROPORTIONALVALVE 2-STAG
MOOG    J661-108    F        PROPORTIONALVALVE
MOOG    J661-116    F        PROPORTIONALVALVE
MOOG    J661-134D    F    PXXFXGMCN6A0    PROPORTIONALVALVE 2-STAG
MOOG    J661-135D    F    PXXFXGMCN6A0    PROPORTIONALVALVE 2-STAG
MOOG    J661-136D    F    PXXFXGMCN6A0    PROPORTIONALVALVE 2-STAG
MOOG    J661-172D    F    PXXFXEACN6A0    PROPORTIONALVALVE 2-STAG
MOOG    J661-326D    F    SXXFOGMAN6A0    SERVOVALVE 2-STAGE
MOOG    J661-335D    F    SXXFOGMCN6A0    SERVOVALVE 2-STAGE
MOOG    J661-336D    F    SXXFOGMCN6A0    SERVOVALVE 2-STAGE
MOOG    J661-509A    F        PROPORTIONALVALVE
MOOG    J661-711A    F        PROPORTIONALVALVE
MOOG    J661-808    F        SERVOVALVE 2-STAGE
MOOG    J661A103D    F    P60DXEACN6A0    PROPORTIONALVALVE 2-STAG
MOOG    J661A104D    F    P60DXGMAN6A0    PROPORTIONALVALVE 2-STAG
MOOG    J661A173D    F    P60DXEACN6A0    PROPORTIONALVALVE 2-STAG
MOOG    -030-389B    F        SERVOVALVE
MOOG    -062-454C    B    H40DAGM4NAH    SERVOVALVE 2-STAGE
MOOG    -062F102    F        SERVOVALVE
MOOG    -062F102C    B    S10FOFM4VBR    SERVOVALVE
MOOG    -062F103    F    S10FOFMAVBQ    SERVOVALVE
MOOG    -062F103C    F        SERVOVALVE
MOOG    -062F105    F    S20FOFM4VBR    SERVOVALVE
MOOG    -062F105C    F    S20FOFM4VBR    SERVOVALVE
MOOG    -062F106C    F    S20FOFM4VBQ    SERVOVALVE
MOOG    -062F110    F        SERVOVALVE
MOOG    -062F110C    B    S40FOFM4VBR    SERVOVALVE
MOOG    -062F115    F    S60FOFMAVBR    SERVOVALVE
MOOG    -062F115C    B    S60FOFM4VBR    SERVOVALVE
MOOG    -062F120    F    S75FOFM4VBR    SERVOVALVE
MOOG    -062F120C    B    S75FOFM4VBR    SERVOVALVE
MOOG    -062F226    F    S40FOFMAVAQ    SERVOVALVE
MOOG    -062F314B    F    S13DXFM4VBR    SERVOVALVE
MOOG    -062F321A    F    S09DOFM4VAY    SERVOVALVE
MOOG    -062F416C    B    S75FXFM4VBR    SERVOVALVE
MOOG    -062K945C    B    S10FOFM4VBR    SERVOVALVE
MOOG    -063-501A    B    W08BHFM4NPRM    SERVOVALVE
MOOG    -063K530A    B    W08BTFM4NBRB    SERVOVALVE
MOOG    -072-101A    F    S09FOFM4VBH    SERVOVALVE 2-STAGE
MOOG    -072-102-4    F    S15FOFMANBH    SERVOVALVE 2-STAGE
MOOG    -072-102A    F    S15FOFM4VBH    SERVOVALVE 2-STAGE
MOOG    -072-103    F    S22FOFMANBL    SERVOVALVE 2-STAGE
MOOG    -072-1101    B    S09FOFM4VBHN    SERVOVALVE
MOOG    -072-1101-13    B    S09FOGM4VXHX    SERVOVALVE
MOOG    -072-1102    B    S15FOFM4VBHN    SERVOVALVE
MOOG    -072-1102-1    B    S15FMFM4VBHN    SERVOVALVE
MOOG    -072-1102-27    B    S15HOFM4VBHN    SERVOVALVE
MOOG    -072-1102-5    B    S15FOFM5VBHN    SERVOVALVE 2-STAGE
MOOG    -072-1102-6    B    S15KXXM4VBHN    SERVOVALVE
MOOG    -072-1201    B    S09FOFM4VBLN    SERVOVALVE
MOOG    -072-1201-11    B    S09KOFM5VBLN    SERVOVALVE
MOOG    -072-1202-17    B    S15KOFM4EBLN    SERVOVALVE
MOOG    -072-1202-5    B    S15FOFM5VBLN    SERVOVALVE
MOOG    -072-1202-84    B    S15FOGV4VALN    SERVOVALVE
MOOG    -072-1203-34    F    S22KOFM4VBLN    SERVOVALVE
MOOG    -072-1203-5    B    S22FOFM5VBLN   
MOOG    -072-1203-50    B    S22FOFM4VALN    SERVOVALVE
MOOG    -072-1203-6    B    S22KOFM4VBLN    SERVOVALVE
MOOG    -072-1203-66    B    S22KOFM6VBLN    SERVOVALVE
MOOG    -072-1203-8    B    S22FAFB4VBLN    SERVOVALVE
MOOG    -072-1204-71    B    SXXFOFM4VAL    SERVOVALVE
MOOG    -072-1303    B    S22FOFM4VBYN    SERVOVALVE
MOOG    -072-1403-65    B    S22FAFA4VBKC    SERVOVALVE 2-STAGE
MOOG    -072-1403-67    F    S22FAFB4VBY    SERVOVALVE
MOOG    -072-1503    B    S22FOFM4VBYN    SERVOVALVE
MOOG    -072-155    F    S15FOFMANBZ    SERVOVALVE 2-STAGE
MOOG    -072-155A    F    S15FOFM4VBZ    SERVOVALVE 2-STAGE
MOOG    -072-159A    F    S09HOFMEVBHN    SERVOVALVE 2-STAGE
MOOG    -072-1702    B    S15FOFM4VBZN    SERVOVALVE
MOOG    -072-171    F    S22FOFM4VBY    SERVOVALVE 2-STAGE
MOOG    -072-209    O        SERVOVALVE
MOOG    -072-400    F    S09AAGMAVXH    SERVOVALVE 2-STAGE
MOOG    -072-563    F    S15FOFM4NBH    SERVOVALVE
MOOG    -072-747A    B    S15KOFM5VBLN    SERVOVALVE
MOOG    -072D159-4    F    S09HOFMEVBH    SERVOVALVE 2-STAGE
MOOG    -072D160    F    S15HOFM VBH    SERVOVALVE 2-STAGE
MOOG    -072F102    F    S15FOFM4VBH    SERVOVALVE
MOOG    -072F102-5    F    S15FOFM5VBH    SERVOVALVE 2-STAGE
MOOG    -072F103    F    S22FOFM4VBL    SERVOVALVE
MOOG    -072F103-5    F    S22FOFM5VBL    SERVOVALVE
MOOG    -072F132    F        SERVOVALVE 2-STAGE
MOOG    -072F155A    F    S15FOFM4VBZ    SERVOVALVE 2-STAGE
MOOG    -072F159B    F    S09HOFM4VBHN    SERVOVALVE
MOOG    -072F160B    F    S15HOFM4VBHN    SERVOVALVE
MOOG    -072F161A    F    S22FOFM4VBLN    SERVOVALVE 2-STAGE
MOOG    -072F162    F    S22FOFM4VBH    SERVOVALVE
MOOG    -072F171    F    S22FOFM4VBY    SERVOVALVE
MOOG    -072F371A-HP5    F    S09KOFM4VBHN    SERVOVALVE 2-STAGE
MOOG    -072F373A-HP5    F    S22KOFM4VBLN    SERVOVALVE 2-STAGE
MOOG    -072F409    F    S15FOFA4VBH    SERVOVALVE
MOOG    -072F517A-HP5    F    S09KOFM4VBLN    SERVOVALVE 2-STAGE
MOOG    -072F517A5-HP5    F    S09KOFM5VBLN    SERVOVALVE
MOOG    -072F533A-HP5    F    S22KOFM5VBLN    SERVOVALVE
MOOG    -072F568    F    S09FOFM4VBY    SERVOVALVE
MOOG    -072F717    F    S15FOXM4VBL    SERVOVALVE
MOOG    -072F718    F    S22FOXM4VBL    SERVOVALVE
MOOG    -072K102A    F    S15FOFM4VBHN    SERVOVALVE
MOOG    -072K1202-6    B    S15KOFM4NBLN    SERVOVALVE
MOOG    -072K2604A    B    S22FDFM4VBYN    SERVOVALVE
MOOG    -072M101    F    S09FMFM4VBH    SERVOVALVE
MOOG    -072M102    F    S15FMFM4NBH    SERVOVALVE
MOOG    -078-131D    B    H11FOGM4VBL    SERVOVALVE
MOOG    -078K600D    B    S15FOGM4VBL    SERVOVALVE
MOOG    -078K623D    B    S15FJGA4VAL    SERVOVALVE
MOOG    -079-167E3    B    S25KBQO6V5L7    SERVOVALVE
MOOG    -0792F2007B2    F    H04FOQO2V5A7    SERVOVALVE
MOOG    -079F2007B2    F    H04FOQO2V5A7    SERVOVALVE
MOOG    -079F2037B3-HR    F    H80FOXO6V5Y7    SERVOVALVE
MOOG    -080-094-1    A        THROTTLE VALVE
MOOG    -080-094-2    A        THROTTLE VALVE
MOOG    -170-137--01-D-1    O        MOTION BASE PLATFORM
MOOG    -631-142F    B    H20JOGB4VBQ    SERVOVALVE
MOOG    -631-246F    B    P30FOFM4VGY    SERVOVALVE
MOOG    -631-336F-5    B    H75FOFM5VBY    SERVOVALVE
MOOG    -631-346F-5    B    H75FOGM5VBU    SERVOVALVE
MOOG    -631-349F    B    H60JXFB5VBQ    SERVOVALVE
MOOG    -631-424F    B    H40FOGB4VBR    SERVOVALVE
MOOG    -631-426F    B    H80JOGB5VBY    SERVOVALVE
MOOG    -631-430F    B    H10JDFM4VBQ    SERVOVALVE
MOOG    -631-724F    B    P20JOFM4VBR    SERVOVALVE
MOOG    -631-744F    B    H40JXFM4VBR    SERVOVALVE
MOOG    -631-745F    B    P20FXFM4VBR    SERVOVALVE
MOOG    -631-752F    B    P40JXFM4VBR    SERVOVALVE
MOOG    -631-793F    B    P40FXFM4VGR    SERVOVALVE
MOOG    -631-841F    B    HXXFXGM4VBRM    SERVOVALVE
MOOG    -631F081F    B    P20JOGM4VBRM    SERVOVALVE
MOOG    -631F140F    F        SERVOVALVE
MOOG    -631F246F    F    P30FOFM4VGY    SERVOVALVE
MOOG    -631F295F    B    P40JOFM4VBRM    SERVOVALVE
MOOG    -631F309F    B    H75JOGM4VBW    SERVOVALVE
MOOG    -631F335F    F    H60FOFM4VBR    SERVOVALVE
MOOG    -631F336F    B    H75FOFM4VBY    SERVOVALVE
MOOG    -631F346F-5    F    H75FOGM5VBY    SERVOVALVE
MOOG    -631F356F    B    H80FDFM4VBW    SERVOVALVE
MOOG    -631F383F    B    HXXFXGM4VGR    SERVOVALVE
MOOG    -631F395F    B    H75JOGM4VBY    SERVOVALVE
MOOG    -631F398F    B    H40JXGM4VBQ    SERVOVALVE
MOOG    -631F408F    B    H40JOGM4VAQ    SERVOVALVE
MOOG    -631F551F1    F    P13FDGM4VBRM    SERVOVALVE
MOOG    -631F701F    B    P40FDFM4VGRM    SERVOVALVE
MOOG    -631F724F    F    P20JOFM4VGY    SERVOVALVE
MOOG    -631F734F    B    P10FXFM4VBR    SERVOVALVE
MOOG    -631F734F1    F    P10FXFM4VBRM    SERVOVALVE
MOOG    -631F744F    F    P40FXFM4VBR    SERVOVALVE
MOOG    -631F745F    F    P20FXFM4VBR    SERVOVALVE
MOOG    -631F749F    B    P60FXFM4VBR    PROPORTIONALVALVE
MOOG    -631F752F    F    P40JXFM4VBR    SERVOVALVE
MOOG    -631F834F    B    H20JOFB5VBQ    SERVOVALVE
MOOG    -730-065    F    H38FOFA5VPH    SERVOVALVE
MOOG    -730-1011B    B    S57KOFM4VPLN    SERVOVALVE
MOOG    -730-102    F    S19JOFMENPHN    SERVOVALVE
MOOG    -730-186A2    F    S57HOFA5VPLN    SERVOVALVE
MOOG    -730-225B    B    H38KOFM4VPH    SERVOVALVE
MOOG    -730-234B5    B    S57KOFM5VPL    SERVOVALVE
MOOG    -730-4185    F    S57FOXA4VPL    SERVOVALVE
MOOG    -730-837B    B    H38FOFM4VPC    SERVOVALVE
MOOG    -730-881B5    B    H10QOFM5UPL    SERVOVALVE
MOOG    -730F104-2    F    S57FOFM4VPLN    SERVOVALVE
MOOG    -730F153-2    F    H19FOFM4VPLN    SERVOVALVE
MOOG    -730F153-2-HP5    F    S19KOFM4VPLN    SERVOVALVE
MOOG    -730F234-HP5    F    S57KOFM4VPL    SERVOVALVE
MOOG    -730F884-2-HP5    F    H10KOFM4VPLN    SERVOVALVE
MOOG    -730F891-2-HP5    F    S38KOFM4VPLN    SERVOVALVE
MOOG    -730Y225-HP5    F    S19KOFMJNPH    SERVOVALVE
MOOG    -743F002B    B    S09FOFF5VCT    SERVOVALVE
MOOG    -743F003A    B    S09FOFF5VCV    SERVOVALVE
MOOG    -744F004    B    S26FOFA5VXY    SERVOVALVE
MOOG    -760-100B2    B    H04FOFM4EPH    SERVOVALVE
MOOG    -760-168B1    F    S57FMFM4VPZ    SERVOVALVE
MOOG    -760-559B    F    S38FAFM4VPH    SERVOVALVE
MOOG    -760-702B    F    H10KOGM4VPY    SERVOVALVE
MOOG    -760-723A    F    H40FOFMANPY    SERVOVALVE 2-STAGE
MOOG    -760-724A    F    S60FOGMANPY    SERVOVALVE 2-STAGE
MOOG    -760B100A    F    H04FOFM4EPH    SERVOVALVE
MOOG    -760B1031A-HP8    F    H10QOFM4EPL    SERVOVALVE 2-STAGE
MOOG    -760C928A    F    V21FOXM4NALX    SERVOVALVE 2-STAGE
MOOG    -760F1081A1    F    H19FOFB4VBY    SERVOVALVE
MOOG    -760F1083A-HP5    F    H10KOGM4VAL    SERVOVALVE
MOOG    -760F1137A    F    S57FOGM4VPY    SERVOVALVE
MOOG    -760F233AE-HP5    F    H38KOGM5VPL    SERVOVALVE 2-STAGE
MOOG    -760F334A    F    H38FOFM4VPY    SERVOVALVE
MOOG    -760F342A    F    S57FOGM4VPY    SERVOVALVE
MOOG    -760F559A    F    S38FAFM4VPH    SERVOVALVE 2-STAGE
MOOG    -760F736A    F    S57FXGM4VBY    SERVOVALVE
MOOG    -760F784A-HP5    F    S57KOFMJUPL    SERVOVALVE
MOOG    -760F784AE-HP5    F    S57KOFM5VPL    SERVOVALVE
MOOG    -760F842A2    F    S10FXFM4VPY    SERVOVALVE
MOOG    -760F928B    F    V21FOXM4VALX    SERVOVALVE
MOOG    -760K645B    B    S57FOFA5V24    SERVOVALVE
MOOG    -760M104A    F    S57FMFM4NPL    SERVOVALVE
MOOG    -760N1174A    F    H19FOGA4V1QA    SERVOVALVE
MOOG    -760N1174B    F    H19FOGA4V1QA    SERVOVALVE
MOOG    -760N1181A    F    H19FOGA4VXHA    SERVOVALVE
MOOG    -761-4001    F    H02JOGM4VBL    SERVOVALVE 2-STAGE
MOOG    -761-4003    F    H38KOFM4VPN    SERVOVALVE 2-STAGE
MOOG    -761-4004    F    S57KOFM4VPN    SERVOVALVE 2-STAGE
MOOG    -761-4006    F    H10KOFM5VPL    SERVOVALVE 2-STAGE
MOOG    -761-4007    F    H10JOFB5VBL    SERVOVALVE 2-STAGE
MOOG    -761-4020    F    H38JOGM4VBN    SERVOVALVE 2-STAGE
MOOG    -761-4020B    W    H38JOGM4VBN    SERVOVALVE
MOOG    -761-4024B    B    S57JOXM4VPY    SERVOVALVE
MOOG    -761-4042    F    S65JOGM4XBY    SERVOVALVE 2-STAGE
MOOG    -761-4044    F    H38JOGM4XPY    SERVOVALVE 2-STAGE
MOOG    -761-4045    F    H19JOGM4XPY    SERVOVALVE 2-STAGE
MOOG    -761-4082    B    H19JOGM5VAL    SERVOVALVE
MOOG    -761-4084B    b    S63JOFM4VPY    SERVOVALVE
MOOG    -761-4091B    B    H04KOFM4EPL    SERVOVALVE
MOOG    -761-4092B    B    H10KOFM4EPL    SERVOVALVE
MOOG    -761-4093B    B    S57JOGM4VCGX    SERVOVALVE
MOOG    -761-4097B    B    S63JOFB4V1L    SERVOVALVE
MOOG    -761-4098B    B    S20FOFB4VBL    SERVOVALVE
MOOG    -761-4125B    B    H29JOFM4VPH    SERVOVALVE
MOOG    -761-4194    F    S57JOFM4VPY    SERVOVALVE
MOOG    -761-4194B    B    S57JOFM4VPG    SERVOVALVE
MOOG    -761-4194B1    B    S57JOFM4VPYN    SERVOVALVE
MOOG    -761-4347B    B    S19KOFM4VBL    SERVOVALVE
MOOG    -761-4353B5    B    S57KOGM5VPL    SERVOVALVE
MOOG    -761-4357B    B    S63KOGM4VPL    SERVOVALVE
MOOG    -761-4357B5    B    S63KOGM5VPL    SERVOVALVE
MOOG    -761-4360B    B    S75JOGM4VPL    SERVOVALVE
MOOG    -761-4928    B    H19JOXM4VBL    SERVOVALVE 2-STAGE
MOOG    -761-5013B    B    H20JMFM5VAL    SERVOVALVE
MOOG    -761-5029B    B    S57KXFM4XAL    SERVOVALVE
MOOG    -761-5033B    B    S63JOGA4VBL    SERVOVALVE
MOOG    -761-5043B    B    H10JXFM4VPL    SERVOVALVE
MOOG    -761-5046B    B    H57JOXM4VCGX    SERVOVALVE
MOOG    -761-5055B    B        SERVOVALVE
MOOG    -761-5056B    B        SERVOVALVE
MOOG    -761-5062B    B    H19JOFM4VBN    SERVOVALVE
MOOG    -761-5066B    B    H10JOFA4VBH    SERVOVALVE
MOOG    -761-5077B    B    S57JOFM4VTYN    SERVOVALVE
MOOG    -761-946B    B    S40JMFM5VAL    SERVOVALVE
MOOG    -761K4029B    B    S38JOGM4VPH    SERVOVALVE
MOOG    -761K4031    F    H04JOFM4VPH    SERVOVALVE 2-STAGE
MOOG    -761K4032    F    H04JOFM4VBY    SERVOVALVE 2-STAGE
MOOG    -761K4112    F    H19JOFB4VPH    SERVOVALVE 2-STAGE
MOOG    -761K4155    F    S57JOFB4VPY    SERVOVALVE
MOOG    -761K4217    F    S38JOFB4VPH    SERVOVALVE 2-STAGE
MOOG    -761K5003B    B    H19KOFM4VPH    SERVOVALVE
MOOG    -761K5010B    B    S63JOFM4VBL    SERVOVALVE
MOOG    -761K5014B    B    H19JOGM4VBL    SERVOVALVE
MOOG    -761K5076B    B    H19JOFA4NAL    SERVOVALVE
MOOG    -761K5084B    B    H10JOFM4VBL    SERVOVALVE
MOOG    -761K5093B    B    H10JOFA4VPL    SERVOVALVE
MOOG    -761K5094B    B    H19JOFB4VPL    SERVOVALVE
MOOG    -761K5096B    B    S10JOFM4VPH    SERVOVALVE
MOOG    -761K5101B    B    S38JOGM4VP4    SERVOVALVE
MOOG    -761K5103B    B    S63JOFA4VBL    SERVOVALVE
MOOG    -770-128D    F        SERVOVALVE
MOOG    -771-117    F    VXXFXFM4NBY    SERVOVALVE
MOOG    -771-177B    B    H19FOFA4VH4R    SERVOVALVE
MOOG    -771-184B    B    H19FOFA4V24    SERVOVALVE
MOOG    -771-185B    B    H04FOFA4V14    SERVOVALVE
MOOG    -771-186B    B    H19FOFA4V14R    SERVOVALVE
MOOG    -771-230B    B    H10FOFM4VBY    SERVOVALVE
MOOG    -771-234B    B    H19FOFM4VB4    SERVOVALVE
MOOG    -771-275B    B    H19FXFM4VAZ    SERVOVALVE
MOOG    -771A184    F    H19FOFA4V24    SERVOVALVE
MOOG    -771A185    F    H04FOFA4V14    SERVOVALVE
MOOG    -771F117    B    VXXFXFM4VBY    SERVOVALVE
MOOG    -771F184    F    H19FOFA4V24    SERVOVALVE
MOOG    -771K023    F    S19FOFM4V2H    SERVOVALVE 2-STAGE
MOOG    -771K273    F    S19FAFA4V2H    SERVOVALVE 2-STAGE
MOOG    -772-238B    B    S38FOFA4V14    SERVOVALVE
MOOG    -772-264    F    H38JXXM4VBY    SERVOVALVE
MOOG    -772-264B    B    S38FXFM4VVJR    SERVOVALVE
MOOG    -772A229    F    S38FOFA4V14    SERVOVALVE
MOOG    -772F238    F    S38FOFA4V14    SERVOVALVE
MOOG    -772K306    F    S38FOFA4V1H    SERVOVALVE
MOOG    -772K602B    B    H19FOFM4VAYN    SERVOVALVE
MOOG    -773-554A1    B    S38FXFA4VBY    SERVOVALVE
MOOG    -773-563    F    S10FOFM4NBZ    SERVOVALVE 2-STAGE
MOOG    -773-564    F    S17FXFM4NBL    SERVOVALVE 2-STAGE
MOOG    -773-586A    B    H10FOGM4VBL    SERVOVALVE
MOOG    -773A586    F    H10FOGM4VBL    SERVOVALVE
MOOG    -773F513    F    H38FOGM4VBZ    SERVOVALVE
MOOG    -773F586    F    H10FOGM4VBL    SERVOVALVE
MOOG    -884-991A0200G14-A    A        SERVOACTUATOR
MOOG    0062-191C    A    H40DAGM4NAH    SERVOVALVE 2-STAGE
MOOG    0062-198C    O    H40FOFMANBH    SERVOVALVE 2-STAGE
MOOG    0062-199C    F    H40FAFMANBY    SERVOVALVE 2-STAGE
MOOG    0062-231C    F    H05FOGM4NBR    SERVOVALVE 2-STAGE
MOOG    0062-234C    F    H40FOGM4NBR    SERVOVALVE
MOOG    0062-236C    F    H80FOGMANBY    SERVOVALVE
MOOG    0062-253C    F    H20FDGM4NBR    SERVOVALVE
MOOG    0062-605B    F        SERVOCONTROLLER
MOOG    0062F231C    F    H05FOGM4NBR    SERVOVALVE
MOOG    0062F234C    761-5075B
072-1301-81
C21209-479
D662Z4336K
D765-1096
G761-3004B
G761-3002B
D661-4651
D661-4652
0072-1202-10
freimoog
D634-543A
D661-4763
G631-3008B
J841-0002
D661-533D
D661Z556C
B97007-061
G761-3001B
D662-5005
J761-003A
A67999-065
C92709-030-001
G771K202
2 517 010 709
2 510 202 781
2 510 202 702
D765-1099
D765-1096
D633-304B
G631-3004B
G464-904A
D765-1170
G771K200A
G771K202A
G772K240A
761-4932B
730-1243B1
G772K619A
AC7257-18
D661-4640
D634-341C
D691Z2086G
D633-308B
D661-513
G771K235A
D633K2017B
D136-001-007
DCM0T0RFGVH112LL-4-R-F-B-C
CDE54.044.D
D681-4023
G771K200P1
G771K202P4
N121-001A
DBDP06A4PAT9G/MA
DBDP06E4PAT9K/MA
G761-3012A
G761-3005B
CA37192-045
CC41869-001
A27622
B90040-001
CB25701-482
CB98162-100-003G
G761-3003B
SRA-73587-C
G761-3003B
G631-3604B
D633-7414
G761-3052B
D633D25D4B RXXKX1F0NSY2
64/09001221/1
DWME3P06A4007NB
760N1194A2
078N209D2
G761-3039B
XEB11483-000-01
22061-12702/4
072-1303
079-1008E3
G761-3016B
D661-4651
D634-514A
D941-6726C-0001 Q80UBAF4VEE2NBDK1C1
72-559A
G761-3600B
D661-4444C G60JOAA6 VSX2HA
L085-780(C085-780A)
D681-4006
072-1102-6
D957-2001-10 HPR18A7RKP140TM28E1Z00
D634-322C
D661-4443C
D661-4506C
D662-4014
D662-Z4334K
D663Z4307K P02JONF6 VSX2-A
D136-001-008
761K-4112B
CB88603A003
CB02690-002
C85382-002A007
22050
MK-G, ,AC100V 60HZV,Out:-3.5~ 3.5A ,FOR MK-1.5~MK-250
MK-LA2G6
D634-543A
J841-0009
760N1191A
D634-319C
J790-210
D638-211-0001
D661G45HOAA4VSX2HA
D791-4056
0 514 300 405
B7077-061
730Y224-HP5
D658-503F-6
D661-5764
G761-3020B
G631-3702B
G123-825-001
G761-3005B-S63JOGM4VPLSIG-40
D661-4505CG15KSAA4NS*2HA
760K642C H10FOFA4V1Q
D765-1011G H01BOGBAVSXO
A67999-100
D661-4469C/G75
• D633-460B
3000001031
BDO-Q2-50-40
D951-2009/B
J761-003
DINEN175201-804
D661-4341C
G761-3055B
D792-4023S99JOQO6VSX2-A
D662-4337KP02HAMF6VSX2-A
D664-4009L05HABF6VSX2-A PLUG
D662-Z4310KP02JXMF6VSX2-A PLUG
D682-4209P01HYZF4VSX2-A
D661-4389EG35HOCA5VSX2HA
J869-0001
078-139D
J869-1002B
D765-1603G-4 S38JOGMEUSX0
G123-815
J085B288
J085B291
J085B301
70127008/068
D792-4048
G631-3003B
D631–3003B
D661-6327-G08KOCO6VSX2HA
D661-5625C
D684 4007
J841-0067
D661-5009
G631-3800B
C39016-1477
G403-2051A
D137-001-006
C39016-1498
072-1203-6
B97067111
D691-2144G-5
D661-4801
XEB18527-072M01
XSB10361-018M01
XEB15159-002M01
XSB10361-014M01
XEB18498-000M01
XEB18504-024M01
G493L1026
G493L1029
T200-410-J-0AA1
D634-513
45112-004
45122-011
D791-4037
D661-4764
D661-4477C
22062-15203
D633-7414
A67999-200
CA52995J001
CA52999-002UE123
MK-SERVO AMPLIFIER
A67999-65
G493L1027 G-3LM6-046-00-01-01-00-000
D076-842
CZ1006Z2A
G493K008A
G392-008-020-002
D633-358B
D664-4009
D661-4203
D061-9230
D661-4242
D661Z4379E
G463-604A
G462-404A
CB-13299-001V
CB-13300-001V
CB-13299-002V
D663-1910E/L03HABAFNVBO
G493L1026
072-1701
H80JXGM4VXR
G771K615A
D664-Z4406K
D662-Z4341K
D663-Z4305K
1886-5019
1886-5004
HP025-C-Q-100
G122-202
G772K620A
D661-6457C
D662-4781
730-4229B
D76501603-4
D661-4256-P60HAAF4NSX2-0
D661-6721-P60HDAW7NEX2-G
G761-3008B
D664-4978-L05HABW6NEX2-G
G422-606A
D633-357B
G771K240A
0072-155-S15FOFM-NBZ
D661-4577C
D662Z4384K
D662-4010
D765-1603G-4 RevB
D661Z567C
D661-4539C
G631-3013B-5
G761-3005
D661-G45LOAA4VSX2HA
J869-1003A
D634-501A
D691Z2134G
D684Z4872
760N1190B
078N209E
078N208E
078N210E
D661-6460C
D664-5306K
B97036-001
D662-5076
D061-9857C
D662Z1927E
D691-072D-6
D691-066D-6
D635K2002E
repair-kit
D662-4920
D661-6353E
D957-2003-10
D661-4923
D661-4505C
G040-125A002
C70615-001-002
G040-124A002
G772K619
G040-124A-002T
D662-4070
G631-3803B
G631-3607B
D765-1024-5
D661-4142
744F004
G631-3006B
HY63P01-RD
D951-2079
FK-CF-PTZ-3612-2-IQ-R1-N
G771K201
G771K201A
D791-134B
D651-403F-4
D661-6428E
D691-078D
D634-1035
H10J0FM5VPL
1008990
1005614
G771K203A
B97215-V761F76
D663-4721
G761-3002B5
G761-3002B5
072-559A
D661-4922
G771K202
D662-4009A
D662-4307K
D661-4366C
J079D-274B
J079A-273C
J079B149C
D662-4342K
J079A-280C
D661-4130
CPU-VF3
D664K4776
D661-4033
D661-4546C
D662-4102
D661-4697C
N-121-001A
D664-4003
D061-9311
C85382-001A004
22062-10801
D633-317B
D128 G012 A001
H40JOGM5UPY
D633-538B
681-50029
D661-4013
D662-4305K
D662-4709
D662Z4334K
D663-4702
D664-4707
D765-1048G-5
D635-681E
D691-2702G
G040-124-002
D634-314C
D661-4651
D633-360B
HP025C-QH-100
D661-4594C
D674-1005-0001
D663-XXXX
G122-829A001
D661-4365C
B97007-061
D636-536-0001
D663-4007
D61-4651
D663Z4336K
D936-R40K09F3VSX2-O
J682-xxxx + J121-800-101
D633-328B
RDE30C1RX2
J866-1001A
D952-2027
FLP33L-0760-20-S2
B97215-N661F10
D633-545B
D661-4121E
020014N0674
020016N0674
D673-0001-0000
D662-4118B
D662-4106B
XEB18186E004N01
D636-316-0001
XDB10922-905V01
J866-0002A
D661-6539C
G761-3023B
MK-G6
 MOOG D633 308A Servo Valve
MOOG D661-4591E G45HOCA4VSX2HO Servo Valve
MOOG D661-4591E G45HOCA4VSX2HO Servo Valve
MOOG D662Z4341K Proportional Valve
MOOG D662Z4341K Proportional Valve
MOOG D664-4738 L05HXBW6NET2-G Proportional Valve
MOOG D664-4738 L05HXBW6NET2-G Proportional Valve
MOOG Model: L180-410B-A2 Servo Controller
MOOG Model: L180-410B-A2 Servo Controller
MOOG C2900449 Motor
MOOG C2900449 Motor
MOOG DR HP-R18A1RKP063KM28F1Z Pump
MOOG DR HP-R18A1RKP063KM28F1Z Pump
D 635 Servo Valve
D 635 Servo Valve
MOOG J634-302 Servo Valve

Wika
Yokogawa
Greisinger Electronic
Bently Nevada
HUBBELL
ELETTROTEC PMC10FN18K
Datalogic ENC41-H06-0360-C15 SV5120
















Moog XEB16545-000-01 RSE40AU6T4WX1/SI1;RE;OP VALVE     BOSCH REXROTH 97100123 HD. MOOG Repair Kit D662Series
Moog 05146000021100LG     BOSCH REXROTH 97100124 HD. MOOG Repair Kit D665Series
Moog 10 valve-block for G761-3002B,M10 fastener NG-10     BOSCH REXROTH 97100125 HD. MOOG Repair Kit D791Series
Moog 10-D12PG-T     BOSCH REXROTH 97100126 HD. MOOG Repair Kit D792Series
Moog 251-016 (Obsolete)     BOSCH REXROTH 97100127 HD. MOOG D791-4028 S25JOQB6VSX2-B
Moog 2517010640000LG     BOSCH REXROTH 97100138 HD. MOOG D633-581B-R02K01D0VS X 2
Moog 4551 245 000     BOSCH REXROTH 97100149 HD. MOOG REV?ZYONLU SERVOVAL D791-4028
Moog A26837-002     BOSCH REXROTH 97100158 HD. MOOG D661-4598C
Moog A67999-100 FILTERSCHEIBE     BOSCH REXROTH 97100159 HD. MOOG D761-2619-H10JOGAEVAL
Moog AC6023-18     BOSCH REXROTH 97100160 HD. MOOG G122-824-002(G122-829-001)
Moog B48334-001 (Obsolete)     BOSCH REXROTH 97100161 HD. MOOG D661-4193(D661-2930E)
Moog B64565-001V     BOSCH REXROTH 97100164 HD. MOOG D662-4070D02HAA04NSX2-A
Moog B90886N-069F024 WEGEVENTIL     BOSCH REXROTH 97100169 HD. MOOG ORANSAL VALFG631-3002B
Moog B97007-061     BOSCH REXROTH 97100177 MOOG POMPA D952-2013-10
Moog B97215-V631F63     MOOG D633-581B-R02K01D0 VS X 2 Direct Drive Servo Valve
Moog BDP-Q2-50-10 CONTROLLER     MOOG D661-4193(D661-2930E) Direct Drive Servo Valve
Moog BN42-23 AF-02,10A,50V ELECTROMOTOR     MOOG D661-4598C Direct Drive Servo Valve
Moog Coopling for BN-42 23AF     MOOG D662-4070D02HAA04NSX2-A Direct Drive Servo Valve
Moog CZ1008DSA     MOOG D761-2619-H10JOGAEVAL Direct Drive Servo Valve
Moog CZ1008DVA     MOOG D791-4028 S25JOQB6VSX2-B Direct Drive Servo Valve
Moog CZ1100CMA     MOOG G122-824-002(G122-829-001) Servoamplifier
Moog CZ1100U06A     MOOG G631-3002B Ventil
Moog D062-512F , W09ATFMANBRM SERVOVERSTELLGERÄT     MOOG D952-2013-10 Pumpen
Moog D062-512F     MOOG D633 Series Repair Kit
Moog D130 B271KA001     MOOG D661 Series Repair Kit
Moog D631-389C     MOOG D662 Series Repair Kit
Moog D633-357B     MOOG D665 Series Repair Kit
Moog D633-419B DIREKTGESTEUERTES VENTIL     MOOG D791 Series Repair Kit
Moog D633-526B R02KO1D0NSP2     MOOG D792 Series Repair Kit
Moog D633-R02KA 1B0NSX2     MOOG D791-4028 Direct Drive Servo Valve
Moog D634-1035 P60KA6FSVSM2     Moog XSB10362-006M01 Kartu? Vana
Moog D634-396C      Moog SE3 Servo-Oransal Valf
Moog D634-529A     Moog M-RSE32HV6T0WX/OP-Z2 Kartu? Vana
Moog D634-R40KA2AONSX2     Moog G771K203A Flow Control Valve
Moog D638-174-0001. R08XB1FOHSS2MBAO     Moog G771K203 Flow Control Valve
Moog D638-177-0001     Moog G771-3005 Flow Control Valve
Moog D638-261-0002     Moog G771-3004 Flow Control Valve
Moog D638E127A R02FB1F0HSS2M     Moog G771-3003 Flow Control Valve
Moog D659-011     Moog G771-3002 Flow Control Valve
Moog D661-4025     Moog G771-3001 Flow Control Valve
Moog D661-4043 P80HAAA4NSM2-O     Moog G7613022-5 Flow Control Valve
Moog D661-4170 P80HABF7VSY2-A     Moog G761-502 Flow Control Valve
Moog D661-4206 (P80HAAF5NSX2-A)     Moog G761-3515B Flow Control Valve
Moog D661-4315C/G60HOAB6VSX2HB     Moog G761-3020B Flow Control Valve
Moog D661-4535C     Moog G761-3019B Flow Control Valve
Moog D661-4627A G75HOAO6VSP2HA     Moog G761-3005B Flow Control Valve
Moog D661-XXXX P08HABD6VSY2-O     Moog G761-3005 Flow Control Valve
Moog D662-4010/D02HABF6VSX2-A     Moog G761-3005 Flow Control Valve
Moog D662-4015 D02HABF6VSX2-B     Moog G761-3004 Flow Control Valve
Moog D662-4032 PROPORTIONALVENTIL     Moog G761-3003B-H19JOGM4VPL Flow Control Valve
Moog D663-4005     Moog G761-3003 Flow Control Valve
Moog G771K203     Moog G761-3002 Flow Control Valve
Moog G771K203A     Moog G761-3001 Flow Control Valve
Moog G771K235A SERVOVENTIL 2-STUFIG     Moog G761-2016B Flow Control Valve
Moog HO-SB-2553     Moog G631-389C Flow Control Valve
Moog HO-WP2548     Moog G631-3012B Flow Control Valve
Moog HO-WP2549     Moog G631-3008B Flow Control Valve
Moog IMI220-821A001     Moog G631-3005A Flow Control Valve
Moog J073B089 LOOPER SERVOVALVE PILOT     Moog G631-3004A Flow Control Valve
Moog J079F143     Moog G631-3003A Flow Control Valve
Moog KIT A26837-001     Moog G631-3002A Flow Control Valve
Moog L 129-003-B005 L 106     Moog G631-3001A Flow Control Valve
Moog L129-003B034     Moog G361-1006A-03-000 Servodrive
Moog L129-004-A030     Moog DSHR Servo-Oransal Valf
Moog MODEL:D661-2746E Type:P80HABDF VSY2 OBSOLETE, replaced by D661-XXXX P08HABD6VSY2-O     Moog DS2000 Servodrive
Moog P60EXFMANDA0N     Moog D951-2079-10 Servo-Oransal Valf
Moog RHFE50CS1X4WX3/A;SO;1H      Moog D792-4029/S40JOQ06VSX2-A Servo-Oransal Valf
Moog S10FOFB4VBL obsolete, replaced by G761-3062B, H10JOFB4VBL     Moog D792-4007/S63JOQA6VSX2-B Servo-Oransal Valf
Moog S20FOFB4VBL     Moog D792 Servo-Oransal Valf
Moog SRS 50-HFA0-K01     Moog D791Z140B Servo-Oransal Valf
Moog SSH-12-A-2     Moog D791-4033 S25JOQS6VSX2-B Servo-Oransal Valf
Moog T0-V8-060-00-00-00-15     Moog D791-4028/S25/OQB6VSX2-B Servo-Oransal Valf
Moog T160-901-00-1A (Obsolete)     Moog D791-4028-S25JOQB6VSX2-B Servo-Oransal Valf
Moog T161-902A-00-B4-2-2 A (Obsolete)     Moog D791 Servo-Oransal Valf
Moog T200-410X-0AY OBSOLETE, no replacement     Moog D765 Servo-Oransal Valf
Moog RD4K01MDNSM2 ist alternativ R40KO2M0NSM2 angeboten D845, P: 350 Bar, Signal: 10V, Suply 24Vdc     Moog D691-2745G Q60FTADANEC2N Servo-Oransal Valf
Moog G772K240A S38FOFA4V14 Gewicht: 1,07 kg SERVOVENTIL     Moog D685 Servo-Oransal Valf
Moog G772K240 obsolete replacement G772K240A Servo Valve     Moog D684 Servo-Oransal Valf
Moog G771K208A SERVOVENTIL     Moog D683 Servo-Oransal Valf
Moog GNC1000 Flight Management Systems/Vehicle Management Computers     Moog D682 Servo-Oransal Valf
Moog NAV 440 GPS/IMU System     Moog D681 Servo-Oransal Valf
Moog 744F006 servovalve     Moog D665 Servo-Oransal Valf
Moog D634-501A R40KO2M0NSM2 Ursprungsland: GERMANY Gewicht: 6,50 kg Zolltarif-Nr.: 84812010 DIREKTGESTEUERTES VENTIL     Moog D664 Servo-Oransal Valf
Moog D662-39SK Valve     Moog D663-4010 Servo-Oransal Valf
Moog G493L1029 SERVOMOTOR     Moog D663 Servo-Oransal Valf
Moog 0000.005099 Ballscrew     Moog T200-410X-0AY OBSOLETE, no replacement
Moog G493K604 obsolete, replacement G493L1026 SERVO MOTOR     Moog S10FOFB4VBL obsolete, replaced by G761-3062B, H10JOFB4VBL
Moog Fastact G402-1009A Rotary Switch Motor     Moog RHFE50CS1X4WX3/A;SO;1HÂ
Moog Fastact G404-1008A Yaw Holder Motor     Moog MODEL:D661-2746E Type:P80HABDF VSY2 OBSOLETE, replaced by D661-XXXX P08HABD6VSY2-O
Moog FAS T1 V2030 Azimuth Tilt Motor     Moog G771K200A H19FOFA4V14 Gewicht: 1,00 kg
Moog  FAS T1 V4030 Pitch Motor     Moog G761-3502B H19JOGM4VPZ
Moog G771K202,P/N: 541-01 VALVE,SRVO     Moog D661-4206 (P80HAAF5NSX2-A)
Moog G771K235A , H04FOFA4VJ4X SERVOVENTIL 2-STUFIG     Moog D661-4043 P80HAAA4NSM2-O
Moog G493L1026 SERVOMOTOR     Moog D638E127A R02FB1F0HSS2M
Moog CZ1300 XXX Servo Drive     Moog D638-174-0001. R08XB1FOHSS2MBAO
Moog CZ1301 XXX Servo Drive     Moog XSB10362-006M01
Moog G122-829A001 SERVOVERSTÄRKER     Moog M-RSE32HV6T0WX/OP-Z2
Moog G122-826-001 VERSTÄRKERMODUL     Moog G771K203
Moog D662-4171 PROPORTIONALVENTIL     Moog G771K203
Moog D633-577B DIREKTGESTEUERTES VENTIL     Moog G771-3005
Moog D633-576B DIREKTGESTEUERTES VENTIL     Moog G771-3004
Moog D955-2023-10 HY-RADIALKOLBENPUMPE     Moog G771-3003
Moog DS2000B 14/42A oem     Moog G771-3002 Flow Control Valve
Moog D631-335LF obsolete, replacement D631F335FF-5 Servo Valve     Moog G771-3001 Flow Control Valve
Moog 0514950007100LG HY-RADIALKOLBENPUMPE     Moog G7613022-5áFlow Control Valve
Moog D631F335FF-5 SERVOVENTIL     Moog G761-502áFlow Control Valve
Moog D691-072D obsolete, replaced by D691-072D-6 Servovalve     Moog G761-3515BáFlow Control Valve
Moog D691-072D-6     Moog G761-3020BáFlow Control Valve
Moog ORT562 SEAL INSTALLATION BULLET     Moog G761-3019BáFlow Control Valve
Moog G771K200 obsolete, replacement G771K200A     Moog G761-3005BáFlow Control Valve
Moog A 40589 obsolete, replacement -23020 Including filter     Moog G761-3005 Flow Control Valve
Moog -99572-202 BAUSATZ FILTER     Moog G761-3005áFlow Control Valve
Moog D956-2014-10 HY-RADIALKOLBENPUMPE     Moog G761-3004 Flow Control Valve
Moog -23020 FILTER     Moog G761-3003B-H19JOGM4VPLáFlow Control Valve
Moog Model: G771K208 : 312A6077P007 obsolete, replacement G771K208A     Moog G761-3003 Flow Control Valve
Moog H38JOFA4VPL OEM Servo Valve     Moog G761-3002 Flow Control Valve
Moog D664 – 3703-E obsolete, replacement D664-4731 PROPORTIONAL VALVE     Moog G761-3001 Flow Control Valve
Moog D664-4731 PROPORTIONALVENTIL     Moog G761-2016BáFlow Control Valve
Moog IMI220-447A001 REMOTE     Moog G631-389CáFlow Control Valve
Moog IMI220-402B001 ELEKTRONIKMODUL     Moog G631-3012BáFlow Control Valve
Moog No.D638E116 ,Type:R08XB1F0HSS2MBA obsolete, replacement D638-174-0001 Valve     Moog G631-3008BáFlow Control Valve
Moog D638-174-0001 DIREKTGESTEUERTES VENTIL     Moog G631-3005A Flow Control Valve
Moog D638-E120 Type:R08XB1F0HSS2MBA obsolete, replacement D638-175-0001 Valve     Moog G631-3004A Flow Control Valve
Moog D633-7145 Valve     Moog G631-3003A Flow Control Valve
Moog D682-4003A Valve     Moog G631-3002A Flow Control Valve
Moog No.D638-E126 Type:R08XB1F0HSS2MBA obsolete, replacement D638-176-0001 Valve     Moog G631-3001A Flow Control Valve
Moog D633-312B Valve     Moog G361-1006A-03-000áServodrive
Moog D638-175-0001 VENTIL     Moog D951-2079-10áServo-Oransal Valf
Moog D638-176-0001 DIREKTGESTEUERTES VENTIL     Moog D792-4029/S40JOQ06VSX2-AáServo-Oransal Valf
Moog D662-4916 D02HABM6NSX2-A PROPORTIONALVENTIL     Moog D792-4007/S63JOQA6VSX2-BáServo-Oransal Valf
Moog Type: L03HXAM6NEA2-K OEM for Bibus Hydraulic servo valve     Moog D791Z140BáServo-Oransal Valf
Moog J761 003A TIP S63JOGA4VPL Obsolete, replaced by G761-3039B SERVO VALVE     Moog D791-4033 S25JOQS6VSX2-BáServo-Oransal Valf
Moog G761-3039B (S63JOGA4VPL) SERVOVENTIL     Moog D791-4028/S25/OQB6VSX2-BáServo-Oransal Valf
Moog D638-E120 - obsolete, replaced by D638-175-0001 Press hydraulic system     Moog D791-4028-S25JOQB6VSX2-BáServo-Oransal Valf
Moog D633 7145 DIREKTGESTEUERTES VENTIL     Moog D691-2745G Q60FTADANEC2NáServo-Oransal Valf
Moog D638-E126 - obsolete, replaced by D638-176-0001 Press tension and tracking valve     Moog D665Z4718D P15FXHG4NSD2-0 PROPORTIONAL
Moog D638-E116 - obsolete, replaced by D638-174-0001     Moog D682-4044 P01HAZO4NSM2-A PROPORTIONAL VALVE
Moog Type: S63JOGA4VPL, PN: G761-3033B - replaced by G761-3039B servo valve     Moog D791Z140B S16JXQOFVSB0 SERVOVALVE 3-STAGE
Moog CN5511 control block for Servo DBS-04     Moog D792-4023 / S99JOQO6VSX2-A SERVOVALVE 3-STAGE
Moog 154L001D-AP2640D control board (of MOOG DBS-04 control unit)     Moog D920-132-00R08KB930HXYX Direct Drive Valve
Moog D631-176C TYPE: P20FDFMANBR - replaced by G631-3009B Valve     Moog D952-2009-10 HPR18A1RKP032KM28H1Z00 Pump
Moog D661-4440E SERVOVENTIL     Moog D952-2111-10 HPR15A1RKP032KM28S1Z04RADIAL PISTON PUMP
Moog D661-4441E SERVOVENTIL     Moog D953-2015-10 HPR18A1RKP045KM28F2Z00 Pump
Moog D661-4059, P80HABF6VSX2-B PROPORTIONALVENTIL     Moog D955-2099-10 HPR18A7RKP080KM28H1Z00 Radial Piston pump
Moog 761K4122 - OEM Servo Valve     Moog D957-2003-10HPR18A7RKP140TM28R1 RADIAL PISTON PUMP
Moog D954-2011-10 , type HPR18A1 RKP063KM28J1Z00 HY-RADIALKOLBENPUMPE     Moog G122-829A011 servo amplifier
Moog D663Z4709B PROPORTIONALVENTIL     Moog G15KBCO6NSX2HA Servo Valve D661-6428E
Moog D638E120(R08XB1F0HSS2MBA0) - obsolete , replaced by D638-175-0001 , R08WB1F0HSS2MBOK1B1 Press Hydrulic system MOOG valve and PROP     Moog G631-3012B A H75JDFM4VBRSERVOVALVE
Moog D633‐7145(A08KA4FOHBY) Press Hydrulic system MOOG valve and PROP     Moog G631-3014B-5 H10JOFM5VBQ VALVE
Moog D682-4003A , P02HAZF6NSM2-O PROPORTIONALVENTIL     Moog G631-3014B-5 Valve
Moog D638‐E126(R08XB1F0HSS2MBA0) - obsolete , replaced by D638-176-0001 , R08VB1F0HSS2MBOK1B1 Press tension and tracking MOG valVeS     Moog G631-3601B H20FDGM4VBRM Valve
MOOG G15JOAA6VSX2HA D661-4652    Moog G-6-V6-029-06-01-01-00 G466-604A Servovalve
MOOG G631-3605B     Moog G761-3004B H38JOGM4VPL Valve
MOOG G631-3800B P60JDGM4VBR    Moog G761-3008B H19JOGM4VPH SERVOVALVE
MOOG G761-3004B / H38JOGM4VPL     Moog G761-3023B SERVOVALVE 2-STAGE
MOOG IMI220-411A001 M411.05.01.R1    Moog G771K202A H19FOFA4VJ4RSERVOVALVE 2-STAGE
MOOG IMI220-432B001 M432.05.01.R2    Moog G771K203 Servovalve
MOOG IMI220-432D001 M432.05.01.R2    Moog G771K203AH04FOFA4VJ4R2-STAGE Servo Valve
MOOG J072K160     Moog G771K208AH02FOFA4V24 Servo valve
MOOG J076K112     Moog HPR18A7RKP140TM28R1Z00 PUMP
MOOG J076K113     Moog Pieper AVU-8-2-AL-2-SNT-120-15-VAC sequential switcher
MOOG J076K177     Moog S16JXQOFVSB0D791Z140B3-STAGE Servo Valve
MOOG m507.009.001R1     Moog SM1720D-PLS Smartmotor
BOSCH REXROTH 97044085 KONNEKTÖR MS 3106F 14SA-61S(MOOG MUAD?L?)     Moog SM23165DT-PB
BOSCH REXROTH 97100121 HD. MOOG Repair Kit D633 Series     Moog W09ATFMANBQM SERVO KONTROL
BOSCH REXROTH 97100122 HD. MOOG Repair Kit D661Series     Moog XCB11072-000-00 2-way Cartridge High Flow M-CEHFE4
MOOG J634-302 Servo Valve
MOOG D631-195 CP 20 FDFMANBR Servo Valve
MOOG D631-195 CP 20 FDFMANBR Servo Valve
MOOG G631-3702BH20FDFM4VBR Servo Valve
MOOG G631-3702BH20FDFM4VBR Servo Valve
MOOG G631-3009B G20JDFM4VBR Servo Valve
MOOG G631-3009B G20JDFM4VBR Servo Valve
MOOG D662-4070 D02HAAO4NSX2-A Proportional Valve
MOOG D662-4070 D02HAAO4NSX2-A Proportional Valve
MOOG G493K804 Servo Valve
MOOG G493K804 Servo Valve
MOOG G493K604 Servo Valve
MOOG G493K604 Servo Valve
MOOG D633-7392 A08KO4F0HMY Servo Valve
MOOG D633-7392 A08KO4F0HMY Servo Valve
Moog XSB10362-006M01 M-RSE 32HV Valve
Moog XSB10362-006M01 M-RSE 32HV Valve
MOOG G493L1029  G-3LM8-038-00-01-01-03-000 Servo Valve
MOOG G493L1029 G-3LM8-038-00-01-01-03-000 Servo Valve
MOOG D633K2017B Proportional Valve
MOOG D633K2017B Proportional Valve
627512X080
G60K0AA5VSB0-P
G631-3806B-5
D941-6737C-0001
M-CCE25D6RMX06
M-CCE32D61H2X
J866-1002A
G361-1006A-02-000
D633-501B
D664Z4306K
D662-4010
D664-4039
D637-1099-0001    H40FOFM4VBR    SERVOVALVE
MOOG    0062F253C    F    H20FDFM4VBR    SERVOVALVE
MOOG    0062F256C    B    H80FDFM4VBY    SERVOVALVE
MOOG    0072-101    F    S09FOGMANBH    SERVOVALVE 2-STAGE
MOOG    0072-101SD    F        SERVOVALVE 2-STAGE
MOOG    0072-102    F    S15FOFM NBH    SERVOVALVE 2-STAGE
MOOG    0072-102-4    F    S15FOFMANBH    SERVOVALVE 2-STAGE
MOOG    0072-102-5    F    S15FOFMCNBH    SERVOVALVE 2-STAGE
MOOG    0072-102A    F    S15FOFMAVBH    SERVOVALVE 2-STAGE
MOOG    0072-103    F    S22FOFM NBL    VALVE
MOOG    0072-103B    F    S22FOFM VBL    SERVOVALVE 2-STAGE
MOOG    0072-104    F    S09FOGM NB4    SERVOVALVE 2-STAGE
MOOG    0072-154    F    S09FOFM NBZ    SERVOVALVE 2-STAGE
MOOG    0072-154W    F        INACTIVE
MOOG    0072-155    F    S15FOFMANBZ    VALVE                    Module==Low Pressure Diaphragm-Operated Air
Relay Valve
Service and Adjustment for VA001-102-01 & VA001-102-02
- TLA 7D2 4 Channel DSO
- TLA 7L1 Module
ES510.1 View of the Device- CRT Monitor
- Cart"
Temptronic    TPO 4000 A-2 B 21-2    Temperature Forcing System   
UVP    UVG-11    Short wave UV-254NM   
Virgina Scientific Instruments (VSI)    FW 101    NMR Magnetometer    - Unit powers up but the pump was disconnected inside because of leaks and missing tubing for liquid
ViTrek    V 60    AC Safety Analyzer   
Wavecrest    DTS 2077    Digital Time System    "- 100-230V
- 50/60Hz"
Wavetek    4950    Multifunction Transfer Standard    - Unit passes self confidence test
Yaskawa    XU-ACL 4203    Ball Screw, 1000mm Travel    "- Applied Materials PN: 0190-10289
- 63"" x 28"" Platform
- Carrier - 13 x 10
- Stage in good condition, cover has dent"
Zygo    Mark III-01        "- P/N: 6176-0100-01
- Table Included"
        Optical Power Meter   
    LOT of Accessories        "- Grinder
- Belt Sander
- Metal Sheer and Fabrication Roller"
    DDS 28 B 3    Tester       
    NX 32    Tester       
    Exis 200    Tester       
        Automatic Tie Wraps Feeder       
    CAB 704    PCB Magazines        - with lead screw crank adjustment
    MK 2           
    Multi Probe           
        Weling Table        74 x 60 x 32"

Omal D101H009
Omal D115H066
Omal D153H006
Omal D375XV74 - OBSOLETE (REPLACED BY D376XV74)
Omal D376XT71 DN80
Omal D376XV74
Omal D422H007 PN350 AISI316L
Omal D424H404
1 SC17 UFC1661 15L N2
2 ST34520N disque dur P5000
1 UFC1661CSC15 Massflow programmable SC15
1 UFC1661CSC16 Massflow programmable SC16
1 UFC1661CSC17 Massflow programmable SC17
1 W92-X112-30 braker 30A 277VAC
AMAT 3840-01115
AMAT 3870-02561
AMAT 3700-01511
AMAT 0100-00002
AMAT 0020-03433
AMAT 0020-18359
AMAT 3300-01137
AMAT 0020-76139
AMAT 1260-01043
AMAT 0200-09088
AMAT 0240-25596
RETAINER;DISC,
MATERIAL:ALLOY 6,
OEM P/N:1V710835072,
OEM MAKE:FISHER,
EQUIPMENT NAME:CONTROL VALVE PCV 0693
 
SEAT;VALVE,
MATERIAL:SS 316,
APPLICATION:DISC,
OEM P/N:1V710635072,
OEM MAKE:FISHER,
EQUIPMENT NAME:CONTROL VALVE PCV 0693
 
GASKET SET;
MATERIAL:GRAPHITE,
OEM P/N:RGASKETX182,
OEM MAKE:FISHER,
EQUIPMENT NAME:CONTROL VALVE PCV 0693
 
RING;BACK-UP,
TYPE:SPLIT,
MATERIAL:PTFE/GRAPHITE,
OEM P/N:1V550705292,
OEM MAKE:FISHER,
EQUIPMENT NAME:CONTROL VALVE PCV 0693 (PROCESS VALVE, WAX FILTER
^SOLID LIQUID FILTRATION CONSULTANTS (PTY) LTD)
 

KIT;SERVICE,
OEM P/N:127914,
OEM NEW P/N:VA127914,
OEM MAKE:BETTIS/FISHER,
FOR G3016 POWER MODULE MODEL NO:G3016-D/A-00
            7145141-H PBF71/2B-11RQ 3 PHASE INDUCTION MOTOR
Call For Quote
Manufactured By: UNKNOWN
 : 7145141-H
IMS Product Code: 1878709
Packaging: Sold Individually

 Opening coil  DC220V

1GE10T0320

    Digital Indicator KN-1200B /  Autonics
Close coil: 220VDC

1GE10T0220

 
Close coil: 220VDC

1GE10T0220

 
Spare part for Vacuum Circuit Breaker
Model: VB-12

 

Open coil 220VDC

C00200
 

Close coil: 220VDC

C00201
 

Coil 220-230V 50Hz/ 277 60Hz

C-04255N (C 655-00) CK85BA300

 

Coil 220-250VAC (50-60Hz)/DC

KM5EN (CM 671-44), CK09BE300
美国CTC

 
M/AC102-1A           
SC311-G3-6MR111-1  
CC-A2A-190    
lntermatic FM1D20-24U 24-Hour or 7-Day 24V

商品 :Emerson servo drive
型号 :SKB3400075
AC102-1A
Manufactured by CTC VIBRATION
7145141-H PBF71/2B-11RQ 3 Phase Induction Motor, 100-105/110-130V 50/60 Hz, 2880/3420 RPM, IP55                  M26389-4062/xxx
lntermatic FM1D20-24U 24-Hour or 7-Day 24V
    IOP32 
    SD32T3    
    SD07R 
    MA15/D/2/SI  
    FER CEX-0004 CEX 71 1250A 2.1 TS
ROTARY ACTUATOR    S-100-U-S080  
    B-059-U-S080-JSO 
    DSP-42SG-1S   
    VLG9/2+4PS/5-3 
    VLG9/2+4PS/5-1 
    NTE-212-CoNS-0000
    "Manufacturer: Knorr-Bremse
Condition: genuine new
Part number: 0481039268"
    Air caster B30NC001
    XVM-403-TONS-0000
    EP202-P00-ENR0
    STI-24IO
    XTMDS-015
    XUFTS-015
    MITSUBISHI NT-3020-BYH-R20 ROUTER BIT
     MITSUBISHI NT-3020-BYH-R16 ROUTER BIT
    MITSUBISHI NT-3020-BYH-R12 ROUTER BIT
    成型机上的外链板,2EF1243-112和2EF1243-120
    品牌:ABB      型号:560PSU01 R0002 电源
    CI854AK01
    "GE谢线机水箱
pWL 5000 wT"
    压力传感器 A-10   0-40MPa  4-20mA  30VDC  两线制  威卡 个 1
    压力传感器 A-10   0-1MPa  4-20mA  30VDC  两线制  威卡 个 1
    振动探头  330103-00-12-10-02-00  6根
    振动探头  330103-00-06-10-02-00   6个
    PCE835-001-T 3AC 380/400/480V
    Prosoft MVI56E-SIE 1块
    504C5NRSS4 CAL#666
    XVM-8022-TONS-0000
    HovaCAL 211MF
    6AV2123-2DB03-0AX0
    6AV2123-2GB03-0AX0
    6AV2123-2JB03-0AX0
    6AV2124-2DC01-0AX0
    6AV2124-0GC01-0AX0
    6AV2124-0JC01-0AX0
    6AV2124-0MC01-0AX0
 MTS TEMPOSONIC     "MTS MTS ER- M-0375M- D
34-1- A01"
    "MTS MTS ER- M-0600M- D
34-1- A11"
    MTS MTS Type 254 210
    MTS MTS 403 508
HIRAI DENKEIKI Co.,Ltd    ECT-40S-201X1-2000/5A   MCT-40R-W15/1A 40VA
    35030479 13C 0015  U S PAT 3225159
     SAAB Tank Control    9150072-501
    PCE835-001-T 3AC 380/400/480V
    rexroth  R902155995
    HovaCAL便携式校准气体发生器    (HovaCAL 211MF)
    VAT 12040-FE44-1007
    H+L 250 00292710 SVEZ05SSK090 333U40
    震动器,GOLDEN TURBINE GT10,数量20台
本特利瓦振传感器    9200-06-05-10-00
胀差传感嚣    330851-02-000-030-10-00-05
胀差传感嚣延长线    330854-080-24-00
位移传感嚣    330703-00-070-10-02-00
轴向位移延长线    330730-040-00-00
轴振延长线    330130-080-00-00
轴振前置变送器    330180-90-00
    气动比例调节阀总成8043-GS3   定位器型号8049IPC  品牌:SCHUBERT&SALEZR,含定位器和执行器,DC24V,4-20mA,配304不锈钢法
    DIENES 245AD07B01001
    ECT-40S-201X1
    MCT-40R-W
    气动比例调节阀总成8043-GS3   定位器型号8049IPC  品牌:SCHUBERT&SALEZR,含定位器和执行器,DC24V,4-20mA,配304不锈钢法
    “AEG” RELAY 26-40 AMP. BW55K 139642
"
Emerson"    FM-3DN            
     GGK1-125/70-460×1360/阿托斯
    HSGK01-160/90E-2501-250×783/阿托斯
    G125/70-200/阿托斯
    HSGK01-150/85E-3111-1010×450/阿托斯
    HSGL01-40/20E-1101-80×305/阿托斯
    HSGL01-80/40E-2511-160×507/阿托斯
    HSGL01-63/32E-2501-100×395/阿托斯
    HSGK01-90/50E-1411-150×462/阿托斯
    DCMOTORFGVH112LL-4-R-F-B-C
    HIWIN S10C475-1 120
    接近开关 JLXW6-22B 只 4
    接近开关 JLXW6-22A 只 2
    BEHA BELT RS02 use for nylon mandrel welding  size:6.05 to 25.4mm
    EMERSON  EZ1082-08-00-005 反向安装    6个
    EMERSON  EZ 1900-055  4个
    EMERSON  EZ 1900-095  4个
    EMERSON  EZ 1000-FCAL  6个
    EMERSON  A6500-UM    3个
    EMERSON  A6500-CC  1个
    EMERSON  A6500-FR  1个
    FAN MOTOR N 25-40/729 240V 60HZ 75W 0.47A 1300RPM
    TEIJIN SEIKi驱动器VIGOSERVO ARS30-10 ARS60-15 ARS15   15B140-bA0电机
    M220-23-S-42-06
    E4SM-3201-34-XDBS9-D024
    115U3D300CACAA
    SR18-CG-RGX-R02-K
    maxon motor gmbh 310007 + 358385
    309-314 AM
    "VERSA Valve, 3-Way, SST, 24VDC
MODEL: E4SM-3201-34-XDBS9-D024"
    AB S - M G 1 S 1 5 / 3 0 - G 1 - E 1 Q1 Q1 P G G
    MG 9 7 S 2 / 6 5 - G 6 0 Q1 Q1 P G G
    50044027  Ex-Flanged Heater   DHF22B03GG-9-T6   M.E.S.C.No. 6795530423
    50044028  Ex-Flanged Heater  DHF22B03GG-2,4-T6  M.E.S.C.No. 6795530403
    GE Panametrics M2LW-00-000-0
    PARKER  DRM-1030B-115  00052600279
    MELEGARI L FIGLI   M0710429  11062589
    变频电机风扇电机 风扇型号:G-315A,功率:0.55KW,电压:380V,电流:1.5A,转速:1400r/min  品牌:Ventilators
    DANAHER MOTION 53025-05A
    高压保险(18KV保险 PH2-20 25CLPT-5 美国伊顿-卡特拉.汉莫
    必克 压力表 订货号:SPX J04010078 配PRESSURE INDICATION APV-1000;斯必克均质机APV-1000原装压力表)
    HEATTERM  SR25
    #J04010078 PRESSURE GAUGE
    Parker / Compumotor DM-1030B-115 Dynaserv Direct-Drive Servo
    V18KV  PH2-20 25CLPT-5 
    "
PARKER

706000

727847-01

REPAIR KIT"
    GENERAL ELECTRIC " CIRCUIT BREAKER  M.C.C.B  100A. DH160 3P-3D.  713232
    速度传感器|锤式破碎机PCM1000型 部件号:TCR12531220|德国福伊特公司速度传感器\TCR.12531220\福伊特
    Heatpac CBM Heater/ PN: 176456082
NOV    M364001129
NOV    86812-2
NOV    86812-1
nov    86811-2
nov    86811-1
CANRIG    R01-3016-010
CANRIG    R01-3006-010
CANRIG    R01-3002-010
NOV    NOV P/N:93545-25N;BALL VALVE, MANIFOLD MOUNT
NOV    M364000821-01
NOV    156657
NOV    零件号156656,适用于149487液缸280/220
    53500-225
NOV    10676666-01
NOV    P854000099-HXXEN
NOV/PEPPERL+FUCHS    SENSOR,PROXIMITY;NOV P/N:87961;P+F P/N:106693,type:NJ40-U4-N
捷杰西    备件号:110056
捷杰西    备件号:110061-326
    ACS550-01-03A3-4
    ACS355-03E-07A3-4
    CIMR-HB4A0060,30KW,60A
    ACS-880-3-25A==ACS880-01-025A-3
    ATV610D15N4
    速度传感器\TCR.12531220\福伊特
    负压传感器\GPD10
    压力传感器\GPD10G\珂尔玛\国产
    "Air caster B30NC001, with a working pressure of 1
bar the optimal capacity is 500 kg with an air
consumption of ca. 200­400 Nl/min.Nr.
2004015,16/ 2009199­204"
    Honeywell SYS57 KEY KIT CNTRL CD EXTRACT / ENG 05701-A-0550
    NDC测厚仪扫描头电机  105/14527-01SA
     lamps  GF203-0.7-160-60
BARTEC    VPR047   VA300+M 100V line Amplifier
    VPR066  VA300+M Amplifier (70v Line) Gen II
    "RRV,MAJOR REPAIR KIT,3""-8K Major Repair Kit for
3"" Reset Relief
Valve (8,000 psi)
Schedule B : 8481400000"
    NTE-212-CoNS-0000
    GE Panametrics MISP2-2W-T10-1000
    GE Panametrics MISP2-2W-T00-0000
    GE Panametrics M2LR-00-010-0
    GE Panametrics M2LW-00-010-0
    GED 9T58K0085 CORE AND COIL SM PWR
    Klockner Moeller NZM6-63 3-Pole 600V 63A Circuit Breaker
    motor 190U3D400JBFCB215320SREL with an FC absolute
美国 simpson    数显透气性仪 0042105-M-ASM   1个
    砂强度测试仪 0042104-M-ASM  1个
    砂制样锤 0042100-M 1个
    制样管填充附件 0042100A-M 1个
    锤座 0042100C  1个
    铸型强度计 592-801-102 1个
    编码器,,EATON,38150-1000 数量3
    CMF310A2Y0Y0B2C1A5Y1A0Y ABB FIELD MOUNT PROCESS CONTROLLER
    Autosigma 3000
    "Pepperl
MNI20N-0H02K241N-00500"
    40FP32AF                           
    JD63F400
    3UB81234JW2
    M11091 Super Silent 20-A 110V/60Hz
    ELECTRO CRAFT E240  0240-06-018
simpson    砂制样锤 0042100-M  23公斤
    0042100A-M 1.2公斤
    0042100C   51公斤
    0042104-M-ASM   30公斤
    0042105-M-ASM    22公斤
    铸型强度计 592-801-102 0.2公斤
    1SCA135540R1001 1SCA135540R1001 ABB ENCLOSED SWITCH DISCONNECTOR, 20A, 380-415V, RED/YELLOW, PLASTIC, 2XM20, IP67
    1SCA135540R1001GE
    System 57 Calibration Plug p/n 05701-0550 05701-A-0550
    VOLEO 402 743 24V 504
    heiden ac 电源  型号:he-ac-s1000
    控制系统配件MTL浪涌保护器 电源模块8914-PS-AC  数量1个
    控制系统配件MTL浪涌保护器 电源模块8507-BI-DP   数量1个
    AIR COMPRESSOR TMC 26-8 EANA F015921
    SET SCREW NT2305 
    FAN NT5297
SYS TRONICS    DDC-201R  
SYS TRONICS    DDC-201D 
SYS TRONICS    SS-3303
    GE General Eastern MMR31-B-2-A-1-G
    
    A06B-0077-B003 
    A06B-0085-B103  
    A06B-6130-H002  
    A06B-6130-H003
    M11091 - Super Silent 20-A 110V/60Hz White
    GE General Eastern MMR31-R-3-A-2-G
    MLN 16SB9BB4A14SSM2L SB9 SWITCH 2
    AMAT SYM3 LLB LCF SENSOR DAMAGE NO:0090-07638
    BTL5-S173B-M0250-H-SA69K-KA05
Eaton MEDC    XB13230GNNR
    SM87HXBSB220GN2T2BYTR
    SM87HXBSB220YN2T2BYTR.
    SM87HXBSB220BN2T2BYTR
    SM87HXBSB220RN2T2BYTR
    M11091 - Super Silent 20-A 110V/60Hz White
    IC655CPU50OJ   IC655MEM503B
40086233    T260775
40063567    T260601
40079208    P165-5421
40087573    P165-5577
40079154    P604-(10225-1)     P604-9631
    "TM710E探头 马达传动组 件
图号:105/15537-02SA 品牌: NDC"
R301017-27    Vetco
R301017-24    Vetco
R301017-29    Vetco
R301017-19    Vetco
R301017-28    Vetco
R301017-12    Vetco
R301017-14    Vetco
H132990    Vetco
200008-101    Vetco
195000-214    Vetco
04584801    Vetco
04585302    Vetco
02206201    Vetco
724373    Vetco
H176822-1    Vetco
H139278-1    Vetco
02206101    Vetco
2206203    Vetco
2206204    Vetco
    Siemens/Unify HDCF S30810-K2319-X300/X330
     115UDB300BACAA
RADOX TENUIS    "Cable: RADOX TENUIS- TW 600V MM
Item No: 12 568 049"
    12536692
    12568099
    12568049
    EGTL-A03 PM1  2L87-100966-12   FIBER VER 4Z-HT CENT PM
    "
AKRON STANDARD
541-4998-02

REMOTE FUNCTION PROCESSOR (RFP) VER 3
通讯处理板 Remote Function processor board 541-4998-X3(RFP)"
    CMDS-100
    CFCS-100
    美国AT   C7-3000  配备OSHA/NFPA
    chemiquip  1/4'' NPT 25B
    9T58K0085
     PCIe x1 Gen2 Host  OSS-PCIe-HIB25-X1
    TEL NV3  ES2L87-100966-11 ==ES2L87-100966-12
    98 86 029减速机  59 01 007 减速机  57  04  005减速机  XB1-100-200-1-6/6  谐波减速机
    INDU EDH 76-6-90000-05-D-S/W25MM/HG5/IP00/8MM 1812/204542681  INDUCODER - 76-6-90000-05-D-S/we25MM/HG5/IP00/8m S/N 1812/204542681
HR Textron    27B50F-5E02-995
    CR420JFA0229
    Fairford  DFE-30  (75kW-132kW;  400V-50Hz)
    PCH1216
    R4257047  R4233232
    TS2113N21E10NLA
GE    16SB9BB4A14SSM2L
    Heidenhain ECN 113 2048
    TRENNVERSTÄRKER TV-PT1000.2xOUT
    TRENNVERSTÄRKER TV-U2 .. . ..
    SIMPLE EMV 250R/NW
    ZM92.01   
    SM91.10   
    ZL93.04    
    SA94.04   
    AI92.05     
    SW1  DRIVE SETTINGS   J4 CONTROL   PACIFIC SCIENTIFIC 04G-020
    SPIDER 376 0071-801 250W VER4.3.1
    M11091 Super Silent 20-A 110V/60Hz White white  Serial #: 1134696
    Invicta BL 60-105/6  BL60-105/6/01/50
    DELTA 备件反光镜R110 HTA   5个
GE    1 HC43VL460   SAME AS 5KCP39JGF737BS
    PCIe-HIB25-x4-H   One Stop Systems PCIe x4 Gen 2 host cable adapter
     PCIe-HIB25-x1-T  One Stop Systems PCIe x1 Gen 2 target cable adapter
    PCIe-HIB25-x1-H  One Stop Systems PCIe x1 Gen 2 host cable adapter
    PM10816-PL7310
    3170G040 UNI-TRIBALL S70-G F05-07/D14  DN40-FB / AISI316 / BSP THREAD
    23DA004F05D14 ACTUATOR WM4DA ISO F05/D14 ART.NO: WMR004422
    ADI 分析仪抽气泵M161-FT-AB2    3台
    41709 C0 42714098-V16-1   EMERSON 401282217591里面的41709 C0 42714098-V16-1
     27B50F-5E02-995
    功率单元 Mega Vert-FM150AN Megavert-F2000-10
    分析仪抽气泵M161-FT-AB2
    压力传感器DGZ-11/K0-500bar
    保险 FTB-HO 250V,5A
    力士乐电机MSK050C-0300-NN-M1-UG0-NNNN
    VB12-14270-03    Assembly, Standard Cord, VB-12C VibroBlock
    SLG090-050-KMGS-RA2-168
    GE GT10000 GT10A23/1810A009
    "德国
Dr-Brandt
压力传
感器
DGZ-11/K 500bar
Serno:55573"
    INGERSOLL RAND  IY90L-6
    OILTECH ISSLG 132M-6B
    AMO GMBH A-4963 8604381 2048 5V DC mounting hole center spacing 61.5mm
    853-001983-005,85*66*3  853-001983-005
    WITTENSTEIN   500S-MA3-220-0K1-2S
    3051SFADG200ZSHPS2T100071AA1B2RLG6Q4M5E1F2   (0-1.11kPa)阿牛巴流量计ROSEMOUNT
    HFX236 E1003
    "wika
型号:  IS-3-0-2211-1ZZ-BZZ-GTFDZZZ-UAX                 0~3000BAR"
    5D3A1121PO1O ARND-3119A
    GEMS SENSORS CONTROLS  GH3115-MM-VAC
    M000-09402000DU0100AB100
    M000-09402000DU0100AN100
    M700-07400770A10101AB100
    型号82400000016000
    型号82400000017800
    型号82400000016500
    NXD63B120
    NXD63B800H
    功率单元_MegaVert-FM150AN MegaVert-F2000-10
    51ML72  1720
    压力变送器 IDP10-T22C21F-L1     红外测温传感器 Raytek Model:RAYTXCLTSF Serial:486340  
CLECO    直轴拧紧轴 4BTS-4B660A-4K3B-4ZA
    拧紧轴主电缆 961109-110
    拧紧轴跳线电缆 961104-020
"
GEMS SENSORS & CONTROLS

GH3115-MM-VAC"    GH3131-01MM-V1-203
    GE261A1812P6,火焰扫描仪ITS 967X7179M379
    "VP-VISION 
奥地利Grabner微量蒸气压测试仪
MINIVAP VP VISION"
    IME D8AW2 POWER ACCESSORY
    51ML72 MICRO
    "Honeywell 51ML72

Mfr. Part #: 51ML72
Allied Stock #: 70120312  "
    GED CR306D002LTH MEBANE RENEWAL
    GED CR306C002ACDT SIZE 1 STARTER
    GED CR305E002AYH Z 3 FVNR LESS
    转速探头A5S05T90-5M,数量6件,品牌:布朗
    "DF-310E痕量氧仪专用电解液 100ml
Delta F"
PUMP OIL\O0075\C'TREAT    PUMP OIL\厂家配件号:O0075\技术参数:450℉\制造厂或品牌:C'TREAT\设备名称:FRESHWATER MAKER PACKAGE
COUPLING GREASE\160C\CALTEX    COUPLING GREASE\规格:40×14OZ\制造厂或品牌:CALTEX\厂家配件号:160C
    parker 驱动器 TBF60/5R 10台
    "
GH3115-MM-VAC GEMS SOLENOLD VALVE"
    "LT209914122
Laserscanner LLS wt580-35 short range,
ND, Side, Line, Distance 60mm"
    "LT658770125
Ethernet cable M12 4-pin / RJ-45 M12
plug, length 10 m"
    "LT347770205
Cable M12, 17-pin, 10m, socket straight /
open cable end, shielded, 17x0.14 mm²"
    EVERHARD  ML09750  ML09580  ML09451 AC/DC  IFM EFECTOR 01D120
    TSF-20-C
     GH3115-MM-VAC GEMS SOLENOLD VALVE
    3BHB014992R0101  ABB
    AR SEFRAM 59175  SET10 Sans DP 115-230VAC 10 VOIES Tension Idem Alim ou 24
    ABB的  1,PXAH401 3BSE017235R1   2台;2,PFVO 142 订货号3BSE023732R1
    "General Electric, C/N: TEML36100
TEML Series, type TEML, 3P, 3PH, 100A, 600V, 65kA@480V"
    "SCHNEIDER   LMC402CBD10000
SCHNEIDER   LXM62PD84A11000
SCHNEIDER  LXM62DD15C21000 LMC802CBD10000"
MARELLIMOTORI    旋杯马达MOT.3 MAA 80MBE-B14C0D.MA0811C00017
    AMK AMKASYN  KW5
    3BHB014992R0101
    3BHB014992R0102
    RC-V-SINGLE Robinson Pressure Contro
    NXD63B120
    MD63F800
    MD63T800
    GED TEML36100 OBSOLETE:TEML 3 POLE
    Schneider Electric - LMC402CBD10000
    LXM62PD84A11000
    Schneider Electric - LXM62DD15C21000
    Schneider Electric - LMC802CBD10000
    Load cell Master K PAC 150kg
    GM14604E155
    GM8724J130
    GM9643L153-R2
    GM9643L152-R1
    阿法拉伐SRU6/353/LS/40/70/256/7.5
    VIA1003C01F0000 Schneider servo motor   VIA1003C01F0000
    QABP225M4A  45KW-4P-B3  380V5-100HZ  IC416  左侧接线盒  制动器  编码器  微动开关
    IGBT板\FS500R170E4D\1700V,500A\INFINEON
    KALEJA 73553
    LEMO  FLA. 2S     FLM. 2S      FFA. 2S
    GM14604E155 GEAR MOTOR
    GM8724J130 GEAR MOTOR
    GM9634L153-R2 GEAR MOTOR
    GM9434L152-R1 GEAR MOTOR
    "SCHNEIDER ELECTRIC ELAU AG -
ISH100/30058/0/1/00/0/00/00/00"
    GE General Eastern MMR31-B-2-A-1-G
    NXD63B120
    "06.04.048/K10017-03
Maxi-1Q-4-30马达控制器"
    LXM62DD15C21000
    LXM62PD84A11000
    SICK LMS4121R-13000,1091393
     NXD63B120
    3170G040 UNI-TRIBALL S70-G F05-07/D14  DN40-FB / AISI316 / BSP THREAD
    23DA004F05D14 ACTUATOR WM4DA ISO F05/D14 ART.NO: WMR004422
    美国TI公司的两块数据开发板,DAC1000EVM,IWR1443BOOST
    PLC模拟量输入模块  施耐德 BMXAMI0800   3块
    PLC模拟量输入模块  SCHNEIDER BMX AMI0810   3块
Bollfilter  60040331Rev.1,25.03.10    控制面板;规格型号:Rev.1,25.03.10;数量2,品牌Bollfilter
    VB16-14272-03
    VBC-12MB
    M215-38SW-S-30
    安全阀  品牌: CORONA;型号:532B-3MP-25
    IWR1443BOOST
    AF0450L53PKL1 662400-C – superseded by AF0450L53PKL1
    AF1265S11PF17 650989-J3D – superseded by AF1265S11PF17
    C38341-810 C28241-810 – superseded by C38341-810
    AMOWMK-2051.30-1024 1-6W1-S03  WMK-2005S.08RIFA01-24-1024-0,
    SWR FMS-CSDA 80/1-1
    USELL 19TSCL060026
    NXD63B120
    552-0112 STAR SERVOVALVE
    552-0085 STAR SERVOVALVE
    B00001G00161 11-4SN-401M-M6CA-NB.
    00015698力士乐
    GOLDEN  TURBINE振动器GT25  三个
    varimoxTP56B2-S0-TF+SN18 20064978
    DVCL-153P-WH DIVA CFL/LED DIMMER Contract Packing
    DVELV-300P-WH Lutron DVELV-300P Diva 300W Electronic Low
    "AF0450L53PKL1 Basic Grease Pump Asm, 4-1/4"", 50:1 (Supersedes
6662400-C)"
    "AF1265S11PF17 Pump Asm, 12, 65:1, Chop-Check (Supersedes
650989-J3D)"
    C38341-810 Combination (2000 Series) (Supersedes C28241-810)
    JLXW6-22B
    HR CONTROLS AHP210523002 AHP03-1S-7
    625KG/H     EX63OEM 
    225KG/H     EX50OEM   马格计量泵。
    日本浅田的分散机磨球分散机,型号:PCM-LR一台
    Eiger Torrance的分散机,型号为M-25
    AF0450L53PKL1 662400-C – superseded by AF0450L53PKL1
    AF1265S11PF17 650989-J3D – superseded by AF1265S11PF17
    C38341-810 C28241-810 – superseded by C38341-810
    BGUL4C6C24DSCD6Y. Breakglass
    VALAS 的VP2W-SR DN20
    Gear motor T63B4 PIERRE RATIO: 19:1 230/460V.3 PHASE.18KW
    West Penn D980
    52SA2GAB
    52BJK
    16418-531/4 Model Type: PSCCM22AAN
    "
品牌:EMB

型号:TOP 150-90113 继电器"
    1SDA082751R1 ABB
    MFR2S45B
    ROCKY  TPC2212-15JPXC
    VI-911780B/HFV300A36M400AL
    GH302-4G
    54LS00J
    ADG452BR
    HEC521331A
    K53-18B-10μK-40B或K53-18B-10μM-40K53-18B-10MKΦ40B±10%
    GCF5713
    "Gear motor REDCMAC S/N:100501
TYPE:IJDL-0.37-B5 RPM:1000-2000 RATIO: 1:4-7 37KW.220V. 3PHASE"
    "Gear Motor TPG MD200-523
Rating:1/4HP.220/380V.1.6/0.9A RPM 450-1720 60HZ GEAR:GH-22,Ratio: 1:20"
    GEAR MOTOR TPG MO-400-523 GH-28 RATIO: 1:20 1/2 HP. 3PHASE,220V
    KOM24-050AP-000  Magnetic KOM24-050AP-000
    Siemens 52BJK 52BJK; 2P contact block, 1NO/1NC, 8A
    Siemens 52SA2GAB 52SA2GAB
    206SLAW17MPN
    206KL1W17MPN
    204SLAW10MPN
    204KL1W10MPN
    RJS SCANNER SV200-1
    以太网交换机;MSP30;含1个电源模块,1个4接口多模光模块和6个4接口电模块
    通信电源模块;CAV4830
    高频开关电源模块;CAV22010-10
    电源模块;HWS80;用于交换机电源
    电源模块;DY1型;36-380V;AC/DC
    电源模块;DR-15-24;AC100-240V 0.88A;50/60Hz
    电源模块;C-50Y 24V/1.5A ±12V/0.6A;适用于交直流屏
    电源模块;2938837;DC24V ;适用于1500V开关柜
    PLC电源模块;CPS3020
    线路光纤纵差保护装置;-9613A-DT
    光纤通信转换器;PMC-1220C-2-ST-S020-C,V2;适用于电气火灾监控系统设备
    光纤熔纤机;IFS-17
    光纤驱动板;CONCEPT
    光纤电流选跳保护装置;F35-N00-HKH-F8L-H6M-MXX-R7D
    光纤电流选跳保护装置;F35-N00-HKH-F8L-H6M-MXX-R7C
    光纤电流选跳保护装置;F35-N00-HKH-F8L-H6M-MXX-PXX-UXX-W7D
    光纤电流选跳保护装置;F35-N00-HKH-F8L-H6M-MXX-PXX-UXX-W7C
    光纤;FC-FC ;5m;适用于35kV继保试验
    ABB  A30D-30-10   B7-30-10
    VB16-14272-03
    VBC-12MB
    TUJ-2003P-NF
    5CWY-D
    Moog t200- 410j - 0aa0  Moog servo motor  moog g493k604
    M215-38SW-S-30
    VB16-14272-03
    VBC-12MB
    PHONTECH 型号:DICS6100内部线路板2块 型号M6043 OGM M6053U M3137TAK 0522 TEH
    GSX50-0601-MFB-RA2-268-AR
    QBHW3050H
GE    PRC4M40DCDL 1702 DC24V(GE)
MCB    MOD DEPOSE MCB RCEC 500H A482218,18 5% 156 1610
AVX     AVX FPX86-9319--B,1uF±5%,100Arm, max ,448Z70153-C, 2T8B 625822/037H7
Rsisolsec    1500/1A 20VA CL1
    "Buhler Technologies GmbH Harkortstr.29 DE-40880 Ratingen MTW-9-1004xPNP OUT MAX.0.5A 2×ANALOG OUT 4-20mA(O-100°℃)UB=10V-30VDC;L=10
Omm
"
    INFRANOR  BLS-072  BS0729
    M31FA600A
     Siemens cat# 52BJK; 2P contact block.
     Siemens cat# 52SA2GAB; selector switch,
    ACS880-01-014A-5
    DANOBAT HG-91-3000-2AR  磨床  9SDJ800A08  1000  B504333
    压差开关  WE-1SP-M12X1C/O 1SP
    液位开关  ABZMS-41-1X/0500/M2/AC-K14 RD50222/R901269276
    MAIN PACKER H176822-1  KFDJ500
    4WRZ32W520
    4WRZ25W325
    R902029325
    2029325 (A11VO190LRDS)
    ZDC25-PT2X/XM
    811402055
    ZDC32-P2X/XM
    R928017210
    R928017144
    DBDH6G17/100-IN001
    DZ6DP2-53/315XM
    4WE6J62/EG24N9K4
    0830100365
    moog g493k604-
    MARELLI MOTORI - SM31FA600A
    ABB水冷放气嘴 2UAA144021R0014
    Pacific Seismic Products, Inc    ES510
    Bosch Rexroth ABZMS-41-1X/0500/M2/AC-K14 Float Switch
    G493K804  MOOG
    9SDJ800A081000 BUILT INBALANCER8000GCM D81MM+AE
美国CTC的    M/AC102-1A          数量9
    SC311-G3-6MR111-1  数量9
    CC-A2A-190   数量9
    ABB  NHP304283R5  HDZ-60-30C
    EHB 32/2.2 R R/L手持式电钻
    balance 9SDJ800A081000
    M700-034-00045A10
    C200-034-00094
    C200-054-00270
    意大利omal气动执行器sr240516s
    MOOG - G493L1029 ****Moog G493K804, replaced by G493L1029****
    SHAFER  VA001-170-58   VA001-170-58  LW26-20
    apply material 3320-01163 gasket
    Moog G3LM8038-00-01-0-00-000 Servomotor
    35SR24051600 Draaicilinder SR240 F07-10 V22 RVS316
Sensoray Model 626 Rev.L Multifunction I/O Board PCI Card A/D Digital Interface    MODEL 626 REV L  Model 626 Rev G Multifunction The I/O card U-PG626D-FPQ160
    ECKARDT  6986510 CDS 525 460 01
    motor 067UDB600BACRA
    motor 115UDB300BACAA
    DD137-100-4  QA5KS2 98M
    Rosenberg Fan DD137-50-4 BV1KS0 230/400/440 50/60Hz
FLAT WASHER\SE-4-19\MG&G PUMP & SUPPLY    FLAT WASHER\制造厂或品牌:MG&G PUMP\厂家配件号:SE-4-19
QUAD CRANK BLOCK BOLT\SE-4-18Q\MG&G PUMP    QUAD CRANK BLOCK BOLT\制造厂或品牌:MG&G PUMP\厂家配件号:SE-4-18Q
ASSEMBLY ROD\SE-2-10A\MG&G PUMP & SUPPLY    ASSEMBLY ROD\制造厂或品牌:MG&G PUMP\厂家配件号:SE-2-10A
SPLIT PIN\SE-2-14\MG&G PUMP & SUPPLY    SPLIT PIN\制造厂或品牌:MG&G PUMP & SUPPLY\厂家配件号:SE-2-14
BLEEDER POPPET\SE-5-26\MG&G PUMP    BLEEDER POPPET\制造厂或品牌:MG&G PUMP & SUPPLY\厂家配件号:SE-5-26
BLEEDER SPRING\SE-5-27\MG&G PUMP&SUPPLY    BLEEDER SPRING\制造厂或品牌:MG&G PUMP & SUPPLY\厂家配件号:SE-5-27
BLEEDER SCREW\SE-5-28\MG&G PUMP    BLEEDER SCREW\制造厂或品牌:MG&G PUMP & SUPPLY\厂家配件号:SE-5-28
PLUNGER\SE2-6-375\MG&G PUMP & SUPPLY    PLUNGER\规格:3/8in\制造厂或品牌:MG&G PUMP\厂家配件号:SE2-6-375
END ROD\SE4-15\MG&G PUMP & SUPPLY    END ROD\规格:3/8in\制造厂或品牌:MG&G PUMP\厂家配件号:SE4-15
O-RING\SE-5-15\MG&G PUMP & SUPPLY    O-RING\技术参数:316\制造厂或品牌:MG&G PUMP\厂家配件号:SE-5-15
CROSSHEAD ROD BEARING\SE2-13\MG&G PUMP    CROSSHEAD ROD BEARING\制造厂或品牌:MG&G PUMP\厂家配件号:SE2-13
CROSSHEAD SEAL\SE2-12\MG&G PUMP & SUPPLY    CROSSHEAD SEAL\制造厂或品牌:MG&G PUMP\厂家配件号:SE2-12
END ROD\SE2-15\MG&G PUMP & SUPPLY    END ROD\规格:1/4in\制造厂或品牌:MG&G PUMP\厂家配件号:SE2-15
CONNECTING ROD ASSEMBLY\SE416QA\MG&GPUMP    CONNECTING ROD ASSEMBLY\制造厂或品牌:MG&G PUMP\厂家配件号:SE-4-16QA
ASSEMBLY ROD\SE-2-10A\MG&G PUMP & SUPPLY    ASSEMBLY ROD\制造厂或品牌:MG&G PUMP\厂家配件号:SE-2-10A
PLUNGER\SE2-6-375\MG&G PUMP & SUPPLY    PLUNGER\规格:3/8in\制造厂或品牌:MG&G PUMP\厂家配件号:SE2-6-375
PACKING\SE-2-3-375S\MG&G PUMP & SUPPLY    PACKING\规格:3/8in\制造厂或品牌:MG&G PUMP\厂家配件号:SE-2-3-375S
阀组件\MILTON ROY\S2210264002-L\防腐剂注入泵    阀组件\制造厂或品牌:MILTON ROY\厂家配件号:S2210264002-L\设备名称:防腐剂注入泵
ACV FLUID CAP O-RING\MG&G PUMP\ACV-6    ACV FLUID CAP O-RING\制造厂或品牌:MG&G PUMP\厂家配件号:ACV-6
POPPET O-RING\MG&G PUMP\ACV-8    POPPET O-RING\制造厂或品牌:MG&G PUMP\厂家配件号:ACV-8
FILTER\PK0530004-40133\PEAK    HYDRAULIC RETURN FILTER\制造厂或品牌:PEAK\厂家配件号:PK0530004-40133
吊车液压油控制油滤器\RX-ME1032PH1F10N    吊车液压油控制油滤器\型号:RX-ME1032PH1F10N\制造厂或品牌:EMBELLLISH HING
BRAKE BAND\SEATRAX MARINE CRANES\TB4200    BRAKE BAND\制造厂或品牌:SEATRAX\厂家配件号:TB4200\设备名称:CRANE\设备序列号:4407
ECCENTRIC SHAFT BRAKE\TB4208\SEATRAX    ECCENTRIC SHAFT BRAKE\制造厂或品牌:SEATRAX\厂家配件号:TB4208\设备名称:CRANE
CHECK VALVE\SE-5-38S-CTM\MG&G    SUCTION CHECK VALVE\制造厂或品牌:MG&G PUMP\厂家配件号:SE-5-38S-CTM
DISCHARGE CHECK VALVE\SE-5-38D-CTM\MG&G    DISCHARGE CHECK VALVE\制造厂或品牌:MG&G PUMP\厂家配件号:SE-5-38D-CTM
    PH2-20  25CLPT-5

Supplier name                 SIEMENS LLC

Serial number                 5144811-812, 5144817-819
PROBE SERIAL NO: 411413-PR
FLAT WASHER\SE-4-19\MG&G PUMP & SUPPLY    FLAT WASHER\制造厂或品牌:MG&G PUMP\厂家配件号:SE-4-19
QUAD CRANK BLOCK BOLT\SE-4-18Q\MG&G PUMP    QUAD CRANK BLOCK BOLT\制造厂或品牌:MG&G PUMP\厂家配件号:SE-4-18Q
ASSEMBLY ROD\SE-2-10A\MG&G PUMP & SUPPLY    ASSEMBLY ROD\制造厂或品牌:MG&G PUMP\厂家配件号:SE-2-10A
SPLIT PIN\SE-2-14\MG&G PUMP & SUPPLY    SPLIT PIN\制造厂或品牌:MG&G PUMP & SUPPLY\厂家配件号:SE-2-14
BLEEDER POPPET\SE-5-26\MG&G PUMP    BLEEDER POPPET\制造厂或品牌:MG&G PUMP & SUPPLY\厂家配件号:SE-5-26
BLEEDER SPRING\SE-5-27\MG&G PUMP&SUPPLY    BLEEDER SPRING\制造厂或品牌:MG&G PUMP & SUPPLY\厂家配件号:SE-5-27
BLEEDER SCREW\SE-5-28\MG&G PUMP    BLEEDER SCREW\制造厂或品牌:MG&G PUMP & SUPPLY\厂家配件号:SE-5-28
PLUNGER\SE2-6-375\MG&G PUMP & SUPPLY    PLUNGER\规格:3/8in\制造厂或品牌:MG&G PUMP\厂家配件号:SE2-6-375
模块化组合减速机\MB04-YB0.25-WB120L11-WJ90-50K    模块化组合减速机\型号:MB04-YB0.25-WB120L11-WJ90-50K\制造厂或品牌:上海友环传动机械有限公司\设备名称:气浮撬块\设备型号:ADNF-150
膜片\RDS8\大连佳尔沃水处理工程技术有限公司\S-S-T-1101    膜片\型号:RDS8\制造厂或品牌:大连佳尔沃水处理工程技术有限公司\厂家配件号:S-S-T-1101
联轴器弹性块\MILTON ROY\4100064020\J741J4B/C    联轴器弹性块\制造厂或品牌:MILTON ROY\厂家配件号:4100064020\设备名称:隔膜泵\设备型号:J741J4B/C\设备序列号:YDTH90723-005B
十字头油封\SKF/CR21101\MILTON ROY\S4080031020    十字头油封\技术参数:SKF/CR21101\制造厂或品牌:MILTON ROY\厂家配件号:S4080031020\设备名称:反乳剂注入泵
单泵头\MBP071-8HAAM4TMGFSESTNN/Z\13.8MPa    单泵头\型号:MBP071-8HAAM4TMGFSESTNN/Z\技术参数:13.8MPa\制造厂或品牌:MILTON ROY
柱塞\H72427\MILTON ROY    柱塞\制造厂或品牌:MILTON ROY\厂家配件号:H72427
BRAIDED RING SET\4080156061\MILTON ROY    BRAIDED RING SET\制造厂或品牌:MILTON ROY\厂家配件号:4080156061
END ROD\SE4-15\MG&G PUMP & SUPPLY    END ROD\规格:3/8in\制造厂或品牌:MG&G PUMP\厂家配件号:SE4-15
O-RING\SE-5-15\MG&G PUMP & SUPPLY    O-RING\技术参数:316\制造厂或品牌:MG&G PUMP\厂家配件号:SE-5-15
CROSSHEAD ROD BEARING\SE2-13\MG&G PUMP    CROSSHEAD ROD BEARING\制造厂或品牌:MG&G PUMP\厂家配件号:SE2-13
CROSSHEAD SEAL\SE2-12\MG&G PUMP & SUPPLY    CROSSHEAD SEAL\制造厂或品牌:MG&G PUMP\厂家配件号:SE2-12
END ROD\SE2-15\MG&G PUMP & SUPPLY    END ROD\规格:1/4in\制造厂或品牌:MG&G PUMP\厂家配件号:SE2-15
CONNECTING ROD ASSEMBLY\SE416QA\MG&GPUMP    CONNECTING ROD ASSEMBLY\制造厂或品牌:MG&G PUMP\厂家配件号:SE-4-16QA
ASSEMBLY ROD\SE-2-10A\MG&G PUMP & SUPPLY    ASSEMBLY ROD\制造厂或品牌:MG&G PUMP\厂家配件号:SE-2-10A
PLUNGER\SE2-6-375\MG&G PUMP & SUPPLY    PLUNGER\规格:3/8in\制造厂或品牌:MG&G PUMP\厂家配件号:SE2-6-375
PACKING\SE-2-3-375S\MG&G PUMP & SUPPLY    PACKING\规格:3/8in\制造厂或品牌:MG&G PUMP\厂家配件号:SE-2-3-375S
阀组件\MILTON ROY\S2210264002-L\防腐剂注入泵    阀组件\制造厂或品牌:MILTON ROY\厂家配件号:S2210264002-L\设备名称:防腐剂注入泵
ACV FLUID CAP O-RING\MG&G PUMP\ACV-6    ACV FLUID CAP O-RING\制造厂或品牌:MG&G PUMP\厂家配件号:ACV-6
POPPET O-RING\MG&G PUMP\ACV-8    POPPET O-RING\制造厂或品牌:MG&G PUMP\厂家配件号:ACV-8
FILTER\PK0530004-40133\PEAK    HYDRAULIC RETURN FILTER\制造厂或品牌:PEAK\厂家配件号:PK0530004-40133
吊车液压油控制油滤器\RX-ME1032PH1F10N    吊车液压油控制油滤器\型号:RX-ME1032PH1F10N\制造厂或品牌:EMBELLLISH HING
BRAKE BAND\SEATRAX MARINE CRANES\TB4200    BRAKE BAND\制造厂或品牌:SEATRAX\厂家配件号:TB4200\设备名称:CRANE\设备序列号:4407
ECCENTRIC SHAFT BRAKE\TB4208\SEATRAX    ECCENTRIC SHAFT BRAKE\制造厂或品牌:SEATRAX\厂家配件号:TB4208\设备名称:CRANE
CHECK VALVE\SE-5-38S-CTM\MG&G    SUCTION CHECK VALVE\制造厂或品牌:MG&G PUMP\厂家配件号:SE-5-38S-CTM
DISCHARGE CHECK VALVE\SE-5-38D-CTM\MG&G    DISCHARGE CHECK VALVE\制造厂或品牌:MG&G PUMP\厂家配件号:SE-5-38D-CTM
||||||||||FLATWASHER\MG&GPUMP&SUPPLY\SE-4-19
||||||||||QUADCRANKBLOCKBOLT\MG&GPUMP&SUPPLY\SE-4-18Q
||||||||||ASSEMBLYROD\MG&GPUMP&SUPPLY\SE-2-10A
||||||||||SPLITPIN\MG&GPUMP&SUPPLY\SE-2-14
||||||||||BLEEDERPOPPET\MG&GPUMP&SUPPLY\SE-5-26
||||||||||BLEEDERSPRING\MG&GPUMP&SUPPLY\SE-5-27
||||||||||BLEEDERSCREW\MG&GPUMP&SUPPLY\SE-5-28
||||||||||PLUNGER\3/8in\MG&GPUMP&SUPPLY\SE2-6-375
||||||||||模块化组合减速机\MB04-YB0.25-WB120L11-WJ90-50K\上海友环传动机械有限公司\气浮撬块\ADNF-150
||||||||||膜片\RDS8\大连佳尔沃水处理工程技术有限公司\S-S-T-1101
||||||||||联轴器弹性块\MILTONROY\4100064020\隔膜泵\J741J4B/C\YDTH90723-005B
||||||||||十字头油封\SKF/CR21101\MILTONROY\S4080031020\反乳剂注入泵
||||||||||单泵头\MBP071-8HAAM4TMGFSESTNN/Z\13.8MPa\MILTONROY
SRI986-BIDS7ZZZNA+LEXG-JN | Foxboro ( by Schneider Electric ) | SRI986 Electro-Pneumatic Positioner||柱塞\MILTONROY\H72427
||||||||||BRAIDEDRINGSET\MILTONROY\4080156061   EATON 25CLPT-.5 25.5 Max kV 0.5 Amp
||||||||||ENDROD\3/8in\MG&GPUMP&SUPPLY\SE4-15
||||||||||O-RING\316\MG&GPUMP&SUPPLY\SE-5-15
||||||||||CROSSHEADRODBEARING\MG&GPUMP&SUPPLY\SE2-13
||||||||||CROSSHEADSEAL\MG&GPUMP&SUPPLY\SE2-12
||||||||||ENDROD\1/4in\MG&GPUMP&SUPPLY\SE2-15
||||||||||CONNECTINGRODASSEMBLY\MG&GPUMP&SUPPLY\SE-4-16QA
||||||||||ASSEMBLYROD\MG&GPUMP&SUPPLY\SE-2-10A
||||||||||PLUNGER\3/8in\MG&GPUMP&SUPPLY\SE2-6-375
||||||||||PACKING\3/8in\MG&GPUMP&SUPPLY\SE-2-3-375S
||||||||||阀组件\MILTONROY\S2210264002-L\防腐剂注入泵
||||||||||ACVFLUIDCAPO-RING\MG&GPUMP\ACV-6
||||||||||POPPETO-RING\MG&GPUMP\ACV-8
高压保险(18KV保险 PH2-20 25CLPT-5 美国伊顿-卡特拉.汉莫)
||||||||||HYDRAULICRETURNFILTER\PEAK\PK0530004-40133
||||||||||吊车液压油控制油滤器\RX-ME1032PH1F10N\EMBELLLISHHING
||||||||||BRAKEBAND\SEATRAXMARINECRANES\TB4200\CRANE\4407
||||||||||ECCENTRICSHAFTBRAKE\SEATRAXMARINECRANES\TB4208\CRANE
||||||||||SUCTIONCHECKVALVE\MG&GPUMP&SUPPLY\SE-5-38S-CTM
||||||||||DISCHARGECHECKVALVE\MG&GPUMP&SUPPLY\SE-5-38D-CTM
EATON 25CLPT-.5 - Fusible
MODULE: 8354
EATON #25CLPT-.5E
Fuse, Potential Transformer; E-Rated; 25kV; 0.5E
OLD PART#MISP2-2R-T00-000
ISI222

Bachmann SWI205

125768-01 135813-01

21000-16-10-00-256-13-02

3300/01

3300/05

3500/33

3500/40M

3500/42M

3500/45

3500/50

BENTLY NEVADA     330180-51-05

HONEYWELL 51304337-150,51204162-175,51303932-476

HONEYWELL51305348-100

HONEYWELL 51304453-150 (MC-TAIH02)

HONEYWELL 51305776-100

HONEYWELLMC-TLPA02,51309204-175

Eagle Signal CYCL-FLEX60

Eagle Signal HA12B6

Eagle Signal HA45A6

EASOM ES-5288-12-L-010-212

Eaton 25CLPT-.5

Edwards 94DFG-R5

Electrical 978-1-935941-20-0

Electro PS-4006-10-016-MDB

Electro PS-5124-M09-A

Electron S3190A

Electronic MK1000-5-200-FI  

Elpac OLV-120-24

Eltex FRH316D

Eltex FRH316E

Eltex KSH230/80

Eltex RO-KNH 33/60

Fanuc A03B-0819-C103

Fanuc A03B-0819-C104

Fanuc A05B-1036-D001

Fanuc A06B-0314-B001

Fanuc A16B-1212-003

Fanuc A16B-1600-028

Fanuc A16B-200-025

Fanuc A16B-2200-0250

Fanuc A16B-2200-0252-06C

Fanuc A16B-2200-030

Fanuc A16B-2200-0431

Fanuc A16B-2200-0760

Fanuc A16B-2203-0240

Fanuc A20B-0002-094

Fanuc A20B-0008-063

Fanuc A20B-1003-0041/01A

Fanuc A20B-1003-0180
HMCP150U4CA02
HMCP150U4 150A
HMCPE100T3C
HMCPE100T3

KW3400F
KD3400F
KD3300 600VAC,35KA@ 480VAC
KW3315 600VAC,35KA@ 480VAC。
EGS3125FFG
H2014B-3
H2015B-3
H2023-3
JT3250T (JT3250T )
AN16GNOBB (AN16GN0AB)
AN16KNOB
HJDBKR3P
CN15KN3L
AN16KNOL (AN16KN0A )
699B196G02
449D431H22 240VAC
HMCP250W5W
EHD2060L
HMCP100R3
HMCP250W5
HMCP150T4
CHLD3600T76W
1775T-PMPP-1700/92-01940-00
6702ED584
D26MRD602A1
D26MRD704A1
HMCP050K2CA02
6702ED615
7BCLS-6R
PSG240E
13104RQD07
3-2599-327A
HMCP400X5WA12
HMCP400X5
HMCP600L6W
HFD3125
JD3250
RDC320T33W
13104RQD07
6702ED621
LGE3600FAG
LHMFS04
XTCE072DS1E
XTCE015B10TD
XTCE040DS1TD
XTFR004BBTD
XTCE040DS1E
SPHM1RM0015
WMZT2D10
WMZT1D05
HMCPJ250W5L
HMCPJ250K5L
1903-3 36V
V201K5CUZ1
1755KK PMPP 1700
EHD2020
FWF1016L
HMCP250W5
HJD3250F
IQ500L 220V
13100AQD07
HMCP400X5WA02(A02为触点1a/1b)
ELJBE4250W
10316H54
PH2-20 25CLPT-5
E05NBXRB3A
SPX250A0-4A2N1
MMX34AA7D6F0-0
DG1-34105FN-C21
6SL3330-1TE38-2AA3
6SL3054-0EF00-1BA0
6ES7972-0BA42-0xA0
6SL3055-0AA00-5CA2
6SL3120-1TE24-5AA3
6SL3120-1TE28-5AA3
6ES7971-0BA00
6EP1336-3BA00
6702ED584
6702ED663
6702ED584-2
6702ED587-2
6702ED668
6702ED587-4
6702ED615
6702ED621-2
6702ED622
6702ED664-2
6702ED621
6702ED664-6
2120A07G01
2120A07G02
2120A07G03
2120A07G04
2120A07G05
2120A07G06
2120A07G09
2120A07G10
2120A07G13
2120A07G14
2120A07G17
2120A07G31
2120A07G32

Qualified Parts Catalog
by Part Type
Nuclear
www.cwnuclear.com
   
 Page B1 of B293
ACC
IB7883‐27022 FISHER CONTROLS Spring 60552 R1
ACTUATOR
DYNC‐16001‐002‐0‐24 BARBER COLMAN Actuator, Electro‐Mechanical; Khnp Qualit 7T554EL
MA‐418 Elect‐Mech 62062
MA‐481‐0‐0‐4 Rotary Actuator 61135.0 R0
MA‐418‐0‐0‐2 Undirectional, 120 Vac/60 Hz 62061
MA‐418‐0‐0‐3 Undirectional, 120 Vac/60 Hz 9T147EL
H30A1520B21C1E5F13 Barton Hydramotor 61754
KAZ BUSSMANN 600 Vac 70670.1
MP918B1014 Honeywell Actuator CC00004271
MA418‐0‐0‐4 Invensys Actuator 61135.0  R0
LCR300LTS115AC Jamesbury Actuator S0004.2 Rev.
LCR300LS115AC Jamsbury Actuator Electrical Motor 120 VAC For ja S0004.2
D‐3153‐2 Johnson Controls Actuator Q1565.0
D‐3246‐1 Actuator NULL
DA‐3041‐7614 Damper Electrical 2T226EL
M130GGA‐1 N/A 60508 R0
D‐9502‐8 Pneumatic Damper 9T225.0 R0
SM1530‐0.6/400‐A‐N‐90 Jordon Controls ACTUATOR; TYPE: ROTARY ELECTRIC WIT S0004.3
D66 Kaneko Actuator NULL
JE‐SR‐3.5 KERRY COMPANY ACTUATOR, KERRY ELECTRICAL HYDRAULI TC‐T8628EL
M130GGA‐3 QualTech ACTUATOR, ELECTRIC, WITH SPRING RETU T9633EL
45102SR80 RSVP Actuator Q1257.0
45122‐SR‐80‐C‐1‐0‐99‐C ACTUATOR, 12", MATRYX 1031 S1105.1
45102SR080C1000C RSVP Actuators Actuator NULL
45102SR080C1000C‐S RSVP Actuators and C Actuator NULL
MA‐418‐0‐0‐4 Ruskin Actuator HC00000033
331‐3011 Siemens Pneumatic Damper 5T526EL
TE17SWCA1AAD1654 TJ VICKERS Actuator, Air, Bare, Model S‐5R, 12 In. Bor 7T098EL
20HM755W WORCESTER CONTR Electric, Size 20 96083
20HM755WM1120A Electric, Size 20 Heater 96083.0 R0
MX3000 Xomox Actuator NULL
SP‐MX3000‐FS‐REMAN Actuator NULL
025‐20450‐000 YORK 120V, 60Hz, 180 Degrees 6T236YK
025‐17174‐001 Actuator, Electric Motor, 220 IN‐LB Maxi T0195YK
Adapter
W43‐40‐40U Anchor Fluid Power Adapter 7S022.0, Rev
Monday, January 11, 2016

   
 Page B2 of B293
Adapter
W43‐64‐64U Anchor Fluid Power Adapter 7S022.0, Rev
FH91A607‐02 F&H 80116.1 R0
6153401A Base 61534.0 R0
2129 HUBBELL 61570
WB01163 MUELLER BRASS CO. Copper, Wrot, Cxmip 6T224VI
604 NIBCO Copper, 3/8 Male Pipe" 5T437CB
4‐4 GBTX‐B Parker Brass Tubing, 1/4 Npt X 1/4"" 3T133ME
4‐8FTX‐SS Male, 1/2 Npt 3T311CB
4‐4 T2HF‐B Tubing Adapter 1/4 Tube‐ Mnpt 5T562ME
5699‐0 POMONA ELECTRONI Terminal Strip Banana Jack 4T360EL
DRAWING #91A603‐02 QUALIFIED AIR N/A 80083 R1
RF35M‐35M‐00000 RF Industries Adapter CC00001734
Adder
ARA40R Culter Hammer Adder CC00002369
ARDA40R Cutler Hammer 600 Vdc, 4 Pole 9T077EL
ARDA 40R Adder, Deck 4 Pole CC00000029
ARA40 Adder, Relay, 4 Poles, 4 Normally Open Fi L0001TE
8501XB40‐Y414 Square D Adder Deck T0312.0 Rev.
ADHESIVE
1300L 3M COMPANY Adhesive T8046ME
1300‐L Adhesive, Scotch Grip T8046ME
3M4799 Ethylene Propylene 9T294EL
999A DOW CORNING Silicone Sealant 3T078ME
46551 Loctite Adhesive CC00004796
Agastat
7022PC Agastat Agastat Relay,STD, OFF, 2P, 125VDC 3T084.2
AIR CYLINDER
DA61B2N‐400‐400‐100‐ Miller Fluid Power Cylinder, Air, Spare Parts Set, For Miller Fl T0134ME
NSVE16X1 Parker 3/4Bore X 1" Stroke" 20250.0 R1
ALARM
CT‐1214‐T10 Ametek 0‐1 Madc Input 7T286EL
XET‐1218‐T10‐20012B Alarm NULL
MA1L1RPK Cutler Hammer Signal/Sealed Contact Alarm 7T543EL
DCA/4‐20mA/DH2L1/117AC/(STD) 7T456EL MOORE INDUSTRIES Dc Current Alarm
ET1215 Rochester Alarm 73021.1
ET‐1214‐ID1‐HN‐I‐N1‐NR Alarm Unit S1214.0 R.1/
ET‐1218‐T10‐H ROCHESTER INSTRU Current/Voltage 96197
Monday, January 11, 2016

   
 Page B3 of B293
ALARM
ET‐1228 ROCHESTER INSTRU Deviation Alarm, Dual Relay 96214
XET‐1214‐10003039 Rochester Instrumen Alarm Module S1214.0 R 1/
ALARM UNIT
ET‐1215‐I Ametek UNIT, ALARM, 250 OHM INPUT IMPEDAN 60104 Rev. 2
745210AAAA2 BAILEY CONTROLS 61749
745210AAAA1 Input Signal 61582.0 R0
BMX‐409‐E21 BO JUNG Vac Input 0‐10 Vdc 20247.1 R0
18‐132‐3‐A‐A2C‐M31 DEVAR Current 60781
DCA/4‐20MA/DH1L1/45DC/AD‐TT‐AB CC00000162 Morre Industries Alarm
ET‐1215‐323‐I ROCHESTER INSTRU 24 Vdc 73043
ET‐1215 Alarm 97060
ET‐1215‐FS Current Voltage 73004
ET‐1215‐T2 Dual Electronic 60437
ET‐1215‐LZ‐T2 Dual Trip Current 73076
ET‐1215‐T2‐C Dual Trip Current Voltage 73004
ET‐1205 SS2 T2 H FS EST Electronic 73021 R2
ET‐1215 T2 H FS EST Electronic 73021 R2
ET‐1208‐ES Hi Trip Fail‐Safe 73021
ET‐1208‐FS Hi Trip Fail‐Sale 73021 R2
ET‐1218‐T10 Single Input 96197
ET‐1218 Single Input Current 73021.1 R2
ALTERNATOR
9038JW8Z5 SQUARE D 2 Pole, Float Operated 60998
9038AW1 Mechanical, Float Operated 61121 R0
Ammeter
SPC‐650‐26 ECU Ammeter 6L008.0 Rev.
644B637A21 WESCHLER 96885
TYPEGA332 60947
644B637A24 Type Ga‐332, Scale 0‐1.2Ka 8T208EL
644B015A13 WESTINGHOUSE Horizontal 60566
103121CAPZ YOKOGAWA Ammeter CC00000761
103131LSRX AMMETER, ALTERNATING CURRENT, 0‐30 7S015.2 Rev.
103131LSRL AMMETER, ALTERNATING, CURRENT, 0‐20 7S015.2 Rev.
101123AERX Dc 50093.5
103‐131‐LSSJ Type Ab‐40, 5 Amp 7T441EL
AMPLIFIER
AAD‐2 HASKEL Air, Pressure 3T130ME
Monday, January 11, 2016

   
 Page B4 of B293
AMPLIFIER
6181A SCIENTIFIC COLUMB Amplifier CC00003064
ANCHOR
1492‐EAJ35 ALLEN BRADLEY ANCHOR DIN RAIL RELAY T9124EL
1492‐DAJ35 Din Rail, End Anchor T8593EL
1492‐N23 End 90022
E/NS‐35N DPS Anchor Q8013.0 t80
HDI 1/2 SS 303 HILTI Concrete, 1/2 In, 303 Ss 5T314ME
3015‐30000 STAR Bolt 61566
ANGLE
CRA11TH HOFFMAN Rack 5S024.0
Annunciator
70TFS1‐12D Panalarm Annunciator CC00002667
ANNUNCIATOR CARD
70‐TFS1N AMETEK 12 Vdc, Circuit Board 2T164EL
1005‐016 ROCHESTER INSTRU 95613
1005‐018 N.O. Or N.C. 73056
ARC QUENCHER
425D404G1 Siemens Ceramic, Ac/Dc 8T080EL
ARM
AM‐113 BARBER COLMAN 61703
LC‐233‐1368 L&J TECHNOLOGIES Arm CC00001851
EL060‐53300 Namco Arm CC00004636
1601 NORTON Door Closer, Parallel Arm 7T278ME
9007‐B3 SQUARE D Arm Lever T8307EL
ARM VANE
064‐10818 YORK Arm Vane 97280
ARRESTOR
AZS100C503R COOPER ARRESTOR, SURGE; TYPE AZS T8334EL
81637 ENERSYS Flame 6T096ME
96300 Flame 5Q017.0
301‐803‐3000 OHIO BRASS Surge 7T476ME
301‐803‐3001 Surge, 2.55Kv, Metal Oxide 7T476ME
ASSEMBLY
NQ0211024 BUCHANAN Terminal Block 60879
EC21718EAB‐C1T14S31N1L9A30A31 S0007.0 Cutler Hammer STARTER, ELECTRIC MOTOR; TYPE: FULL V
09‐9120‐00439 CVI Assembly CC00000129
09‐9120‐00415 Assembly, Piston, Blower Compressor wit T9074VI
Monday, January 11, 2016

   
 Page B5 of B293
ASSEMBLY
A971‐0.5‐1 CVI Detection Panel 7T266VI
20001‐02‐06‐01‐1 Dew Point Meter T8123VI
629‐22359‐001 Shaft Seal 9T284VI
60352‐A ENVIREX Extension Shoe, Rh 6T090ME
603‐30461‐90 Foot Shaft, 3 Piece 6T090ME
60352‐B Left Hand Track Bar 6T090ME
H9408‐44‐106 Left Hand Track Bar 6T090ME
H9408‐44‐105 Right Hand Track Bar 6T090ME
H99408‐38‐101 Screen Wash Basker, #14 W&M 4T271ME
H99408‐38‐100 Screen Wash Basket, #14 W&M 4T271ME
3408080016‐004 W/01‐017000‐307 7T262EL FENWAL/GAUMER Switch
C0131CF FOXBORO Integral (Reset) Restrictor 4T528EL
29960 HASKEL Air Amplifier 61849
P/N: 910CMC‐5064X MICROSWITCH ASSEMBLY, CMC SWITCH, OIL TIGHT T0380EL
22‐47RFN7012 RINGFEDER Ringfeder Assembly, ‐, locking, 7/8 in. bor XM013CB
350A985G18 ROYCE COMPRESSOR Bypass Valve Assy 7T258EL
350A985G25 Check Valve Assembly 7T258EL
357A104G01 Oil Seal Assembly BT105ME
350S985G52 Piston & Rod 7T258EL
350A985G52 Piston And Rod Assy 7T258EL
350A985G35 Royce Industrial Com Assembly CC00000341
18‐657‐456‐579 Siemens Contact Finger 6L003CB
A118‐1 SQUARE D Telemecanique 9600 Series Mcc Stab Ass T8275EL
P805C4‐24 SUPERIOR VALVE Seal 7T396ME
F11NOCLL TELEMECANIQUE Interlock 80170
VAL03460 TRANE Butterfly Valve & Actuator,3" 2T025.0
HDLKCTRPIVOT TRENTEC/OVERLY Assembly: Center Pivot Lever Overly Shop  P0047OP
TA/400‐2BSB UNITED ELECTRIC Thermal 62019
4700A97G02 WESTINGHOUSE Stab, Type W Mcc 60267
064‐20437‐000 YORK Oil Pump 5T356YK
3G‐7358 Pump/Motor Assy,Oil,Tuthill(Lobe‐Gear)1 6T272YK
Back
A24P24 Hoffman Back Panel CC00003207
A36P24 Back Panel CC00003200
Ball
213S MRC Ball Bearing CC00004644
312SF Ball Bearing CC00004644
Monday, January 11, 2016

   
 Page B6 of B293
Ball
7315BECBY SKF Industries Ball Bearing CC00001638
BALL JOINT
AM‐132 BARBER COLMAN 61703
Banana
1825‐0 Pomona Banana Plug CC00002825
BAND
052‐WR005‐400‐25 Miller Fluid Power Repair Kits T8242ME
BAR
H99408‐44‐106 ENVIREX Track Left Hand 6T090ME
H99408‐44‐105 Track Right Hand 6T090ME
025‐13670 YORK Locking Terminal Bolt 60589
BARRIER
1492‐N17 ALLEN BRADLEY End 61543
1492‐N16 End, Term., 61619
43954200 SQUARE D Horizontal Bus Bar, 480 Vac 96282
45970401 TELEMECANIQUE Vertical Bus Bar 80084
45970402 Vertical Bus Bar 80084
BASE
RK928008‐AB ABB Base Q1311.0 R3
60‐701‐0067‐1 LIMITORQUE Finger 60769
BASE FIXTURE NULL BASE FIXTURE CW0000012
P2CF11 OMRON For Omron Timer 3T302EL
CX3865NE Struthers‐Dunn Base, Relay T8271EL
SMNY‐001‐9‐D THOMAS & BETTS Cable Tie Wrap Mounting 3T289.5 R1
2.7e+123 TYCO ELECTRONICS T9438EL
Basket
188P34‐4FFD‐CI‐DPXF Keckley Basket Q146X.0
BATTERY
PL‐5 CAR‐GO (PWR BATTE Lead Acid 61853
EA‐7 ENERSYS 5Q017.0
3CA‐5M Battery T8194EL
4DX‐15 Battery NULL
4‐LMS‐450 (WET/TSTD) Battery CC00004251
EA‐7 W/ 51079 Battery W/ Spacer T8171EL
SBS110 Battery, 6V, 3 Cell 7T261EL
4‐LMS‐450 Lead‐Acid, 1.75 Vdc 6T096ME
COM‐8D‐P EXIDE ELECTRONICS Battery 90076
Monday, January 11, 2016

   
 Page B7 of B293
BATTERY
0800‐0008 GATES ENERGY Lead Acid 90101
0820‐0020 Lead Acid 61852
A12B‐20‐130V‐C1‐56L‐00545 CC00004790 LA MARCHE Battery Charger
PS‐6360 F2 Power Sonic Battery CC00001625
PS‐12400NB Powersonic BATTERY, LEAD ACID, 12 VDC, 40 AHR, 7.7 XM007ME
MP707‐T SAFT AMERICA Nickel Cadmium 61823
NP7‐12FR YUASA EXIDE Battery 61911
BATTERY ASSEMBLY
401096‐025 SAFT AMERICA 61823
BATTERY CHARGER
A12B‐20‐130V‐C3 LA MARCHE Battery Charger, 3 Phase T8360‐3
Bearing
200‐685 Auburn Bearing Bearing CC00004150
AA081106 BUNTING BEARINGS Plane Sleeve, 5/8 Id X 13/16"" 6T098ME
CB121524 Sleeve, Bronze 5T467ME
EW122202 Thrust Washer, Bronze 4T474ME
TT150802 Thrust, 5/8 X 1‐1/2" Od X 1/8" 6T098ME
EW122802 Thrust, Washer Sae 841 Bronze 5T467ME
NP23T‐1‐7/16" CVI Bearing CC00000741
16‐9650‐36006/7 BEARING, BALL, FRONT & REAR, SINGLE R TC‐7T500VI
NP23T‐1‐7/16 INCH BEARING, PILLOW BLOCK, STANDARD DUT T0350VI
09‐9120‐00407 Insert, BRG, Front / Rear, for Control Roo T9084VI
124174 Dodge Bearing CC00000501
6209UU ELLIS & WATTS Ball Annular 97166
6312ZZC3 Ball Annular 97166
6208UU Bearing, Electric Motor; For Opposite Driv BT188ME
GN104KRRB FAFNIR Ball 2T012VI
GN111KRRB Ball 2T012VI
RA100RR+COL Bearing CC00004644
RCJ1‐7/16 Bearing Assembly CC00004434
RCJ 1‐7/16 BEARING, BALL, FAN, 2/SET, 1‐7/16 INCH T9644ME
202KDD6 Bearing, Ball, Two Shield, 16Mm Bore Ligh T8555ME
RSAO 1 1/4 Pillow Block 2T012VI
RSA 1 1/4 Pillow Block &Collar 2T012VI
RSAO 1 1/2 Pillow Block &Collar 2T012VI
RSAO 1 11/16 Pillow Block &Collar 2T012VI
6318.2ZR.C3 FAG Ball, Single Row Radial 5T068ME
Monday, January 11, 2016

   
 Page B8 of B293
Bearing
7230‐B‐MP‐UO FAG Bearing CC00004644
LA240‐651 GPE Controls Bearing CC00003744
LA240‐1959 Hinge, For 8In Vacuum Relief 7T301ME
NTN6207C3 HOMEWOOD Rear, For 20Hp Motor 5T598VI
B108 Koyo Bearing CC00001867
P3‐Y232N Link‐Belt Bearing CC00004887
110‐11 QualTech Bearing CC00000347
SFT‐24KU SealMaster Bearing, Mounted, Flange 1.50000 In CC00000040
NP‐19 Pillow Back 9T208VI
701864 Pillow Block Assembly 5T089ME
FC‐1010‐CS‐6"X6" Seismic Energy Beari Bearing CC00004107
FC‐1010‐CS 10" x 10" Seismic Energy Produ Bearing CC00002426
FC‐1010‐CS‐12"X12" Bearing CC00002426
FC‐1010‐CS‐8"X8" Bearing CC00004107
3210_E/C3 SKF Bearing CC00004150
3212A Bearing CC00000567
3215_A Bearing CC00004150
3311E/C3 Bearing CC00001768
62042ZJEM Bearing CC00002231
6205ZC3 Bearing CC00000875
62092ZNR Bearing NULL
62092ZNR/C3VT399 Bearing NULL
6310JEM Bearing CC00002615
6313‐ZJEM Bearing CC00003366
GEZ 012 ES Bearing CC00004314
GEZ 100 ES Bearing CC00004314
23044CCW33‐C3 Sphrerical Roller Bearing, 230 = Bearing Cl T9491ME
3310ENR/C3 SKF Bearings Bearing CC00004249
7232‐BCBM SKF INDUSTRIES Angular, Set Of 2 4T332ME
5207A Ball 7T577EL
6205 2ZJEM Ball 6T243ME
6211‐2RSJEM Ball 7T577EL
6213 2RS1 JEM Ball 4T188EL
6213 JEM Ball Bearing 7T018ME
6202‐ZJEM Ball Bearings 6T533ME
7222BECBY Ball, Deep Groove Angular 6T368ME
7232 BCBM Ball, Single Row Angular 4T322ME
Monday, January 11, 2016

   
 Page B9 of B293
Bearing
6313‐2Z JEM SKF INDUSTRIES Ball, Single Row Radial 5T068ME
35BC02JPP3 Bearing T9311ME
6028C3 Bearing CC00002399
6202RSJEM Bearing CC00002804
62062ZJEM Bearing CC00001765
62072ZJEM Bearing T8329ME
6213‐2RS1‐JEM Bearing CC00000889
6213JEM Bearing CC00001639
6218 Bearing Unit, Ball; Ibi Number: 6218; Khnp 7T577EL
6206 RSJEM BEARING, BALL, 1 NITRILE SEAL, DEEP GR T9440ME
7311BECBM Bearing, Ball, Angular Contact, 40 Degree,  TC‐T0332ME
7209BECBY Bearing, Ball, Angular Contact, Pressed Br T9607ME
45BC03JPP3 Bearing, Ball, Double Shielded 5T102ME
6206‐2Z JEM Bearing, Ball, Single Row Radial, Conrad 2  6T535ME
6313 2ZJEM Bearing, Ball, Single Row, Radial, Conrad,  6T491ME
6213/C3 Bearing, Ball, Single Row, Radial, Conrad,  7T018ME
6211‐2RS Bearing, Ball; Ibi Number: 6211; Bearing St 7T577EL
NU310ECM Bearing, Cylindrical Roller CC00001920
FY 1‐7/16 TF Flanged T8461EL
SKF6228C3 Lower Guide 60409
SKF6220J Lower Radial Guide 60409
SAF 22517 Pillow Block 5T614ME
SYR 2.15/16‐18 Pillow Block 6T080ME
SYR 2‐15/16‐8 Pillow Block 5T614ME
45BC03JPPD Std Deep Groove 5T102ME
SK7230MG Thrust 8T274EL
SKF7230BAGM Thrust 60409
7230BCBM Thrust Bearing Set 9T219EL
7230 BCBM Thrust, Single Row Angular 9T219EL
B1210 Torrington Bearing CC00001368
B44 Bearing CC00001368
BRG‐46 TRANE Thrust 6T180V1‐1
064‐24105B YORK Bearing,Gear,Rear Specification: Car‐Sh‐B 6T452YK
029‐13494 Center Main 61170
029‐13494‐000 Center Main 61170
029‐05210‐000 Compensator 8T232CV
464‐46501 Compressor 61476
Monday, January 11, 2016

   
 Page B10 of B293
Bearing
664‐29050 YORK Compressor 61170
064‐11643‐000 Gear 97280
064‐13845‐000 Gear 97280
064‐13854‐000 Gear 60237
064‐13837 Gear Front 61048
081‐01292B Gear, Front 60428
081‐01293B Gear, Rear 60434
064‐09241 Main Shaft 61048
064‐11642‐000 Main Shaft 97280
064‐11998‐000 Motor 97431
064‐25873 Motor 61048
76876‐45A Motor Shaft T8216YK
081‐01290B Pinion Gear 60236
664‐12285 Pinion Gear 61048
064‐24105‐000 Rear (Gear) 6T452YK
064‐20643‐000 Rear Gear 97280
064‐20925 Rear Gear 61048
064‐11644‐000 Thrust 97280
064‐12284 Thrust 61048
064‐1644‐000 Thrust 60867
064‐24127‐000 Thrust 6T471YK
064‐31188B Thrust 6T452YK
064‐46939‐000 Thrust 61170
081‐01291B Thrust 60428
Bellows
31202 Metal Bellows Bellows CC00004772
BELT
C735‐1518 IT 107 CVI Belt CC00000690
A75 Gates Belt CC00004278
B124 Belt CC00002437
B70 Belt CC00004490
B83 Belt T9355EL
B75 Belt, V" T9355EL
BX90 Belt, V, 93 Ol T9355EL
A32 Gates Rubber Belt CC00004243
5VX1400 Belt, “V” 140 Inch OL, 5/8 Inch TW Gates  T0031ME
BX120 Gates Rubber Compa Belt CC00002664
Monday, January 11, 2016

   
 Page B11 of B293
BELT
B90 Gates Rubber Compa V‐Belt T9416ME
5VX1600 T.B. WOODS 4T305VI
BX106 Belt T8608VI
CX109 Belt CC00001080
AX37 Belt, Drive, Type: V‐Belt T8608VI
AX88 Belt, Drive, Type: V‐Belt T8608VI
BX75 Belt, Drive, Type: V‐Belt T8608VI
BLOCK
1492‐CA1 ALLEN BRADLEY Terminal 90011
1492‐CD2 Terminal 90022
1492‐CE2 Terminal 61543
342 BUCHANAN Switch, 600V, 15 Amp 60999
B112N Terminal 60667
2BE‐106 Terminal, 1 Piece Phenolic 60847
NQ0211036 Terminal, 36 Point Block 60843
NQB104 Terminal, 600Vac, 25A, 4Pole 62129
1546164‐1 Terminal, End Section 4T281EL
H25030‐2C Bussmann Block 60461 Rev. 1
PLU3‐WH Block S1117.0
S‐8301‐02 BLOCK, FUSE, 2 POLE BUSSMAN T9579EL
BM6032SQBS Block, Terminal, Pull‐Apart, Male & Femal L0001TE
3604 Fuse, 35‐60 Amp, 250 Vac, 3 P 3T136FU
S‐8301‐4 Fuse, 4 Pole 3T289.5 R1
NSS‐3 CONNECTRON Terminal, 3 Point, 600V Ac 80034
PLU3 Terminal, 600 Volt 80336
NU2 Terminal, Rail Mounted 80322
110035‐012 CURTIS Terminal 60795
E30KLA3 Cutler Hammer Block, Contact, Assembly, 1‐7/8 x 1‐3/4 x  61183.0 Rev
4719A92G57 Block, Terminal, Pull‐Apart, Male & Femal L0001TE
0T2A Contact, 1 No, 1 Nc 2T117EL
SPA‐6615‐1 DYNACO Reintroduction Block BT169DR
RSA 1‐1/4 W/GN1104KRRB FAFNIR Pillow With Bearing 2T012VI
RSAO 1‐1/2 W/GN108KRRB Pillow With Bearing 2T012VI
RSAO 1‐1/4 W/GN104KRRB Pillow With Bearing 2T012VI
RSAO 1‐11/16 W/GN111KRRB 2T012VI Pillow With Bearing
20316 Ferraz Shawmut Fuse Block T9307EL
20317 Fuse Block T9307EL
Monday, January 11, 2016

   
 Page B12 of B293
BLOCK
6X32QC1 Ferraz Shawmut Fuse, Single Pole 5T527EL
404‐BB GEMCO Contact 61532
G‐404‐AA Gold Contact, Double Circuit 61049
G‐404‐BB Gold Contact, Double Circuit 61049
204B4153APG1 General Electric Block NULL
228B2374P001 Block NULL
EB25A08W BLOCK, TERMINAL CC00004056
EB25B06 BLOCK, TERMINAL S9028.3  R5
OT2D HOMEWOOD 1 Nc 61883
OT2X 1 Pole 61573
OT1C 2 No, 2Nc 60327
OT2B Block CC00004484
OT2A Homewood Products Block T9300EL
OT2N Block CC00000635
46182011‐502 HONEYWELL Terminal 60460
602JJ‐10 KULKA Terminal 2T254EL
G404‐AA MAGNETEK CONTRO Contact 61912
1504 Marathon Block CC00001423
1506STD Block 60538.0, Re
1512STD Block 60538, Rev.
1612DJSV72458 Block CC00000963
1403404 Distribution 60705
1423572 Distribution 60894
1443560 Distribution 60705
1423570 Distribution, 3 Pole 8T062EL
1451579 Distribution, Power, 1P, 380A 2T148EL
1452583 Electrical Power Stud 6T291EL
1432561 Power Stud 71273
1414300 Terminal 60894
1612DSJV Terminal 4T475EL
1612H Terminal 61923
1512 Terminal, 12 Point 61969
1512 NUC Terminal, 12 Point 97016
1612 Terminal, 12 Pole 95J005
94A702‐326 Terminal, 12 Pole 94J602‐R21
1512DJ Terminal, 12 Pole, 600 Volts 74218
212HB Terminal, 12 Pole, 600 Volts 61453.0 R0
Monday, January 11, 2016

   
 Page B13 of B293
BLOCK
1612STD MARATHON Terminal, 12 Term 5T253EL
1422123 Terminal, 2 Pole 62027
1422123‐CU Terminal, 2 Pole, 600 Volts 60117
303 Terminal, 30 Amp, 600 Volt 2T048EL
1506‐STD Terminal, 30 Amp, 600V, 6 Wire 6T576EL
1504 NUC Terminal, 4 Point 60987
1604 Terminal, 4 Pole 94J702‐R41
1604 NUC Terminal, 4 Pole 60981
1608 NUC Terminal, 4 Pole 60987
94A702‐323 Terminal, 4 Pole #1604, 75 Amp 94J702‐R41
1506 Terminal, 6 Point 60538
1506 NUC Terminal, 6 Point 60987
94A602‐309 Terminal, 6 Pole #1606, 75 Amp 94J602‐R20
1508 Terminal, 8 Point 60538
1508 NUC Terminal, 8 Point 60987
1612910 Marathon Electric M Block NULL
790462 PHOENIX CONTACT Block CC00000843
50701‐000 REES INSTRUMENTS Block 61743
50702000 Block, Contact, 600vac / 125vdc, 1 NC Con T9556EL
50702‐000 Contact, 600 Vac/125 Vdc 8T268EL
KA2G SQUARE D 600 Vac 50089.6 R0
9001KA3G Block CC00000477
9001KA2 Contact 50089.6
9001KA1 Contact, Switch Type Ka1 7T280EL
TYPE LBA3652021 Distribution, 600 Volt, 3 Pole 62099
LBA3652021 Power Distribution 62099
ZWM‐25015 States Block CC00002170
ZWM‐25024 States Company Block 15809‐82, R
ZWM‐25012 Block, Terminal, 600V, 30A, ZMW, N/A, 12 T9368EL
ZWM‐25003 Block, Terminal, 600V, Sliding Link, 10‐32  T0416EL
2WN‐25025,25PT. Terminal 60762
ZWM‐25010 Terminal, 10‐Pole, 600 Vac, 30 61794
ZWM‐25014 Terminal, 14‐Pole, 600 Vac, 30 61794
ZWM‐25025 Terminal, 14‐Pole, 600 Vac, 30 62136
ZWM‐25018 Terminal, 18‐Pole, 600 Vac, 30 15809‐82
J20A20 TELEMECANIQUE 10 Amp, At 125V Dc 80088
J20A40 Block 80075
Monday, January 11, 2016

   
 Page B14 of B293
BLOCK
LUA1C11 TELEMECANIQUE Block, Contact, Auxiliary, Screw Terminals, T9264EL
S20A40 Contact 80014
J20B40 Contact Block T8552TE
LA6DK20F Latching 5T436EL
J20M12 Relay Magnet 96030
1546144‐2 Tyco Electronics Block, Terminal CC00000720
2B112N Terminal, 12 Pole 62151
1509.6 WEIDMULLER Terminal 61898
2113.2 Terminal 61898
2155.6 Terminal 61898
3103.2 Terminal 61898
3240.2 Terminal 61898
TBAL‐30 WESTINGHOUSE Terminal 60267
TBAL‐90 Terminal 60267
YS‐FT‐020‐10‐SA YONG SUNG Terminal, 250 Volts, 20 Amp 20247.0 R0
YS‐FT‐020‐20‐SF Terminal, 250 Volts, 20 Amp 20247.0 R0
YS‐FT‐030‐10‐SF Terminal, 250 Volts, 30 Amp 20247.0 R0
025‐13785 YORK Terminal 60493
025‐13981 Terminal 60493
025‐15865 Terminal 60199
025‐20668‐000 Terminal 61423
025‐25268A Terminal 60584
BOARD
ByDescription8 Dependable Power BOARD, PRINTED CIRCUIT: MOTHER BOAR 3L001.0 R.1
20010004 Dependable Power S Board T8589EL
20040014 Board, Printed Circuit, Function, Alarm; Co T8589EL
200101003 Board, Printed Circuit, Function:  Alarm, Al T8589EL
200140770 Board, Printed Circuit, Function:  Alarm, Al T8589EL
200140790 Board, Printed Circuit, Function:  Alarm, Al T8589EL
200160048 Board, Printed Circuit, Function:  Alarm, Al T8589EL
20101D00 BOARD, PRINTED CIRCUIT: FUNCTION; CO T9617EL
20160000 BOARD, PRINTED CIRCUIT: FUNCTION; CO T9617EL
20080004 BOARD, PRINTED CIRCUIT: FUNCTION; CO T9617EL
20010002 Printed Circuit T8589EL
20020004 Printed Circuit T8589EL
20030001 Printed Circuit T8589EL
20050061 DPS Board, No Modifier: NP Text: Trentec PCII  TC‐T0395EL
Monday, January 11, 2016

   
 Page B15 of B293
BOARD
20140077 DPS Board, Printed Circuit, Fuction, Alarm, Con 3L001.0 Rev.
20101003 BOARD, PRINTED CIRCUIT: FUNCTION; CO 3L001.0 Rev.
20160048 BOARD, PRINTED CIRCUIT: FUNCTION; CO 3L001.0 Rev.
20140079 Board, Printed, Circuit, Function, Alarm, C 3L001.0 Rev.
AC41‐2‐80 Lamarche Board T8381EL
S2A‐199‐0406 Board, circuit T9117EL
S2A‐225A‐0101 BOARD, CIRCUIT, DIGITAL DISPLAY/ALAR T8360‐3
MSC‐8‐N‐S MICRO CONTROL SYS Board, Circuit, Q Level, Qa 1, Type:  Micro BT118EL
MCS‐RO8 Relaqy Output Expansion 3T289EL
MCS‐SI16 Sensor Input Expansion 3T289EL
689C077G01 WESTINGHOUSE Printed Circuit 60454
689C105G01 Printed Circuit 60454
031‐01743‐001 York Board NULL
031‐01743‐001Rev.D Board CC00001309
BODY
LC‐233‐1359 L&J TECHNOLOGIES Valve, Machined 2T189ME
ByDescription4 RSVP BODY ASSEMBLY, ACTUATOR S1105.1
BOLT
60226‐03‐01 THRU 03‐15 F&H 1/4 X 20 60226
60226‐04‐01 THRU 04‐15 3/8 X 16 60226
BOLT, SLIDE ‐ IVES SB453‐12 CC00004304 IVES BOLT, SLIDE ‐ IVES 453‐12
BOLT, SLIDE ‐ IVES SB360‐12 CC00004304 BOLT, SLIDE ‐ IVES SB360‐12
BOLT, SLIDE ‐ IVES SB453‐8 BOLT, SLIDE ‐ IVES SB453‐8 CC00004304
FB458 X B10 Bolt; Door Flush T8004ME
458B X B10 Flush Bolt T8004ME
N37797 Leslie Controls Bolt NULL
7/8‐9‐UNC‐2A x 3"" NOVA Heavy Hex Head, Grade B8 4T230EL
7/8‐9‐UNC‐2A x 2‐1/2"" Heavy Hex, Grade 8 4T230EL
525242 REXNORD Bolt T9391ME
HHCS037075EG UNISTRUT Hex Cap 90038
HHCS025150EG Hex Flat Head 90083
064‐28262A YORK Shoulder, 1/4‐20 X 1‐3/8 60620
064‐21998 Terminal 60589
064‐27653‐000 Terminal 60773
021‐14050 U‐Bolt 8T096YK
BOLT PACKAGE
803964 ENERSYS Connector 5Q017.0
Monday, January 11, 2016

   
 Page B16 of B293
Bonnet
1‐DV‐097‐BA‐08 Hills‐McCanna Bonnet CC00002729
BOOSTER
RDA77DBA8 Miller Fluid Power Booster, Air‐Oil Reciprocating, 5 In Bore, 1 T8478ME
61VH MOORE PRODUCTS Air Volume W/Mounting Bracket T9113EL
61VH w/16230‐46 Booster w/Mounting Bracket T9113EL
61L SIEMENS Booster, Volume T0075EL
BOOT
024‐21984A YORK Black 60232
024‐21985A Red 60232
BOX
A‐1210CHS HOFFMAN 61604
A‐604CH 61554
A‐806CH 61554
A‐30H24BLP 30X24"X8"" 60166
A‐606CH Electrical 61588
A726018FSD Electrical 60016
E4SPBY25 Electrical 61089
A‐302410LP Junction, 30 X 24" X 10"" 61633
A‐362410LP Junction, 36 X 24" X 10"" 2T299ME
XT‐4 ILSCO Box Lug CW0000002
025‐09769 YORK Conduit Junction 60527
025‐10658 Junction 60527
BRACE ASSEMBLY
BVC054N TELEMECANIQUE Motor Control Center 80283
BVC057G Motor Control Center 80283
BRACKET
608826‐T3 ABB Mounting 90081
0353‐260‐27‐00 ATC Mounting Kit, Surface Mounting Bracket A CC00003077
835909 Surface 61037
0305‐265‐65‐00 Surface Mounting 6T404EL
30393‐5 Cornell‐Dubilier Elect Bracket Q1141.0, Re
60‐494‐200 ERGOTRON Model Fx‐75 Fixed Mounting 6T291EL
SS BRACKET F&H Stainless Steel 96398
24487800 Wireway Support 96239
LWMB2 FEDERAL SIGNAL Wall Mounting 90014
817 LOVE CONTROLS Mounting 60923
200‐236‐20 Moore Industries Bracket S1514.0 Rev.
Monday, January 11, 2016

   
 Page B17 of B293
BRACKET
5SE‐10 Ohmite Bracket CC00002704
6123‐M‐6 1/2‐E Bracket S1301.0  R1
6126‐P‐8 1/2‐E Bracket S1301.0, Rev
6127‐P‐8 1/2‐E Bracket S1301.0, Rev
ASSEMBLY #6101‐2 Mounting 50090.9
25Q021017 RONKEN Capacitor Mtg Bracket 7T610EL
19963301 Siemens Mounting 80005.2
35069803 TELEMECANIQUE 8T067TE
43425800 Hardware 80234
27316805 Pilot Device 80059
NB‐180 TOPWORX 7T151TW
Z003180 Bracket PSEG‐SQ‐26
EW35 WEIDMULLER End 5T113EL
038356 (EW35) End, For Ts‐35 5T113EL
1000012101 Weschler Bracket S1501.0 Rev.
366‐11400B YORK Mounting Bracket 60749
376‐26284 Mounting Bracket 6T369YK
BRAIDED SHIELD
2171 ALPHA Braided Shield 7T294EL
2176 Braided Shield 7T294EL
Breaker
203‐11‐1‐61‐902‐1‐1 AIRPAX Breaker CC00002907
209‐2‐1‐66‐3‐9‐100 Breaker 74243.0 R.0
EHD2080 Areva Breaker S1109.0, Rev
HMCP030H1C CUTLER HAMMER T8450CB
HMCP050K2C T8450CB
HMCP100R3C 9T112CB
HMCP100R3 100 Amp, 600 Vac, 3 Pole T8464CB
HMCP150T4C 150 Amp, 600 Ac/250 Dc, F‐Fram T9421EL
HMCP150U4C 150 Amp, 600 Ac/250 Dc, F‐Fram 9T003CB
MCP431800CR 150 Amp, 600 Vac, 3 Pole 5T115CB
EB1030 277VAC /125VDC, 1 Pole, 30 Amp, 14 KAI 8T081CB
HMCP003A0C 3 Amp, 600 Ac/250 Vdc, F‐Fr T8450CB
HMCP400R5C 400 Amp, 600 Ac/250 Dc, K‐Fram 80083 R1
HMCP007C0C 7 Amp, 600 Ac/250 Vdc T8450CB
EHD1040 Breaker CC00004067
EHD2070LA13 Breaker S1211.1
Monday, January 11, 2016

   
 Page B18 of B293
Breaker
EHD2100L Cutler Hammer Breaker S1211.1  R1
FD3020L Breaker CC00004411
FD3100L Breaker CC00004099
HFB3022ML Breaker CC00004402
HFB3100L Breaker T8100CB
HFD2015 Breaker CC00001335
HFD2030L Breaker CC00000143
HFD2040L Breaker CC00002395
HFD2050L Breaker CC00000143
HFD3020L Breaker T8450CB
HFD3040W Breaker T9429CB
HFD3125W Breaker CC00004536
HJD2250 Breaker CC00004403
KD3150 Breaker CC00004880
EHD2080 w/3T100FB Breaker w/Terminals S1109.0
HFD3050L Breaker, Circuit, Molded Case, 600 VAC, 2 T8450CB
HFD3070U42 Breaker, Circuit, Power, HFD, Molded Cas 74135.1 Rev
HFD3150 BREAKER, CIRCUIT, POWER, MOLDED CAS TC‐T0485CB
HFD3060 Breaker, Circuit, Power, Molded Case, The T8463CB
HMCP250W5C Breaker, Circuit, Type: J Frame Magnetic  7T012CB
HMCP007C02 BREAKER, CIRCUIT, TYPE: MAGNETIC ; VOL S8001.1 Rev.
HMCP007C0 BREAKER, CIRCUIT, TYPE: MAGNETIC ; VOL T8211CB
HFD3040 CUTLER HAMMER S9002.0 Rev. Breaker, Circuit: Type: Thermal Magnetic;
HFD3100L Breaker, Circuit: Type: Thermal Magnetic;  T8577CB
HMCP030H1 BREAKER, CIRCUIT; TYPE: MAGNETIC ONL T8379CB
HFB3015‐480 Circuit Breaker NULL
HFD3015 Circuit Breaker T0418EL
HFD3040L‐A01 Circuit Breaker S9002.0, Rev
HFD3100W Circuit Breaker CC00000885
HMCP015E0 Circuit Breaker CC00002429
HFD3020VLA06 Circuit Breaker w/Aux. Switch S9002.0 R.1
HFD3150VLA13 Circuit Breaker w/Aux. Switch S9002.0, Rev
HMCP250F5C Circuit Breaker, Magnetic, 480 VAC, 250 A T0162CB
HMCP250J5C Circuit Breaker, Magnetic, 480 VAC, 250 A T8450CB
HMCP015E0W CIRCUIT BREAKER, MOLDED CASE, 15 AM XM013CB
HFD3015W CIRCUIT BREAKER, MOLDED CASE, 15 AM T9612EL
HFD3150W CIRCUIT BREAKER, MOLDED CASE, 150 A T9612EL
Monday, January 11, 2016

   
 Page B19 of B293
Breaker
HMCP030H1W Cutler Hammer Circuit Breaker, molded case, 30 AMP con T9612EL
EHD‐3015 Cutler‐Hammer Breaker S1211.0
HFD3060L BREAKER, 3 POLE, MOLDED CASE, 60 AMP T9580CB
EHD3020L BREAKER, CIRCUIT, TYPE: THERMAL MAG 74002 Rev.5
HFD3175LA06 BREAKER, CIRCUIT: TYPE: THERMAL MAG TC‐T9584CB
EHD‐2015 Circuit Breaker S1211.0
FAZ‐B25/2 DPS Breaker T8070.0
FAZ‐C20/2 Breaker S8013.1
FAZ‐D32/1 Breaker T8070.0
FAZ‐D32/1‐NA Breaker T8070.0
FD2060L Eaton Breaker Q1557.0
GHB3045 Breaker CC00004480
HFD3125 Breaker CC00004480
HMCP015E0C Breaker T8450CB
HMCP070M2C Breaker S8001.1 Rev.
JD3200V Breaker Q1410.0
NGH308032E Breaker S1429.0 Rev
LD2600F w/LT2400T Breaker Frame w/Trip Unit TBG
HMCP003A0W EATON / CUTLER HA Circuit Breaker, Molded Case XM005CB
HFD3025W Eaton Cutler Hamme Circuit Breaker CC00003163
HFD3050W Circuit Breaker T9031CB
HMCP007C0W Circuit Breaker, Molded Case, 7 AMP conti T9612EL
LM3302N FAIRCHILD SEMICON Integrated Circuit 7T249EL
MSCPXTI Ferraz Shawmut Short Circuit 80250
TEB122015WL General Electric Breaker 74022  R0
TED136040WL Breaker 74003.1, Re
TED136100WL Breaker 74003.1.2, R
THED136025WL Breaker 74003.1.2, R
THED136100WL Breaker 74120.0 R1
THED136150WL Breaker 50097.2 R.1
THJK426250LL Breaker S1432.3, Rev
THQB1115 Breaker NULL
THQL1130 Breaker 74003.1.3 &
TFK236150UVA4RS Breaker w/Accessories S1403.2 R0
TQD22Y225 w/TQDAS2AB1RS CC00003143 Breaker w/Aux. Switch
TJK626600WL w/TJKASA6AB2LS 74220.0 Rev Breaker w/Auxiliary Switch
TFJ236125WL w/TFKSTA12 Breaker w/Shunt Trip TGB
Monday, January 11, 2016

   
 Page B20 of B293
Breaker
TJJ436125WL w/TJKSTA12RS NULL General Electric Breaker w/Shunt Trip
TFJ224175WL w/TFKUVA1RS 74022 R. 0 & Breaker w/UVR
THED136070WL Breaker, Circuit 74120  R1
1942404A12 HEINEMANN Breaker CC00004525
1942404A13 Breaker S1325.0
AM2R‐Z100‐1W Breaker T9097CB
CD1‐G3‐U‐W‐15‐240AC‐1 Breaker 0S006.0 R.0
CD1‐G3‐UW‐20‐240AC‐1 Breaker 9S019.0 Rev.
CD1‐G3‐UW‐30‐240AC‐1 Breaker NULL
CD2‐G3‐U‐W‐20‐240VAC‐1 Breaker Q1458.0, Re
CF2‐G3‐U‐W‐3‐240AC‐1 Breaker CC00003695
AM2R‐Z74‐2W Breaker, 1 Amp 2 Pole T9097CB
AM2S‐A3‐LA02‐CDAW‐30‐250AC‐3 T9097CB Circuit Breaker
EH1B030 ITE Gould Breaker Q1408.0
HE‐3B100 ITE Imperial Breaker 80010.1, Re
HE3‐B050 ITE IMPERIAL CORP BREAKER, CIRCUIT, HE (TYPE) 50 AMP CC00000007
R81JAA‐1 JOHNSON CONTROLS Circuit Board T9346EL
32‐004 MICRO CONTROL SYS Bus, Chip BT118EL
MCS‐8‐N‐S Bus, Chip BT136EL
TFK236200WL QUALTECH BREAKER, CIRCUIT, MOLDED CASE, 200A,  by similarity
BQ1B015 Siemens Breaker T9389CB
BQ1B025 Breaker 50098.6 Rev
BQ1B050 Breaker T9472CB
BQ3B040 Breaker CC00003282
ED21B020 Breaker 20099 R.0
ED22B020L Breaker S1121.0 R0
ED41B015 Breaker CC00002913
ED41M015 Breaker CC00001102
ED63A005 W/S01ED60 Breaker 84009
ED63A100AE Breaker T9211CB
ED63B015 Breaker T9407CB
ED63B020AE Breaker 7T429.0 Rev.
ED63B025AE Breaker 7T429.0
ED63B040 Breaker T9198CB
ED63B040 W/ED63RETRO Breaker CC00000380
ED63B040AE Breaker T9313CB
ED63B050 Breaker T8170CB
Monday, January 11, 2016

   
 Page B21 of B293
Breaker
ED63B060AE Siemens Breaker 7T429.0 Rev.
ED63B100AE Breaker T9434CB
HFD63B125 Breaker CC00001330
ED63A050AE Breaker w/ Aux. Switch T8149CB
ED63B030AE Breaker w/ Aux. Switch NULL
ED63A005SN Breaker W/ Shunt CC00000378
FXD63A250L w/A01FD64 Breaker w/Aux Switch 80005.2 R0 /
ED63B040L w/S01ED62A Breaker w/Shunt Trip S9028.1 R.4
FXD63B175L w/S01FD60 Breaker w/Shunt Trip NULL
ED63B070 w/S01ED60 w/ED63RETRO 80005.2 Rev Breaker with Shunt Trip and Retro Kit
ED42B050 BREAKER, CIRCUIT TC‐T9257CB
ED63B100w/ED63RETRO BREAKER, CIRCUIT NULL
BQ1B020 BREAKER, CIRCUIT, 120/240 VAC, 20A, 10, T9520CB
ED63‐B025 BREAKER, CIRCUIT, 25 AMP, 3 PHASE, 600 84002.2 Rev
ED63A100 Breaker, Circuit, Molded Case, 3 Pole, 600 L0001TE
ED63A050 Breaker, Circuit, Molded Case, 3 Pole, 600 T8432CB
FXD63B150L Breaker, Circuit, Molded Case, 600 AC Vol L0001TE
FXD63B175L Breaker, Circuit, Molded Case, 600 AC Vol L0001TE
FXD63B125L Breaker, Circuit, Molded Case, 600 VAC, 1 L0001TE
ED63B100L Breaker, Circuit, Molded Case, 600 Volts A L0001TE
ED63B015L Breaker, Circuit, Molded Case, 600 Volts A L0001TE
ED63B025L Breaker, Circuit, Molded Case, 600 Volts A L0001TE
ED63B030L Breaker, Circuit, Molded Case, 600 Volts A L0001TE
ED63B040L Breaker, Circuit, Molded Case, 600 Volts A L0001TE
ED63B050L Breaker, Circuit, Molded Case, 600 Volts A L0001TE
ED63B060L Breaker, Circuit, Molded Case, 600 Volts A L0001TE
ED63B070L Breaker, Circuit, Molded Case, 600 Volts A L0001TE
ED63A010 Breaker, Circuit, Molded Case, 600 Volts,  T8600CB
ED63A125 Breaker, Circuit, Molded Case, 600 Volts,  L0001TE
ED63A003 Breaker, Circuit, Molded Case, 600 Volts,  L0001TE
ED63A030 Breaker, Circuit, Molded Case, 600 Volts,  L0001TE
ED63A040 Breaker, Circuit, Molded Case, 600 Volts,  L0001TE
ED63A005 Breaker, Circuit, Molded Case, 600 Volts,  T8557CB
ED63A010AE Breaker, Circuit, Molded Case, 600V, 3 Pol T9211CB
ED63B015AE Breaker, Circuit, Molded Case, 600V, 3 Pol T9211CB
ED63A025AE Breaker, Circuit, Molded Case, 600V, 3 Pol TC‐T0219CB
ED63A005AE Breaker, Circuit, Molded Case, 600V, 3 Pol TC‐T0226CB
Monday, January 11, 2016

   
 Page B22 of B293
Breaker
FXD63B070 Siemens Breaker, Circuit, Molded Case, 600VAC 50 T9475CB
ED63B020L Breaker, Circuit, QA1, Molded case, 600 A L0001TE
LACF800EO Breaker, Circuit, Replacement For Old P/N T8343CB
LAFC‐800EO Breaker, Switchgear CC00000266
BQ1B030 Circuit Breaker T8546CB
ED62B015 Circuit Breaker T8175VI
ED63‐B080 Circuit Breaker CC00002163
ED63M070SN Circuit Breaker 2T278.0 Rev.
ED63M080SN Circuit Breaker 2T278.0 Rev.
FXD62B225 Circuit Breaker 84004 Rev. 1
LA‐800EO,400/0.5A CIRCUIT BREAKER TC‐T0471CB
LAC‐1600EO Circuit Breaker CC00001434
LAC‐800EO CIRCUIT BREAKER TP‐T0289CB
LAFC‐800E0.600/0.5A CIRCUIT BREAKER TC‐T0471CB
MXD63B800 Circuit Breaker CC00001914
NDK3B100L Circuit Breaker CC00002900
ED63B020W/S01ED60 Circuit Breaker w/ Shunt Trip CC00002972
LAC‐800E0,400/0.5A Circuit Breaker, 480 VAC, 800 A, 125 VDC  T0471CB
ED63B100 Circuit Breaker, Molded Case, Type: Mold T9609CB
LAC800EO Circuit Breaker, Voltage: 480VAC, Current: T8343CB
ED63A040AE Molded Case Circuit Breaker 7T429.0 Rev.
ED63B030 Service, Commercial Grade Dedication Of: T9475CB
ED63‐B040 Siemens Energy & Au Circuit Breaker 8Q015.1 Rev
FA34045 Square D Breaker CC00004455
FAB‐26015‐AC Breaker S1429.1 R.4
FHB‐26040‐AC Breaker S1429.1 R.4
KHB‐26125‐AC Breaker S1429.1 R.4
KHB‐26150‐AC Breaker S1429.1 R.4
LHB‐26200‐AC Breaker S1429.1 R.4
LHB‐26400‐AC‐1212 Breaker S1429.1 R.4
QOB120 Breaker CC00000367
QOB‐120 Breaker 96153.0 R.0
QOB130 Breaker CC00003973
QOB‐215 Breaker 96153.0 R.0
QOB115 Breaker, circuit, thermal magnetic, 120 va TC‐T0482EL
FHL36025 Breaker, Molded Case CC00001498
FAL36080 Circuit Breaker CC00002386
Monday, January 11, 2016

   
 Page B23 of B293
Breaker
LAL26400 Square D Circuit Breaker Q1407.0
A80J10 Trip Coil 80379
HE3H050 TELEMECANIQUE BREAKER, MOLDED CASE, 50 AMPERE, 48 TC‐T0415CB
MCP23480CR Westinghouse Breaker CC00000418
031‐02429‐001 YORK Circuit, Integrated, EPROM BIOS ( U37 ) Fo T0195YK
Breather
162244 RSVP Breather NULL
BRUSH
10‐377511 HELWIG CARBON PR Carbon, Grade H24, Style R37 4T192ME
BUCKET
CPD618P TVA CAT ID# BUCKET, TYPICAL, QA 3, CUBICLE, MOTOR TC‐BT172CB
BULB
16‐3900‐10015 CVI Light T8483EL
601939‐02 Sub Sea Cable & Light Lamp, Incandescent, Underwater Light Bul P0087EL
1124156 WESTINGHOUSE 90078
BUS ASSEMBLY
07535660‐2 TELEMECANIQUE Vertical T9142EL
BUSHING
BBU300 APPLETON ELECTRIC 3" 97650
GIB‐75L Grounding 90051
P1X1‐5/8 Browning Bushing CC00004814
Q1 x 1‐5/8 Bushing CC00002525
B‐8‐RB4 CAJON Hex 9T156CV
7838‐2102 GATES RUBBER COM 1‐1/8 Bore" 5T144ME
7838‐2106 1‐3/8 Bore" 3T085ME
7838‐4107 1‐7/16 Bore" 3T085ME
SDS 1.1/8 Sheave, 1‐1/8 Bore 5T144ME
SDS 1.3/8 Sheave, 1‐3/8 Bore 3T085ME
SDS 1.7/16 Sheave, 1‐7/16 Bore 5T144ME
SK 1.7/16 Sheave, 1‐7/16 Bore 3T085ME
7838‐2107 Sheave, 1‐7/16 Bore" 5T144ME
SHC‐1020SS HUBBELL Cord Grip 90023
60S0026V0010 KETEMA Leak Off Bushing 60631
59S0157V0010 Top Leak Off Bushing 60631
050‐BU022‐100 Miller Fluid Power 61742
B51547F013 MOTOROLA Nylon 60572
51190H040 NORRIS Bushing 60851
Monday, January 11, 2016

   
 Page B24 of B293
BUSHING
5119OHO40 NORRIS N/A 60851
025‐15948 YORK Electrical 60612
025‐17580‐000 Terminal 60773
025‐17580 Terminal Bolt 97329
BUTTON
34106200 TELEMECANIQUE Reset 80113 R0
39960000 Reset, End Assembly 80113 R0
150152000 Reset, End, Size 1 & 2 80113 R0
CABINET
S1C30QJ225CBS Siemens 24 Circuits, 225 Amp, Main 84109
CABLE
5504 ALPHA WIRE COMPA 4 Conductor, 22 Awg, ‐20 +105 2T325ME
88770 BELDEN 3/C W/Shield, 18 Awg 3T289.5 R1
4G‐12‐45HB36 B‐Line Cable Tray CC00004037
4G‐12‐90HB36 Cable Tray CC00004037
1774 CAROL Welding 90058
C9902B‐07 Cobra Cable CC00001126
9SS6‐CCT2935 Cooper B‐Line Cable Cleat NULL
444G09‐12‐240 Cable Tray CC00004089
4G‐12‐90HB24 Cable Tray CC00004025
3248 DELCO Welding, 2 Awg 9T170EL
25126‐022 ENERSYS Interior Connecting 6T096ME
90886‐038 Intertier Connecting 5Q017.0
3A98892 H02 IMPERIAL WIRE & CA Superscreen Coaxial 1T306EL
PACK‐CON‐20‐MIL MICRO CONTROL SYS Pressure Sensor 3T289EL
A894K‐008‐07‐UG Nelson Electric Cable CC00001115
A894K‐012‐07‐UG Cable CC00001115
A894K‐08‐07‐UG Cable NULL
CSB2 NELSON ELECTRIC SU Cold Section Cables 7T587EL
824K Heat Trace Cable 7T587EL
838K Heat Trace Cable 7T587EL
A866K Heat Trace Cable 7T591EL
5BTV1‐CT Raychem Cable CC00004517
8BTV‐2‐CT Cable CC00003844
III TYPE ROCKBESTOS 2/C, 16 Awg, Firewire 3T289.5 R1
XLPE WIRE 600 Vac, 90 Degree C 80406
A830146 Single Conductor Electrical Cable, XLPE, 14 Rockbestos
Monday, January 11, 2016

   
 Page B25 of B293
Cage
X9117B6B Alco Cage CC00000800
X22440B2B Emerson Cage CC00001886
141660220 SAIC Module Mounting 60503
365‐24748‐000 YORK Discharge Valve 8T232CV
CAM
CCYR‐1 1/2‐S McGILL CAM ROLLER, McGILL MFR STD CC00000136
066‐11402 YORK Cam 6T369YK
CANISTER
99‐2500‐02203 CVI Canister, Test, Item 10 T8323VI
99‐2500‐02217 Cannister, Test, 2 IN. Canset Module, 304  T0352VI
99‐2500‐02204 Charcoal Test T8207VI
Cap
A‐18353 Mueller Cap CC00004761
A‐18354 Mueller Brass Cap CC00004578
4 FNTX‐B Parker Brass, End For 1/4 Od Tube" T8363MEE
4FNTX‐B Tubing, 1/4 Tube Od" 2T344ME
SS‐400‐P SWAGELOK Sst 50084.4
G213GS TELEMECANIQUE Contact Cover, Nema Size 5 80232
G213C Electrical Cover For Nema 00‐1 80194
1‐1437395‐4 Tyco End Cap CW0000002
028‐05006 YORK Sealing 60418
028‐05008 Sealing 60418
CAP SCREW
021‐11956 YORK Cap Screw 6T389YK
CAPACITOR
2GUS031803A7 ABB Surge Capacitor 7T432EL
Z24P3350M AEROVOX Ac Output Filter 1T089EL
K32DR6140 CHEMICON Capacitor, 12500 µf, 50 Wvdc 7T152.0 R0
DCM463U050DC2B CORNELL DUBILIER 6T627VI
3188GH273U035APA1 Capacitor CC00003768
NLW12‐150 Capacitor, Aluminum Electrolytic, 12 UF ‐1 TC‐T0052EL
SK221M035ST Cornell Dublier Capacitor, Electrolytic, Aluminum, 220 UF, T9531EL
OTBH205KNPIR‐F Cornell‐Dubilier Elect Capacitor Q1141.0, Re
WMF1P22K CROUSE‐HINDS 0.22 Mfd 2T072EL
03‐44‐000003 CYBEREX Horizontal Mount, 200 Volt 2T255EL
03‐44‐000015 Horizontal Mount, 200 Volt 2T255EL
2250301 Dependable Power S 25 Mfd, 300 Volt T8589EL
Monday, January 11, 2016

   
 Page B26 of B293
CAPACITOR
1673750 Dependable Power S Capacitor T8589EL
4205401 Capacitor T8589EL
3700661 Capacitor, 70µf, 660 V T8391EL
3080661 Capacitor, 8µf, 660 V T8391EL
3030661 CAPACITOR, NO MODIFIER: CAPACITANCE T9471EL
3350661 Capacitor, No Modifier; Capacitance: 70  T9471EL
1183201 DPS Capacitor T8589EL
4104401 Capacitor T8589EL
N0116KK Foxboro Capacitor; Type: Aluminum Electrolytic; C 5T355EL
H0159PX Electrolytic, 15 Uf, 20 V 5T355EL
97F9002S GE Genteq Capacitor NULL
A26F7500S General Electric Capacitor S1501.0
P17‐600‐040B1 LA MARCHE Diode, Silicone, 40 Amp, 600 V 5S033.0
P17‐600R‐040B1 Diode, Silicone, Reversing, 40 Amp, 600 V 5S033.0
P2A‐200‐5.4KM2 Lamarche Capacitor T8381ME
CGS732T200X5L MALLORY 6T439EL
SEK100M050ST 10 Uf T9302EL
SK101M050ST 100 Uf T9302EL
32FD3710 10Mfd,370V,60Hz,Hori 2T138EL
TC50050A 500 Uf, 50 Working Vdc 6T559EL
CGS104U030X5L Capacitor, 100,000 UF, 30 VDC, Type CGS,  T0057EL
CGS542T200X4L3PH Mallory‐North Capacitor 7S012.0, 5S0
MCR63V107M10X13 MULTICOMP 100 Uf 2T106EL
MCGPR63V107M10X13‐RH 63 Volt, 100 Micro Ferrad, Electrolytic Cap Product Veri
150D106X9050R2 NEWARK Power Supply 5T307EL
UPW1H101MPD NICHICON Capacitor T8300EL
UVR1J102MHD Capacitor, 1000 UF CC00002450
UPW1A102MPD Capacitor, Aluminum Electrolytic, 1000 Mf T8300EL
UPW1H407MED Capacitor, Aluminum Electrolytic, 1000 Mf T8300EL
UVR1H100MDD Capacitor, Electrolytic, 220UF CC00002450
UVR1J221MPD Capacitor, Electrolytic, 220UF CC00002450
UVR1V471MPD Capacitor, Electrolytic, 470UF CC00002450
UPW1V151MPD Capacitor, Electrolytic, Aluminum, 150 UF, T9531EL
UVX1H100MDA Electrolytic, 10 Uf, 50 Volt 2T351EL
UVX1J102MHA Electrolytic, 1000 Uf, 63 Volt 2T351EL
UVX1J221MPA Electrolytic, 220 Uf, 63 Volt 2T351EL
UVX1V471MPA Electrolytic, 470 Uf, 35 Volt 2T351EL
Monday, January 11, 2016

   
 Page B27 of B293
CAPACITOR
HG25‐503 Plastic Capacitors Capacitor, Pulse, 2500VDC CC00001911
86A85504K17 RONKEN Capacitor CC00001645
P71C23605H51B Capacitor CC00001527
86D81106K80C Capacitor, 10 µf 7T197EL
76D76256K81 Capacitor, Type: Non‐Polarize, Non‐Induct T8541EL
XP2B474K RTI ELECTRONICS Plastic, 0.47 Uf, 100 Vdc 2T090EL
SMS160LG22000 SAMYOUNG ELECTRI Electrolytic Capacitor T9182.0R.0
212‐02‐30121‐2000 Sola Electric 61641
212‐02‐30121‐2500 61641
212‐02‐30121‐3300 61641
212‐02‐30121‐3400 61641
2.120230751e+011 Oil Filled 60431
36DA822F200DL2A Sprague Capacitor, Fixed; Temperature Range: 36D T0064EL
513D350M063JW4 SPRAGUE ELECTRIC Electrolytic 60392
39D506G050EE4 Electrolytic, 50 Uf, 50 Wvdc 5T513EL
192P224X9080 Gamma Checksource Assembly 0.22 Mfd 6T267EL
118S215K10J TAYLOR Ceramic, 0.1Uf & 50, ‐20%,16Vd 61362
118S204J10E Ceramic, 0.1Uf & 80, ‐30%, 61362
1185323J25H Electolytic, 250Uf&75, ‐10% 61362.0 R0
118S323J25H Electrolytic, 250 Uf, 40 Vdc 61362
EKXG201ELL470MK20S United Chemi‐Con Capacitor CC00004525
EKMG500ELL330ME11D Capacitor, Electrolytic, Aluminum, 33 UF,  T9531EL
U673D477F200HT7J VISHAY 200 Vdc, Cylindrical 6T045EL
39D507G050GL4 500 Uf 2T106EL
53D272F050HJ6 50Vdc Electrolytic Capacitor 7T188EL
150D106X9050R2BE3 Capacitor CC00000373
36D132G050AA2A Capacitor CC00001891
36D392G075BB2A Capacitor CC00001891
36D552G025AB2A Capacitor CC00001891
39D118G040HL6 Capacitor CC00004675
517D107M025AA6AE3 Capacitor CC00000665
517D226M250DK6AE3 Capacitor T8300EL
TVA1308 Capacitor CC00001891
TVA1315‐E3 Capacitor, Electrolytic, 500 Micro Farad, 5 T9310EL
140‐HRTL160V4.7‐RC Electrolytic 7T106EL
TVA1310 Electrolytic Capacitor T8001EL
39D228G025HP6 Electrolytic, 2200 Uf 5T340EL
Monday, January 11, 2016

   
 Page B28 of B293
CAPACITOR
39D757G050JJ6 VISHAY SPRAGUE 5T343EL
600D227G020DG4 5T343EL
TE1307 9T272EL
150D825X9020B2 7.2 Uf T8234EL
678D108M050FV3D Capacitor CC00002624
140‐HTRL160V4.7‐RC Capacitor, 4.7Uf, 160 V, +/‐20% Capacitan 7T106EL
1C25Z5U104M050B Ceramic, 50 Volt, 20% Toleranc 6T267EL
140‐MLRH16V10‐RC Electrolytic 7T106EL
517D107M025AA6A Electrolytic 7T106EL
517D476M016JA6A Electrolytic 7T098EL
600D2276020DG4 Electrolytic, 220 Uf, 20 Wvdc 5T343EL
9D757G050JJ6 Electrolytic, 750 Uf, 50 Wvdc 5T343EL
1815A73H03 WESTINGHOUSE 6T439EL
1815A74H01 6T439EL
1815A74H02 6T439EL
1815A74H03 6T439EL
1815A74H09 6T439EL
2017A35H14 6T439EL
2310A50H01 6T439EL
CAPACITOR‐ELECTROL
UBX2D150MPL Nichicon Electrolytic Capacitor, 15 mfd, +/‐20%, 20 T0151EL
UPM2D470MHD Electrolytic Capacitor, 47 mfd, +/‐20%, 20 TC‐T0151EL
ELXY350ELL121MH12D United Chemi‐Con Electrolytic Capacitor, 120 mfd, +/‐20%, 3 T0151EL
CAPACITOR‐ELECTROL
ELXY250ELL820MFB5D United Chemi‐Con Electrolytic Capacitor, 82 mfd, +/‐20%, 25  T0151EL
CARBON BRUSH
BP5002A04 BALDOR For Electric Motor, 7 1/2 Hp 62208
CARD
AN‐102/4C‐G‐N15 Ametek Sequence Module 73056
308191‐8 BETA PRODUCTS Power Fail 60094
309044 Pulse Converter 60094
308298‐1 Relay Interface 60094
138‐R1 SAIC Regulator, Comparator 60741
031‐02474‐001 York Card CC00001371
031‐02488‐001 Card, Interface, ‐ , Program Card Used To  T0249YK
CARRIER
G223C TELEMECANIQUE Contact 80188
Monday, January 11, 2016

   
 Page B29 of B293
CARRIER
G223D TELEMECANIQUE Contact 80146
CARTRIDGE
700‐CP11Z ALLEN BRADLEY 97196
CEILING
CEILING FIXTURE NULL CEILING FIXURE CW0000012
Cell
C‐23831 Teledyne Cell 58541 Rev B
CHAIN
33645T54 McMaster‐Carr 1/2 316L" 7T333ME
33645T52 9/32" 7T544ME
104‐39 QualTech Chain CC00000347
CHANNEL
1492‐N1 ALLEN BRADLEY Mounting 90020
SN228‐1‐1/4 Cooper B‐Line Channel Nut NULL
D26MC8 Cutler‐Hammer Channel CC00002412
9‐1437381‐1 MOD. 60 TYCO ELECTRONICS Steel 5T105.0 R0
P1000 UNISTRUT 90046
P1000‐HS 90031
N1000TGR Slotted 61666
Charcoal
97‐1096‐00187 CVI Charcoal NULL
CHASSIS
1771‐A2B ALLEN BRADLEY Universal 61638
6ES5‐700‐3LA12 Siemens Elec. 4T551EL
6ES5‐700‐3LA21 Electric 4T551.0
Check
CK4A‐3 Parker Check Valve S1117.0 R0
CK4A‐4 Check Valve S1117.0 R0
CHILLER SEQUENCER
P0038‐406 ELLIS & WATTS 97379
Circuit
S201‐K6 ABB Circuit Breaker Q1557.0
S202‐B/16A Circuit Breaker Q1557.0
HFD3020W Cutler Hammer Circuit Breaker CC00004541
HFD3015L Circuit Breaker, Molded Case, 15 AMP, 3 P T8450CB
HFD3030W MCCB, 3 Pole, 30 Amps, 600 VAC, 250 VD T9485CB
HFD3070W Cutler‐Hammer Circuit Breaker CC00004944
Monday, January 11, 2016

   
 Page B30 of B293
Circuit
FDB2015L Eaton Circuit Breaker S1519.1 Rev
NGH708033E Circuit Breaker S1515.2
HFD2015L Eaton Cutler Hamme Circuit Breaker CC00004053
TEC36007 GE Circuit Breaker NULL
TEC36007WL Circuit Breaker NULL
TEC36003 General Electric CIRCUIT BREAKER NULL
TEC36003WL Circuit Breaker NULL
TEC36015 Circuit Breaker NULL
TEC36015WL CIRCUIT BREAKER NULL
TEC36030 Circuit Breaker NULL
TEC36030WL Circuit Breaker NULL
TEC36050 Circuit Breaker NULL
TEC36100 Circuit Breaker NULL
TED136030WL Circuit Breaker 74003.1.2, R
THED136020WL General Electric Com Circuit Breaker 74120 R.1
THED136030WL Generl Electric Circuit Breaker 74120 R.1
X96C148‐1 Ronan Circuit Card CC00004682
BQ2B025 Siemens Circuit Breaker 80127, Rev.
BQ2B060 Circuit Breaker 80127, Rev.
ED41‐B020 Circuit Breaker CC00003752
ED63A025 Circuit Breaker T0248CB
ED63‐B015 Circuit Breaker Q8015.1 Q8
ED63B020 Circuit Breaker T9123CB
ED63‐B030 Circuit Breaker CC00004946
ED63‐B050 Circuit Breaker 8T207.0, Rev
ED63B050AE Circuit Breaker T9115CB
ED63‐B070 Circuit Breaker 8Q015.1 8Q
ED63‐B090 Circuit Breaker CC00003878
ED63‐B100 Circuit Breaker Q8015.1 Q8
ED63B100 w/S01ED60 Circuit Breaker 80005.2
FXD63B150w/S01FD60 Circuit Breaker with Shunt Trip S1107.0, Rev
ED63‐B020 Circuit Breaker, Molded Case, 600 VAC, 20 8Q015.1, 8Q
FA14020A SQUARE D Circuit Breaker CC00004769
FA34025 Circuit Breaker CC00004455
FH26030AC Circuit Breaker S1512‐07‐01
HE3B070 TELEMECANIQUE Circuit Breaker CC00004957
EHD1020 Westinghouse Electri Circuit Breaker CC00004830
Monday, January 11, 2016

   
 Page B31 of B293
CIRCUIT BREAKER, SW
P/N: CD1‐G3‐UW‐20‐240AC‐1 9S019.0 Rev. HEINEMANN Circuit Breaker, 20 AMP, 120/240 VAC, Sin
CIRCUIT CARD
DC20‐60F0‐0000 WATLOW 4‐20 Madc I/P 7T511EL
DC21‐60F0‐S000 4‐20 Madc I/P 7T511EL
CLAMP
86350 AMP Bnc Bulkhead Jack, Electrical 5T506EL
97‐3057‐10 Amphenol Clamp CC00001823
CL‐100MN Appleton Clamp CC00003805
CL‐75M APPLETON ELECTRIC 90090
CLB‐75M 90090
CL‐100M One‐Hole 61546
PC‐75PAR Parallel Beam 90018
358 BUCHANAN Strap Clamp, Dovetail Base, W/ 60275
CL‐100‐PA CARR LANE Latch Action 5S024.0
B453‐8328‐17 CVI Clamp CC00003013
9000300 Dependable Power S Clamp T8589EL
9036190 Clamp T8589EL
WAN4XRA HUBBEL/WIEGMANN Latch Assembly Clamp 7T434ME
8096 Keystone Cable Mou Clamp CC00002688
600 MINERALLAC Beam 61597
703‐3/4 SUPERSTRUT Universal Conduit 90018
3849 THOMAS & BETTS Ground 61544
DL306 TIGER TECHNOLOGIE Double Bolt 6T069ME
9‐1437381‐5 MOD. 61 TYCO ELECTRONICS Screw Channel 5T105.0 R0
004M007ZD UNISTRUT Clamp, Tubing, 1/4 In,. Per Astm 1011/A 1 T8492ME
P1112 Pipe 90047
P1113 Pipe 61541
P1217 Pipe 90036
P1212 Universal 90001
023‐02245 York Clamp CC00001806
CLEANER
K0‐19 SHERWIN 5T513EL
KO‐19 Cleaner CC00003113
CLIP
700‐HN131 Allen‐Bradley Retaining Clip for Allen Bradley 700 Series  T9502ME
19‐2524‐01090 CVI Clip CC00004222
A693‐5930.5 Double Retainer T9261VI
Monday, January 11, 2016

   
 Page B32 of B293
CLIP
A693‐5930.3 CVI Single Retainer T9390VI
16‐1278 MAGNECRAFT Relay Retaining 3T212EL
9 OHMITE Resistor Mounting 60661
24A071 POTTER & BRUMFIEL Hold Down Mounting 96405
Clutch
CL42209‐89‐36‐RH Formsprag Clutch TVA‐SQ‐231
D87 RotoHammer Clutch Q1249.0
Coil
AMG‐120 Alco Coil 10553.0 Rev
AMG120 ALCO CONTROLS Relay 60995
84D418 ALLEN BRADLEY 115‐125 Vdc 62020
PA236 Coil CC00000511
097617001D ASCO 120 Vdc 61268
8318D20M0 High Temperature 9T203EL
222‐1816D Solenoid 8T151
222‐184‐16D Solenoid 8T151EL
216758‐001K Solenoid Valve 9T006YK
XWF‐86‐01543 BRASCH Heating, 79.85 Ohm, 80/20 Nich 61069 R0
TB‐137‐16 Clark Coil CC00001928
00TB‐000151‐0002 Cutler Hammer Coil S1401.1 Rev.
92‐0282‐01141 CVI Coil CC00000129
442B796H03 East Coast Compress Coil CC00002479
701B506G01 Electroswitch Wl Lockout Relay 60899
TB113‐1 Joslyn Clark Coil, Relay T8271EL
TB113‐61 Relay 61648
2361‐20 NORGREN Coil; Norgren; For Valve No. D1023C‐00‐C CC9
350A989G66 ROYCE COMPRESSOR Electrical, Solenoid 60693
75D70131F Siemens Coil, Electrical, Type:Starter BT107EL
75D70131C Relay Coil BT115EL
MKC‐2120 SPORLAN Coil T8096EL
MKC2‐120 Coil T0348EL
G103D126 SQUARE D Motor Starter 80362
G103D116 TELEMECANIQUE 100 Vac‐Size 2 80049
G103E126 120 Vac 80164
G105E126 120 Vac, 4‐5 Pole, Size 3 2T131EL
G103F116 60 Hz, 110V 80046
G10C126 Ac 80147
Monday, January 11, 2016

   
 Page B33 of B293
Coil
G1035126 TELEMECANIQUE Coil Replacement Size 3 80009
G10C12D Contactor, 125 Vdc, Nema 1 80390
G10G12 Motor Size 5,110/120 Vac, 80246
A80N10 Trip 1T281EL
A80G10 Trip Coil 80012
DOKB30036G0EB094EABA00A CC00001998 Trane Coil
DWSB30078G0AA094EA‐A0GA‐‐‐BA CW0000000 COIL, HEATING, 30" X 78", 66 TUBES
DWSB30078G0AA094EA‐A0GB‐‐‐‐BA ‐  T9515EL COIL, HEATING, TRANE, HC‐1, PRIMA‐FLO
701B507G01 WESTINGHOUSE 125V Dc, For Type Wl Switch 60051
505C806GS2 Type A200, 60 Hz 74218.6 R0
025‐16572‐005 YORK Solenoid 61170
COLLAR
DCC‐PA‐207‐12 QUALTECH Collar, Split, 2 In, Carbon Steel P9073WA
064‐46416B YORK Collar,Thrust, (Pinion) Specification: Car‐S 6T452YK
064‐09228 Impeller 61048
064‐11659‐000 Impeller 3T259YK
064‐46416‐000 Pinion Thrust 6T452YK
064‐28897‐000 Seal 8T194CV
064‐11654‐000 Thrust 97280
064‐27569C Thrust 60735
064‐46132C Thrust 60236
COMPOUND
18412 CRC INDUSTRIES Compound, Galvanizing, Cold Type, 95 Per T8220ME
A2 CROUSE HINDS Chico 90019
CHICO A24 Sealing 90065
CHICO A3 Sealing 90056
59675 Loctite Compound CC00004363
#4 MINERALLAC Elect.Insulating 90052
MRC‐08/09‐440F ROTORK Mosites #10138 Compound T9233TS
1003 Sealers, Inc. Compound CC00000632
T‐3 Thermon Mfg. Co. Compound CC00000147
120 WAKEFIELD Electrical Insulating 60529
4 WELLMAN Electrical Insulating 61436
464‐14934‐000 YORK Grease/Lubricant, 1/4 Pt. Can T8042YK
464‐14934 Grease/Lubricant, 2 Oz. Tin T8042YK
COMPRESSOR
5H120‐A194 CARLYLE Refrigerant, 12 Cylinder 6T281EL
Monday, January 11, 2016

   
 Page B34 of B293
COMPRESSOR
06DX7246BC0600 CARRIER 5T641YK
5H120‐A94 2T441ME
5H120‐A204 Carrier A C Group Compressor, Refrigerant CW0000000
C581‐1103.1 CVI 3/4 Hp 1T330VI
09‐9448‐00033 Compressor NULL
09‐9120‐00364 Compressor, Refrigeration, A/C T9168VI
B470‐1100 Filter 20 inch x 25 inch x 2 inch T0334VI
2010DHF1LOD Dunham‐Bush Disassembly, inspect and document the as BT219EL
X24020085‐01 FRICK CO. Assembly, Purge 60442
QTS1QCB5 HONEYWELL Air Compressor 7T268ME
X2QCB QUINCY Climate Control 96893
QTS3QCB Compressor Q1452.0 Rev
CG040NTA ROYCE COMPRESSOR 97159
62Y3‐130‐1 Refrigeration 97159
COM01447 Trane Compressor CC00001598
E35K40W Compressor CC00001000
GB3S22ED65 YORK 8T044YK
G83S22ED65 65 Tons, 8 Cylinders 8T044YK
367‐57514B Automotive 8T229YK
667‐74340 Chiller 61233
06DX724BC0600 Compressor 5T023.0 R0
LKB‐65 Compressor 6T389YK
LKC‐65 Compressor 6T369YK
M/NRS124A Compressor 60754
MTD‐53 & MTD‐47 Compressor 7T105EL
RS‐124A Compressor CC00000830
NM‐198534 Compressor Rebuild 6T389YK
NM‐209645 Compressor Rebuild 6T369YK
081‐01100D COMPRESSOR, TURBO, SINGLE STAGE, M T9470YK
367‐25263‐000 Disk Parts Kit 60793
G83S‐22ED65 G‐Series 62043
06DX7246 Refrigeration 5T023ME
H62SQ‐22E Semi‐Hermetic Unit 8T284YK
LTH‐85 Turbo 6T037YK
Condensing
CDS01221 Trane Condensing Unit NULL
CONDITIONER
Monday, January 11, 2016

   
 Page B35 of B293
CONDITIONER
4300‐188 ACTION INSTRUMEN Signal 61837
AP4300‐135 Signal, 0‐100 Mvdc, 4‐20 Madc 6T322EL
AP4300196 Signal, Power Input Power Outp 50084.4
M008A Signal, Power Input Power Outp 50084.4
LVC‐2500 MACRO SENSORS Signal Conditioner, Low Voltage DC Opera T9615EL
LPC‐2100‐200 Signal, Input 220 Vac 5T432EL
SCT/0‐5V/1‐5V/117VAC/UB 96039.0 R0 MOORE INDUSTRIES Signal, 0‐5 Vdc Input, 117 Vac
SCT/1‐5V/1‐5V/117VAC/UB 96039.0 R0 Signal, 1‐5 Vdc Input
SC‐1302 ROCHESTER INSTRU 0‐1 Madc Input,0‐1Madc Output 8T089EL
68100‐08 SQUARE D Ac 60294
Conduit
434049‐0143 Anaconda Conduit CC00001786
434019‐0143 Anamet Conduit CC00003799
434029‐0143 Conduit CC00004280
434059‐0143 Conduit CC00002252
841206/GR‐16 NULL Conduit Seal Assembly w/GR‐12 Grommet CC00002480
841206/GR‐14 Conduit Seal Assembly w/GR‐14 Grommet CC00002480
Confidential
Confidential ICBO Qual NULL Confidential ICBO Qualification NULL
CONNECTION
6‐6 EBZ‐B Parker 90 Deg. Elbow Tubing T8068ME
CONNECTOR
205857‐1 AMP INDUSTRIES Connector, Plug Assembly, Size 3, 25 Pos,  T9435ME
80MC2F Amphenol Connector NULL
901‐9218 Connector NULL
MS3106A‐18‐8S Connector NULL
MS3106E18‐10P Connector EGS‐TR‐HC1
MS3106E20‐19S Connector EGS‐TR‐HC1
MS3106E16S1S Connector, No Modifier, Type: Amphenol, T0344ME
MS3106A145‐6P Plug 50083
MS3106A20‐27S Plug 50083
MS3102A20‐27P Receptacle 50083
YA34‐2NDTS Burndy CONNECTOR, LUG, 500 KCMIL WIRE, TWO T0013EL
YA4C‐T7DTS BURNDY ELECTRICAL Connector T9310EL
YAV‐10 Connector, Lug, 12 Strd. to 10 Sol., #8 ‐ #1 T8185CB
YA4C‐DTS CONNECTOR, LUG, NO. 4 STRANDED CABL T9468EL
YA31LTC516FX Lug Connector 7T072EL
Monday, January 11, 2016

   
 Page B36 of B293
CONNECTOR
YA39‐2NG5DTS BURNDY ELECTRICAL Lug, 750 Kcmil Wire 2T300EL
CD8504 C & D VALVE Valve, Access Tee, Schrader, Forged Brass, T8426ME
CGB396 Crouse Hinds Connector NULL
CGB397 Connector NULL
CGB398 Connector NULL
CGB5911 Connector NULL
CGB5913 Connector NULL
CBA240FDP Cutler Hammer Connector Kit NULL
B453‐8327‐17 CVI Flex Connector CC00003013
17218000 DEPENDABLE POWER Connector, Electrical: Type; Conductor; Co 3L001.0
11410009 Dependable Power S Connector, Pin Connector For The Alarm A T8585EL
KFDPB6X‐2 Eaton Connector NULL
KFDP4XT Connector Kit NULL
803921 ENERSYS Bolt Package 6T096ME
93202 Cell 5Q017.0
SHC 1019 HUBBELL Aluminum, Straight Male 5T420EL
2623 Female 61568
SHC1019 Straight Male 5T420EL
DEA9P‐FO ITT CANNON 90071
CA3102A‐10SL‐3S Female, Circular 2T183ME
CA3106A‐10SL‐3P Male, Circular, Straight Plug 2T183ME
SWL10A‐603Y JOHNSON CONTROLS Ball Joint 60508
MCON‐0070‐SA MATHESON 1/4 Swagelok X 1/4"" 1T177ME
6‐4 FBZ‐1 Parker 3/8 Tube X 1/4 Npt 5T562ME
8‐8 FBZ‐B CONNECTOR, MALE, 1/2 INCH X 1/2 INCH  T9634ME
6‐4 FBZ‐B Male 3/8 Tube X 1/4"" 5T562ME
4‐8 FTX‐SS Male, 1/2 Npt 37 Deg. Flare" 3T311CB
4‐4 FTX‐SS Male, 1/4 Npt" 3T365ME
4‐4 FBZ‐B Male, 1/4 X 1/4 5T562ME
12 FBU‐S Tubing, 3/4 X 3/4" Male Npt" 6T120ME
6‐4FBZ‐B Parker Hannifin Connector CC00004119
6‐8 FBZ‐B Connector, Tubing, 3/8 In. CC00000025
6‐6 FBZ‐B Parker Hannifin Com Connector CC00002448
TA1‐E100 Siemens For He Circuit Break 84175
TA1E010 Pressure, 480 Vac, 90 Amp 8T086TE
TA1E100 Pressure, 480 Vac, 90 Amp 84117
TA1FD350 Pressure, Wire 50097.2 R1
Monday, January 11, 2016

   
 Page B37 of B293
CONNECTOR
TA2J6500 Siemens Pressure, Wire 84234
TA3K500 Pressure, Wire 84006
G3B‐1822‐PNH Souriau Connector CC00001645
G6F‐1822‐SNH Connector CC00001645
SKK201/4NPTVD STAUFF 1/4 Mnpt T8191ME
SKK201/8NPTVD Coupling, Test, STL 1/8 Inch NPT, Stauff, Vi T9498ME
SS‐400‐1‐4 SWAGELOK Straight Male, 1/4 Mnpt" 4T230EL
SS‐400‐1‐2 Straight Male, 1/8 Mnpt" 4T230EL
145 Thomas & Betts Connector CC00003248
54487 Electrical, Black Color‐Keyed T8438ME`
53406‐1 Tyco Connector CC00004548
53425‐1 TYCO ELECTRONICS Pre‐Insulated Diamond Grip, Ring Termina T9551EL
640919‐1 Quick Disconnect Receptacle, Insulated, Pi T8425EL
53416‐1 Terminal, Ring 16‐14 Awg, #6 Stud, Insulat T9443EL
14 WIDE VENTSIL" VENTFABRICS Connector, Typical, Application: Duct/Flex 93JO14‐R04
TA300K WESTINGHOUSE 225 Amp, Aluminum, K‐Frame 74117 R0
XMRA14S95F2B016TY34 Winchester Xmra 6T421EL
023‐05189 YORK 60334
023‐06153 60717
023‐00930 1/2 Flr X 1/4" Npte" 60717
023‐00927 1/4 Flr X 1/4" Npte" 60717
023‐00903 1/4 Flr X 14 Npti" 60717
023‐10292 Cinch, .25 Od X .125" Npte" 3T006YK
023‐09876 Cinch, .25 Od X .25" Npte" 3T006YK
023‐10518 Cinch, .25 Od X .25" Npte" 3T006YK
023‐06805‐000 Cinch, .625 Od X .5"" 62009
023‐06807 Cinch, 3/4" 60458
023‐02769 Connector, Flare 1/2 Prior PO # 3
364‐42731‐002 Connector, Rod CC00001143
023‐00920 Half Union 60717
366‐25465 Restrictor 60598
Connectors
CGB395 Crouse Hinds Connectors NULL
CGB394 Crouse‐Hinds Connectors NULL
Contact
800F‐MX40 Allen Bradley Contact Block S1211.0 Rev.
700‐CRT6 Contact Cartridge CC00001821
Monday, January 11, 2016

   
 Page B38 of B293
Contact
C320KA3 Cutler Hammer Auxiliary 97750
J02 Contact, Auxiliary, Type J, 2 N.C, For A200  T8417EL
J20 Electrical, Auxiliary T8417EL
C320KGT15 Cutler‐Hammer Contact CC00002555
PB1A Eaton Contact Block S1329.1
49ACR0 FURNAS Contact, Auxiliary, 1‐No, Snap‐On, Side M T9273EL
OT2AS Homewood Contact Block Q1301.0 Rev
OT2M Contact Block T0117EL
04DIL KLOCKNER MOELLER Auxiliary 9T152EL
61616 Mars Contact S1513.0 R.0
A01EE0L3 Siemens Auxiliary, Switch 96735
754G14 Contact, Electrical 1S011.0 R1
75JG14 Electrical, With Spring, One Complete Pole BT107EL
LA1DN01 SQUARE D 96266
LA1DN10 96266
LA1‐DN04 4Nc Contacts 2T047EL
9999SX6 Contact CC00000539
9999SX7 Contact T9405EL
9999SX10 Contact, Auxiliary Kit, make Before Break ( L0001TE
9999SX9 Contact, Auxiliary Kit, Make Before Break  L0001TE
F10NOL TELEMECANIQUE Auxiliary 9T312EL
LUFN11 Auxiliary, 1 No & 1 Nc 5T492EL
F10NOCR Contact CC00004721
CONTACT ASSEMBLY
18‐641‐667‐501 Siemens Stationary Sec. Terminal Block 7T156EL
CONTACT BLOCK
PTCM SENASYS Block, Contact, Sliding, Electronic Duty, Sil T9469EL
PTCL Contact Block, Electronic Duty, Silver Cont T9469EL
CONTACT CARRIER
G223G TELEMECANIQUE Assembly 80146
G8182C Assembly 80105
G223E Contact Carrier Size 3 CC00000601
CONTACT COVER CAP
G213D TELEMECANIQUE N/A 80146
G213E N/A 80146
G213G N/A 80146
CONTACT KIT
Monday, January 11, 2016

   
 Page B39 of B293
CONTACT KIT
G82203C TELEMECANIQUE N/A 80098
373B331G09 WESTINGHOUSE Size 1, 3 Pole 60267
373B331G12 Size 2, 3 Pole 60267
477B477G05 Size 5, 1 Pole 60267
CONTACTOR
AE110‐30‐11‐87 ABB 5T417EL
EH145C‐QM 2T224EL
A145‐30‐22‐51 Compressor, Size 4, 3 Pole 1T203EL
AE50‐30‐11‐87 Contactor CC00001333
A145‐30‐22‐51 W/ATK185 Contactor w/Lug Kit NULL
500L‐COD93 ALLEN BRADLEY 61361
500D0D930 W/815D0V16 Coil 120 Vac, 3 Pole 61361
500LCOD9390 w/595A & 595B S1206.3, RE Contactor w/Auxiliary Contacts
509‐FOD w/ W36 and 595AB 8T145.0 Electrical,Size 5,3Pole,120Vac
500L‐B0D93 Nema1,3P,Open,120Vac 9T054EL
500D0D930 Overload 61361
500LC0D93 Overload, 115‐120 Vac, 3 Pole 61198.1
500LCOD93 Overload, 115‐120 Vac, 3 Pole 61198.1
RSC‐32‐6AC120 Benshaw Contactor NULL
RSC506AC120 Contactor S0016.0, RE
RSC‐50‐6AC120 Contactor NULL
RSC125U120 Contactor, 120A, 120A, 100‐240 ac/dc Coi T0377EL
HN‐53CD‐024 Carrier Contactor Q1325.0
HN53CB115C Contactor, Definite Purpose, 25 Fla, 35 A  T9263EL
A201K3CA Cutler Hammer 74218
ME110C 97157
J11 600 Vac, 120 Vac Coil 74218.2 R0
ME101CAC 600Vdc, Type Me 61787
ME120C 600Vdc, Type Me T8039EL
959167‐74302 Auxiliary Control 61319.1
A201K0CA Contactor S8006.0 Rev.
A201K1CA Contactor CC00001796
C80DG221N00 Contactor T9317HS
A201K5CJ Contactor, 600 Vac, Size 5, 3 Pole, 110‐12 T8417EL
CN15SN3A Contactor, Size 5, Freedon Series 3 Pole, 1 T8417EL
A201K4CH Contactor, Type: Non‐Reversing, NEMA Si T8610EL
A201K4CA Non‐Reversing, Size 4 3T179EL
Monday, January 11, 2016

   
 Page B40 of B293
CONTACTOR
A201K5CH Cutler Hammer REASON FOR RETURN: THE CONTACTOR IS T8610EL
A211K3CA Reversible, Size 3 3T179EL
A201K2CA Reversing 74218
A201K0CA W/ AUX. CONTACTS 60781 Size 0
A201K2CA W/ J11 AUX. CONTACTS 60781 Size 1
A201K3CA W/ J11 AUX. CONTACTS 60781 Size 3
40EP35AAD44GC FURNAS 120 Vac 3 Pole 97769
40EP35AAD4GC 50 Amp, 3 Pole, Magnetic 9T248EL
40EP32AA Magnetic,40A,3 Pole,11‐120/220 8T019EL
DILM400/22 w/DILM1000‐XHI11SA S1130.1 Rev. Klockner Moeller Contactor w/(2)Auxiliary Contacts
DILM400/22 w/XTCEXSCR11 S1130.1, 0 Klockner‐Moeller Contactor w/Aux Contacts
61471 Mars Contactor S1513.0 R. 0
350A217H04 MCQUAY 61319
P/N: RSC326AC120 QUALTECH CONTACTOR, NEMA SIZE 1,32A, 120V, 60 T0377EL
P/N: RSC506AC120 CONTACTOR, NEMA SIZE 2, 50A, 120V, 60 T0377EL
P/N: RSC856AC120 CONTACTOR, NEMA SIZE 3, 85A, 120V, 60 T0377EL
D1‐605 S & N MANUFACTURI Battery, 24 Vdc, 1 No Contact T9020EL
3TC4417OAG4 Siemens 125 Vdc Coil Voltage 62199
3TC44‐170AG4 125 Vdc Coil, Type 3Tc 62199
LEN00C003120A 600Vac, 30A, 3 Pole T8359EL
42EF35AF Contactor, Definite Purpose, 110‐120 VAC T9616EL
42AF35AFAJI CONTACTOR, DEFINITE PURPOSE, 25 FLA,  T9263EL
40EP35AAD4 Magnetic, Coil Voltage 110‐120 6T216EL
42CF35AF Siemens / Furnas Contactor, Definite Purpose, 3 Pole, 40 Fla T9221EL
CDP2‐D3P90A220W Sprecher Schuh Contactor S1124.0 Rev.
LP1D5011GD SQUARE D 3T215EL
8502SD02V02S 120 Vac Coil, Size 2 62163
LP1D8011GD 125 Vdc Coil, 3 Pole 96266
LP1D80AAGD/LA6DK20F/LA1DN01 9T320.0 125 Vdc, W/Block & Contacts
LP1D8011GD W/LA6DK10F, LA1DN22 8T167EL 3 Pole
8502SE02V06 480 Vac Coil, Size 3 62163
LC1F185G6 480 Vac, 60 Hz., 3 Pole 4T357EL
EB320AA‐5 600 Vac, 2 Pole 80359.0 R0
8502SC02V02S 600 Vac, 27 Amp 80435
LC1D656GD W/LA6DK10F, LADN10 4S014.0 R1 65 Amp, 3 Pole, W/Latch & Aux.
LP1D65004GD 65 Amp, 4 Pole 4T171EL
LA1DN22 Auxiliary Relay 6T607EL
Monday, January 11, 2016

   
 Page B41 of B293
CONTACTOR
LC1D806GD W/ LA6DK20F & LADN10 7T470EL Square D Coil: 125 Vdc, Latch: (1) Mechanical, Poles
8502SC02V2S Contactor CC00001972
LC1D806GD Contactor 2S012.0 R3
LP1D8011GD/LA6DK3G/LA8DN11 9T060EL Contactor
LC1D1156GD W/LA1DN11 Contactor W/ Contacts 2S012.0 R2
LC1D806GD W/ LA6DK20F, LA9D809 2S012.0 Contactor W/ Latch & Contacts
LC1D806GD W/LA6DK20F, LA9D8096 2S012.0 R2 Contactor W/ Latch & Contacts
LC1D1156GD w/LADN11 Contactor w/Auxiliary Contact 2S012.0 R3
8502SCO2V02SX22 Contactor, Full Voltage/Non‐Reversing, NE L0001TE
8502SDO2V02SX22 Contactor, Full Voltage/Non‐Reversing, NE L0001TE
LC1D1156GD W/ LA1DN11 CONTACTOR: ELECTRICAL ; TYPE: OPEN, C T9585EL
8502SD02V2S Magnetic 62163
LC1D806GD W/ LA6DK20F, LADN10 5T468EL Open W/Aux. Contacts
LC1D656GD W/1No‐1Nc & Latch 4T171EL
A143E12 TELEMECANIQUE /100 Amp,125V Dc Closing Coil 80052
A103D12X1 Ac Non‐Reversing,Size 2,3 Pole 80128
A103C12 Contactor CC‐74‐374 S
LC1D12GD Contactor, Magnetic 7T204.0 R0
P101E12 Dc Contactor 15V Dc Nema Size3 80001
A132C12X3 Elect'Ly Held W/ Aux Interlock 80108
A103G12X3X3 Magnetic 80173
P21C12 Magnetic Starter 80097
G23L120 Mechanical Latch 80169.1
P11D25 Nema Size 2, 250 Vdc, 50 Amp 80153 R0
LC1F150F7 Telemecanique Schn Contactor Q1557.0
LX1FF110 Contactor Coil Q1557.0
P40P47D12P1 TYCO/POTTER & BRU 4 Pole 120V Dc 60681
M34‐2A WESTINGHOUSE Reversing WCAP‐1424
Control
CNT‐4 CVI Control CC00004059
SPC‐650‐2 ECU Control Card 6L008.0 Rev.
025‐25095C YORK Control CC00004511
025‐14385‐000 CONTROL, PRESSURE TC‐T0428YK
025‐14386‐000 CONTROL, PRESSURE TC‐T0428YK
025‐25094‐000 CONTROL, TEMPERATURE, CURRENT LIMI T9424YK
025‐14386 Pressure Control York Order 7
CONTROL CARD
Monday, January 11, 2016

   
 Page B42 of B293
CONTROL CARD
CC‐10 THERMON Card 9T175.6
CONTROL MODULE
94J602‐301 ALLISON CONTROLS Fire Detection, 10 Amp 94J702‐R20
CONTROL STATION
6610010802 F&H Wall Mounted Dc Local 60661
CONTROL, PRESSURE
P28AA‐1 JOHNSON CONTROLS Pressure 61640
P70AA‐118 Pressure 61640
CONTROLLER
2050RZ12002B ABB Single Loop, Standard Version 3T386EL
X442RG1631 Temperature, Pneumatic 96222
1747‐L40A ALLEN BRADLEY Programmable 61611
837‐A61JX606 Temperature Controller, Remote Bulb An T9316EL
11KM4645JF‐3150‐BL AMETEK Temperature 3T404EL
2000 B ATHENA CONTROLS Temperature 60023
2000‐F‐0‐0‐51F Temperature 1T190EL
4000 B‐23‐27 Temperature 60023
2000B‐68B‐A18 Temperature, 0‐500 Deg. F 4T200EL
200‐F‐0‐0‐51‐F Temperature, Range 500‐1500F 1S009.0 R0
SLC01 BAILEY CONTROLS 61915
SCL01 4 Analog Inputs, 3 Digital 61915.0 R1
SLC‐01 Power Supply, 120 Vac, 60 Hz 61915.0 R1
701003AAAE1 Programable 61428
701003AAAA1 Temperature 61749
BC202 BALDOR Electrical 5T432EL
CP‐8142‐024‐1 BARBER COLMAN CONTROLLER CHILLLER, ELECTRONICS, 24  T9581EL
CP‐8142‐024 Electric Chiller 96899
TP‐8101‐0‐0‐1 Temperature, 55‐58 Deg. 7T077EL
TP‐8103‐0‐0‐1 Thermostat CC8
9‐1481‐00‐322 BASLER ELECTRIC Motor Operated 2T224EL
BER‐480M BRASCH Master Unit, 480 V, 50/60 Hz 61069 R0
BER‐27485 Slave Unit, 277‐480V 50/60 Hz 61069 R0
61231601 Dependable Power S 235 Amp, 600 Volt T9538EL
DS‐10‐115B DIGI SPEED Controller, Speed, Solid State, Digi Speed  T8233EL
DS‐10‐A Controller, Speed, Solid State, Digi Speed  6T591EL
DS‐10B‐01 Controller,Speed,Vane Actuator 6T428EL
100289776 DIGI‐SPEED CONTROLLER T0421.0 REV
Monday, January 11, 2016

   
 Page B43 of B293
CONTROLLER
SCC10‐115/230A DRESSER RAND Electrical Model Eas Digital 6T547ME
SPA‐1203‐01 DYNACODOOR Controller, Volts: 24, Type: Door, Dynalogi BT166DR
08‐819401‐001 FENWAL Hot 60470
53EG4331ADAA FISCHER & PORTER 0 To 50 Psig Anti‐Reset Windup 97759.0 R0
53EG331AA0A Pressure 97759
C1‐2311‐51430 w/MTG‐218‐90166 Q1562.0 Rev Fisher Controls Controller w/Mounting Bracket
2516‐1 Multi‐Trol Receiver 96878
4160K Pneumatic 96878
2516‐A Receiver 96878
43APPA22C/PC00 FOXBORO 8T172EL
43AP‐PA42D/PCESP 5T211EL
43EP‐/F/TA‐1A73S1‐F701 Controler 97100
43AP‐PA42C/‐PC/ECRB/ESP Controller S1206.2 R.0
43AP‐PA42C‐P/‐PC Controller CC00002893
43AP‐PA42N Controller S1109.1 Rev.
43APPA52C/PBAA CONTROLLER CC00004358
43AP‐FA52C/PC‐00 Controller, pneumatic, indicating, reverse  TC‐T0187HS
43AP‐FA42N‐PB‐AA‐ECRB‐BATCH‐H T9394EL Controller, Pressure, Indirect Acting, Rang
43EP‐P/73S1‐F701 Electrical Indicating 96160.0 R0
43EP‐PA42C Pneumatic 96160
43AP‐PA22‐C/PC00 Pneumatic Indicating 96376
43AP‐PA52C/PBAA Pneumatic Indicating 97364
43AP‐PA42N‐PBAA Pneumatic Indicating 0‐1000Psi 6T505EL
43AP‐PA22C/PC00 Pneumatic, Indicating 97308
43AP‐PA42C Pneumatic, Indicating 96460
43AP‐PA42D/‐PC ESP Pneumatic, Indicating 3‐15 Psi T9393EL
43AP‐PA22C/PC Pressure 8T185EL
40PN‐ESN1S‐UW Temperature 5T269EL
40PN‐N‐S‐N‐1S/TA‐1A/0RP Temperature 5T269EL
43EP‐F/TA‐1A/73S1‐F701 Temperature 96160
43EP‐P/73S1/F701 Temperature 96642
45EP‐F/TA‐1A/7351‐F701 Temperature 96160
43EP‐F/TA‐1A/73S1/F701 Temperature Indicati 97100
43EP‐P/73D1/F701 Temperature Indicati 96160
43AP/PA22C/PC FOXBORO/INVENSYS Pressure 2T374EL
1302 GENERAL EASTERN Humidity 9T241VI
T631A1022 HONEYWELL Airswitch 61716
Monday, January 11, 2016

   
 Page B44 of B293
CONTROLLER
W901A1042 HONEYWELL Capacity 60467
DC2003‐2‐0000‐00000‐00 Control Room 61382
DC3200‐CE‐0B0R‐200‐00000‐E0‐0 S1212.0 Controller
T631B1054 Controller, Farm‐O‐Stat, Range 35 to 100  T0190EL
DC3002‐0‐0013‐2‐00‐0111 Digital 61203.0 R1
VDC‐3000 SERIES Digital 50084.3
W973J1017‐3 Master, 24 Vac, 50/60 Hz, 8Va T8344EL
T678A‐1015 Temperature 5T463EL
T775B2040 Temperature Controller S1129.0 Rev.
S984F1070 Temperature, 10‐Switch Step 96042
T6051A1016 THERMOSTAT; TYPE: HEAVY DUTY; RANGE T0026EL
DC2003‐2‐0000‐0000 Universal Digital 61382
LX‐1200 HUBBELL LEXINGTON Across Line Fire Pump 61793
A19BAC‐1 JOHNSON CONTROLS Controller T9320EL
A19BAC‐17 Temperature 62217SQ
A19BAC‐1C Temperature Controller 61981
48‐8115‐843‐8174‐‐82 LOVE CONTROLS 61317
541‐8115‐8134‐8132 Complete 61424
54‐8115‐8134‐8132‐8174‐8826 62005.1 Complete 50‐150Øf
56‐8115‐843‐8181‐8174‐8226 61921 Complete, Dual, Setpoint
5.4811581878e+025 Direct Acting 61402
54‐8115‐8187‐8132‐81 Direct Acting 61402
56‐8115‐8181‐843‐810 Dual Set Point 61514
541‐838‐8139‐8134‐8174 Electronic 97888
541‐8170‐8134‐8174 Flow 61825
56‐8115‐8181‐843‐8108‐8174‐822 61514 On‐Off Switch
54‐8115‐8134‐8132‐ S/N 68646 61055
54‐8115‐8187‐8132‐ S/N 68646‐01 61056
48‐8115‐843‐8174‐8226 Scale Range 0 To 0.25 61317
5.4838813482e+016 Temp Transmitter 61422
54‐838‐8134‐8187‐817 Temp. Transmitter 61535
54‐838‐8134‐8177‐8174‐8187‐813 61995 Temp., Transmitter Indicator.
5.4838813482e+028 Temperature 61535
5.6838870849e+029 Temperature 61484
54‐8115‐8134‐8132‐81 Temperature 61320
54‐8115‐8187‐8132‐8134‐8174‐82 60944 Temperature
54‐8115‐8187‐8132‐813481748226 61056 Temperature
Monday, January 11, 2016

   
 Page B45 of B293
CONTROLLER
54‐8134‐8115‐8174 LOVE CONTROLS Temperature 60721
54‐838‐8134‐8187‐8177‐8132‐817 61506 Temperature
548388134818781778132‐81748226 61422 Temperature
54‐838‐8139‐8134‐8174 Temperature 9T236EL
54‐838‐8134‐8187‐8110‐8174 60407 Temperature Indicating
54‐838‐8134‐8132‐8174‐8226 97777 Temperture
B41180‐1‐1 MASONEILAN Pressure 60005
J389‐29‐481 Pressure 60005
J389‐29‐486 Pressure 60005
J389‐29‐502 Pressure 60005
MCS‐8 MICRO CONTROL SYS 3T289.5 R1
MCS‐8‐N Board Only 4T285EL
900A467 MODUTRONICS Positronic, 120 Vac Input 5L013RE
TH4X325 NELSON ELECTRIC SU Temperature 9T305EL
TH‐4X25‐PC Temperature, 0‐250 Deg, 7' Cap 9T323EL
TH‐4X325 Nelson Electric Suppl Controller CC00000683
CN2100‐R20 OMEGA Digital Temp T8328EL
CN2110‐R20‐AL Temperature Controller T9608EL
DS‐10A‐01 RAYMOND Speed, 115 Vac, 5 Amp 4T013.0
101‐H3‐480‐030‐E SOLITECH Master 60781.1&.3
101‐J3‐480‐030 Slave 60781.1&.3
8400976 TELEMECANIQUE Motor 60556
800‐7BS‐9059 UNITED ELECTRIC Temperature 61791
E400‐2BSB‐90505 Temperature 60304
985A‐2F‐100‐0/00 WATLOW Series 985 60781
M2070‐L02‐T2231‐H1031‐C00‐42‐X 60693 WEST INSTRUMENTS Controller
M2070‐L02‐T2231‐H1031C004249 60953 WESTINGHOUSE Temperature
M2070‐L02‐T2231‐H1031‐C004249 60953 W/ Alarm Output
SPLC‐171‐E/NPR/NPE YOKOGAWA Programmable Indicating 60451
025‐17687‐000 YORK Controller CC00004647
331‐02430‐601 Controller CC00001371
331‐02430‐603 Controller, Electronic, Microboadr for con T0195YK
025‐17676‐000 Pressure 9T122YK
025‐10439 Sensor, Temperature control for York Wat T9424YK
024‐16084 Temperature 60493
025‐25094‐000 W/C4D0104N‐0119 4T362YK Temperature
025‐15381‐002 Temperature Control CC00001164
Monday, January 11, 2016

   
 Page B46 of B293
CONTROLLER
025‐15381‐003 YORK Temperature Control CC00001164
025‐22875D TEMPERATURE CONTROLLER T0476YK
Controllers
195‐2000 Siemens Controllers S1117.1, Rev
CONVERSION
ByDescription5 RSVP CONVERSION KIT, FOR MATRYX ACTUATO S1105.1
CONVERTER
A12D15/150Z ARTESYN TECHNOLO Dc To Dc 12 Vdc 15 Vdc Output 6t050el
SLPC‐171‐E‐NRP/NPE JOHNSON CONTROLS Signal 60451
CA 28 KEPCO Power, 105‐370 Vdc In, 24 Vdc 0S009.0 R0
FAW‐24‐6K W/CA 28 Power, W/Steel Enclosure 0S009.0 R0
SCT/1‐5V/4‐20MA/24VDC/UB 60451 Rev. 1 Moore Industries Converter
VI‐253CW VICOR Dc‐Dc Converter, 100W T8062EL
COOLER
COAX‐2151‐H HELIX Oil Cooler 7T586EL
Cooling
TRANE_COIL_SET Trane Cooling Coil Set CC00002033
Coordinator
COR‐9G‐US26D Ingersoll Rand Coordinator CC00000417
Coupling
200GCP Conduit Pipe Product Coupling CC00004250
029‐11359‐000 CVI Carbon Steel 4T255VI
B741‐1101‐21‐Coup Coupling CC00000600
62Y3‐130‐2 (595C0031G07) Ellis & Watts Ellis & Watts Coupling for Model ACH‐275 E&W Air Han
76N3‐101 For Compressor Model C360 4T228ME
1070T31BE6.12 Falk Coupling CC00001842
1050T20 Falk Corp. Unit of Sun Coupling, Grid CC00000097
1100T20 FALK CORPORATION Assembly, Grid, Complete 5T582CB
100T20 Complete 6T019CB
1070T31/T35SP ASSY BEG.12 6T064ME Flexible Complete
1050T20 Coupling Grid KIT, SEAL, FOR FALK 1050T20 PUMP COUP T9575ME
1060T31 BE=5 Falk Coupling Coupling, Spacer T8419EL
37316 KOP‐FLEX 6T023ME
3.5 FAST COUPLING Complete, Insulated 6T308ME
2281913 Gear, Model B, Size 2 3T409ME
68514411082 LOVEJOY Motor, Jaw Type 7/16 Bore" 6T625EL
W01047 MUELLER BRASS CO. Rolled Stop 1‐1/8" T9075ME
Monday, January 11, 2016

   
 Page B47 of B293
Coupling
W01028 MUELLER BRASS CO. Rolled Stop 3/4" 6T348ME
W01009 Rolled Stop 3/8" 6T348ME
W01022 Rolled Stop 5/8" 6T348ME
W01034 Rolled Stop 7/8" 6T348ME
4‐4 FHC‐B Parker Hex 1/4 Fnpt 5T562ME
514491 REXNORD Center Ring Assembly 3T430ME
E5 W/ 5SHSB 1 & 5SHSB 1.25"" 4T42279 Coupling, Drive, Type:  Shaft, Standard O
V05‐3309‐0001 Motor, Omega Standard E20 5T557ME
DBZ‐301 Shaft, Stainless Steel 5T614ME
SKK2018NPTVD6CF Stauff Coupling 50090.6, Re
SKK2018NPTVD Stauff Corp Coupling, Pressure, CS, 1/8 In. T8327ME
DBZ‐A‐263 T.B. WOODS Center Ring Assembly 3T430.0
P805‐W1009 TOTALINE Copper, .375" 1T163YK
023‐07146 YORK 60266
023‐07559 1/2 Tube X 1/2" Fpt" 61048
029‐07330 Flexible 60528
029‐17388 Flexible 61625
029‐19298 Flexible 96373
029‐11359‐300 York International Coupling NULL
COVER
609718‐K4 ABB Cover, Type: Relay, Cover For Abb 27N Un T8374ME
99‐2500‐02210 CVI COVER, PLATE, BLANK OFF, SS, W/STUDS I T8332VI
10‐32993 HAYNES CORPORATI Cover, Timing Window Item No. 7 On V‐Ti T9444ME
0257642424‐C MUELLER BRASS CO. Strainer Cover 7T044ME
790459 PHOENIX CONTACT End 2T004ME
BVC053Q TELEMECANIQUE Motor Control Center 80283
BVC056J Motor Control Center 80283
3G7358‐02 YORK Assembly 60321
025‐07314 Conduit 60527
064‐11660‐000 Oil Pump T8377YK
064‐11660D Reference T9341.2 Docs T9341YK
064‐13909 Shaft Control 60252
COVER PLATE
692C707H02 Electroswitch Stop/P.Auto/Start 80215.0 R0
CRANKSHAFT
364‐36998 YORK 3 3/4 X 4 1/2, 12 Cyl. 61336
Current
Monday, January 11, 2016

   
 Page B48 of B293
Current
SPC‐650‐21 ECU Current Monitor 6L008.0 Rev.
SPC‐650‐11 Current Transformer 6L008.0 Rev.
CURRENT ALARM
ET‐1219‐T2‐T10 ROCHESTER INSTRU 1‐5 Vdc Input 96598
ET‐1219‐T10‐FS Alarm Monitor 73021
ET‐1219‐T10 Dual Input,4‐20Madc Input,W/T1 8T226EL
ET‐1219‐T2‐T10‐FS Voltage 60572
Curtain
ByDescription13 TMI, LLC Curtain TC‐T8173ME
ByDescription14 Curtain TC‐T8173ME
Custom
TE24"Size2‐2Speed‐CHBucket NULL QualTech Custom Bucket
CYLINDER
226826 BENDIX CORP Rotochamber Diaphragm 3T026ME
C4260‐19 Chicago Lock Cylinder CC00002547
LNR‐54 DRESSER RAND Liner, Cast Iron, Low Pressure T8139VI
DA61B2N400400100990MODIFIED 61333 Miller Fluid Power Air
JV53R2N‐06.00‐6.625‐0138‐N11‐9 TC‐T8366ME CYLINDER
JV51R2N‐06.00‐6.625‐0138‐N11‐9 T8366ME CYLINDER, AIR,
1 1/8 NSVE Parker 1 1/8 Bore X 1/2" Stroke" 20250.0 R1
JD108286 Air 61125
2 1/2 C‐AUVS13AXI Air, 2 1/2 Bore" 20250.0 R1
0900‐1 Air, Series C Medium Duty 3T378ME
2.50CUR16 N/A 60421 R0
DAMPENER
25‐1106S ASHCROFT Dampener T8112ME
50‐1106S Dampener S1206.0 R. 0
DAMPER
5010 METAL INDUSTRIES Damper T9159ME
5010 W/5910 W/SW‐1 PREFCO 35‐7/8 X 11‐7/8"" 5T300ME
5010 W/5910 W/SW1 Fire, W/Link Abd Switch T9241ME
5010 W/ 5910 OPERATOR & SW‐1 4T419ME Fire, With Switch
5010 DAMPER/5910 OPER/SW‐1 5T484EL W/Operator & Switch
5010‐H Prefco Inc. Damper S9008.0
DAMPER BLADE ARM
D‐9999‐100 JOHNSON CONTROLS N/A 60508
DC POWER SUPPLY
Monday, January 11, 2016

   
 Page B49 of B293
DC POWER SUPPLY
LFS‐39‐48 LAMBDA ELECTRONI Input 110‐370 Vdc,Output 48Vdc 61224 R0
Deck
ARDA20R Cutler Hammer Deck Adder 9S009.0 Rev.
Dedication
TemplateCGDModerate‐NoPlan NULL NULL Dedication of Moderate Item, No Plan
DEDICATIONPLANS DEDICATION PLAN GENERATION CW0000007
4T536VI‐1 QTNP DEDICATION FOR VARIOUS FASTENERS CC00001077
DEHYDRATOR
C314 SPORLAN 8T175YK
C‐304 Filter‐Drier 96001
026‐13508 YORK Dehydrator, .., Drier‐Flt, System RTN, Oil,  T8420YK
026‐13058 Dryer, Oil 6T298YK
026‐17295 Gpurge Unit Filter Drier 9T242YK
DEMISTER
98‐0120‐00128 CVI FILTER, DEMISTER, ACS P/N: 101‐55A = C T9573VI
3211A DEMISTER Demister, Mist Eleminator, One Piece 13. 7T052VI
C‐SK‐1743‐1860‐10 KOCH‐OTTO YORK 321 Sr 1T274ME
C‐SK‐1743‐1860‐10 REV. 1 321 Sr 3T411ME
Detector
308056 Chromalox Detector CC00003795
27121‐0‐225 FENWAL Automatic Heat 9T142EL
12‐X27121‐000‐190 Detector 50095.35 Re
L7025A‐1090 HONEYWELL Temperature W/Element 97188
366‐A8 ROBERTSHAW Vibration 61872
DET21059‐A6PA Ronan Detector CC00004190
302‐ET‐194 THERMOTECH Heat 9T139EL
BZ722 TIME MARK CORPOR 100‐130Vac Supply Voltage 50094.2
DEVAR
16‐1/2 316SST THERMOWEL THERMOWELL 60781
DEVELOPER
SKD‐S2 ITW Fluid Products G Developer, General Purpose, For Spotchec T9309ME
D‐100 SHERWIN Developer T8512ME
D‐350 Developer CC00002505
DEVICE
18‐471‐112‐515 Siemens Static Trip Ii 3T179EL
18‐471‐112‐516 Static Trip Ii 3T179EL
KLAA008‐504A02‐0 Static Trip Iii 3T179.0
Monday, January 11, 2016

   
 Page B50 of B293
DEVICE
KLAX016‐505A16‐0(KLAA008‐*A02) 3T179.0 Siemens Static Trip Iii
KLAX016‐505A16‐0(KLAA008‐*A04) 3T179.0 Static Trip Iii
KLAX016‐505A16‐0(KLAA008‐*A06) 3T179.0 Static Trip Iii
KLAX016‐505A16‐0(KLAA008‐*A16) 3T179.0 Static Trip Iii
HFD WESTINGHOUSE Hfd C Series Breaker 74218
023‐09663 YORK Unloader 96684
364‐28916 Unloader Assembly 97663
364‐28916‐000 Unloader Power Assembly 61170
DIAPHRAGM
231472 BENDIX CORP Sbr 1T271ME
15123 CASH VALVE Diaphragm T8512ME
D‐3153‐600 JOHNSON CONTROLS 9T225EL
RS1604VA0007 Sart Von Rohr Diaphragm 7T133EL
333‐206 SIEMENS BLDG TECH Actuator 2T179ME
DIGITAL
JS05R‐6110‐C5‐DNP JEMSTAR WATTMETER, NBO MODIFIER: NP TEXT: M T9552EL
DIN RAIL
DIN_RAIL_16.7 Acromag Din Rail S1221.0 R.0
199‐DR1 ALLEN BRADLEY Mounting Din Rail, Symmetrical Rail, Zinc  T9502ME
C383TS35 Cutler Hammer 2M Length 5T113EL
XBANS3575P Phoenix Din Rail CC00001545
801733 PHOENIX CONTACT Mounting 2T004ME
DIODE
62151601 DPS Diode, No Modifier: NP Text: Diode 150A  T8236EL
1N957B FAIRCHILD SEMICON 6.8V Zener 6T572EL
JTXIN6071A GENERAL SEMICON Suppression Type 90106
85HF20 INTERNATIONAL 9T130EL
1N4371 Microsemi Diode S1100.0 Rev.
1N751A Diode S1100.0 Rev.
1N941B Diode CC00000594
1N973B Diode CC00002835
1N981B Diode CC00002835
1N1194 Rectifier 6T284ME
1N3913 MOTOROLA N/A 60572
1N5829 Silicon 61830
1N4001 Standard Recovery Rectifier 61118
1N3070 New Jersey Semi‐Con Diode S1100.0 Rev.
Monday, January 11, 2016

   
 Page B51 of B293
DIODE
1N4822 New Jersey Semi‐Con Diode CC00002462
1N5342BG ON Semiconductor Diode CC00002465
1N5348BG Diode CC00002465
1N5363B Silicon Zener, 30.0V 5T177EL
1N5363‐B Silicon, 40 V, 0.04 A 5T177EL
1N5818 Schottky Diode CC00002465
SCDA4 SEMTECH Pair 2T417EL
1N5621 STMicro Diode S1100.0 Rev.
123S184 TAYLOR Sen2A1Fr, 4A 61362
1N4005 Vishay Diode CC00003004
VS‐85HF20 Diode CC00004568
DISC
D1389‐2 Fike Metal Disc CC00003758
60G0095V0010 KETEMA Disc 60631
202‐700 Parker Disc Seat Composition 7T396ME
022‐05808‐2 YORK 61704
026‐27861A Rupture 60236
026‐21131 Rupture, 2 Inch, 30 Pounds 60867
DISCONNECT
706777‐T11 ABB For K‐1600 Breaker 4T324EL
706777T11 Primary Assembly, For K‐1600 97412.1 R1
DH465NRK w/RES‐400 Cutler Hammer Disconnect with Fuses S1401.0
DH362UWK N/A 61134
DH365FPK w/LPJ‐300SPI Eaton Disconnect Switch NULL
DH365FPK w/LPJ‐400SP Disconnect Switch NULL
FDPBS324R Disconnect Switch S1424.2 Rev
FDPWT3211R Disconnect Switch S1424.2 R.1
FDPWT3212R Disconnect Switch NULL
FDPWT3222R Disconnect Switch NULL
FDPWT3223R Disconnect Switch NULL
FDPWT3233R Disconnect Switch S1424.2 R.1
SS‐600‐3TTF SWAGELOK Quick 50084.4
SS‐QC4‐B‐4PF Quick 50084.4
SS‐QC4‐S‐400 Quick 50084.4
SS‐43M4‐P WHITEY Quick 50084.4
Disk
026‐22719 YORK Disk CC00000561
Monday, January 11, 2016

   
 Page B52 of B293
Display
NL3224AC35‐01 NEC Display 3S001.0 Rev.
40RN‐T1X100GXXX8 STACO SWITCH Screen 61578
40RN‐T1X100RXXX8 Screen 61578
DOOR
3A73618G10 Cutler Hammer DOOR, SIZE: 12; TYPE: MCC; REPLACEMEN T9045CB
3A73618G11 DOOR, SIZE: 18; TYPE: MCC 18 IN.; REPLA 7T618CB
3A73618G12 DOOR; SIZE: 30; TYPE: MCC; REPLACEMEN 7T618CB
3A73618G13 DOOR; SIZE: 30; TYPE: MCC; REPLACEMEN 7T618CB
TBD‐1 Dependable Power S Blank, 12 X 15 1/4"" T8070EL
200NA National Guard Prod Door Sweep CC00002327
TE5600 Siemens DOOR, BREAKER CUBICLE, 18 INCHES T8336CB
933891500‐L SQUARE D Metal, Swinging, 12" 80325
18 DOOR" TELEMECANIQUE 90112
850001010 12 Mcc Blank Door Assembly" 9T044TE
850001011 Blank 80065
850000977 Blank Door, W/Brace Door Catch 80280
8020004‐18 Metal, 18 Blank W/ Hinges 80200
933891500 Metal, Swinging 80367
8020003‐6 Metal,Swinging,6Blank W/Hinge" 80200
70250368 SR Motor Control Center 80320
70250369 SR Motor Control Center 80320
70250370 SR Motor Control Center 80320
DPS
20060000 Dependable Power S DPS BOARD T8589EL
DRAWER
8020007‐12 TELEMECANIQUE 12 Draw Out Unit" 80200
8020008‐18 18 Draw Out Unit" 80200
Drier
V8048‐5/8 Henry Technologies Drier NULL
Driver
31201 Metal Bellows Driver CC00004772
DRYER
21‐0278‐00006 CVI Dryer, HVAC, Filter, 5/8 OD Connection: 5 S0010.0
21‐0278‐00090 Filter T9147VI
PR10‐115 HANKISON CORPORA Air 2T249ME
DHY00294 Trane Dryer Q1304.0 Rev
D‐SHACKLE
Monday, January 11, 2016

   
 Page B53 of B293
D‐SHACKLE
3824T46 McMaster‐Carr D‐Shackle, Forged 316L Ss 7T544ME
3841T54 D‐Shackle, Forged 316L Ss 7T544ME
DUCT
91040 GOULD 1X4" Wiring" 60016
93040 3X4" Wiring" 60016
94050 4X5" Wiring" 60016
025‐21353 YORK Wire 61851
025‐25090 Wire 61851
EDUCTOR
364‐18241 YORK Oil Return 97392
ELBOW
ADP‐82 CVI Elbow CC00005041
W02747 MUELLER BRASS CO. 90 Deg, 1‐1/8" 6T348ME
W02728 90 Deg, For 3/4 Tube" 6T348ME
W02716 90 Deg, For 3/8 Tube" 6T348ME
W02722 90 Deg, For 5/8 Tube" 6T348ME
W02734 90 Deg, For 7/8 Tube" 6T348ME
6‐6‐CBZ‐B Parker Elbow Tubing 7T475ME
8‐8‐CBZ‐B Elbow,Tubing, 90 Deg., 1/2 In. X 1/2 In. M 6T571EL
8‐8 CBZ‐B Tubing Elbow 1/2 X 1/2 Mnpt T8567ME
6‐4 CBZ‐B Parker Hannifin Elbow CC00000086
6‐6 CBZ‐B Parker Hannifin Com Elbow CC00004812
B‐600‐9 Swagelok Elbow CC00003831
SS‐400‐2‐4 Male, 1/4 Mnpt" 4T230EL
SS‐400‐2‐2 Male, 1/8 Mnpt" 4T230EL
023‐09992 YORK Cinch, .25 Od X .25" Npte" 3T006YK
023‐06692 Cinch, .625 Od X .5" 45 Deg." 3T006YK
023‐06820‐000 Elbow NULL
023‐09745 Slip‐Jt, 3/8, Cu, 90D 61220
ELEMENT
118‐074901‐884 CHROMALOX Element, Heater 7T464EL
118‐074901‐885 Element, Heater 7T464EL
FH18 Cutler Hammer Heating 6T604EL
FH24 Heating 74218
FH27 Heating 74218
FH31 Heating 74218
FH41 Heating 6T604EL
Monday, January 11, 2016

   
 Page B54 of B293
ELEMENT
FH43 Cutler Hammer Heating 6T604EL
FH51 Heating 74212
XB‐1019HW1001B Emerson Element T8160EL
L7025A‐1090‐1 HONEYWELL Temperature Sensing 96494
1215 NEWPORT SCIENTIFIC Element, Moisture, Newport, Hygro‐Dyna TC‐T0459ME
M2(55E) PANAMETRICS Moisture Probe 97091
0068‐N‐21‐N‐00‐N‐120 ROSEMOUNT Temp Sensing 96397
HT31AZ‐121 UNITED TECHNOLOGI Element, Heater, Crankcase, 120 Vac, 200  6T518EL
026‐14642 York ELEMENT OIL FILTERITEM 103 ON YORK/B T9484YK
024‐21987A Overload 60232
025‐22882A Temperature 61092
ELIMINATOR
1010FY Anaconda Eliminator – Vibration, Type: Model 1010,  T0216VI
3434FY Eliminator – Vibration, Type: Model 3434,  T0216VI
3434MY CVI Vibration 7749‐M‐410
5454MY Vibration 7749‐M‐410
364‐13347 YORK Oil, Can Type 61103
364‐13699 Oil, Frame Type 61103
EMI/RFI
EMI/RFI Testing NULL EMI/RFI Testing NULL
ENCLOSURE
10250TN34 Cutler Hammer Push Button, Surface Mount 71195
EZBP2042R Eaton Enclosure CC00004446
1C‐5‐(2)‐EP1NB 15‐H ECONOCASE Heated Enclosure 60936
ENCLOSURE W/CIRCUIT BREAKERS F&H 97136
A‐1008CH HOFFMAN 90007
A‐1210CHQR 61662
A‐48SA3812LP 90097
A‐604CHQR 61662
A‐806CHQR 61662
E‐1PBSS 90097
A‐606SC 6 X 6 X 4 90087
A‐161206LP Electrical 61623
A12R126HCR Enclosure CC00004165
A161206LP Enclosure CC00003207
A20H16CLP Enclosure CC00002937
A242408LP Enclosure CC00003207
Monday, January 11, 2016

   
 Page B55 of B293
ENCLOSURE
A362410LP Hoffman Enclosure CC00003200
A884GSC ENCLOSURE, NEMA, SCREW COVER, TYPE  T0043.0
CCBDH555738 Interface System 5S024.0
A‐24248GSC Junction Box, 14 Gauge 6T212ME
A‐242408LP Junction Box, 16 Gauge 6T212ME
A‐162008LP Junction Box, 16 X 20" X 8"" 3T119ME
A‐1614CHNF Junction Box, Continuous Hinge Type 4, C T8518ME
A‐1212CHNF W/A‐12P12 Nema 12, 12X12"X6" W/Backpane" 2S019.0
A‐606CHNF W/A‐6P6 Nema 12, 6X6"X4" W/Back Panel" 2S019.0
A‐1212CHNF Nema Type 12 2T363ME
A‐606CHNF Nema Type 12 2T363ME
A‐12106CHSCFG Type Nema 4X 9T305EL
A30H2412SS6LP3PT Hoffman Enclosure Enclosure CC00001773
SHPTGH16X HONEYWELL Metal Enclosure 61159
IC‐28‐(2)EP1NB15‐F THERMON Heated Instrument, 120 Vac 1T226EL
FRECENC‐480‐111395 TPC Wire & Cable Enclosure Q1520.0 Rev
FRECENC‐480‐111761 Enclosure CC00003974
FRECENC‐480‐112758 Enclosure Q1527.0
MRECENC‐480‐111760 Enclosure CC00003974
MRECENC‐480‐111762 Enclosure CC00003974
MRECENC‐480‐112757 Enclosure Q1527.0
MRECENC‐480‐114376 Enclosure Q1527.0
RECENC‐4160‐111758 Enclosure CC00003974
MRECENC‐480‐111393 TPC Wire & Cable Cor Enclosure Q1520.0 Rev
RECENC‐4160‐110725 TPC Wire & Cable Cor Enclosure S1435.0
PB1ED1 WESTINGHOUSE P. B. Station, 1 Unit 60327
024‐15013 YORK Enclosure For Switch 6T389YK
End
ETK‐PF/PT‐5 Delta‐Therm Corp. End Termination Kit CC00004763
800886 PHOENIX CONTACT End Caps CC00002288
1201413 End Clamp CC00002093
3022218 End Clamp S1330.0 Rev.
3022276 End Clamp CC00002093
2770817 End Cover CC00002093
1‐1437390‐4 TYCO ELECTRONICS End Sections CC00002361
EPOXY
14210 Devcon T8582ME
Monday, January 11, 2016

   
 Page B56 of B293
EXTRACTOR
750010AAAA2 BAILEY CONTROLS Square Root 61749
750010AAAE1 Square Root 61428
SC‐1330‐FS ROCHESTER INSTRU Extractor CC00000902
SC‐1330‐C Input; 4‐20Ma,Output; 4‐20Ma 73021 R1
SC‐1330 Square Root 73022
SC‐1330‐H Square Root 60104 R1
FAN
10FN2001A01 BALDOR Aluminum, External 60207
5178‐A BOHN ASSEMBLY, TYPICAL, QA 1 , RHR & CS ROO S0001.2 Rev.
5176‐A ASSEMBLY, TYPICAL, QA 1, CS ROOM COO S0001.2 Rev.
7W‐81368‐16 BUFFALO FORGE Wheel Assy 61926
D33593‐4 Wheel Assy 61926
MX2B1 Comair Rotron Fan Q1567.0 / S
42000100 Dependable Power S Fan, Cooling, Size:  10 In Diameter, Muffin  T8575EL
5/8UC‐CW‐UBD DF FAN SERVICES Fan Assembly, Monel 7T549EL
SPC‐650‐12 ECU Fan 6L008.0 Rev.
89A803‐49 F&H Exhauster 61984
A31‐B15A‐15W3‐000 Globe Motors Fan, Tube Axial TBG
APA4AXFN HOFFMAN Cooling 60016
BS2107F9 IMC MAGNETICS Boxer 97161
UF25GC12‐BWHR Mechatronics Fan S1128.1 Rev.
MC19680 Multicomp FAN, 115 VAC, 1 PHASE, 50/60 HZ, 105 CF T8025EL
F9651WHL New York Blower Fan Wheel CNS‐SQ‐261
4715FS‐12T‐B50 NMB TECH. 115Vac 61595
FAN BLADE
LA‐383‐00 LOUIS ALLIS Plastic, 17 Blades 5T385EL
Ferrule
2203 Altech Ferrule CC00004412
2204 Ferrule CC00004412
2206 Ferrule CC00004412
2776 Ferrule CC00004412
2778 Ferrule CC00002927
8 TZ‐B Parker Tubing 1/2 Astm" 5T562ME
6 TZ‐B Tubing, 3/8 5T419ME
B‐200‐SET SWAGELOK 1/8 Back & Front" 6T424ME
B‐814‐1 FERRULE, TUBING, BACK, 1/2 INCH, ASTM  T9507ME
B‐813‐1 Ferrule, Tubing, Front, 1/2 In., Brass T0095ME
Monday, January 11, 2016

   
 Page B57 of B293
Ferrule
F2043 Thomas & Betts Ferrule CC00004759
F8006 Ferrule CC00004759
H2.5/19D_ZH_BL_SV Weidmuller Ferrule CC00002116
FILTER
ACS‐101‐55A ACS Demister 9T210VI
STAS‐485T Alco Filter NULL
STAS‐14413T Filter Housing T0492.0
ADKS‐14413 FILTER, DRYER, 1‐1/2 T0492.0
H‐48 ALCO CONTROLS Filter Element CC00002172
BC24‐LF Baldor Filter S1109.0 Rev.
KH03DU340 Carrier Filter NULL
KH03DU350 Filter NULL
GFH45CTKEX1F Conoflow Filter Reg. NULL
20VSK6 CORCOM 20 Amp, Sk Series 3T302EL
3EP3 Power Line, 120/250 Vac, 3 Amp 6T021ME
30VK6 Powerline 60467
12840‐03‐0015 CUNO Cartridge 6T009ME
12840‐03‐50‐0015 Cartridge 2‐1/4 X 5 Steel" 6T009ME
11076‐29‐40‐0050EG Filter Assembly CC00004565
98‐0120‐00120 CVI 24 X 24" X 2" 4T381VI
FLR‐2068 Air, 25 X 20" X 2"" 4T435VI
21‐3602‐01064 Cell T9040VI
21‐2710‐00215 Filter CC00001469
21‐2710‐00218 Filter CC00000458
21‐3602‐00112 Filter T8105VI
21‐3602‐00116 Filter 7T398VI
97‐6321‐00520 Filter Bags CC00004228
A7609917 FILTER, AIR, HEPA TC‐T9643VI
A7609915 FILTER, AIR, HEPA & CHARCOAL TC‐T9643VI
A760‐9915 FILTER, AIR, HEPA & CHARCOAL, 18 INCH  T9643VI
A760‐9917 FILTER, AIR, HEPA, 25‐1/4 INCH DIA X 8‐1/ T9643VI
0‐00A‐02‐03‐IU‐11‐00‐GG‐FU9 T9358VI Filter, Air, Prefilter
21‐3602‐01004 Filter, Air, Prefilter, 24 inch X 24 inch X 11‐ T9011VI
21‐3602‐00272 Filter, Air, Prefilter, 24 X 24" X 6" Fiberglas T8259VI
A7609916 FILTER, CHARCOAL TC‐T9643VI
A760‐9916 FILTER, CHARCOAL, 21‐3/4 INCH DIA. X 5 I T9643VI
21‐1722‐00116 Filter, Hepa, 23‐3/8 X 23‐3/8"" T9219VI
Monday, January 11, 2016

   
 Page B58 of B293
FILTER
FF1070‐1 CVI Filter, Strainer, Filter Retaining, 16Ga, 304 7T035VI
A917‐1301, Item 14 Filter, Type: Drier, Liquid Line, Connection  T0231ME
LMOVS‐10S‐3/4 FILTER, VESSEL, 3/4 T0411VI
21‐3602‐00217 Hepa 1T170VI
21360200112 Hepa, 24 X 24" X 11.5" Deep" 9T234VI
101‐55B Moisture 3T028VI
C735‐9931‐145 Oil 3T062VI
21/1722‐00009 Prefilter Air Cleaning Unit 7T178ME
A852.5906.5 Roughing/Demister Prefilter Frame With P 7T059VI
36FC10 DPS Filter Q8013.0 T80
FLR‐414 DRESSER RAND Filter ‐ Cylinder Spacer TC‐T0373VI
62W3‐114 Elis & Watts Filter  **DO NOT USE** Use 62W2‐114 CC00001227
B11359063 ELLEDGE GASKETING Gasket 9T315VI
MX40‐24246 Ellis & Watts Filter Element CC00002006
62W2‐114 L.I. #1: ELEMENT, FILTER, QA 3, AIR CONDI CC00003875
RPE‐48‐BD Suction Filter Element 97776
40P2‐101 Ellis and Watts Filter Element CC00003947
60176 Emerson Drier, Model Adk304S T8540ME
46208 Head Pressure Control 6T348ME
RVDF 10 FILTER RITE For Filter Vessel 1T093ME
4150‐5007 FINLOW Commercial 60708
00‐00K‐C‐04‐00‐IU‐12‐13‐GG‐DU9 T9410VI FLANDERS Cell, Filter, 24 In X 24 In X 5‐7/8 In, Wood
0‐007‐W‐42‐05‐NU‐52‐13‐Z91018C T8850VI Filter
0‐00K‐C‐11‐00‐IU‐12‐00‐YY‐D CC00004673 Filter
E535‐9500‐01 FLANDERS FILTERS 24 In X 24 In X 12 I 2T288VI
21‐3602‐01060 Ashrae 2T009VI
0‐007‐W‐42‐03‐NU‐11‐13‐GG‐FU5 CC00000411 Filter
0‐00B‐F‐42‐03‐NU‐11‐13‐GG‐FU9 CC00003052 Filter
E535‐9500‐2 Hepa, 24X24"X11.5"" 2T052VI
PH718‐05‐CN Hilliard Filter CC00002708
PH718‐11‐CN Filter NULL
LP 321SR KOCH FILTER CORP Filter TC‐T0487VI
DM‐REC23.8X23.8X1.6252‐321SR‐Q 2T341ME KOCH‐OTTO YORK Demister
DM‐REC23.8X23.8X1.625‐321SR 5T513EL Demister, 24X24"X2"
204‐1200‐4 MONNIER INC. Filter, Gas, Dimensions: 1/2 Inch Female N T8129EL
101‐0536G MSC ‐EATON AEROSP Lens, Green 4T373VI
101‐0536R Lens, Red 4T373VI
Monday, January 11, 2016

   
 Page B59 of B293
FILTER
B73G‐3AK‐QD3‐RMG NORGREN 1T340ME
B73G‐3AK‐QDC‐RMG Filter‐Regulator; Khnp Quality Class: Q, Qu T8066EL
SS‐4FW‐2 NUPRO 2 Micron 2T23104
A795‐5902.30 QualTech Filter T9384VI
A795‐5902.45 Filter CC00000721
FN660‐6/06 SCHAFFNER 61708
C‐165‐S SPORLAN Dryer, Typical, Q Level: Aq 3, Dryer, *Typic 4T42257
RC‐4864 Element 9T197EL
ADRHE‐6 Hot Gas Bypass 6T348ME
CG‐052 N/A 96407.0 R1
3CFA222S SUPERIOR VALVE 1‐3/8 Line Cartridge" 6T103VI
F35A CORE, TYPE: FILTER, SIZE: 1‐3/8 LINE; CON T8570ME
026‐28281A YORK Cartridge, Oil 60668
026‐27868A Dehydrator, Oil 60770
026‐14777‐016 Drier 61748
026‐28173‐000 Drier T8281YK
026‐14642‐000 Filter CC00004500
026‐14777‐007 Filter CC00002142
026‐15749 Oil 60237
026‐15761‐000 Oil 61696
026‐18901‐000 Oil 9T263YK
026‐11225 Oil Filter 6T389YK
026‐15405 Refrigerant 61706
FITTING
SS‐8‐WVCR‐1‐8 CAJON Male Connector 90059
12140‐3 MOORE PRODUCTS Compression 96859
W01206 Mueller Fitting CC00002781
233E‐4240 OPW Fitting CC00002543
30182‐8‐6B Parker Hannifin  Com Fitting, Hose T9118ME
023‐06809 York Fitting, Compression 1 In. Tube x 3/4 In. N York file ass
023‐06823 Fitting, Compression, Elbow 90 Degree, 1 I York file ass
023‐03986 FITTING, PIPE, BUSHING, THREADED, 1/2  TC‐T0477YK
023‐04010 FITTING, PIPE, BUSHING, THREADED, 3/4  TC‐T0477YK
023‐11323 FITTING, PIPE, COUPLING, THREADED, 1/2 TC‐T0477YK
070‐01460 FITTING, PIPE, NIPPLE, THREADED, 3/4 X 2 TC‐T0477YK
023‐03822 FITTING, PIPE, PLUG, 1/2 TC‐T0477YK
023‐04053 FITTING, PIPE, PLUG, THREADED, 3/4 CS TC‐T0477YK
Monday, January 11, 2016

   
 Page B60 of B293
FITTING
023‐11329 YORK FITTING, PIPE, TEE, THREADED, 1/2 X 1/2"  TC‐T0477YK
023‐11331 FITTING, PIPE, TEE, THREADED, 3/4 X 3/4"  TC‐T0477YK
Flange
1S Crispin Flange 6S020..0
99‐2500‐02220 CVI Flange Sub‐Assembly M9009VI
99‐2500‐02221 Flange, Retainer, Material 304 S.S., To Be  M9023VI
9153 Emerson Flange CC00000428
ByDescription3 ENERGY AND PROCES Flange, C/S 3", Raised Face, Class 150, TC‐T0469EL
37263‐3 QUALTECH FLANGE BEARING CC00001098
F01330025RC4 VARIAN VACUUM TE Rotatable Conflat 1T081ME
064‐25876B York Flange FILE ASSUM
064‐25876‐000 Seal 9T275YK
Float
6S Crispin Float 6S020..0
9036GG2 Square D Float Switch S1401.0 Rev.
4290‐026 Woodward Float, Tank, Pressure T8424ME
022‐02722 YORK Ball 60887
376‐51244D Ball 60236
022‐08019‐000 Oil Trap, Assembly 8T118
Flow
4L‐402BR ERDCO Engineering C Flow Meter CC00003993
MFAM‐LS‐0806‐TCB‐N Flo‐Corp Flow Meter CC00004767
FLOWMETER
111‐4C‐G‐3‐1‐B‐R‐F‐A‐C‐N BROOKS INSTRUMEN 62085
3623A‐08‐F‐2‐C‐1‐A 61685
3623A‐08‐G‐2‐C‐1‐A 61685
3623A‐09‐B‐2‐C‐1‐A 61684
3623A‐09‐F‐2‐C‐1‐A 61684
3623A‐09‐G‐2‐C‐1‐A 61685
3623A‐09‐B‐2‐C‐1‐A/6311A 0.2‐2.0 Gpm Flow Range 61684.0 R0
3632A‐08‐F‐2‐C‐1‐A/6311A 0.31‐3.1 Gpm Flow Range 61684.0 R0
3623A‐08‐G‐2‐C‐1‐A/6311A 61684.0 R0 0.40‐4.0 Gpm Flow Range
3623A‐09‐F‐2‐C‐1‐A/6311A 0.53‐5.3 Gpm Flow Range 61684.0 R0
3623A‐09‐G‐2‐C‐1‐A/6311 0.7‐7.0 Gpm Flow Range 61684.0 R0
1114CG31BRFACN Gpm Flow Rate 61966.0 R0
ByDescription20 Graftel Flow Rate Measurement System S9007.0
3051SFADL040CCHMS1A1J1032AA00 6S016.2, Rev ROSEMOUNT Flowmeter, Rosemount Annubar Series, Li
Monday, January 11, 2016

   
 Page B61 of B293
FLOWMETER
8732EST1A1N0M4 ROSEMOUNT TRANSMITTER, TYPICAL, QA 1, MAGNETIC  S0001.0
AXF025C‐E1AL1L‐BA11‐22B* Q1338.0 R.0 Yokogawa Flow Meter
FOAM
ETHAFOAM 221 DOW Polyethylene, 1/2 X 48" X 150" 5T510ME
211 Polyethylene, 1/2X4'X150'Roll" 5T206ME
221 DOW CHEMICAL Foam, Polyethylene 5T510ME
FUSE
1491‐N266 ALLEN BRADLEY Block 60 Amps,3 Pole 71159
SF13X5 BRUSH 5 Amp 60671
NQ00361002 BUCHANAN 2 Pole 60441
D035108 Block 60739
NQ0361012 Block 60993
NON 6 Bussman Fuse, Cartridge, 6 AMP, 250 AC/125DC Vo T0402FU
F61C500V10AS BUSSMANN 70690.1
F61C500V15AS 70690.1
F61C500V20AS 70690.1
F61C500V6AS 70690.1
FNM‐1‐1/4 74218
FWX‐10 71281
GDB‐500MA 3T289EL
LPN‐RK‐1 71195
LPN‐RK‐10 71195
LPN‐RK‐2 71195
LPN‐RK‐20 71195
JCW‐1/2E 0.50E Amps, 2750V/5500V T8045FU
MDL‐1‐1/2 1 1/2 Amp, 250 Vac, Time Delay 71252
FRN‐R‐1‐6/10 1 6/10 Amp 97601
FNQ‐1‐6/10 1 6/10 Amp, 500 Volt 71351
MDM‐1 1 Amp 70420.1 R3
LPN‐RK‐1‐SP 1 Amp 250 V 71212
AGA‐1 1 Amp, 125 Vac, Fast Acting 70320.1
GBA‐1 1 Amp, 125 Vac, Fast Acting 70360.1 R2
GLD‐1 1 Amp, 125 Vac, Fast Acting 71263
MCR‐1 1 Amp, 125 Volt, Non‐Time Dela 70590.1
KAA‐1 1 Amp, 130 Volt, Fast‐Acting 70311.1
KAW‐1 1 Amp, 130 Volt, Fast‐Acting 70400.1
TPN‐1 1 Amp, 170 Vdc, Current Limit 71359
Monday, January 11, 2016

   
 Page B62 of B293
FUSE
ACH‐1 BUSSMANN 1 Amp, 208 Vac/125 Vdc, Fast‐A 70660.1
JCW‐1E 1 Amp, 2475V, Current Limiting 1T312FU
AGU‐1 1 Amp, 250 Vac, Fast Acting 70180.1
AGX‐1 1 Amp, 250 Vac, Fast Acting 70290.1 R1
BAN‐1 1 Amp, 250 Vac, Fast Acting 70160.1
GMA‐1 1 Amp, 250 Vac, Fast Acting 70380.1
MIC‐1 1 Amp, 250 Vac, Fast Acting 71234
FNM‐1 1 Amp, 250 Vac, Time Delay 9T011FU
LPN‐RK‐1SP 1 Amp, 250 Vac, Time Delay 70071.1
MDA‐1 1 Amp, 250 Vac, Time Delay T9007EL
MDQ‐1 1 Amp, 250 Vac, Time Delay 70550.2
GBB‐1 1 Amp, 250 Vac, Very Fast Acti 70300.1 R2
KWN‐R‐1 1 Amp, 250 Volt, Current‐Limit 70581.1 R1
KAB‐1 1 Amp, 250 Volt, Fast‐Acting 70201.1
KAX‐1 1 Amp, 250 Volt, Fast‐Acting 70521.1
FWX‐1A 1 Amp, 250 Volt, High Speed 70602.1 R0
GJV‐1 1 Amp, 250 Volt, Non‐Time Dela 70540.1 R0
MDV‐1 1 Amp, 250 Volt, Time Delay 71270
FWX‐1A14F 1 Amp, 250Vac/250Vdc, High Spe 8T204FU
AGW‐1 1 Amp, 32 Vac, Fast Acting 70330.1
FWH‐1A 1 Amp, 500 Vac, Semiconductor 70571.1
FNQ‐1 1 Amp, 500 Vac, Time Delay 71147
HVU‐1 1 Amp, 5000 V, Fast Acting 71142
GMT‐1 1 Amp, 60 Vdc/125 Vac, Fast 70390.1
BBS‐1 1 Amp, 600 Vac, Fast Acting 7T165FU
KTS‐R‐1 1 Amp, 600 Vac, Fast Acting 60517
NOS‐1 1 Amp, 600 Vac, General Purp. 71293
KAC‐1 1 Amp, 600 Vac, High Speed 70511.1
JKS‐1 1 Amp, 600 Vac, Quick Acting 70191.1
FNQ‐R‐1 1 Amp, 600 Vac, Time Delay 97601
FRS‐R‐1 1 Amp, 600 Vac, Time Delay 70021.1
LPS‐RK‐1SP 1 Amp, 600 Vac, Time Delay 70081.1 R1
KWS‐1 1 Amp, 600 Volt, Current‐Limit 70631.1
GMA‐1.5A 1.5 Amp, 250 Vac, Fast Acting 70380.1
GMA‐1.6A 1.6 Amp, 250 Vac, Fast Acting 70380.1
MDM‐1/10 1/10 Amp 70420.1 R3
AGA‐1/10 1/10 Amp, 125 Vac, Fast Acting 70320.1
Monday, January 11, 2016

   
 Page B63 of B293
FUSE
AGX‐1/10 BUSSMANN 1/10 Amp, 250 Vac, Fast Acting 72090.1
FNA‐1/10 1/10 Amp, 250 Vac, Time Delay 70270.1 R0
FNM‐1/10 1/10 Amp, 250 Vac, Time Delay 71000
LPN‐RK‐1/10SP 1/10 Amp, 250 Vac, Time Delay 70073.1
MDA‐1/10 1/10 Amp, 250 Vac, Time Delay 70250.1 R1
MDL‐1/10 1/10 Amp, 250 Vac, Time Delay 71375
MDQ‐1/10 1/10 Amp, 250 Vac, Time Delay T9086FU
MDV‐1/10 1/10 Amp, 250 Volt, Time Delay 70430.1
FNQ‐1/10 1/10 Amp, 500 Vac, Time Delay 70120.1
KLM‐1/10 1/10 Amp, 500 Vac/Dc, Fast Act 71131
KTK‐1/10 1/10 Amp, 600 Vac, Fast Acting 70090.1 R2
KTK‐R‐1/10 1/10 Amp, 600 Vac, Fast Acting 96818
LPS‐RK‐1/10SP 1/10 Amp, 600 Vac, Time Delay 70081.1 R1
AGC‐1/100 1/100 Amp, 250 Vac, Fast Actin 71098
MKB‐1/16 1/16 Amp 8T053FU
 

2020 版权所有 厦门纪扬科技有限公司所有
首页 | 产品中心 | 服务中心 | 关于我们 | 新闻中心 | 联系我们
-->