首页 > 产品中心 > 备件清单878
产品中心
备件清单878
型号:1
品牌:进口
应用:工业设备
单价:¥1
产品描述

TPC-1551H-E3AE

TPC-1271H-D3AE

TPC-1251T-E3AE

TPC-1251H-E3AE

TPC-2140WP-T3AE

TPC-1551WP-E3AE
PART FOR "ADVANTECH"

DESCRIPTION : HMI

PART NO. : IPPC-6172A-R2AE -100-240 Vdc

Brand: HMI
    IPPC-6172A TPC-1251T TPC-1551H-
The IPPC-5211WS 21.5" fully sealed stainless steel multi-touch panel PC is...
IPPC-6172A-R1BE
ADVANTECH
The IPPC-6000A Series is an Industrial Panel PC with front USB access, supp...
IPPC-6192A-R1BE
ADVANTECH
The IPPC-6000A Series is an Industrial Panel PC with front USB access, supp...
IPPC-6192A-R2AE
ADVANTECH
The IPPC-6000A Series is an Industrial Panel PC with front USB access, supp...
IPPC-9151G-R1AE
ADVANTECH
IPPC-9151G/IPPC-9171G is a fully functional computer system with front USB...
IPPC-9171G-R1AE
ADVANTECH
IPPC-9151G/IPPC-9171G is a fully functional computer system with front USB...
0190-75047     
0200-36649
3700-01750     
3700-01756     
4060-01148         *replaces 4060-00278
? 98R3615210E IPPC-6152A Rack mount Kit
? 98R3617200E IPPC-6172A Rack mount Kit
? 98R3619200E IPPC-6192A Rack mount Kit
? 989KPH1701E CFast module for IPPC-61X2-R2 Series
? 1702002600 Power Cable US Plug 1.8 M
? 1702002605 Power Cable EU Plug 1.8 M
? 1702031801 Power Cable UK Plug 1.8 M
? 1702031836 Power Cable China/Australia Plug 1.8 M
? 96CB-POWER-B-1.8M1 POWER CORD for China 1.8M
? 989KPH3800E PCIE to PCI + PCIE x4
0090-76133     
    AAJA-76133     
IPPC-6172A-R2AE

ADAPTER,TUBE TO PIPE,COMPRESSION X MNPT,
ADAPTER,BULK NOUN:
EQUIPMENT DEVICE/EQUIPMENT APPLICATION: TUBE TO PIPE;
CONNECTION CONFIGURATION: COMPRESSION X MNPT;
DIMENSION: 3/4 IN X 1 IN;
MATERIAL: 316 SSTL;
ADDITIONAL DATA: TUBE SIZE: 3 4 IN TUBE CONNECTION: COMPRESSION PIPE SIZE: 1 IN PIPE CONNECT
ION: MNPT + O-RING
GENERAL ELECTRIC (GE) (LM/FM): P/N# 156A1964P009
IPPC-6152A
IPPC-6172A
IPPC-6192A
UNION,TUBE,BLKHD,316 SSTL,# 286A6242P6
UNION,TUBE, BULKHEAD:
SIZE: 1/2 IN (12.7 MM);
CONNECTION: COMPRESSION;
MATERIAL: 316 SSTL;
ADDITIONAL DATA: 316HAC, FOR FUEL OIL SYSTEM OF GE GAS TURBINE MS7001E.
GENERAL ELECTRIC (GE) (LM/FM): P/N# 286A6242P6
GENERAL ELECTRIC (GE) (LM/FM):P/N# 156A1094P16
SWAGELOK CO/WHITEY COMPANY: P/N# SS-810-61-004
APPLIC: FUEL OIL SYSTEM GE-MS7001B & E



ELBOW,TUBE,PLATED STL,3/8 IN (9.52 MM)
ELBOW,TUBE:
SIZE: 3/8 IN (9.52 MM);
CONNECTION:COMPRESSION;
MATERIAL: PLATED STL;
DEGREE: 90;
ADDITIONAL DATA: FOR FILTER,ATOMOZING AIR CONTROL, FOR RATCHETING AND PIPING ARRANGEMENT GE: MS5001 GT NO: 8 AND 9, SL NO: 296856, 296857, GE - MS7001
GENERAL ELECTRIC (GE) (LM/FM): P/N# 0522007
GENERAL ELECTRIC (GE) (LM/FM): P/N# 156A1078P13
APPLIC: FILTER,ATOMOZING AIR CONTROL GE - MS7001


ELBOW,TUBE,PLATED STL,3/8 IN (9.52 MM)
ELBOW,TUBE:
SIZE: 3/8 IN (9.52 MM);
CONNECTION: COMPRESSION;
MATERIAL: PLATED STL;
DEGREE:90;
ADDITIONAL DATA: FOR GAS TURBINE GE-MS7001B AND E ALSTOM-5001P UNIT NO:236, 237, 238.
GENERAL ELECTRIC (GE) (LM/FM): P/N#286A6243P5
APPLIC: FOR GAS TURBINE GE-MS7001B & EALSTOM-5001P UNIT NO:236,237,238


ADAPTER,TUBE TO PIPE,COMPRESSION X MNPT,
ADAPTER,BULK NOUN:
EQUIPMENT DEVICE/EQUIPMENT APPLICATION: TUBE TO PIPE;
CONNECTION CONFIGURATION:COMPRESSION X MNPT;
DIMENSION: 1/2 IN X 1/2 IN;
MATERIAL: 316 SSTL;
ADDITIONAL DATA: FOR THERMOCOUPLE PERFORMANCE MONITORING PACKAGE OF GE GASTURBINE-MS7001B AND ETUBE SIZE: 1 2 IN TUBE CONNECTION: COMPRESSION PIPE SIZE: 1 2 IN PIPE CONNECTION: MNPT
GENERAL ELECTRIC (GE) (LM/FM): P/N# 287A1399P010


ADAPTER,TUBE TO PIPE,COMPRESSION X MNPT,
ADAPTER,BULK NOUN:
EQUIPMENT DEVICE/EQUIPMENT APPLICATION: TUBE TO PIPE;
CONNECTION CONFIGURATION: COMPRESSION X MNPT;
DIMENSION: 1/2 IN X 1/2 IN;
MATERIAL: 316 SSTL;
STANDARD/SPECIFICATION: ANSI B31.1;
ADDITIONAL DATA: FOR THERMOCOUPLE PERFORMANCE MONITORING PACKAGE OF GE GASTURBINE-MS7001B AND ETUBE SIZE: 1 2 IN TUBE CONNECTION: COMPRESSION PIPE SIZE: 1 2 IN PIPE CONNECTION: MNPT
GENERAL ELECTRIC (GE) (LM/FM): P/N# 287A1399P010



ADAPTER,TUBE TO PIPE, GAS TURBINE,COMPRE
ADAPTER,BULK NOUN:
EQUIPMENT DEVICE/EQUIPMENT APPLICATION: TUBE TO PIPE, GAS TURBINE;
CONNECTION CONFIGURATION: COMPRESSION X FPT;
DIMENSION: 1/2 IN X 1/2 IN;
MATERIAL: PLATED STL;
ADDITIONAL DATA: 5 8 IN DIA, FOR GE GAS TURBINE MS7001B.TUBE SIZE: 1 2 IN TUBE CONNECTION: COM
PRESSION PIPE SIZE: 1 2 IN PIPE CONNECTION: FPT
GENERAL ELECTRIC (GE) (LM/FM): P/N# 114A7705P13GE - MS7001B


ADAPTER,TUBE TO HOSE, CONTROL PANEL INST
ADAPTER,BULK NOUN:
EQUIPMENT DEVICE/EQUIPMENT APPLICATION: TUBE TO HOSE, CONTROL PANEL INSTRUMENTS;
CONNECTION CONFIGURATION: COMPRESSION X STUB;
DIMENSION: 1/4 IN X 3/8 IN;
MATERIAL: CHROME PLATED STL;
ADDITIONAL DATA: FOR PANEL CONTROL INSTRUMENTS OF GE GAS TURBINES MS7001B AND E, GE-MS5001P. TUBE SIZE: 1 4 IN TUBE CONNECTION: COMPRESSION HOSE SIZE: 3 8 IN HOSE CONNECTION: STUB
GENERAL ELECTRIC (GE) (LM/FM): P/N#114A7719P11
AEG-KANIS: P/N# 005029/4
AEG-KANIS: DWG# 0926028
APPLIC: PANEL FOR CONTROL INSTRUMENTSGE-MS7001B & EGE-MS5001P



ADAPTER,TUBE TO PIPE, ELECTRICAL WIRING,
ADAPTER,BULK NOUN:
EQUIPMENT DEVICE/EQUIPMENT APPLICATION: TUBE TO PIPE, ELECTRICAL WIRING;
CONNECTION CONFIGURATION: COMPRESSION X FPT;
DIMENSION: 3/8 IN X 3/8 IN;
MATERIAL: SSTL;
ADDITIONAL DATA: 5 8 IN MEASURED SIZE, FOR ELECTRICAL WIRING ARRANGEMENT AUX. ROOM FIG.1104 0F GE GAS TURBINE MS7001B, MS5001P, GAS TURBINE NO:03-TF PP. TUBE SIZE: 3 8 INTUBE CONNECTION: COMPRESSION PIPE SIZE : 3 8 IN PIPE CONNECTION: FPT
GENERAL ELECTRIC (GE) (LM/FM): P/N# 114A7705P10
AEG-KANIS: P/N# 004979/1
AEG-KANIS: DWG#1104292
APPLIC: ELEC WIRING ARRGT. AUX. ROOMFIG. 1104GE-MS5001P



ADAPTER,TUBE TO PIPE, HYDRAULIC RATCHET,
ADAPTER,BULK NOUN:
EQUIPMENT DEVICE/EQUIPMENT APPLICATION: TUBE TO PIPE, HYDRAULIC RATCHET, GAS TURBINE;
CONNECTION CONFIGURATION: COMPRESSION X MNPT;
DIMENSION: 3/8 IN X 1/4 IN;
MATERIAL: CHROME PLATED STL;
STANDARD/SPECIFICATION: ASTM;
ADDITIONAL DATA:
DRAWING NO.0522011,FOR GEAR RATCHET HYDRAULIC PIPING ARRANGEMENT,FOR FUEL OILAT PIPING ARRANGEMENT HYDRAULIC RATCHET,GE GAS TURBINE MS5001P1, MS7001B AND E. TUBE SIZE: 3 8 IN TUBE CONNECTION: COMPRESSION PIPE SIZE: 1 4 IN PIPE CONNECTION: MNPT
GENERAL ELECTRIC (GE) (LM/FM): P/N# 114A7704P10
AEG-KANIS: P/N# 004966/8
AEG-KANIS: DWG# 0910065
Goli Apple Cider Vinegar Gummy Vitamins - 60 Count - Vitamins B9 & B12, Gelatin-Free, Gluten-Free, Vegan & Non-GMO
Goli Apple Cider Vinegar Gummy Vitamins - 60 Count - Vitamins B9 & B12, Gelatin-Free, Gluten-Free, Vegan & Non-GMO
    VARIADOR ACS355-03E-05A6-4, 2,2KW5,6AMP  数量2
    VDF 22A-D4PON104  数量2
    "名称:电源接口板;型号:型号6RY1803-0DA22生产号C98043-A7105-L400;品牌:西门子   数量2
名称:整流单元;型号:6SL3330-1TE38-2AA3;规格:Input:3AC,380~480V,710A,50~60Hz;Output:820A,400Kw;品牌:SIEMENS    数量1"
    GEAR BOX . TYPE: [ CB 3333 BS V5 ] I =14, LEORY SOMER
    密封垫圈SS-4-VCR-2-GR-VS-CAL-950     Lenox Laser  50个
    Lust LTI Drives CDA32.006,C3.0,H09
    1、西门子电动操作机构
    西门子  电动操作机构3VL94003ME10  +综合保护装置 /7SJ6862-6BW901AA0-LOV/CC
    2、ABB气体监控指示器  
    气体监控指示器2RBA003120P0001+NHP201491电机
    3、ABB操作电机
    NHP201491
    4、西门子 综合保护装置
    7SJ6862-6BW901AA0-LOV/CC
"ENGINE
MAKER:TAMROTOR MARINE COMPRESSOR
MODEL:EMH 26
S/N:07000514"    NT1612
    NT3498
    NT0439
    NT1683
    NT1847
    NT0726-NT0726-3P
    "NT1807-NT1807 is replaced by 706050
706050 is sold in kit NT7576"
    NT1224
    "NT0063-NT0063 is replaced by 700180
700180 is sold in kit 300510
2 Sep. elements in each kit"
    NT0424-NT0424 is replaced by 703444
    NT1455
    NT1826
    NT0228-NT0228 is replaced by NT8670
    NT1224
    NT0565
    NT0486
    NT0480-NT0480 is replaced by 305819
    8114-110-00-00 - TRANSMITTER,FUEL PRESSURE
    124-22115-007, 轴承
ATLAS COPCO MODEL- MD 1000    VALVE COMPLETE                               1619513500                    01 No.
    CHECK VALVE                                        1202852250                    01 No.
    GASKET                                                   0650100114                    02 Nos.
    O RING                                                  0663210498                    02 Nos.
    GASKET                                                   0650100114                    02 Nos.
    THROTTEL VALVE                                 1202379590                    01 No.
LIKA    CK61-Y-3600ZCU210L0,5/S738A
WAUKESHA    G960291 Kit.Kit.W/P REPAIR KIT
    Speed sensor krp1705
    Oil sensor krp1699
    Air pressure sensor krp1692 and krp 1693
    Temperature sensor krp1688
    Wiring harness ch11985
    Wiring harness ch10974
    ABB  VARIADOR ACS355-03E-05A6-4, 2,2KW5,6AMP
    A153754T PUST ASM的ASM
    126X1740 Rotor available
    SS-4-VCR-2-GR-VS-CAL-950, 950 Micron, Flow Calibrated (10% quantity discount )
    "00.14742.400002 Item inactive. This will be replaced by: 00.14742.401002 
00.14742.401002 METEO-LCD/IND "
    055UDA300BREMA0651   B7-5-2P
    MEGATRON 85121111
    "LT17M4X/P080-000CB18/02MSO48   R901390163 NR 
VALVOLA FRENO LT17M4X/P080-000CB18/02MSO48"
    Valve, 3/2-Way, Manual Operated, 2 X 125ST40; WEHLAN
    齿轮\ADI WHEEL 30 17 570 260- JS1050-6/83601376\SEW 1 只
    103H7522-80E3,SANYO
    SMQ300PS12-C
    EKG 电容     MKPg0.44-50-3     50Kvar 440V 50Hz    数量   10个
    晶闸管5STP/2665N0026/2300A/4800V
SPEED SENSOR    KRP1705
OIL SENSOR    KRP1699
AIR PRESSURE SENSOR    KRP1692
AIR PRESSURE SENSOR    KRP1693
TEMPERATURE SENSOR    KRP1688
WIRING HARNESS    CH11985
WIRING HARNESS    CH10974
    氢分析仪K1550R(带CO动态补偿),量程0-10%,英国SERVOMEX 数量1只
    氢分析仪流量计SWFR2A14SVVT,量程0-100ML/MIN 数量2只
    阳分析仪、氮气流量计SW00DK800S,50-500ml/min 数量4只
    CO分析仪流量计SW00DK800S,0.1-1L/min 数量2只
    SIEMENS  6SN2703-2AA00-0CA1
    EBKL 6319M/C3  ABB
    AF580.30.11.71 355KW 3P CONTACTOR 11E 250-500VUC
     9012GPG2 high pressure switch
    095U2B300BACAA100190
    SIEMENS  6SN2703-2AA00-0CA1
Megatron    851 2 1 1 1 1 ,Art-Nr.:123161
     M2LW-00-000-0 GE Panametrics
      MTS6-3-4-1-0 GE Panametrics
     OXY.IQ-311-00  GE Panametrics
      OX-1   GE Panametrics
    FUJI ELECTR:UM62FP-E5T
    电器\规格型号:UM62FP-E5T\制造厂或品牌:FUJI ELECTR
    motor 095U3B300BACAA100190
    Schneider 凸轮开关本体,K2K 005UL
    Part # PHE-6CP100/50-7.5
    "R901390163

LT17M4X/P080-000CB18/02MSO48"
    68264642 ACHO5850 SP KIT; CHOKE KIT
    "MANUFACTURER: PANAMETRICS INC,

MFR.PART NO: XMT1000-4-AC-1-AL-01-2-0-0-0,"
    5STP/2665N0026/2300A/4800V ABB S/FOTO
    ACG-6B-07  用 ENI ACG-6B-07 #9198775
    R00018G00007 SR18-C00-RGXD REG (18) SR18-C00-RGXD
    R00002G00046 SRO2-201-RNKB
    C-RS-402-00-0 GE Panametrics C-RS Ultrasonic Flow Transducer 2 个
    AS28L71
    N132ML6电机 凯伏特
    1mm320rrlb
    1mm420rrlb
    701160/8-0153-001-23 jurno TB/TW(16脚)
    A-AS28L71X
    "N 8372214
As per attached picture
Product Code: EMD/GM"
    GE Panametrics C-RS-402-00-0
    "Hager BA66002507030B Verdrahtungskanal aus PVC BA6 60x25mm steingrau 2 Meter
Art. Nr.: 04.BA66002507030B"
    艾默生 DSP控制板 WF16AF1U1
    艾默生 IGBT驱动板 WF16AF1M24
    Phenix Phenix6CP100/50-7.5
    055UDA300BBEGA063140
    "Atlas Copco的设备
Pneum motor LZB22L-A022-012 8411.0215.07"
    Spark plug HEI/110/2, manufacturer's equipment code - S26496/2-0635, candle length – 635mm, rod diameter – 12mm
    Load gear journal bearing RTD – NP Code Ref. Document: Supplied with RR-3945197, Manufacturer Model: Corrage 3P5Z25657
    ABB M2ARS 100 LC-6 电机
"edwardsvacuum
GX100L Dry Pump"    A54710958
    A54710959
    Emerson MYFS-132B01B01- 2 pcs
     8114-110-00-00 (SV)P/N: 8114-110-00-00 (TRANSMITTER,FUEL ...)Condition: SV - Serviceable
    " Rosemount/Emerson parts.
Valve XA 6-port p/n 2-3-0710-100 "
    " FOR VANE PUMP FOR DIVERTER DAMPER

   PART NO : T7B-B12-2R00-A1M1

   MAKE: HYDAC"
    EMD/GM 机车的N 8372214
    V23005-A0004-B104 EBK
    "VARIADOR ACS355-03E-05A6-
4, 2,2KW5,6AMP"
GE    VE6090                
    VE8691                
    3GAA072312-ASE M3AA 71 B 4
    "SHINKAWA" TRANSDUCER
    9m SYSTEM
    CODE:FK-202F2-1/EX5
    "SHINKAWA" EXTENSION CABLE
    CODE:FW-202FL-80/FPO
    "SHINKAWA" SENSOR PROBE
    CODE:FL-202F05T-M1-02-15-10EX5
    PIEZOELECTRIC ACCELEROMETER /VELOCITY
    TRANSDUCER WITH ARMER
    CABLE LENGHt:5 m
    CODE:CA-302-00-1/EX1
AA204923E    AA204923F
C211790A   
207823G   
207822G   
153022A    153022D
A740249   
A740248   
209933A   
A69694G   
208479C   
168055   
168055A   
207829   
211896   
AA46033B    C214311B
295645L   
    WEG CFW700B24POT 4DB20
    AVTRON HS9AS1PX2FU2X9ZA
    716-031257-668 扎克 716-031257-662
    COMPRESOR ZP235 KCE-TWD-265460V 3PH (410A)Compressor Copeland Scroll ZP235 KCE TWD 522 (R410a)
    Beijer E50 type: 02850;
     Millwaukee AGV 15-125 XE 1550 Вт,4933428127
     Milwaukee M18FSAG125XB-502X FUEL 4933478430
    Milwaukee M18 FDD2-502X 4933464267
     Milwaukee 4-12 мм 48899301
     Milwaukee арт.48899335 6-35 мм
     Milwaukee HSS ф14x50 мм 4932343289
     Milwaukee HSS ф19х30 мм 4932343275
     Milwaukee HSS ф26х30 мм 4932343282
    Milwaukee HSS ф28x50 мм 4932343303
     Milwaukee HSS ф23х50 мм 4932343298
     Milwaukee Hole Dozer 32–210 мм/Hex 11 49569100
     Milwaukee Hole Dozer 35 мм, 49560072
    Milwaukee Hole Dozer 76 мм,  49560173
    Milwaukee Hole Dozer 30 мм,  49560057
     Milwaukee Bi-Metal 900[14 48390616
    Milwaukee IceEdge 150х1,8х14 48004182
    Milwaukee 150x6 48001075
    Milwaukee 4932451416
    Milwaukee 3/4"-1/2" 4932471657
     Milwaukee M18 FUEL FAP180-502X 4933451550
     Milwaukee 4932373163
    Milwaukee 4932430397
    Milwaukee WCHP 102 мм 4932399712
    MIlwaukee WCHP 122 мм 4932399714
    Milwaukee Heavy Duty  4932464145
    Milwaukee INKZALL  48223100
    Milwaukee AGV 15-125 XC 4931435115
    Milwaukee M18BIW12 4931436077
    Патрон AEG 4931454827
     Milwaukee 4931465996
    AEG 4931395232
     Milwaukee AGV 15-125 XC 4931435119
    Milwaukee 4931466316
    Milwaukee  M12 FDD 4931466272
    Milwaukee  3-28 мм 48229259
    Milwaukee  48224256
     Milwaukee M18 CBLDD-402C 4933464539
     Milwaukee M12 SL-0 90 Вт, 12 В 4933459760
     Milwaukee M18 FID2-502X 4933464088
    Milwaukee М18 HCCT-201C 18 В, 16-300 мм2 4933451194
     Milwaukee M12 AL-0 4933451394
     M12 B6 6 Ач 4932451395
     Milwaukee M18 FUEL FBJS-502X 4933464800
    Milwaukee R22 11 в 4932471999
     Milwaukee NF22 Cu 25 4932451734
     Milwaukee NF22 Cu 16 4932451733
     Milwaukee NF22 Cu 35 4932451735
     Milwaukee NF22 Cu 50 4932451736
     Milwaukee NF22 Cu 70 4932451737
     Milwaukee NF22 Cu 95 4932451738
     Milwaukee NF22 Cu 120 4932451739
     Milwaukee NF22 Cu 150 4932451740
    Milwaukee NF22 Cu 185 4932451741
     Milwaukee NF22 Cu 240 4932451742
     Milwaukee NF22 Cu 300 4932451743
     Milwaukee L4 B2 4932459445
     Milwaukee L4 HLRP-201 4933471390
    Milwaukee M18 B5 5 4932430483
     Milwaukee M12-18 FC арт.4932451079
    
     Milwaukee M18 FDD2-502X 4933464267
     Milwaukee M18 FID2-0X 4933464087
     Milwaukee M18 FID2-502X 4933464088
    Milwaukee M12 BPRT-201X 4933464405
     Milwaukee M18 FMTIW2F12-502X FUEL 4933478450
     Milwaukee M18FSAG125XB-502X FUEL 4933478430
     Milwaukee M18 BRAIW-0 4933447899
     Milwaukee С12 PC-0 4933411920
    Milwaukee M12 B6 6 Ач 4932451395
    Milwaukee M12 B3 3 Ач 4932451388
     Milwaukee M18 CCS55-902X 4933451468
     Milwaukee M18 CSX-502C 4933448165
    Milwaukee M18 FUEL FAP180-502X 4933451550
     Milwaukee M18 BJS-0 4933451391
     Milwaukee M18 B5 5 Ач 4932430483
    Milwaukee HL2-LED 4933471286
    Milwaukee Max Bite 4932464994
     Milwaukee 4-20 мм 48899320
     Milwaukee .48899335 6-35 мм
     Milwaukee L95 мм 48224044
    Milwaukee L4 HL-201 4933459443
Quartz Baffle 7400-0136-01 1 支   
Lamp,Tungsten Halogen,1500W 2451-0013-01 28 支   
Quartz Tray 7310-3008-01 1 支   
Isolation Tube,8" 7400-0100-06K 1 支   
    MIN114A02T/SAN34 汽水混合器  
    冷却风扇 A17M23SWB MT0 Costech 230VAC, 50/60Hz, 42W    76台        DP200A 2123HS E22 SUNON-DP  46台       DP200A; 2123HS. 品牌 SUNON   120台
    CIRCUIT BREAKER 断路器 GEC  630AMP FOR E11-2NOS.    FPX07-31-06 
    洛克赛克,RG M63/4,货号RG00063040046  8个。
    42GE-35AF106 - FURNAS 42GE CONTACTOR
    115UDC300BAECA
    MITSUBISHI AJ65SBT-64AD
    A5E00301347     5
    A5E00292422     5
    A5E02841901    3
    CR104PS-21-W-11-L-3       CR104PS-92-W-11-L-3 
     MOT-1-2051 MOTOR CDU TRANE 024-1043 1/2HP PM3102368 8536MVA-A14S SHAFT 5/8"
    胶衣树脂\型号:RENSHAPE HY2404\包装规格:1000g 数量1件
    胶衣树脂\型号:RENSHAPE SW404 数量1件
    MODEL:AROX SINGAPORE MOD.E9PQ6+FE1 RATING:21KW VOLT:480V 3PH &0HZ 开关传感器
    SKF PHC 160-2 . 原煤进料链十二米     SKF PHC 160-2 . 原煤进料链一套
    Andantex of Redex  R3500-M
    PCH 1026
    3RH2140-2AP00
    3RT2916-1JL00
    4AM4342-5AT10-0FA0
    CLB 32.64
    3NA6 820
    3NA6 803
    3RV1011-1BA15
    3RH6911-1HA22
    3RH6122-1AB00
    3TB40
    3VU1340-1MN00
    3RV6011-0EA15
    3RV6011-0GA15
    "1.SHINKAWA”双线变送器
传感器尖端振动探头
APRQX,直径5.5MM(0.217IN)
CST51311-G03
数量10
2.APROX  振动电缆,直径 2.7 MM     长度:7米
数量10
3.APROX  振动电缆,直径 2.7 MM   长度:5米
数量10"
    磁控管\型号:MG5473\制造厂或品牌:TRANSAS\设备名称:雷达\设备型号:NAVI-RADAR 4000
    RPBA-01
    "Siemens

SFP71/18

SFP71/18 Electromotoric actuator, 135 N, 2.5 mm, AC 24 V, 2P"
    P/N:  RG M63/4
    M702-03400100A
AG ASSOCIATES    Quotation No.: SS8039-2-1A
    Quartz Baffle 7400-0136-01   
    Lamp,Tungsten Halogen,1500W 2451-0013-01
    Quartz Tray 7310-3008-01  
    Isolation Tube,8" 7400-0100-06K   
    预充电接触器[西门子SIEMENS;/;LTHH00401*A05;1500V 60A 24VD]
    "Roxtec RG M63 Cable Entry Seal Kit for 4
Cables, NEMA 4X/IP67, Nickel Plated
Brass Finish. (Art #RG00063040046)"
    "Waygate Krautkramer (Formerly GE Inspection
Technologies) DA 501 Probe. 5Mhz x
1.0-200mm in Steel. Requires KBA533 Cable."
ABB    RPBA-01
    Square D. Company 8910DPA93V02 120V 90AMP 3POLE CONTACTOR
    编码器   Ametek Gemco 1986-2004  Rotary Resolver
    SENCON 153-43201-00  213-43201-02
    P56N-200SN14HR
    [西门子SIEMENS;/;LTHH00401*A05;1500V 60A 24VD]
    fischer 液位传感器 NK10500000010000U1108
    YTP6O-ARV2’’-VUU-L255/14-V52A-EX
Spare for model E9PQ6+FE1    Knob for E-series P/no: 35876000
    M702-034-00100 A10 (400V3PH010.0A 004.0kW 2xSTO)
    EMERSON PR 9268/200-000
    "PR0018G00053 3-4
NOS 0 SR18-CG-RGX-R02-KXX"
    DST1405P
    Siemens SFP71/18
    Siemens QMX3.P34
gecma     GEC-GE1RT-C22M1-00
    GEC-GE1SD-D22SG-01
    GEC-GE1RT-C22M1-00
    GEC-GE1RT-C22S1-00
    GEC-GE1RT-TRS04-00
    HRS  U.FL压入工具
REPLACEMENT CONTACT KIT    "REPLACEMENT CONTACT KIT
FOR GE MAKE
CONTACTOR TYPE: CR305CO**BDB"
    "REPLACEMENT CONTACT KIT
FOR GE MAKE
CONTACTOR TYPE: CR305E0**AYH"
    "REPLACEMENT CONTACT KIT
FOR GE MAKE POWER CONTACTOR
TYPE: CR306G004LTH
PART NO: 55-154607G2/G41"
    "西门子 FPX 07-31-06 CB
"
    西门子FPX 07-31-12 CB
    THETA  WRR/115  炉膛热电偶
    AVTRON HS9AS1PX2FU2X9ZA
    B&R    8LSA34.R2060C100-3 一台
    8LSA57.DA030SC04-3 两台
    8LSA35.DA030S200-3 两台
    USM MKPG 0.44-20-3
    Ashcroft KXD420500/10BAR-G1/2BM1_0-10b
    SENCON 导电胶粒  PN: 311-10400-00  型号是 Probe tips-SI9516
     日本昭和AH710SS油泵
Schneider    Analog signal input module 5506
Schneider    Controller SCADAPack Р330-1A20-AА00
Schneider    Controller SCADAPack334 TBUP334-1A20-AB10
Schneider    Discrete input module SCADAPack 5410
SEW Eurodrive    Setpoint Converter  MLA12B/II3D; P# 18214762.70.70; Sach-NR: 18214762
Schneider    Analog input module - 8 inputs   BMXAMI0800 
PYROTEK    PO09-RO6ONPT-022x42CIPT Red enamel 060 NPT ID22x42MM
    PO09-RO6ONPT-017x40Cipt Red Enamel 060 NPT ID17X4MM
ABB    560mpr03 r0001
    PALL RC0410CZ090H
WEG    CFW700B24P0T 4DB20
    CFW500D24P0T4DB20
"721437T REPLACEMENT CONTACT KIT
FOR G.E. No. 546A301G2/G53
100, 200, 300-LINE, SIZE 1, 4 POLE SET"    "721437T REPLACEMENT CONTACT KIT
FOR G.E. No. 546A301G2/G53
100, 200, 300-LINE, SIZE 1, 4 POLE SET"
"721510T REPLACEMENT CONTACT KIT
FOR G.E. No. 55-153677G2
100, 200, 300-LINE, SIZE 3, 3 POLE SET"    "721510T REPLACEMENT CONTACT KIT
FOR G.E. No. 55-153677G2
100, 200, 300-LINE, SIZE 3, 3 POLE SET"
"721514T REPLACEMENT ROUND TIP CONTACT KIT
FOR G.E. No. 55-154607G2/G41
100, 200, 300-LINE, SIZE 5, 3 POLE SET"    "721514T REPLACEMENT ROUND TIP CONTACT KIT
FOR G.E. No. 55-154607G2/G41
100, 200, 300-LINE, SIZE 5, 3 POLE SET"
    1KGT022100R0001 560MPR03 R0001
    GEC-GE1SD-D22SG-01 MTL GECMA display 22” Touch
    GEC-GE1RT-C00MX-00 Fiber MM comm module
    GEC-GE1RT-C00SX-00 Fiber SM comm module
    GEC-GE1RT-TRS04-00 SM 4-channel SAU Rack unit
    Analog input module - 8 inputs   BMXAMI0800 
    MAIN REAR UPPER BEARING (EMD#: 8455844)
E+H     TYPE CONN SIZE PMP48-RE13HBJ1KKA1 2 IN. ANSI
    PH/ORP MEASURFMENT, SYSTEM, LIQUISYSM, CPM223/253 ERF ENDRESS+HAUSER REDOX SOLUTION, 220MV, PH:7, 250ML GEN. SPEC , main part no : CPY3-4    
     TEMPERATURE DETECTORS OMNIGRAD S TR 88 REF. E+H SINGLE 4-WIRE, SENSOR OD:6 MM. MODEL TR88-AJ2E1X2F3000 ML=442 MM.
    "MAXON 电动截止阀 1台
型号:250CMA11-DA11-CC23B0
参数:电压:230VAC电流:1.4AM.O.P:50/3.4PSI/BarM.O.P:50/3.4PSI/BarOPENGING:6Seconds "
    LAMP\ORGA\013270\MORSE LAMP   LAMP\ORGA\010093\MORSE LAMP  LAMP\ORGA\010089\MORSE LAMP
    "震动传感器 CST51301-G03 2 套 三星    要带原厂的信号模块
震动传感器 CST51301-G03 6 个 三星    只要传感器"
    "振动变送器 CST51301-G03 空压机维护备件 1 个
温度传感器 CST51212-G04 空压机维护备件 1 个
温度传感器 CST51211-G02 空压机维护备件 1 个"
    "EDI 电源接头(插头) e-cell-3x模块接头  5套
超纯水处理电源  MS1000A-6A   4套"
Turck    Barrier IM33-11Ex-Hi/24VDC
Turck    Barrier IM33-11Ex-Hi/24VDC
Turck    Barrier IM33-11Ex-Hi/24VDC
Turck    Barrier IM33-12Ex-HI/24VDC
Turck    Barrier IM34-12EX-CRI
Turck    Barrier IM34-12EX-CRI
Turck    Analog input module AIH41Ex
Turck    control module IM72-11Ex/L
Turck    Module  DM80Ex
Turck    Barrier IM1-22Ex-R
Turck    Analog input module AIH41EX
Turck    Digital output module DO401Ex
Turck    Power supply PSD24Ex
Honeywell (Enraf)    Board for servo level gauge  854; part number S0854931
Honeywell (Enraf)    762 VITO interface unit, part number S0762970
    电柜空调HAWA  KF700S  400V,50/60HZ
    ULVA shield 1.3毫米   13000平方
    560 MPR 03 R0001
    电源模块 560 PSU 02 R0001  48-220 VCDC
    通讯数据处理模块 560 CMR 02 R0001
    总线通讯模块 560 BCU 04 R0001
    闪存卡 AG PLC/Archives  License 5000DP
    闪存卡 AG Basic  License 5000DP
    挡板23 VF R001 Blank ,Front Plate beige
    RTUtill
    ACS800-01-0016-3+P901+B056        
    ACS800-01-0030-5+D150+L503+N652+P901            
    ACS800-01-0050-5
    Wabco 4613180810
BURKERT    Part Number: 221850 – This replaces 134321
    SHAVO  过滤器,SB60K-4N-M6D-RGMB,1/2NPT
    YTP60-ARV2’'-VUU-L255/14-V52A-EX
    fischer 液位传感器 NK10500000010000U1108
西克    FBM-N11
    DL100-21AA2211
    德国Lenze 控制器 KSP600 3×40 UL(2000) 德国Lenze 控制器 KPP600-20 1×40 UL(400) 德国SIC 总线模块 FBM-N11 (96)
    西克 SICK远程距离传感器DL100-22AA2211 (2)
    菲尼克斯 Fl switch 2208-2702327(200个)
    PACIFIC SCIENTIFIC 14390028  V11G4MA 115SAD/80 Q318-401-001
    RCO84224 Description: CABLE GE
    "Honeywell Maxon 250CMA11-BA11-BB21A0-NAT-R
2.5"" Flanged Gas Safety Shutoff Valve"
    "S0854931 Enraf PCB HCU VITO and/or
water sensor+HART
2 in stock at SGS"
    "S0762970 762 VITO interface unit LT (PCB)Country of Origin: NL
Commodity Duty Tariff Code: 90269000
Weight: 0.128 Kilogram
Stock Location: Enraf B.V. (HPSS)
Standard Lead Time: 25 Working Days"
    "PHO2702327 FL SWITCH 2208 PHOENIX_CONTACT Industrial
Ethernet Switch"
    (Enraf)        Board for servo level gauge  854; part number S0854931
    (Enraf)        762 VITO interface unit, part number S0762970
    RPBA-01  Product : RPBA-01 PROFIBUS DP ADAPTER
    "型号:Sinis计算机CPU板  V25592-Z100-A156(含软件)  /V25592-Z100-A156
数量:1块"
T.C HOLE TAP FTAH 0609 D    0040-43299 REV 002 119423-086 
    E1-24P 24口E1板 S30824-Q122-X101
    Micro Motion CDM100P-A24-M-B-A-G-00-R-A-Z-Z-Z-R2
    SICK FBM-N11
    SICK DL100-21AA2211
     PSL612-395-3
    "MAXON 电动截止阀 1台
型号:250CMA11-DA11-CC23B0
参数:电压:230VAC电流:1.4AM.O.P:50/3.4PSI/BarM.O.P:50/3.4PSI/BarOPENGING:6Seconds "
    "HOERBIGER ORIGA

HOERBIGER ORIGA 感应探头,KL3054 10-30VDC 0.2A max(PNP)"
TURCK    IM3311EXHI24VDC
    IM3311EXHI24VDC
    IM3311EXHI24VDC
    IM3312EXHI24VDC
    IM3412EXCRI
    IM3412EXCRI
    AIH41EX
    IM7211EXL
    DM80EX
    IM122EXR
    AIH41EX
    DO401EX
    PSD24EX
    PSM4-1 14 OFF 二件  AC160 220V   AC170 230V 各十只
    KRS-3B-R
    14F5M1E-Y00D
    XB4BVBM1GEX  563 - 4 pcs
    XB4BVBM3GEX 563 - 20 pcs
    XB4BVBM4GEX 563 - 4 pcs
    "Ortlinghaus  奥特林豪斯
0013-050-11-162301
 
孔键尺寸:30H7 / 8-P9x2.0+0.1
离合器100175036—50-20"
    FC-302P1K1T5E20H2XGCXXXSXXXXALBXCXXXXDX*
    FC-302P1K5T5E55H2XGCXXXSXXXXALBXCXXXXDX
    " GHB1030

Eaton 30A GHB 1P BKR "
    100-1481 342A2581P002 GENERAL ELECTRIC Filter
    9203B1B  报警驱动器   品牌:Titus   型号:9203B1B 
    MODEL:KA107B AD5-RS
    RF77 R37 DR63L4/BR/HR
    KA67B DRE132M4BE5
    MCT隔层板\STAYPLATE/120mm 数量:20
    MCT块楔形固定件\ARW0001201021\ROXTEC 数量:5
    MCT润滑脂\ROXTEC ALT0000003000/25mL 数量20
    MCT模块\RM KIT 605/KT60500000000 数量:3
    MCT模块\RM KIT 603/KT60300000000 数量:3
    DF868-2-11-10800-0-0 ( 100 to 120 VAC operating voltage ) $9200
    DF868-2-31-10800-0-0 ( 12 to 28 VDC operating voltage ) $9700
    1.LMV52.240B1,燃烧控制器, 数量 3台
    2.AZL52,              显示屏,          数量 3台
    3. LMV52 和 AZL 连接电缆(3-5米), 数量 3 根
    4. LMV52 燃烧控制器软件和USB编程电缆,数量:2套
    0200-02968 AMAT
MCT RoXtec    MCT partition board \ stayplate/120mm=STAYPLATE 120 AISI316=ASP0001200021
    MCT block wedge fixed part \ ARW0001201021 \ RoXtec
    MCT lubricant \ RoXtec AlT0000003000/25ml=LUBRICANT 25 ML
    "MCT module \ RM Kit
605/KT60500000000
Include:
- RM 20w40: 12 cables/pipes   RM 20 RM00100201000 36件
- RM 30: 8 cables/pipes       RM00100301000       24件
- RM 40 10-32: 6 cables/pipes  RM00100401000      18件"
    "MCT module \ RM Kit
603/KT60300000000
Include:
- RM 20w40: 6 cables/pipes   RM00100201000   18件
- RM 30: 8 cables/pipes      RM00100301000   24件
- RM 40 10-32: 3 cables/pipes  RM00100401000  9件
- RM 60 24-54: 2 cables/pipes   RM00100601000   6件"
    "Q-ESUITE

Qualys Express Suite "
    "Q-S-VMDR

Qualys VMDR Bundle; Vulnerability Management, Detection, and Response, includes the following Qualys Modules: "
    "Q-S-POL

Qualys Policy Compliance - Includes Policy Compliance Agent "
    "Q-S-PM

Qualys Patch Management (PM) – Annual Subscription for Windows Agent "
    "Q-S-EDR-AM

Qualys Endpoint Detection Response with Anti-Malware "
    "Q-X-FIM

Qualys File Integrity Monitoring (FIM)

- Express

*Detect changes in files and policies on global IT systems. "
    "Q-S-CSAM

Qualys CyberSecurity Asset Management

Includes ServiceNow CMDB "
    "Q-X-CS

Qualys Container Security - Express Package

Includes 15 Container Runtime Security licenses for each Container Security Unit purchased"
    "Q-X-WAS

Qualys Web Application Scanning Subscription

* Automated custom web application scanning for SQL injection and XSS vulnerabilities. "
    "Q-MDS S

Qualys Malware Detection Service for Sites "
    "
U71HL-024KM-41"
    FORTIGATE 600F 400F   
    PALOALTO PA 410 450 
     CHECKPOINT QUANTUM 1800 3600 
    SONICALWALL NSA 2700 3700 4700
    APPLICOM PCU2000ETH V4.5.0
    INDRA SFV.20.OO.20.1
    INDRA SFV.15.OO.2.1
    Siemens LMV52.240B1
    Siemens AZL52.01B1
    Siemens AVG 50.300 Connector cable 3m
    Siemens ACS450
    Semikron # 30653220 ; Description - SKS 1000W B2CI 1000 V17
    GE Part Number: 151X1228BR01SA01
    GE Non ESS Line IGBT Module
    
    Semikron # 30653221; Description - SKS 1600W B2CI 1600 V17
    GE Part Number: 151X1228BR02SA01
    GE Non ESS Rotor IGBT Module
    
    Semikron # 30653245; Description - SKS 1000W B2CI 1000 V17
    GE Part Number: 151X1230BR01SA01
    GE ESS Line IGBT Module
    
    Semikron # 30653246; Description - SKS 1600W B2CI 1600 V17
    GE Part Number: 151X1230BR02SA02
    GE ESS Rotor IGBT Module
    DEMAG ACS800-DEMAG 01-0075-3+D150+E200+L502+N672+P901+R720
    电机GST06-2M VBR 100C12
    热探 DELTA,Z3-JB-SP 3 个
    炉内激光发射器 EL10-M/V 230V 50HZ 3 个
    西门子刹车单元电源板A5E00199755,2块
    GTM SERIE K 59305 
    GST06-2M VBR 100C12
    "02-SSC-8196

SONICWALL NSa 2700 SECURE UPGRADE PLUS - ESSENTIAL EDITION 2YR"
    "02-SSC-8206

SONICWALL NSa 3700 SECURE UPGRADE PLUS - ESSENTIAL EDITION 2YR"
    "02-SSC-9552

SONICWALL NSA 4700 SECURE UPGRADE PLUS - ADVANCED EDITION 2YR"
    Siemens - 4AM65425AT100FA0
    P9PDTV0 TEST ALIM. DIRETTA CA/CC V
    P/N# 5911240000 (DT319409)
     Protection unit for AUX engine AK-35/4 type 1613 Autronica
    Short circuit protection unit Short circuit protector type AK-6 Autronica
    Voltage regulator AUX engine Spenningsregulator 270 Ohm P40 J7 WM50
     Sectional jumper protection unit type АА-084 Autronica
    Frequency converter NPU MSF 2.0 SOFTSTARTER type MSF-570 525 2 C-N-AU EMOTRON
    Shaft generator voltage regulator Spenningsregulator 25 Ohm P20 56WM 50BV03987
    Block-monitor-PC APS Axiomtek
     Sea water pump controller Main engine Controller MR-11 Autronica
    Shaft generator automation relay EP371 24V MTI
    Shaft generator automation card 1 AKA-3 Power supply Autronica
     Shaft generator automation card 2 AKT-2 Delay
    Shaft generator automation card 3 AKB-3 Cur. Sett Autronica
    Shaft generator automation card 4 AKD-2 Driver Autronica
    Shaft generator automation card 5 AKT-5 Delay Autronica
    Shaft generator automation card 6 AKR-6A Rev. power Autronica
    Automatic Transfer Switch type 68WN 3FA-B VITZRO
     Voltage and frequency monitor card 1 type AKW-4 Autronica
    Voltage and frequency monitor card 2 type AKN-4/2-2 Autronica
    VDG voltage and frequency unit Voltage and frequency monitor card 3 type AKN-4/3-1 Autronica
     Voltage and frequency monitor card 4 type AKE-4/1-2 Autronica
     Voltage and frequency monitor card 5 type AKA-4 Autronica
    在线PH计 ATP2000PH-H-00-E00+DL2-742-0003     1 台 霍尼韦尔 
    57656型斯茹林
Hischmann    SMXCP_16  关节轴承 8只
    SMXCP_20数量20
    SFXCP_20数量10
    BTF13-A1AM1020+ATM60-A1A0-K19
    AVENTICS 3355001980
    "GTM Serie K , 50 kN ,
NO: S-K-50K0-F 压力传感器"
    GTM S-CAB-SMC-L-5M-F 电缆
"
LIGHTSTAR ?斯?  KBD-23"    Current tansformer[KBD-23] (3P4W 100/5A 2.5VA Cl 3.0 Hz 50-60)
    GE Panametrics MTS6-3-1-2-0
    P9PDTV0 | 187027 | GE LIGHT SOURCE LAMP TEST
    P9B10VN | 1SFA187002R8001 | GE CONTACT BLOCK, 1NO
    P9B01VN | 1SFA187001R8010 | GE CONTACT BLOCK, 1NC
    MG1S20/80-G50-APVGG博格曼
    "NTE-212-CONS-0000

            "
Honeywell    MIDAS-T-004
Honeywell    9602-0205
Honeywell    9602-0200
Honeywell    MIDAS-E-HAL
Honeywell    MIDAS-E-HCL
Honeywell    9602-5300
Honeywell    9602-5100
Honeywell    9602.0093.00.01
Honeywell    9602.0091.00.01
Honeywell    9902.0080.40.00
Honeywell    9902-4010
Honeywell    780248
    剂溶出度测试仪ERWEKA DT 126
    AVENTICS 3355001980
    ULTRAMATION INC     4A-1.5YB
     075U3C300BACAA075140
电磁阀与线图PSM4-1 14 OFF    PSM4-1  
    DCM3C-30-06-B2 0.118KW,24VDC
     095E3D300CACAA100190
    NET1T10NNN401 机舱加热器N 10-20\578 
    " MODEL:C2-P506H-SIN-B1-SP

Brand: BETA"
    "DESCRIPTION 2  : MICRO Switch element

Brand: BETA"
    "DESCRIPTION 2  : KIT REPIR  (DIAPHRAGM / O -RING SET)

Brand: BETA"
    058692 MCS11-G ETN058692 MCS11-G EATON-MOELLER Pressure switch
    201854 +S3-MCS  ETN201854 +S3-MCS
    ETHERWAN EX27064-A0VC
    Саmоzz? 6442 6- 1/8 - 10   Саmоzz? 1510 6/4-1/8 - 10        Camozzi С-2-213-001 - 2
    AMAT producer GT3 dual zone vaccum chuck heater 总料号:0010-63191
    1.12寸 TIN 全新heater( 0010-56222
    0010-56204  1
    0010-67695 1
    0010-70481 1
    0010-70482 1
    0010-66933 2
    0010-66963 2
    CHMCP310 M40FA620A  M40FA620A
    SANL TP-701-230V
"AB  
Kinetix 300 Servo Drives"    2097-V34PR6
    2097-V34PR5
    NTE-212-CONS-0000
ABB    130350-002 ABB SENSING ARM KIT-CAL,AL2O3
    PU10F-AH710-6S3-6P
    SEPAC 20043  ELMIRA N Y 14903 40042
    CKD 气缸 SCA2-FA-100B-850-TOH-D/Z
    E11117551  E11315331   E11408891   Dose control
    7958511 | ABB I/P Module TZID-C
    13360 VARIAN DOSE CONTROLLER E11315331
    "CELLS, NICKLE-CADMI-ALKALINE, 1.2 V., EMPTY
& DISCHARGED WITHOUT ELECTROLYTE CELLS, NICKLE-CADMI-ALKALINE, 1.2 V., EMPTY & DISCHARGED WITHOUT ELECTROLYTE
REF. ALCAD OR APP. EQUIVALENT
TYPE MB830P"
     Johnson Controls    A19ACA-28C   三台      honeywell     FT6961-60 三台
    HF364NRCU
    0200-02968 AMAT
     P4 10R 10% E7 BV08193
"
DYNISCO"    PT462E-1.5M-12/30
    FT6961-60(S)
    ETN058692 MCS11-G EATON
    ETN201854 +S3-MCS EATON-MOELLER
    FT6961-60
    哈曼   型号:GOLDM-41A  0-150m  数量10
Honeywell    MIDAS-T-004
Honeywell    9602-0205
Honeywell    9602-0200
Honeywell    MIDAS-E-HAL
Honeywell    MIDAS-E-HCL
Honeywell    9602-5300
Honeywell    9602-5100
Honeywell    9602.0093.00.01
Honeywell    9602.0091.00.01
Honeywell    9902.0080.40.00
Honeywell    9902-4010错误
Honeywell    780248
DYNISCO SERIES PT462E    PTER-1.5M-1/2-12/30-MV
    9602.0093.00.01
    Siemens - 4AM64425AJ100FA0
Terrawave     M6080080P1D63620
    TWS244950-RPSMA-W
 MOOG 072-1202-6      MOOG 072-1202-6
 MOOG 072-1203-6     MOOG 072-1203-6
1SNA166625R2000    CC-E-VA-6.6
1SNA183436R0500    CVABM
1SNA166928R2000    KEM-1
1SNA167496R1100    PCVA
1SNA167932R1500    COR-C-R4-6.6
1SNA167934R1700    COR-C-R5-6.6
1SNA166979R0000    COR-C-R3
1SNA167937R0000    FIC-2/4-DIA4
1SNA166936R1000    FIC-2/4-2
1SNA167264R0700    BRE-C-1
1SNA167265R0000    BRE-C-15
1SNA167260R1700    BRE-C-25
1SNA205876R0400    BRE-C-4
1SNA167008R0300    EXBR1
1SNA173181R1300    PSC
1SNA167971R2400    DLVR
1SNA167927R1000    FC4-1
1SNA167931R1400    FC4-5
1SNA167690R0700    FCB-1
1SNA167692R2500    FCB-2
1SNA167697R2200    CA
1SNA166627R2200    TC-E-VA-2-2
1SNA167933R1600    COR-T-R5-2.2
1SNA167935R1000    COR-T-4-4
1SNA168160R1400    BRE-T-034
1SNA167779R1300    BRE-T-075
1SNA164921R1700    BRE-T-1
1SNA164922R1000    BRE-T-15
1SNA164923R1100    BRE-T-25
1SNA166980R0000    BRE-T-4
1SNA166578R0100    CPC-1
1SNA166646R0500    CPT-1
    SIEMENS HF364NR  Heavy duty   600VAC  200A  NO FUSE
MOOG    阀 MOOG 072-1202-6
    阀 MOOG 072-1203-6
"
EC&M"    HCE5115270067
    MVAJ205TA1006A
    130350-002 ABB SENSING ARM KIT-CAL,AL2O3
"AVENTICS

3355001980"    "3355001980
VENTILEINHEIT CD 01, 3-FACH"
"Johnson
Controls
A19ACA-28C"    A19ACA-28C
    PMH-M-10M AT4311
Dynisco PT462E.1.5M.12/36 Transducer    "DYNISCO SERIES PT462E
 REF.: DYNISCO - TYPE: PT462E-1.5M-12/30,
RANGE: 0-1500 PSI - TAG NO. 41-66PT368 "
    7000700-961
 Protection unit for AUX engine AK-35/4 type 1613 Autronica   
Short circuit protection unit Short circuit protector type AK-6 Autronica   
Voltage regulator AUX engine Spenningsregulator 270 Ohm P40 J7 WM50   
 Sectional jumper protection unit type АА-084 Autronica   
Frequency converter NPU MSF 2.0 SOFTSTARTER type MSF-570 525 2 C-N-AU EMOTRON   
Shaft generator voltage regulator Spenningsregulator 25 Ohm P20 56WM 50BV03987   
Block-monitor-PC APS Axiomtek   
 Sea water pump controller Main engine Controller MR-11 Autronica   
Shaft generator automation relay EP371 24V MTI   
Shaft generator automation card 1 AKA-3 Power supply Autronica   
 Shaft generator automation card 2 AKT-2 Delay   
Shaft generator automation card 3 AKB-3 Cur. Sett Autronica   
Shaft generator automation card 4 AKD-2 Driver Autronica   
Shaft generator automation card 5 AKT-5 Delay Autronica   
Shaft generator automation card 6 AKR-6A Rev. power Autronica   
Automatic Transfer Switch type 68WN 3FA-B VITZRO   
 Voltage and frequency monitor card 1 type AKW-4 Autronica   
Voltage and frequency monitor card 2 type AKN-4/2-2 Autronica   
VDG voltage and frequency unit Voltage and frequency monitor card 3 type AKN-4/3-1 Autronica   
 Voltage and frequency monitor card 4 type AKE-4/1-2 Autronica   
 Voltage and frequency monitor card 5 type AKA-4 Autronica   
    P5102100006   S1318251/010-0001 VV61/R     P5102100008   S1318251/020-0001 VV61/L
    GE Panametrics PM880-1-1-1-0-0
    ALCAD 电池 MB830P
    电机   CT    055UDA600BBEMA063110  
    电机   CT   055UDA300BFEGA063140   
    减速机    CT            PJE0642-BT-M-S-MU
    1SAL100374R9901 MC1AB00ATN Mini Contacto
    1SAH100024R9900 MCRA022ATN Mini Contactor Relay
    RPBA-01  Product : RPBA-01 PROFIBUS DP ADAPTER
Ultrasonic Thickness Gauge     DM5E-DL
     Protective sleeve for DM5E-DL
    Standard probe DA501, Frequency: 5MHz, Contact Diameter: 12mm
    DA231 Cable, Length: 1.5m
    Fingertip probe DA512, Frequency: 7.5MHz, Contact Diameter: 7mm
    DA590 High temperature probe, Frequency: 5MHz, Contact Diameter: 12mm
    KBA535 Cable ,Length: 1.2m
    POTTER PS10-2A 1340104
    142U3C300CAAEA165240 C  艾默生  1台
    CT Dinamics Unimotor FM 142U3C305CACAA165240  艾默生  1台
    Unimotor FM 142U3C300BAAEA165240 艾默生  1台
    095U3C300CAAEA100190 CT 艾默生  1台
     NTRX50NL S-01 SOI3770GAA
     NTHW86CB S-02 BA1CD0UCAA
     NTHW86CB S-17 BA1CD0UCAB
    美国Parker  电液伺服阀415-2257
    114x1177-1 ge filter
    n-tron 00.07.AF.00.FF.C2  FC 306FX2-ST
    GED MCRA022ATN 2NO/2NC 240-277V
    Norcontrol NN-2E-018
    ULB Tester-DUKANE SEACOM TS 500
    AMAT 1350-01036   AMAT 0010-28101
    SC084M12S
    "APPLIC AND MOTOR CONTROL PP C907 BE:AMC34                              
Part Number:3BHE024577R0101"
    TRANSFORMER FAN RH56 - Part Number A1A089659
     CELL FAN RH56 - Part Number A5E30771774
    FUN MONDULE R62410ML-05W-B60-D23
    Lre125-020030-00dbw101-000100-03
艾默生电机驱动变频器    115U3C306BACAA115190
艾默生电机驱动变频器    055UDA600BBEGA063110
艾默生电机驱动变频器     055UDA300BFEGA063140
艾默生电机驱动变频器    142U3C300CAAEA165240 C  艾默生  1台
艾默生电机驱动变频器    142U3C306CACAA165240
艾默生电机驱动变频器     142U3C300BAAEA165240
艾默生电机驱动变频器     095U3C300CAAEA100190
艾默生电机驱动变频器    M701-074-00660A10 (30kW, 66A HD)
艾默生电机驱动变频器    M701-064-00470 A10 (400V3PH047.0A 022.0kW 485)
    CM-PFS.S 1SVR730824R9300
    "500383
Overspeedmodul (OSM)
Version: 1.2
Dual Channel encoder
Puls Rev
24 V DC, 150 mA
 "
TMC甲板空压机配件    传送带型号:NT0726
    软管:NT5713
    "APPLIC AND MOTOR CONTROL PP C907 BE:AMC34                              
Part Number:3BHE024577R0101"
    SCYC 51020 SYNCHRONIZ. CARD
    SCYC 55810 BINARY ADAPTER
    SCYC 55870 DIGI OUTPUT BOARD
    SCYC 51010 DC; FIRING UNIT
    SCYC 51050 PHASE CTRL BOARD
    SCYC 55811 ANALOG ADAPTER
1. CC-E-VA-6.6    1. CC-E-VA-6.6
Code: 1SNA166625R2000    Code: 1SNA166625R2000
2. Interlocking Peg    2. Interlocking Peg
聽CVABM    聽CVABM
1SNA183436R0500    1SNA183436R0500
3. Mounting Kit    3. Mounting Kit
KEM-1    KEM-1
1SNA166928R2000    1SNA166928R2000
4. Lateral Jumper Bars    4. Lateral Jumper Bars
PCVA    PCVA
1SNA167496R1100    1SNA167496R1100
5. COR-C-R4-6.6    5. COR-C-R4-6.6
1SNA167932R1500    1SNA167932R1500
6. COR-C-R5-6.6    6. COR-C-R5-6.6
1SNA167934R1700    1SNA167934R1700
7. COR-C-R3    7. COR-C-R3
1SNA166979R0000    1SNA166979R0000
8. FIC-2/4-DIA4    8. FIC-2/4-DIA4
1SNA167937R0000    1SNA167937R0000
9. FIC-2/4-2    9. FIC-2/4-2
1SNA166936R1000    1SNA166936R1000
10. Pin 1 mm虏    10. Pin 1 mm虏
BRE-C-1    BRE-C-1
1SNA167264R0700    1SNA167264R0700
11. Pin 1.5 mm虏    11. Pin 1.5 mm虏
BRE-C-1.5    BRE-C-1.5
聽1SNA167265R0000    聽1SNA167265R0000
12. Pin 2.5 mm虏    12. Pin 2.5 mm虏
BRE-C-2.5    BRE-C-2.5
1SNA167260R1700    1SNA167260R1700
13. Pin 4 mm虏    13. Pin 4 mm虏
BRE-C-4    BRE-C-4
1SNA205876R0400    1SNA205876R0400
14. Pin extraction tool    14. Pin extraction tool
聽EXBR1    聽EXBR1
1SNA167008R0300    1SNA167008R0300
15. Crimping tool for BRE pins 1 to 2.5 mm虏    15. Crimping tool for BRE pins 1 to 2.5 mm虏
PSC    PSC
1SNA173181R1300    1SNA173181R1300
16. Locking sleeve for contact pin    16. Locking sleeve for contact pin
聽DLVR    聽DLVR
1SNA167971R2400    1SNA167971R2400
17. IP20 with mobile protection    17. IP20 with mobile protection
聽FC4-1    聽FC4-1
1SNA167927R1000    1SNA167927R1000
18. IP20 with permanent protection    18. IP20 with permanent protection
聽FC4-5    聽FC4-5
1SNA167931R1400    1SNA167931R1400
19. Bayonet security test plug / Black    19. Bayonet security test plug / Black
FCB-1    FCB-1
1SNA167690R0700    1SNA167690R0700
20. Bayonet security test plug / Red    20. Bayonet security test plug / Red
FCB-2    FCB-2
1SNA167692R2500    1SNA167692R2500
21. Isolating cap for FCB plug    21. Isolating cap for FCB plug
CA    CA
1SNA167697R2200    1SNA167697R2200
22. TC-E-VA-2-2    22. TC-E-VA-2-2
1SNA166627R2200    1SNA166627R2200
23. COR-T-R5-2.2    23. COR-T-R5-2.2
1SNA167933R1600    1SNA167933R1600
24. COR-T-4-4    24. COR-T-4-4
1SNA167935R1000    1SNA167935R1000
25. Pin 0.34 mm虏    25. Pin 0.34 mm虏
聽BRE-T-0.34    聽BRE-T-0.34
1SNA168160R1400    1SNA168160R1400
26. Pin 0.75 mm虏    26. Pin 0.75 mm虏
聽BRE-T-0.75    聽BRE-T-0.75
聽1SNA167779R1300    聽1SNA167779R1300
27. Pin 1 mm虏    27. Pin 1 mm虏
BRE-T-1    BRE-T-1
1SNA164921R1700    1SNA164921R1700
28. Pin 1.5 mm虏    28. Pin 1.5 mm虏
BRE-T-1.5    BRE-T-1.5
聽1SNA164922R1000    聽1SNA164922R1000
29. Pin 2.5 mm虏    29. Pin 2.5 mm虏
BRE-T-2.5    BRE-T-2.5
1SNA164923R1100    1SNA164923R1100
30. Pin 4 mm虏    30. Pin 4 mm虏
BRE-T-4    BRE-T-4
1SNA166980R0000    1SNA166980R0000
31. CPC-1    31. CPC-1
聽1SNA166578R0100    聽1SNA166578R0100
32. CPT-1    32. CPT-1
1SNA166646R0500    1SNA166646R0500
    AMAT 0010-28101
    Dukane Seacom TS500 ULB Ultrasonic Test Set PN: TS-500
    M701-07400660A
    M701-06400470A
    GENERATION; Part Number: 1-360-03-052; Manufacturer: DANIEL
    GE   4D3A1332G009
    R&I MFG还有一个BC-2B-0.25"
    R&I MFG   GH-22A
    DOTCO 12L1003-36
    ABB NHP 139224R0001   
    ABB NHP 139225R0001
    BUSHING, THRUST - MFG: VAR - P/N# 118836-30-48
    KEY, METRIC 8X7 - MFG: VAR - P/N# 30171936
    "ZF PUSHBUTTON SWITCH (123DNR horizontal)
P/N: 6006341048 or 6006341048   "
    DOTCO 12L1003-36
    "ZF PUSHBUTTON SWITCH (123DNR horizontal)
P/N: 6006341048 or 6006341048   "
    Caterpillar 348-2390 CONTROL GP-ENGINE ELECTRONIC
TYCO    557.200.610 standart marine panel   
    557.202.007 XLM800-MX LOOP EXP MOD+CABLE           
    
    The Dura-Grip GH-22A
    The Dura-Scape BC-2B-0.25
 LUMBERG    "PN: 34618

0975 254 103/10 M"
TYCO     557.200.620, T2000 CV 3 Loop Marine Panel
Caterpillar    348-2390
Caterpillar    1n-3134
派克    P2E-KV32C
    "AEG AMPE 112 M BA6 2, 2
KW 6P B3 230/400 50 > 265/460 60"
    DiskOnchip MD2200-D24   VMP1 18-21-20-00/01
    3GAA072312-ASE M3AA 71 B 4
    DOT12L100336
    SEB-340-24  20043
    sch86bex-1024-al-n-05-00-66-02-sh07a-a
    "68264642
ACHO5850 SP KIT; CHOKE KIT"
SCANCON  Encoders    sch86bex-1024-al-n-05-00-66-02-sh07a-a
    EX71620-A0B
    WAPN4335 GMPN42278
Repair Kit for 1st Stage Suction Valve Body (Valve Part # 95-62K1347NS)    95-4K74A
Repair Kit for 1st Stage Suction Pilot Valve (Valve Part # G-1100008N)    95-G10
Repair Kit for 1st Cut Fuel Gas Valve Body (Valve Part # 95-27D1347NS)    95-2D74A
Repair Kit for 1st Cut Fuel Gas Pilot Valve (Valve Part # G-1100008N)    95-G10
Repair Kit for 2nd Cut Fuel Gas Valve Body (Valve Part # 95-33F1348NS)    95-2F84A
Repair Kit for 2nd Cut Fuel Gas Pilot Valve (Valve Part # G-1100006N)    95-G10
Repair Kit for 2nd Stage Discharge Valve Body (Valve Part # 95-52J1347NS)    95-3J74A
Repair Kit for 2nd Stage Discharge Pilot Valve (Valve Part # G-1100009N)    95-G10
Repair Kit for 3rd Stage Discharge Valve Body (Valve Part # 95-52G1347NS)    95-3G74A
Repair Kit for 3rd Stage Discharge Pilot Valve (Valve Part # G-1A00012N)    95-GA0
Repair Kit for 4th Stage Discharge Valve Body (Valve Part # 95-36E134YNS)    95-2EY4A
Repair Kit for 4th Stage Discharge Pilot Valve (Valve Part # G-1A00063N)    95-GA0
    CPU模块组件Daniel USM 3410   1-360-03-052;
    Autronica Generator Guard AK35/4
    Autronica AKA-3
    Autronica AKN-4/2-2
    Autronica AKN-4/3-1
    Autronica AKE-4/1-2
    AUTRONICA AKA-4
    MTS5-331-10
    AUTRONICA/POLYCON AK-35/4 GENERATOR GUARD 315MA
    AUTRONICA AK-35/4 GENERATOR GUARD
    MTI EP371 RELAY
    AUTRONICA AK-31/1 VOLTAGE AND FREQUENCY MONITOR (Complete Unit)
    AUTRONICA AKN-4/3 PCB CARD  7221-191.0005
    AUTRONICA AKE-4/1-2 CARD
 Cutler-Hammer    RGC316033E
 Cutler-Hammer    F6S04 
    NOR CONTROL HER100195 C/-/C NN 2E-019 PCB
    RDC316T33WP02S29Y01
    CAT#rdc316t33wp02s29y1的CAT
    RPBA-01  Product : RPBA-01 PROFIBUS DP ADAPTER
    RHD6-MC
    """AEG"" MISCELLANEOUS ELECTRICAL PARTS
REF. AEG, GERMANY
ADAPTER FOR THERMAL RELAY, TYPE VST55K  
P/N:910-393-151-000"
    ALAM SWITCH BLOCK INC P/N:MAHSDO11 0LL
    "AEG, AUX SWITCH, HS05K40, P/N: 910-303-051-0000
OR
AEG, AUX SWITCH, HS05K22, P/N: 912-304-910-0000"
    "MULTI FUNCTION RELAY, 3-300 S., MKE, 0.8 A.,
P/N:910-346-700-00"
    "THERMISTOR RELAY, 240 VAC, 4A., MSS
P/N:910-344-100-000 "
    MP20W12E06XX   RTOS-16CG-S2   C-MS20W12E05SXX  RTOWO1626SN03
    NIDEC 2R0083 B22 002 2022  PJE0642-BT-M-S-MU
     SMM  200 NT-M23
    品牌/厂家: ZEXEL 型号: RHD6-MC
    "FOXBORO
LICA-541
LICA-264"
    1226-9001   
    1226A0305   
    170L5992AB 400A/2000V巴斯曼熔断器三百个
    EBERLE   RTR-E3521/16A 
    000-1103-401 ENI-C  YWP-AH 94V-0 4309电路板
    1226-9001 XNX Transmitter
    1226A0305 IR Terminal Block
    NT0726 BELT
    NT5713 HOSE
    NTRX50NM S-01 SOI3780GAA
    NTGY30AA 
     12L1003-36 Die Grinder 0.3hp, ¼” Collet, 25K RPM
    "Mfg: Bussmann

Part No:  170L5992"
    GE CR115E428101限位开关
    5484-735  WOODWARD
    Groschopp Ac10080Fc   AC10080FC-PS2330
    GAMAK GM315 S4 +GM315M4b
    GPC CR115E428101 CR115E GE GEARED
    "AT-12L1003-36/OM DOTCO ERGO GRINDER
12L1003-36"
    "SPARE PARTS FOR BOP STACKS AND RELATED
MAIN COMPONENTS
FLOW LINE SEAL 2 NR 129.410,04 258.820,08 09.11.2022
FLOW LINE SEAL
Material ID code: 10000678718
Commodity Code: M01415011
Part Number: H340003
Machine code: C3112A84E412A0"
    KELGATE刹车卡钳, DDW刹车钳,Caliper - KA4 (Adjustable)00-8009 CALIPER - KA4
    AVALCO BN525-16G12
    Honeywell XNX Transmitter Spare Pod, Infrared Personality, No Options PN: 1226-9001
    Honeywell IR Terminal Block Assembly Kit includes: 9-Pin & 2 Pin blocks PN: 1226A0305
    制动块硬度,Kelgate 刹车片 00-8420 Brake pads for KA4-Red(hard)
    制动杆长度,Kelgate制动卡钳 KA4 0191
    制动软管长度,Kelgate 制动油管 36-2402,Kelgate 刹车油管 36-0952
    温度传感器  NTC 10KΩ    10个
    长连接片  4144242005 CONNECTOR F1/2 L-L 93/35X3  320个
    短连接片  4144242175CONNECTOR F1/2 L-L 63/35x3   80个
    SEEPEX SGRTSE 230 AC TEMPERATURE CONTROLLER
    BVP:047112 | BD2-AK1/S18 | SIEMENS BD2-AK1/S18 TAP-OFF UNIT 25A / 3X D02
    MAPTN0726 BELT
     MAPTN5713 HOSE
    FD63F250
    FD63F250
KOMECO    SPEED MEASURING UNIT, ESP-2000-B
    GE  VERIDRI-13R-11-000 一台
    Digital Purge Gas Valve 3G Type: 03-5110-0027 一台
    MOXA DA-662 一件
     Yaskawa CIM-P1A4050 YPCT11071 1A  ETC 610021-S5016
     Zexel 105856-5590
    JOVE E232940 94V-0JVE-M2 1602-025
    CV05C-650N/5  COMET
    主机机械超速传感器    LeroySomer,GS172/228241       10个
    盘车机啮合传感器   LeroySomer,GS792/483111    5个
    SK1990006 ABG10 rotary switch
    BN525-16G12
    BS88-41988
    ZEXEL RHD6-MC GOVERNOR
    7XG2241-1AA00-0AA0
    7XG2220-1AA00-0AA0
    7XG2220-2AA00-0AA0 |
    HONEYWELL\LG1093AC01
    KNF MPU2333-N026.3-7.09
    执行机构位置反馈开关\328A7435P001 数量1个    传感器探头\RS-FS-9001 数量1个
    6AV2128-3UB06-0AX1
Hischmann    SMXCP-16
    SMXCP-20
    SFXCP-20
    Mfg:  EATON  Part No:  GSGB300
    HEX-L SERIES IHT9PK60N-2Y
    Wollschlager\81123002\1/2"\1356Nm
    Wollschlager\80445008\φ125
    Wollschlager\81091780\4"
Slit Valve door(半导体制造用真空阀门)    CP-1755-027
Mainframe EN assembly    4060-00278
Aluminum Pumping chanel    0021-03739
Lift ring new type screw    0020-70525
    SMIT GAS tm GIN 9400-0.15FU
    WAC25-8mm-6mm
    HONEYWELL\LG1093AC01
     RS-FS-9001
    142U3E300BBCAA165240

Party to Be Set of 9 PCS Bride Button Mother of the Bride Maid of Honor and Team Bride Buttons Wedding Bachelor Party Bachelorette Party Favors Supplies
Party to Be Set of 9 PCS Bride Button Mother of the Bride Maid of Honor and Team Bride Buttons Wedding Bachelor Party Bachelorette Party Favors Supplies
    Honeywell Type: LG1093AA   Honeywell Type: LG1093AC
MAJESTIC PURE Himalayan Salt Body Scrub with Lychee Oil, Exfoliating Salt Scrub to Exfoliate & Moisturize Skin, Deep Cleansing - 10 oz
MAJESTIC PURE Himalayan Salt Body Scrub with Lychee Oil, Exfoliating Salt Scrub to Exfoliate & Moisturize Skin, Deep Cleansing - 10 oz

IC ID    App #    Model    Date
2137A-B6525MDR    143867    6525 MDR    2010-10-07
2137A-B63100HS    110012    T6T HS 100    2005-10-20
2137A-B6550    103396    T6TRHS    2004-07-20
2137A-B6550    103395    T6TR    2004-07-20
2137A-T6C    103198    T6C    2004-07-16
2137A-T6MC    101536    T6MC    2004-03-24
2137A-BT6MC    101535    BT6MC    2004-03-24
2137A-B6100    100162    T6R    2004-01-21
2137A-B6350    100161    T6THS    2004-01-21
2137A-B6350    100160    T6T    2004-01-21
2137B310    24955    PAE 4004   
2137011104A    23670    PAE 5350M    1997-05-07
2137011104A    23669    PAE 5325M    1997-05-07
2137011104A    23668    PAE 5100M    1997-05-07
2137B9298    23553    5610    1997-03-26
2137A-T6TRV    T6-TRV / T6-TRV / --    2016-07-29
2137A-T6TRV    T6-TRV / T6-TRV / --    2016-07-29
2137A-T6RV    T6-RV / T6-RV / --    2016-07-20
2137A-T6RV    T6-RV / T6-RV / --    2016-07-20
2137A-T6TV    T6-TV / T6-TV / --    2016-07-11
2137A-T6TV    T6-TV / T6-TV / --    2016-07-11
2137A-M7X    M7X / M7 / --    2016-01-28
2137A-M7X    M7X / M7 / --    2016-01-28
2137A-M7X    M7X / M7 / --    2016-01-28
2137A-B6525MDR    6525 MDR / -- / --    2010-10-07
2137A-B6525MDR    6525 MDR / -- / --    2010-10-07
2137A-B6525MDR    6525 MDR / -- / --    2010-10-07
2137A-B63100HS    T6T HS 100 / -- / --    2005-10-20
2137A-B63100HS    T6T HS 100 / -- / --    2005-10-20
2137A-B63100HS    T6T HS 100 / -- / --    2005-10-20
2137A-B6550    T6TRHS / -- / --    2004-07-20
2137A-B6550    T6TR / -- / --    2004-07-20
2137A-B6550    T6TRHS / -- / --    2004-07-20
2137A-B6550    T6TR / -- / --    2004-07-20
2137A-B6550    T6TRHS / -- / --    2004-07-20
2137A-B6550    T6TR / -- / --    2004-07-20
2137A-B6100    T6R / -- / --    2004-01-21
2137A-B6350    T6THS / -- / --    2004-01-21
2137A-B6350    T6T / -- / --    2004-01-21
2137A-B6100    T6R / -- / --    2004-01-21
2137A-B6350    T6THS / -- / --    2004-01-21
2137A-B6350    T6T / -- / --    2004-01-21
2137A-B6100    T6R / -- / --    2004-01-21
2137A-B6350    T6THS / -- / --    2004-01-21
2137A-B6350    T6T / -- / --    2004-01-21
2137011104A    PAE 5350M / -- / --    1997-05-07
2137011104A    PAE 5325M / -- / --    1997-05-07
2137011104A    PAE 5100M / -- / --    1997-05-07
2137011104A    PAE 5350M / -- / --    1997-05-07
2137011104A    PAE 5325M / -- / --    1997-05-07
2137011104A    PAE 5100M / -- / --    1997-05-07
2137011104A    PAE 5350M / -- / --    1997-05-07
2137011104A    PAE 5325M / -- / --    1997-05-07
2137011104A    PAE 5100M / -- / --    1997-05-07
2137B9298    5610 / -- / --    1997-03-26
2137B9298    5610 / -- / --    1997-03-26
2137B9298    5610 / -- / --    1997-03-26
2137B310    PAE 4004 / -- / --    0000-00-00
2137B310    PAE 4004 / -- / --    0000-00-00
2137B310    PAE 4004 / -- / --    0000-00-00
S-SRX1500-A1-1 SW, A1, IPS, AppSecure, content security, 1 year
S-SRX1500-A2-1 SW, A2, IPS, AppSecure, URL filtering, cloud anti-virus/anti-spam,
content security, 1 year
S-SRX1500-A3-1 SW, A3, IPS, AppSecure, URL filtering, on box anti-virus, content
security, 1 year
S-SRX1500-A1-3 SW, A1, IPS, AppSecure, content security, 3 year
S-SRX1500-A2-3 SW, A2, IPS, AppSecure, URL filtering, cloud anti-virus/anti-spam,
content security, 3 year
S-SRX1500-A3-3 SW, A3, IPS, AppSecure, URL filtering, on box anti-virus, content
security, 3 year
S-SRX1500-A1-5 SW, A1, IPS, AppSecure, content security, 5 year
S-SRX1500-A2-5 SW, A2, IPS, AppSecure, URL filtering, cloud anti-virus/anti-spam,
content security, 5 year
S-SRX1500-A3-5 SW, A3, IPS, AppSecure, URL filtering, on box anti-virus, content
S-RA3-5CCU-S-1 SW, Remote Access VPN - Juniper, 5 Concurrent Users, Standard,
with SW support, 1 Year
S-RA3-25CCU-S-1 SW, Remote Access VPN - Juniper, 25 Concurrent Users, Standard,
with SW support, 1 Year
 S-RA3-50CCU-S-1 SW, Remote Access VPN - Juniper, 50 Concurrent Users, Standard,
with SW support, 1 Year
 S-RA3-100CCU-S-1 SW, Remote Access VPN - Juniper, 100 Concurrent Users, Standard,
with SW support, 1 Year
S-RA3-250CCU-S-1 SW, Remote Access VPN - Juniper, 250 Concurrent Users, Standard,
with SW support, 1 Year
S-RA3-500CCU-S-1 SW, Remote Access VPN - Juniper, 5 Concurrent Users, Standard,
with SW support, 3 Year
S-RA3-1KCCU-S-1 SW, Remote Access VPN - Juniper, 1000 Concurrent Users, Standard,
with SW support, 1 Year
S-RA3-5CCU-S-3 SW, Remote Access VPN - Juniper, 5 Concurrent Users, Standard,
with SW support, 3 Year
S-RA3-25CCU-S-3 SW, Remote Access VPN - Juniper, 25 Concurrent Users, Standard,
with SW support, 3 Year
S-RA3-50CCU-S-3 SW, Remote Access VPN - Juniper, 50 Concurrent Users, Standard,
with SW support, 3 Year
S-RA3-100CCU-S-3 SW, Remote Access VPN - Juniper, 100 Concurrent Users, Standard,
with SW support, 3 Year
S-RA3-250CCU-S-3 SW, Remote Access VPN - Juniper, 250 Concurrent Users, Standard,
with SW support, 3 Year
S-RA3-500CCU-S-3 SW, Remote Access VPN - Juniper, 500 Concurrent Users, Standard,
with SW support, 3 Year
SRX1500- SYS-JB
Automation (Junos scripting, auto-installation) Included
MPLS, LDP, RSVP, L3 VPN, pseudo-wires, VPLS Included
Application Security (AppID, AppFW, AppQoS, AppQoE, AppRoute) Optional
4Based on concurrent users; two free licenses included.
SRX1500 Firewall Specifications
SRX1500-SYS-JBAC
SRX1500 Firewall includes hardware (16GbE, 4x10GbE, 16G RAM,
16G Flash, 100G SSD, AC PSU, cable and RMK) and Junos Software
Base (firewall, NAT, IPSec, routing, MPLS and switching)Juniper Networks SRX1500, SRX4100 and SRX4200 Services
Gateways
Non-Proprietary FIPS 140-2 Cryptographic Module Security
QFX5000 线
QFX5100QFX5100
QFX5110QFX5110
QFX5120QFX5120
QFX5200QFX5200
QFX5210QFX5210
QFX5220QFX5220
QFX10000线
独立型号(小)
EX2300-CEX2300-C
EX2300EX2300
EX2300MEX2300M
独立型号(中)
EX3400EX3400
EX4300EX4300
EX4300MEX4300M
EX4600EX4600
EX4650EX4650
底盘型号
运营商级和扩大规模
MX960MX960
MX480
MX240
 
MX2008MX2008
 
MX2010MX2010
 
MX2020MX2020
云级和扩展
MX10008MX10008
MX10016MX10016
横向扩展和分布式
vMXvMX
 SRX1500-AC
SRX1500-AC
The Juniper Networks® SRX1500 Services Gateway is a high-performance next-generation firewall and security services gateway that protects mission-critical enterprise campuses, regional headquarters, and data center networks. The SRX1500 is the only product in its class that not only provides best-in-class security and threat mitigation capabilities, but also integrates carrier-class routing ...


View SRX1500-AC
Read more
Contact Us for a Quote
SRX1500-ATP-1
SRX1500-ATP-1
We buy and sell used Juniper SRX1500 networking equipment. Please contact us to buy or sell any pre-owned or surplus routers.


View SRX1500-ATP-1
Read more
Contact Us for a Quote
SRX1500-CS-BUN-1
SRX1500-CS-BUN-1
We buy and sell used Juniper SRX1500 networking equipment. Please contact us to buy or sell any pre-owned or surplus routers.


View SRX1500-CS-BUN-1
Read more
Contact Us for a Quote
SRX1500-IPS-1
SRX1500-IPS-1
We buy and sell used Juniper SRX1500 networking equipment. Please contact us to buy or sell any pre-owned or surplus routers.


View SRX1500-IPS-1
Read more
Contact Us for a Quote
SRX1500-IPS-3
SRX1500-IPS-3
We buy and sell used Juniper SRX1500 networking equipment. Please contact us to buy or sell any pre-owned or surplus routers.
CON-3SNT-ASR12CZACON-3SNTP-ASR12CZACON-3OSP-ASR12CZACON-SNT-ASR12CZACON-SNTE-ASR12CZACON-SNTP-ASR12CZACON-OS-ASR12CZACON-OSE-ASR12CZACON-OSP-ASR12CZACON-3SNC-ASR12CZACON-NCD2-ASR12CZACON-NCD2P-ASR12CZACON-NCD4P-ASR12CZACON-NCD4S-ASR12CZACON-NCDCS-ASR12CZACON-NCDE-ASR12CZACON-NCDNC-ASR12CZACON-NCDNO-ASR12CZACON-NCDP-ASR12CZACON-NCDT-ASR12CZACON-NCDTC-ASR12CZACON-NCDTO-ASR12CZACON-NCDW-ASR12CZACON-NCF2-ASR12CZACON-NCF2P-ASR12CZACON-NCF4P-ASR12CZACON-NCF4S-ASR12CZACON-NCFCS-ASR12CZACON-NCFE-ASR12CZACON-NCFNO-ASR12CZACON-NCFP-ASR12CZACON-NCFT-ASR12CZACON-NCFTC-ASR12CZACON-NCFTO-ASR12CZACON-NCFW-ASR12CZACON-NCHNC-ASR12CZACON-NCHNO-ASR12CZACON-NCHT-ASR12CZACON-NCHTC-ASR12CZACON-NCHTO-ASR12CZACON-NCJNC-ASR12CZACON-NCJNO-ASR12CZACON-NCJTC-ASR12CZACON-NCJTO-ASR12CZACON-PREM-ASR12CZACON-S2P-ASR12CZACON-SNC-ASR12CZACON-SNCO-ASR12CZACON-SSC2P-ASR12CZACON-SSC4P-ASR12CZACON-SSC4S-ASR12CZACON-SSCS-ASR12CZACON-SSNCO-ASR12CZACON-SSS2P-ASR12CZACON-SSSNC-ASR12CZACON-SSSNE-ASR12CZACON-SSSNP-ASR12CZACON-SSSNT-ASR12CZACON-SW-ASR12CZA
Manufacturer Part#    UPC    Weight (lb)    MSRP
ASR-920-12CZ-A    0882658723421    1    $5,205.00

DIMENSION    UNSPSC    HARMONIZATION CODE    COUNTRY OF ORIGIN
43222609    8517620050    CN




General Information:

  Manufacturer: Cisco Systems, Inc
  Manufacturer Part Number: ASR-920-12CZ-A
  Manufacturer Website Address: http://www.cisco.com
  Brand Name: Cisco
  Product Series: ASR 920
  Product Model: ASR-920-12CZ-A
  Product Name: ASR-920-12CZ-A Router
  Marketing Information: Cisco® ASR 920 Series Aggregation Services Routers are full-featured converged access platforms designed for the cost-effective delivery of wireline and wireless services. They are temperature hardened, high-throughput, small form factor, low-power-consumption routers optimized for mobile backhaul, residential, and business service applications. The Cisco ASR 920 Router provides a comprehensive and scalable feature set of Layer 2 VPN (L2VPN) and Layer 3 VPN (L3VPN) services in a compact package. It also enables service providers to deploy Multiprotocol Label Switching (MPLS)-based VPN services from within the access layer. Designed around key Carrier Ethernet features that simplify network operation, the Cisco ASR 920 Series enables premium services with enhanced service-level agreement (SLA) capabilities. An optional "pay-as-you-grow" feature and service activation model gives service providers a flexible, cost-effective solution.
  Product Type: Router

Interfaces/Ports:

  Total Number of Ports: 8
  Powerline: No
  Management Port: Yes
  Number of Network (RJ-45) Ports: 8

I/O Expansions:

  Number of Total Expansion Slots: 14
  Expansion Slot Type: SFP
  Expansion Slot Type: SFP+

Network & Communication:

  Ethernet Technology: 10 Gigabit Ethernet
  Network Technology: 10/100/1000Base-T
  Network Technology: 1000Base-X
  Network Technology: 10GBase-X

Memory:

  Standard Memory: 4 GB

Power Description:

  PoE (RJ-45) Port: No
  Redundant Power Supply Supported: Yes
702-03400100A10 Nidec -
Physical Characteristics:
Cisco ASR-920-12CZ-A ASR920 Series - 12GE
 Cisco ASR-920-12SZ-IM
Cisco ASR-920-10SZ-PD
Cisco ASR-920-12CZ-D
Cisco ASR-920-12SZ-A
Cisco ASR-920-12SZ-D
Cisco ASR-920-24SZ-IM
Cisco ASR-920-24SZ-M
Cisco ASR-920-24TZ-M
Cisco ASR 920 series
Cisco ASR-920-20SZ-M
  Weight (Approximate): 8.60 lb
ASR-920-12CZ-A: 1.72 x 17.5 x 9.1 in. (43.7 x 444.5 x 231.1 mm), 1 RU
ASR-920-12CZ-D: 1.72 x 17.5 x 9.6 in. (43.7 x 444.5 x 243.8 mm), 1 RU
ASR-920-4SZ-A: 1.72 x 15.5 x 9.1 in. (43.7 x 393.7 x 231.1 mm), 1 RU
ASR-920-4SZ-D: 1.72 x 15.5 x 9.6 in. (43.7 x 393.7 x 243.8 mm), 1 RU
Weight ASR-920-12CZ-A: 8.3 lb (3.8kg)
ASR-920-12CZ-D: 7.7 lb (3.5kg)
ASR-920-4SZ-A: 7.7 lb (3.5kg)
ASR-920-4SZ-D: 7.0 lb (3.2kg)
Miscellaneous:

  Country of Origin: Thailand
 ASR-920-12CZ-A Image Gallery: ASR-920-12CZ-A ASR-920-12CZ-A ASR-920-12CZ-A ASR-920-12CZ-A ASR-920-12CZ-A ASR-920-12CZ-A ASR-920-12CZ-A ASR-920-12CZ-A

Cisco [ASR-920-12CZ-A]
Cisco ASR 900 Routers (ASR-920-12CZ-A)
Cisco ASR920 Series - 12GE and2-10GE - A (ASR-920-12CZ-A)

Cisco ASR920 Series - 12GE and2-10GE - A {ASR-920-12CZ-A}

ASR-920-12CZ-A
View SRX1500-IPS-3
Read more
Contact Us for a Quote
SRX1500-JSE
SRX1500-JSE
We buy and sell used Juniper SRX1500 networking equipment. Please contact us to buy or sell any pre-owned or surplus routers.


View SRX1500-JSE
 
 
SRX1500-RMK
MX104MX104
MX80MX80
MX40MX40
MX10MX10
MX5MX5
 
MX10003
MX204
MX150
EX9200EX9200
EX9250EX9250
QFX10002QFX10002
QFX10008 10016QFX10008
QFX10016
SRX300
SRX320
SRX340
SRX345
SRX550
SRX1400
SRX1500
SRX3400
SRX3600
SRX4100
SRX4200
SRX4600
SRX5400
SRX5600
SRX5800
SRX1500-SYS-JBDC
SRX1500 Firewall includes hardware (16GbE, 4x10GbE, 16G RAM,
16G Flash, 100G SSD, DC PSU, cable and RMK) and Junos Software
Base (firewall, NAT, IPSec, routing, MPLS and switching)
SRX1500-RMK SRX1500 rack mount kit – rail
JPSU-400W-AC Juniper Power Supply Unit, 400W AC, Slim 1RU Form Factor
JPSU-650W-DC-AFO Juniper 650W DC Power Supply (
S-SRX1500-P1-1 SW, P1, IPS, AppSecure, ATP, content security, 1 year
S-SRX1500-P2-1 SW, P2, IPS, AppSecure, URL filtering, cloud anti-virus/anti-spam, ATP,
content security, 1 year
S-SRX1500-P3-1 SW, P3, IPS, AppSecure, URL filtering, on box anti-virus, ATP, content
security, 1 year
S-SRX1500-P1-3 SW, P1, IPS, AppSecure, ATP, content security, 3 year
S-SRX1500-P2-3 SW, P2, IPS, AppSecure, URL filtering, cloud anti-virus/anti-spam, ATP,
content security, 3 year
S-SRX1500-P3-3 SW, P3, IPS, AppSecure, URL filtering, on box anti-virus, ATP, content
security, 3 year
S-SRX1500-P1-5 SW, P1, IPS, AppSecure, ATP, content security, 5 year
S-SRX1500-P2-5 SW, P2, IPS, AppSecure, URL filtering, cloud anti-virus/anti-spam, ATP,
content security, 5 year
S-SRX1500-P3-5 SW, P3, IPS, AppSecure, URL filtering, on box anti-virus, ATP, content
security, 5 year
TR-7750 VHF AM DIGITAL MULTIMODE TRANSCEIVER 50W
READ MORE
TR-7750 VHF AM DIGITAL MULTIMODE TRANSCEIVER 50W
CM-300/350 Air Traffic Control RadiosCM-300/350 Air Traffic Control Radios
READ MORE
CM-300/350 AIR TRAFFIC CONTROL RADIOS
RRC 7700 RADIO REMOTE CONTROLLER STAND-ALONE SOLUTION
READ MORE
RRC 7700 RADIO REMOTE CONTROLLER STAND-ALONE SOLUTION
Make Your Day Stirrer for Monin Fruit Purée, Peach and Mango, 33 8 Ounce (Pack of 2)
Make Your Day Stirrer for Monin Fruit Purée, Peach and Mango, 33 8 Ounce (Pack of 2)

2 Pieces 2021 New Inches Hot Hem Sewing Ruler Hot Iron Ruler Hot Hemmer Pressing Tool Heat Resistant Ruler Sewing DIY Tools Measuring Quilting Press Handmade for Electric Iron Home Clothing Making()
2 Pieces 2021 New Inches Hot Hem Sewing Ruler Hot Iron Ruler Hot Hemmer Pressing Tool Heat Resistant Ruler Sewing DIY Tools Measuring Quilting Press Handmade for Electric Iron Home Clothing Making()
 12 59  
ActivatedYou Morning Complete Daily Wellness Drink with 10 Billion CFUs, Prebiotics, Probiotics and Green Superfoods, 30 Servings, Citrus Flavor
ActivatedYou Morning Complete Daily Wellness Drink with 10 Billion CFUs, Prebiotics, Probiotics and Green Superfoods, 30 Servings, Citrus Flavor
 57 95  
Chemraz/Greene Tweed O-Ring
Chemraz/Greene Tweed O-Ring
 12 30   23d 6h
Chemraz O-Ring 9013-SC513
Chemraz O-Ring 9013-SC513
 99    25d 9h
Chemraz O-Ring 9109-SC513
Chemraz O-Ring 9109-SC513
 99    26d 10h
Chemraz O-Ring 9012-SC513
Chemraz O-Ring 9012-SC513
 99    25d 9h
New Chemraz Greene Tweed 5641-0162-SC513 Wafer Holder
New Chemraz Greene Tweed 5641-0162-SC513 Wafer Holder
 15 03   7d 6h
Greene Tweed, 9232-SC513, Chemraz O- Ring, White Chemraz 412560
Greene Tweed, 9232-SC513, Chemraz O- Ring, White Chemraz 412560
 15    17d 11h
Chemraz 9265-SC513, Greene Tweed O-Ring leak tested by High Tech Services
Chemraz 9265-SC513, Greene Tweed O-Ring leak tested by High Tech Services
 75    29d 8h
CHEMRAZ 9229-SC513 Perfluroelastomer O-Ring
CHEMRAZ 9229-SC513 Perfluroelastomer O-Ring
 45    18d 12h
New Greene Tweed O-Ring 9216-SC513
New Greene Tweed O-Ring 9216-SC513
 15    23d 12h
Chemraz O-Ring 9123-SC513
Chemraz O-Ring 9123-SC513
 15    26d 11h
Chemraz O-Ring 9276-SC513
Chemraz O-Ring 9276-SC513
 25    26d 11h
GT Greene Tweed 932C0-SC513 CHEMRAZ O-RING, NEW
GT Greene Tweed 932C0-SC513 CHEMRAZ O-RING, NEW
 5    8d 19h
Chemraz O-Ring 9114-SC513
Chemraz O-Ring 9114-SC513
 15    26d 11h
GREENE TWEED - CHEMRAZ Perfluoroelastomer O-Ring - 5641-1778-SC657 (UK)
GREENE TWEED - CHEMRAZ Perfluoroelastomer O-Ring - 5641-1778-SC657 (UK)
 119 50   18d 21h
Chemraz O-Ring 9259-SC513
Chemraz O-Ring 9259-SC513
 25    26d 11h
Chemraz O-Ring 9340-SC513
Chemraz O-Ring 9340-SC513
 25    25d 9h
Chemraz oring 9170-SC513 LOT OF 5 leak tested by High Tech Services
Chemraz oring 9170-SC513 LOT OF 5 leak tested by High Tech Services
 15    28d 14h
Chemraz O-Ring 9223-SC513
Chemraz O-Ring 9223-SC513
 25    25d 9h
1 Chemraz Perfluoroelastomer O-Ring AS-568A, size 207, Comp 513, 9/16" ID x1/8"
1 Chemraz Perfluoroelastomer O-Ring AS-568A, size 207, Comp 513, 9/16" ID x1/8"
 45    19d 17h
Greene Tweed 9160-SC513, Compound 513, 133 02X2 62mm, Chemraz, Oring, 405959
Greene Tweed 9160-SC513, Compound 513, 133 02X2 62mm, Chemraz, Oring, 405959
 5    8d 8h
Chemraz O-Ring 9334-SC513
Chemraz O-Ring 9334-SC513
 25    25d 9h
Chemraz O-Ring 9238-SC513
Chemraz O-Ring 9238-SC513
 25    26d 11h
Chemraz 9265-SC513, Greene Tweed 2-513, Seals, O-Ring  412911
Chemraz 9265-SC513, Greene Tweed 2-513, Seals, O-Ring  412911
 175    10h 55m
Chemraz O-Ring 9226-SC513
Chemraz O-Ring 9226-SC513
 25    26d 11h
Chemraz Oring Plasmapro N035325834SC513 10 171IDX 139-513SC
Chemraz Oring Plasmapro N035325834SC513 10 171IDX 139-513SC
 1    27d 12h
Chemraz O-Ring 9320-SC513
Chemraz O-Ring 9320-SC513
 25    25d 9h
Chemraz O-Ring 9314-SC513
Chemraz O-Ring 9314-SC513
 25    25d 9h
Greene Tweed 5641-0106-SC513, Compound 513, AMAT 0030-09048, Chemraz 412378
Greene Tweed 5641-0106-SC513, Compound 513, AMAT 0030-09048, Chemraz 412378
 25    6d 16h
Chemraz O-Ring 9330-SC513
Chemraz O-Ring 9330-SC513
 25    25d 9h
GT Greene Tweed 9026-SS592 CHEMRAZ O-RING, NEW
GT Greene Tweed 9026-SS592 CHEMRAZ O-RING, NEW
 5    8d 20h
Chemraz, Greene Tweed, 9139-SC513, White O-Ring, See Details, NIP
Chemraz, Greene Tweed, 9139-SC513, White O-Ring, See Details, NIP
 4     
Chemraz, Greene Tweed, White O-Ring 9202-SC513, See Details, NIP
Chemraz, Greene Tweed, White O-Ring 9202-SC513, See Details, NIP
 25     
Greene Tweed 9264-SC513 O-ring, AS-568A-264 CPD 513, Chemraz, 3700-01715, 328874
Greene Tweed 9264-SC513 O-ring, AS-568A-264 CPD 513, Chemraz, 3700-01715, 328874
 35   
Applied Materials AMAT 3700-02945 O-RING CHEMRAZ ID1424 CSD103 SC513
Applied Materials AMAT 3700-02945 O-RING CHEMRAZ ID1424 CSD103 SC513
 74   56 24    
Greene Tweed 9264-SC513 O-Ring, AS-568A-264, CPD 513, Chemraz, 412380
Greene Tweed 9264-SC513 O-Ring, AS-568A-264, CPD 513, Chemraz, 412380
 25   
Chemraz 9265-SC513, Greene Tweed 2-513, Seal, O-Ring   412912
Chemraz 9265-SC513, Greene Tweed 2-513, Seal, O-Ring   412912
 25   
Chemraz O-Ring M024001780SC513
Chemraz O-Ring M024001780SC513
 99   
1 Chemraz Perfluoroelastomer O-Ring AS-568A, size 269, Comp 513, 8 3/4" ID x1/8"
1 Chemraz Perfluoroelastomer O-Ring AS-568A, size 269, Comp 513, 8 3/4" ID x1/8"
 275     
1 Chemraz Perfluoroelastomer O-Ring AS-568A size 111 Comp 513 7/16"x 5/8"x3/32"
1 Chemraz Perfluoroelastomer O-Ring AS-568A size 111 Comp 513 7/16"x 5/8"x3/32"
 35   
Greene Tweed 9031-SC513, AS-568A, 44 17X1 78mm, Chemraz, O-ring, 402793
Greene Tweed 9031-SC513, AS-568A, 44 17X1 78mm, Chemraz, O-ring, 402793
 25   
Greene Tweed 9031-SC513, AS-568A, 44 17X1 78mm, Chemraz, O-ring, 402794
Greene Tweed 9031-SC513, AS-568A, 44 17X1 78mm, Chemraz, O-ring, 402794
 25   
GREENE TWEED 9284-SC513, O-RING,chemraz 513,3 53,456 0
GREENE TWEED 9284-SC513, O-RING,chemraz 513,3 53,456 0
 22     
Chemraz O-Ring M024001230sc513
Chemraz O-Ring M024001230sc513
 99   
Chemraz O-Ring 9110-SC513
Chemraz O-Ring 9110-SC513
 99   
Chemraz O-Ring 9219-SC513
Chemraz O-Ring 9219-SC513
 25   
Chemraz O-Ring 9453-SC513
Chemraz O-Ring 9453-SC513
 399   
Chemraz O-Ring 9366-SC513
Chemraz O-Ring 9366-SC513
 399   
Chemraz O-Ring 9453-SC513
Chemraz O-Ring 9453-SC513
 399   
Chemraz O-Ring 9130-SC513
Chemraz O-Ring 9130-SC513
 25   
Chemraz O-Ring 9323-SC513
Chemraz O-Ring 9323-SC513
 25   
Chemraz 5641-0449-SC513, AMAT 0030-09055, CPD 513, 5 IN, Seals, O-Ring  328844
Chemraz 5641-0449-SC513, AMAT 0030-09055, CPD 513, 5 IN, Seals, O-Ring  328844
 375   
Greene Tweed GT M057006460SC513 O-Ring SC513 P-65, Chemraz, 401988
Greene Tweed GT M057006460SC513 O-Ring SC513 P-65, Chemraz, 401988
 125   
Chemraz V-40 O-ring, Greene Tweed, GT M040003950SC513,  401178
Chemraz V-40 O-ring, Greene Tweed, GT M040003950SC513, 401178
 5   
Chemraz 9031-SC513, AS-568A-031 CPD 513, 1 73 ID X 0 070 CX IN, O-Ring  328854
Chemraz 9031-SC513, AS-568A-031 CPD 513, 1 73 ID X 0 070 CX IN, O-Ring  328854
 5   
4 Chemraz 129 SC513, O-Ring, 1 549 x 103  416698
4 Chemraz 129 SC513, O-Ring, 1 549 x 103  416698
 15   
Greene Tweed, GT 9031-SC513, Chemraz, O-Ring 402186
Greene Tweed, GT 9031-SC513, Chemraz, O-Ring 402186
 75   
Chemraz 9901-SC513 (AS-568-904 CPD 513), Seal, O-Ring, AMAT 3700-01709  413315
Chemraz 9901-SC513 (AS-568-904 CPD 513), Seal, O-Ring, AMAT 3700-01709  413315
 15   
Chemraz Sc513 O-ring Mvp-200 Gt 5641-0477-sc513 Greene Tweed 401694
Chemraz Sc513 O-ring Mvp-200 Gt 5641-0477-sc513 Greene Tweed 401694
 125   
Chemraz Sc513 O-ring Mvp-205 Gt 5641-0478-sc513 Greene Tweed 401695
Chemraz Sc513 O-ring Mvp-205 Gt 5641-0478-sc513 Greene Tweed 401695
 125   
Chemraz V-15 O-ring, Greene Tweed, GT M040001450SC513, CPD 513, 401177
Chemraz V-15 O-ring, Greene Tweed, GT M040001450SC513, CPD 513, 401177
 35   
Chemraz Sc513 O-ring Mvp-220 Gt 5641-0476-sc513 Green Tweed Mushroom Seal 401708
Chemraz Sc513 O-ring Mvp-220 Gt 5641-0476-sc513 Green Tweed Mushroom Seal 401708
 145   
Greene Tweed, 9243-SC513, 4 109X 139, Chemraz, 2-243 O-Ring 402312
Greene Tweed, 9243-SC513, 4 109X 139, Chemraz, 2-243 O-Ring 402312
 195   
AMAT 3700-02211 O-ring, Chemraz, Greene Tweed 9115-SC513, AS-568A-115, CPD 513
AMAT 3700-02211 O-ring, Chemraz, Greene Tweed 9115-SC513, AS-568A-115, CPD 513
 125   
GREENE TWEED 9177-SC513 CHEMRAZ O-RING 9 487 ID X 0 103CX in 240 97 ID X 2 62 CX
GREENE TWEED 9177-SC513 CHEMRAZ O-RING 9 487 ID X 0 103CX in 240 97 ID X 2 62 CX
 2     
Greene Tweed 9031-SC513 1 73X 070, Chemraz, O-Ring 402434
Greene Tweed 9031-SC513 1 73X 070, Chemraz, O-Ring 402434
 75   
Chemraz Sc513 O-ring P-245 Gt M084024450 Sc513 Green Tweed 401700
Chemraz Sc513 O-ring P-245 Gt M084024450 Sc513 Green Tweed 401700
 175   
GREENE TWEED 9048-SC513 CHEMRAZ AS-568A-048 O-RING 4739 12037mm X 0070 178mm
GREENE TWEED 9048-SC513 CHEMRAZ AS-568A-048 O-RING 4739 12037mm X 0070 178mm
 159 95    
GREENE TWEED 4201B38106SC513 CHEMRAZ O-Ring; 11 767 ID X 0 245 CX IN
GREENE TWEED 4201B38106SC513 CHEMRAZ O-Ring; 11 767 ID X 0 245 CX IN
 59 95    
AMAT 3700-02206 O-Ring Chemraz SC513 80 DURO White ID 1 174 CSD 103, 451436
AMAT 3700-02206 O-Ring Chemraz SC513 80 DURO White ID 1 174 CSD 103, 451436
 75   
GREENE TWEED 9372-SC513 CHEMRAZ O-RING 8725 22162mm X 0210 533mm
GREENE TWEED 9372-SC513 CHEMRAZ O-RING 8725 22162mm X 0210 533mm
 1,351 95    
24603 Greene Tweed Chemraz O-ring, 10 171 Id X 0 139 Cx In (new) N035325834sc513
24603 Greene Tweed Chemraz O-ring, 10 171 Id X 0 139 Cx In (new) N035325834sc513
 157 05    
Greene Tweed & Co 9104-sc513 104 O-ring White Chemraz Ss513, 104c513o-ring Id
Greene Tweed & Co 9104-sc513 104 O-ring White Chemraz Ss513, 104c513o-ring Id
 139 95    
Greene Tweed 9031-SC513, Compound 513, 44 17 X 1 78mm, Chemraz, O-Ring 402468
Greene Tweed 9031-SC513, Compound 513, 44 17 X 1 78mm, Chemraz, O-Ring 402468
 25   
Chemraz gt p/n 9176-sc513 (as-568a-176 cpd 513) o-ring
Chemraz gt p/n 9176-sc513 (as-568a-176 cpd 513) o-ring
 15     
Applied Materials/AMAT 3700-01716 O-RING 12 984 CSD  139 CHEMRAZ SC513
Applied Materials/AMAT 3700-01716 O-RING 12 984 CSD  139 CHEMRAZ SC513
 2     
Green, Tweed 9906-SC513 Chemraz O-Ring 3700-01708, 0 468 ID x 0 78 CX IN, 401950
Green, Tweed 9906-SC513 Chemraz O-Ring 3700-01708, 0 468 ID x 0 78 CX IN, 401950
 25   
O-RING,  AMAT 3700-02729, Chemraz SC513 White, ID10 171 CSD 139, AS-568-275
O-RING, AMAT 3700-02729, Chemraz SC513 White, ID10 171 CSD 139, AS-568-275
 18     
Applied Materials CHEMRAZ O-RING 9276-SC513 10 984 ID X 0 139 CX
Applied Materials CHEMRAZ O-RING 9276-SC513 10 984 ID X 0 139 CX
 15     
Chemraz 9223-SC513 O-RING AS-568A-223 CPD 513, 3700-02389, 107375
Chemraz 9223-SC513 O-RING AS-568A-223 CPD 513, 3700-02389, 107375
 15   
Applied Materials 3700-02135 O-ring, 11 484 X  139" Duro White 9277-sc513
Applied Materials 3700-02135 O-ring, 11 484 X  139" Duro White 9277-sc513
 25     
Chemraz O-Ring 9279-SC513 Lot of 3
Chemraz O-Ring 9279-SC513 Lot of 3
 6     
Chemraz 9224-SC513 O-RING AS-568A-224 CPD 513, 107328
Chemraz 9224-SC513 O-RING AS-568A-224 CPD 513, 107328
 125   
Chemraz 9224-SC513 O-RING AS-568A-224 CPD 513, 107352
Chemraz 9224-SC513 O-RING AS-568A-224 CPD 513, 107352
 125   
Applied Materials O-Ring (AMAT) 3700-01659 ID 1 299 CSD  103 CHEMRAZ SC513 80
Applied Materials O-Ring (AMAT) 3700-01659 ID 1 299 CSD  103 CHEMRAZ SC513 80
 4     
AMAT 3700-02701, Chemraz O-Ring, CPD 513, SC513, 18 880 ID x 0 139 CX IN  420032
AMAT 3700-02701, Chemraz O-Ring, CPD 513, SC513, 18 880 ID x 0 139 CX IN  420032
 1,25   
Chemraz 9275-SC513 O-RING AS-568A-275 CPD 513, 107397
Chemraz 9275-SC513 O-RING AS-568A-275 CPD 513, 107397
 35   
Chemraz 9274-SC513 O-RING, AS-568A-274 CPD 513, 105320
Chemraz 9274-SC513 O-RING, AS-568A-274 CPD 513, 105320
 25   
Chemraz 9223-SC513 O-RING AS-568A-223 CPD 513, 110189
Chemraz 9223-SC513 O-RING AS-568A-223 CPD 513, 110189
 15   
Chemraz 9255-SC513 O-RING AS-568A-255 CPD 513, 107331
Chemraz 9255-SC513 O-RING AS-568A-255 CPD 513, 107331
 47   
Chemraz 9258-SC513 O-RING, AS-568A-258 CPD 513, 105317
Chemraz 9258-SC513 O-RING, AS-568A-258 CPD 513, 105317
 35   
Chemraz 9264-SC513 O-RING AS-568A-264 CPD 513, 3700-01715, 107360
Chemraz 9264-SC513 O-RING AS-568A-264 CPD 513, 3700-01715, 107360
 35   
Chemraz 9278-SC513 O-RING, AS-568A-278 CPD 513, 3700-02300, 105340
Chemraz 9278-SC513 O-RING, AS-568A-278 CPD 513, 3700-02300, 105340
 35   
Chemraz 9225-SC513 O-RING, AS-568A-225 CPD 513, 3700-02317, 333-225C513, 105336
Chemraz 9225-SC513 O-RING, AS-568A-225 CPD 513, 3700-02317, 333-225C513, 105336
 25   
Chemraz 9121-SC513, O-RING  AS-568A-121 CPD 513, 2-121-513SC, 107373
Chemraz 9121-SC513, O-RING AS-568A-121 CPD 513, 2-121-513SC, 107373
 15   
Chemraz O-ring 9264-sc513 7 484 Id X 3 53 Cx
Chemraz O-ring 9264-sc513 7 484 Id X 3 53 Cx
 1     
Chemraz 9015-SC513 O-RING AS-568A-015 CPD 513, 107877
Chemraz 9015-SC513 O-RING AS-568A-015 CPD 513, 107877
 1   
Chemraz 9012-SC513 O-RING AS-568A-012 CPD 513, 107879
Chemraz 9012-SC513 O-RING AS-568A-012 CPD 513, 107879
 1   
Chemraz 9204-SC513 O-RING AS-568A-204 CPD 513, 107288
Chemraz 9204-SC513 O-RING AS-568A-204 CPD 513, 107288
 1   
Chemraz 9109-SC513 O-RING AS-568A-109 CPD 513, 3700-01781, 107351
Chemraz 9109-SC513 O-RING AS-568A-109 CPD 513, 3700-01781, 107351
 1   
Chemraz 9169-sc513 O-ring 7 487 Id X 0 103 Cx In
Chemraz 9169-sc513 O-ring 7 487 Id X 0 103 Cx In
 115     
AMAT 3700-01659 O-RING ID:1 299 CSD:0 103 Chemraz SC513, 107286
AMAT 3700-01659 O-RING ID:1 299 CSD:0 103 Chemraz SC513, 107286
 4   
2 Amat 3700-02389 O-ring Chemraz Sc513, 107378
2 Amat 3700-02389 O-ring Chemraz Sc513, 107378
 1,2   
Chemraz 9220-sc513 O-ring 1 359 Id X 0 139 Cx In
Chemraz 9220-sc513 O-ring 1 359 Id X 0 139 Cx In
 55     
AMAT 3700-02155 O-Ring Chemraz SC513 80 DURO White, ID 10 475 CSD 210, 451437
AMAT 3700-02155 O-Ring Chemraz SC513 80 DURO White, ID 10 475 CSD 210, 451437
 75   
15211 Chemraz O-ring, As-568a-275, Amat 3700-02105 (new) 9275-sc513
15211 Chemraz O-ring, As-568a-275, Amat 3700-02105 (new) 9275-sc513
 465 75    
Chemraz 9012-SC513 AS -568A-012 CPD 513 CBI 1000567104 O-RING
Chemraz 9012-SC513 AS -568A-012 CPD 513 CBI 1000567104 O-RING
 6   52 49    
24699 Applied Materials Chemraz O-ring, 1 734'' Id X 0 139'' Cx (new) 3700-01619
24699 Applied Materials Chemraz O-ring, 1 734'' Id X 0 139'' Cx (new) 3700-01619
 125 64    
23484 Chemraz O-ring, 4 234 Id X 0 139 Cx In (new) As-568a-244 Cpd 513
23484 Chemraz O-ring, 4 234 Id X 0 139 Cx In (new) As-568a-244 Cpd 513
 1     
Applied Materials AMAT 3700-02945 O-RING CHEMRAZ ID1424 CSD103 SC513
Applied Materials AMAT 3700-02945 O-RING CHEMRAZ ID1424 CSD103 SC513
 139 95    
AMAT 3700-01727 O-Ring, ID 4 859 CSD  139 Chemraz SC513 80 DURO WHT
AMAT 3700-01727 O-Ring, ID 4 859 CSD  139 Chemraz SC513 80 DURO WHT
 154 95    
Applied Materials(AMAT) 3700-02704 O-Ring ID  551 CSD  070 Chemraz SC513 80 DUR
Applied Materials(AMAT) 3700-02704 O-Ring ID  551 CSD  070 Chemraz SC513 80 DUR
 24 95    
AMAT 3700-02701, Chemraz O-Ring, CPD 513, SC513, 18 880 ID x 0 139 CX IN  420033
AMAT 3700-02701, Chemraz O-Ring, CPD 513, SC513, 18 880 ID x 0 139 CX IN  420033
 1,25   
Chemraz 9275-SC513 O-RING AS-568A-275 CPD 513, 107325
Chemraz 9275-SC513 O-RING AS-568A-275 CPD 513, 107325
 47   
Chemraz 9012-SC513 O-RING AS-568A-012 CPD 513, 570-650-0184, 107987
Chemraz 9012-SC513 O-RING AS-568A-012 CPD 513, 570-650-0184, 107987
 1   
O-Ring  GREEN TWEED 9034-SC513 ID 2 114 CSD  070 Chemraz 80 DURO WHT
O-Ring GREEN TWEED 9034-SC513 ID 2 114 CSD  070 Chemraz 80 DURO WHT
 18 70  
O-Ring  GREEN TWEED 9013-SC513  ID  426 CSD  070 CHEMRAZ SC513 80 DURO WHT
O-Ring GREEN TWEED 9013-SC513 ID  426 CSD  070 CHEMRAZ SC513 80 DURO WHT
 11   
Chemraz O-Ring 9107-SC513
Chemraz O-Ring 9107-SC513
 99   
Chemraz O-Ring 9326-SC513
Chemraz O-Ring 9326-SC513
 25   
More Other Semiconductor & PCB Manufacturing

PicClick
Search eBay Faster
Vintage 4" silicon wafer with Microprocessors - From 1980s and Case is Included
Top-Rated Plus Seller Vintage 4" silicon wafer with Microprocessors - From 1980s and Case is Included
 28 50  
silicon wafer 12” 300mm copper pattern reclaim
silicon wafer 12” 300mm copper pattern reclaim
 5     
Alcatel ATH 20/20 Turbo Pump and MKS 415591-G1 Assembly
Alcatel ATH 20/20 Turbo Pump and MKS 415591-G1 Assembly
 95     
Applied Materials AMAT MCVD Susceptor 0010-10277 6" T1 - Sealed, with Documents
Applied Materials AMAT MCVD Susceptor 0010-10277 6" T1 - Sealed, with Documents
 1,5     
Large pure silicon crystal ingot sputtering target polysilicon poly-silicon
Large pure silicon crystal ingot sputtering target polysilicon poly-silicon
 16 95    
1 each EREM 391SA Wafer 1/2" Tip Precision Swiss Tweezer with four Fingers
1 each EREM 391SA Wafer 1/2" Tip Precision Swiss Tweezer with four Fingers
 1   
A192-80-04-0215 Entegris / Fluoroware 200mm (8") Slingshot Handle, Teflon
A192-80-04-0215 Entegris / Fluoroware 200mm (8") Slingshot Handle, Teflon
 185   
Lot of 5x Adixen Pascal 2063 SD Rotary Vane Mechanical Vacuum Pump (Alcatel)
Lot of 5x Adixen Pascal 2063 SD Rotary Vane Mechanical Vacuum Pump (Alcatel)
 5,5     
Freescale Semiconductor TWR-P1025 Tower System QorIQ e500 MPU Embedded Eval Brd
Freescale Semiconductor TWR-P1025 Tower System QorIQ e500 MPU Embedded Eval Brd
 18     
Palomar WD12285-505-T Rev 3 Adaptive Bonding System Card for 8000I Wire Bonder
Top-Rated Plus Seller Palomar WD12285-505-T Rev 3 Adaptive Bonding System Card for 8000I Wire Bonder
 35     
Lambda    Jws75-15/a Power Supply
Lambda Jws75-15/a Power Supply
 64     
Varian E17061270 REV 3
Varian E17061270 REV 3
 25     
Clarity PRMXE0 2-30PPC8S1 0 2 Absolute Filter
Clarity PRMXE0 2-30PPC8S1 0 2 Absolute Filter
 2     
Applied Materials AMAT Susceptor BWCVD 5000 T1 8" P/N 0010-09962 - Sealed
Applied Materials AMAT Susceptor BWCVD 5000 T1 8" P/N 0010-09962 - Sealed
 2,25     
Pro-face GP 577R-SC11 Graphic Panel TouchScreen Controller AS-IS
Pro-face GP 577R-SC11 Graphic Panel TouchScreen Controller AS-IS
 125     
Dell OptiPlex 7010 9010 MT Tower Front I/O Panel USB Audio Assembly 0DH7MN L-P
Dell OptiPlex 7010 9010 MT Tower Front I/O Panel USB Audio Assembly 0DH7MN L-P
 12 90  
silicon wafer 12” 300mm copper pattern reclaim  5 for 200
silicon wafer 12” 300mm copper pattern reclaim  5 for 200
 2     
M17/PHCU Probebox IV Eco Physics PROBE BOX only
M17/PHCU Probebox IV Eco Physics PROBE BOX only
 1   
25X 4-Inch Si Wafer Cincinnati Milacron 100mm Epitaxial P/N 16 8-21 8 Ohm-cm
25X 4-Inch Si Wafer Cincinnati Milacron 100mm Epitaxial P/N 16 8-21 8 Ohm-cm
 95   
Kalrez AS-568A O-ring K#204 Compound 4079 High Tech Services
Kalrez AS-568A O-ring K#204 Compound 4079 High Tech Services
 2     
Tektronix P7350 5GHz TekConnect Differential Probe
Tektronix P7350 5GHz TekConnect Differential Probe
 2     
8” 200 mm STAINLESS STEEL SILICON WAFER DICING RING/LOOP
8” 200 mm STAINLESS STEEL SILICON WAFER DICING RING/LOOP
 8     
Applied Materials 0190-12847 P1219a Scr Lamp Driver 15 Zone Radiance Amat
Applied Materials 0190-12847 P1219a Scr Lamp Driver 15 Zone Radiance Amat
 29,999     
TT5000 Fuel Sensing Cable
TT5000 Fuel Sensing Cable
 8   
Inficon PSG500 Pirani Capacitance Diaphragm Gauge 14-30V 1W
Inficon PSG500 Pirani Capacitance Diaphragm Gauge 14-30V 1W
 144 11  135 46    
Fusion Systems Interface Chuck PCB Assy 61981 Rev L S/N 1596 C New/Surplus
Fusion Systems Interface Chuck PCB Assy 61981 Rev L S/N 1596 C New/Surplus
 235     
New! Axcelis Technologies LU-D-630 TI # 74103-0001
New! Axcelis Technologies LU-D-630 TI # 74103-0001
 299 88  
Ferrotec 50-103354H Ferrofluidic Hollow Shaft Vacuum Feedthrough 1 5" ID
Ferrotec 50-103354H Ferrofluidic Hollow Shaft Vacuum Feedthrough 1 5" ID
 999     
5pcs Lot- CG75MS, MFR= Littlefuse, Gas discharge tubes, 75VDC, 20AAC, SMD
5pcs Lot- CG75MS, MFR= Littlefuse, Gas discharge tubes, 75VDC, 20AAC, SMD
 15   
Preowned AMTECH Ultraweld 200/250 VAC Warranty Fast Shipping
Preowned AMTECH Ultraweld 200/250 VAC Warranty Fast Shipping
 475     
NWL WB3278 Capacitor 15,800 uF 2 8 KVDC
NWL WB3278 Capacitor 15,800 uF 2 8 KVDC
 5   
H145190 Loctite ZETA 7735 UV Wand System 98317A
Top-Rated Plus Seller H145190 Loctite ZETA 7735 UV Wand System 98317A
 620 50  310 25  
AG Associates 7100-5133-02 CPU PCB Board S/N 67-12 NEW Ziatech ZT8812 Rev F
AG Associates 7100-5133-02 CPU PCB Board S/N 67-12 NEW Ziatech ZT8812 Rev F
 695     
Adtec Ax-1000ii / Ax-1000amii Generator
Adtec Ax-1000ii / Ax-1000amii Generator
 3,95     
LOT OF 2 * Tektronix TPP0500B 500 MHz Probe
LOT OF 2 * Tektronix TPP0500B 500 MHz Probe
      
Shinko Lm-arm-cont2 Robot Controller
Shinko Lm-arm-cont2 Robot Controller
 2,   
Quartz Wafer Carrier 150mm 6" Holds 25 Wafers 54-1090-074 HA#10045  Set of 4
Quartz Wafer Carrier 150mm 6" Holds 25 Wafers 54-1090-074 HA#10045 Set of 4
 195     
14 Inch Amana Stainless Steel Table Saw
14 Inch Amana Stainless Steel Table Saw
 26   
IWAKI EX-C45FF-100S27 Metering Pump
IWAKI EX-C45FF-100S27 Metering Pump
 149 01  74 51    
347-0201  Amat Applied 0020-23234 Bracket Left 150mm Cassette
347-0201 Amat Applied 0020-23234 Bracket Left 150mm Cassette
    74     
KOSTAT KS-8201 MQFP 28mm X 28mm BLACK CPU TRAYS (LOT OF 100)
Top-Rated Plus Seller KOSTAT KS-8201 MQFP 28mm X 28mm BLACK CPU TRAYS (LOT OF 100)
 5   3   
ENTEGRIS XT201-0402 Wafer Carrier 200mm w/ Rivets & Label - Made in USA
ENTEGRIS XT201-0402 Wafer Carrier 200mm w/ Rivets & Label - Made in USA
 33 95  
NEW LS ELECTRIC MC-32A 32A METASOL CONTACTOR 200818 -free shipping
NEW LS ELECTRIC MC-32A 32A METASOL CONTACTOR 200818 -free shipping
 24   
Applied Materials AMAT 0020-27842 Pedestal 8 inch Pinless NEW
Applied Materials AMAT 0020-27842 Pedestal 8 inch Pinless NEW
 328     
Virgin Wafer Cassette Of 25/4pk 200mm Dk170103 8" 100 TOTAL
Virgin Wafer Cassette Of 25/4pk 200mm Dk170103 8" 100 TOTAL
 1,   8   
Agilent Clock Board P/N E6978-68519 NEW in box, HP Hewlett Packard Keysight
Agilent Clock Board P/N E6978-68519 NEW in box, HP Hewlett Packard Keysight
 3,7     
KLA TENCOR 28-0171   Power Supply  115VAC 50-60H/Z - New Open Box
Top-Rated Plus Seller KLA TENCOR 28-0171 Power Supply 115VAC 50-60H/Z - New Open Box
 6,925   6,578 75    
Kla 0013427-000
Kla 0013427-000
 3   
LOT OF 3  * Tektronix P6139B 500 MHz 10X Passive Probe
LOT OF 3 * Tektronix P6139B 500 MHz 10X Passive Probe
 1     
Brooks SLA7950S Digital MFC Mass Flow Controller 1/4" VCR Device Net CO2 25 SLPM
Top-Rated Plus Seller Brooks SLA7950S Digital MFC Mass Flow Controller 1/4" VCR Device Net CO2 25 SLPM
 24     
Praxair MRC P/N 20-472-NI000-9258 AMAT Endura Nickel  5% Target PVD
Praxair MRC P/N 20-472-NI000-9258 AMAT Endura Nickel  5% Target PVD
 2,675     
NEW SEM Gold Au Sputtering Target:  % Pure, 57mm D x 0 1mm Thick
NEW SEM Gold Au Sputtering Target:  % Pure, 57mm D x 0 1mm Thick
 559     
Entegris 41501-110G-F04-B12-A-S3 Pressure Transducer NT Single Port
Entegris 41501-110G-F04-B12-A-S3 Pressure Transducer NT Single Port
 357 18    
TEL Tokyo Electron 1810-225156-12 Upper Plate Ring Y203 Used Working
TEL Tokyo Electron 1810-225156-12 Upper Plate Ring Y203 Used Working
 409 18    
401-0401  Mac 45a-ba1-ddca-1ba Valve New
401-0401 Mac 45a-ba1-ddca-1ba Valve New
 1   
New! Sun Yeh 120v 12sec 1/4 Turn Electric Actuator Om-1
New! Sun Yeh 120v 12sec 1/4 Turn Electric Actuator Om-1
 2     
Tektronix P6330 3 5GHz Differential Oscilloscope Probe
Tektronix P6330 3 5GHz Differential Oscilloscope Probe
 349     
PTFE Slotted Wafer Dipper 1 75" I D  ~ SM2WD2
PTFE Slotted Wafer Dipper 1 75" I D  ~ SM2WD2
 158 41  
Lot of 2 Lam Research 669-185427-002 Rev B,Unused,US&8188
Lot of 2 Lam Research 669-185427-002 Rev B,Unused,US&8188
 169     
Pfeiffer PM 003 025 Hose Nipple Push On With Banjo Style End St St (Pack Of 5)
Pfeiffer PM 003 025 Hose Nipple Push On With Banjo Style End St St (Pack Of 5)
 35   
A19-01-0215 Entegris / Fluoroware "Labware" 9 5~50mm ( 375~2") 40 Slot, Teflon
A19-01-0215 Entegris / Fluoroware "Labware" 9 5~50mm ( 375~2") 40 Slot, Teflon
 95   
Picoprobe Model 28 20:1 GAIN ADJUST
Picoprobe Model 28 20:1 GAIN ADJUST
      
Tektronix TPP1000 1 GHz Probe
Tektronix TPP1000 1 GHz Probe
 5     
8 Inch Wafer Retaining Dicing Ring With Loop Stainless Steel Disco Frame Holder
8 Inch Wafer Retaining Dicing Ring With Loop Stainless Steel Disco Frame Holder
 13   
Fuji Electric SC-4 Magnetic Contactor SC4
Fuji Electric SC-4 Magnetic Contactor SC4
 92 44  
Kalrez O-Ring K#108 Compound 4079
Kalrez O-Ring K#108 Compound 4079
 19 57    
H48-01-0215 Entegris / Fluoroware "Labware" Process Tray for small parts, Teflon
H48-01-0215 Entegris / Fluoroware "Labware" Process Tray for small parts, Teflon
 48   
MVP Machine Vision Products AutoInspector 1820 Ultra II PC Board Test Inspection
MVP Machine Vision Products AutoInspector 1820 Ultra II PC Board Test Inspection
 4,4   
MASS-VAC POSI-TRAP Pump Intake Filter Housing 345040
MASS-VAC POSI-TRAP Pump Intake Filter Housing 345040
 3     
Novellus Type 15-053394-01 Ceramic Fork Finger 7-5/8" OAL Min Area Contact 6"
Novellus Type 15-053394-01 Ceramic Fork Finger 7-5/8" OAL Min Area Contact 6"
 48 95  
Oriel Detection System Model 7070
Oriel Detection System Model 7070
 53     
Swagelok SS-4BHT-36 PTFE Lined Stainless Braided Hose Assy  1/4" Tube Adapters
Swagelok SS-4BHT-36 PTFE Lined Stainless Braided Hose Assy  1/4" Tube Adapters
 65   
Parker Pipe Thread Reducer 1 1/2" X 1 Ptr - Ss Dfar Nib
Parker Pipe Thread Reducer 1 1/2" X 1 Ptr - Ss Dfar Nib
 11   
Phenomenal Aire Series C10 0 Cold Plasma Generator - USED
Phenomenal Aire Series C10 0 Cold Plasma Generator - USED
 25     
Phenomenal Aire Series C6 0 Cold Plasma Generator - USED
Phenomenal Aire Series C6 0 Cold Plasma Generator - USED
 2     
Lam research VIOP PHASE II 810-099175-103 REV A
Lam research VIOP PHASE II 810-099175-103 REV A
 1,399     
Stainless Steel Gauze Filter, MASS-VAC 300915
Stainless Steel Gauze Filter, MASS-VAC 300915
 29     
NSK Varios 970 ipiezo engine NE255 Ultrasonic Scaler Handpiece nsk VATIOS 2 Lux
NSK Varios 970 ipiezo engine NE255 Ultrasonic Scaler Handpiece nsk VATIOS 2 Lux
 6     
Copper sputter target Cu  7% 2" diameter x 0 25" thick: ACI ALLOYS
Copper sputter target Cu  7% 2" diameter x 0 25" thick: ACI ALLOYS
 95   
WIN Semiconductor WH884B-R7V52 WH884-040-10 Test Wafer 4 Mil 8 inch *working
WIN Semiconductor WH884B-R7V52 WH884-040-10 Test Wafer 4 Mil 8 inch *working
 5     
C20-0215 Entegris / Fluoroware Tweezers Round Tip 127mm Teflon / PFA
C20-0215 Entegris / Fluoroware Tweezers Round Tip 127mm Teflon / PFA
 65   
Kokusai Vertron Exhaust Controller CX1204 Used Working
Kokusai Vertron Exhaust Controller CX1204 Used Working
 1,004 12    
KLA-Tencor SVM 107286271 200mm P Boron  1-0-0  0-100 ohm-cm 725+/-25 um *new
KLA-Tencor SVM 107286271 200mm P Boron 1-0-0 0-100 ohm-cm 725+/-25 um *new
 1,     
Nano-Master SWC-4000 Single Wafer Cleaning System
Nano-Master SWC-4000 Single Wafer Cleaning System
 2  0 Bids   6d 8h
A049-0215 Entegris / Fluoroware 150mm (6") Squeeze Handle, Teflon / PFA
A049-0215 Entegris / Fluoroware 150mm (6") Squeeze Handle, Teflon / PFA
 125   
Hypertherm 058503 196935 O-Ring   625 X  070
Hypertherm 058503 196935 O-Ring  625 X  070
 12   
Pall Trinity Micro Housing PFA1G12H11 Filter 4HS P4704-7
Pall Trinity Micro Housing PFA1G12H11 Filter 4HS P4704-7
 1   18     
Applied Materials 0010-30134 8INCH DPS CATHODE ASSY AMAT
Applied Materials 0010-30134 8INCH DPS CATHODE ASSY AMAT
 38,   
Applied Materials 0010-17221 8INCH DPS CATHODE DUAL HELIUM ASSY AMAT
Applied Materials 0010-17221 8INCH DPS CATHODE DUAL HELIUM ASSY AMAT
 40,   
Icon 12042 Corner Cap Curved
Icon 12042 Corner Cap Curved
 24 13  
TEKTRONIX Tek P6139A 500 MHz,10X passive probe (lot of 3)
TEKTRONIX Tek P6139A 500 MHz,10X passive probe (lot of 3)
 14     
Horiba Stec MPA6-52/100C Micropole Analyzer Sensor Head
Horiba Stec MPA6-52/100C Micropole Analyzer Sensor Head
 325 49  
Schumacher Container 2500-2370 B Versum Materials
Schumacher Container 2500-2370 B Versum Materials
 7     
Norriseal Wellmark Fuel Gas Shut-Off Valve FGSV-C12B 2"
Norriseal Wellmark Fuel Gas Shut-Off Valve FGSV-C12B 2"
 4   
Tungsten sputtering target W 99 95% 2" diameter x 0 25" thick: ACI ALLOYS
Tungsten sputtering target W 99 95% 2" diameter x 0 25" thick: ACI ALLOYS
 245   
Infineon SFH756V Plastic Fiber Optic Transmitter Diode Plastic Connector Housing
Infineon SFH756V Plastic Fiber Optic Transmitter Diode Plastic Connector Housing
 11     
Vintage Manix Division Of Henry Mann Inc  Rcd Cutter Working!
Vintage Manix Division Of Henry Mann Inc  Rcd Cutter Working!
 5     
Silicon Wafer 8" Reclaimed Copper 011
Silicon Wafer 8" Reclaimed Copper 011
 57 50  
Omnimetrix G8500 Wireless Remote Monitor System Power Generation  JHC8
Omnimetrix G8500 Wireless Remote Monitor System Power Generation  JHC8
 75     
Fullam Sputter Coater 18900-792-001  (gw33)
Top-Rated Plus Seller Fullam Sputter Coater 18900-792-001 (gw33)
 1,     
*tc*  Alcatel Ptm 5150 Turbo Vacuum Pump In Case   (dtg19)
Top-Rated Plus Seller *tc* Alcatel Ptm 5150 Turbo Vacuum Pump In Case (dtg19)
 1,     
Applied Digital Ocelot
Top-Rated Plus Seller Applied Digital Ocelot
 1   65     
AP tech Pressure regulator, APTech SL5202SM 2Pw FV4 MV4, Gas manifold 407476
AP tech Pressure regulator, APTech SL5202SM 2Pw FV4 MV4, Gas manifold 407476
 225   
313-m8h1-s / Chemical Pump (idi 4-500-016) / Idi Integrated Designs Inc
313-m8h1-s / Chemical Pump (idi 4-500-016) / Idi Integrated Designs Inc
 3,5     
Kniel Cp 26 6 4022 436 7263 2 Power Supply Card
Kniel Cp 26 6 4022 436 7263 2 Power Supply Card
 15     
Pall Gaskleen GLFF4400BW4 New In Box Filter Assembly
Pall Gaskleen GLFF4400BW4 New In Box Filter Assembly
 199   179 10    
Used ASM Siemens 00341780S07 CPLT DLM Turning System w/ warranty Free Shipping
Used ASM Siemens 00341780S07 CPLT DLM Turning System w/ warranty Free Shipping
 16     
5kkw-3k-8-pi/power Purification System 110-416v 3-3 7 Kva 1ph/controlled Power
5kkw-3k-8-pi/power Purification System 110-416v 3-3 7 Kva 1ph/controlled Power
 1,560 68    
Millipore / Entegris Wafergard F, Inline Gas Filter, 0 05um micron,¼" NPT Filter
Millipore / Entegris Wafergard F, Inline Gas Filter, 0 05um micron,¼" NPT Filter
 15  0 Bids   6d 20h
Millipore / Entegris Wafergard F, Inline Gas Filter, 0 05um micron,¼" NPT Filter
Millipore / Entegris Wafergard F, Inline Gas Filter, 0 05um micron,¼" NPT Filter
 15  0 Bids   6d 20h
CIRCUIT SPECIALISTS 3646A Programmable DC Power Supply 0-72V/01 5A  (UBI36)
Top-Rated Plus Seller CIRCUIT SPECIALISTS 3646A Programmable DC Power Supply 0-72V/01 5A (UBI36)
 15   112 50    
RF HIPOTRONICS HUBBELL 70kV CAPACITOR DISCHARGE FAULT LOCATOR CF70-24-A(#3539)
RF HIPOTRONICS HUBBELL 70kV CAPACITOR DISCHARGE FAULT LOCATOR CF70-24-A(#3539)
 10,     
(188) NEW- Swagelok JC40T50 Teflon Clamp Seal Gaskets || Fast Shipped ????
(188) NEW- Swagelok JC40T50 Teflon Clamp Seal Gaskets || Fast Shipped ????
 12     
Zygo ZMI-2001 Measurment Board 8020-0210
Zygo ZMI-2001 Measurment Board 8020-0210
 1,399     
Brooks SLA7950S Digital MFC Mass Flow Controller 1/4" VCR Device Net HE 25 SLPM
Top-Rated Plus Seller Brooks SLA7950S Digital MFC Mass Flow Controller 1/4" VCR Device Net HE 25 SLPM
 24     
Applied Materials 0010-38576 8INCH DPS DEEP TRANCH CATHODE ASSY AMAT
Applied Materials 0010-38576 8INCH DPS DEEP TRANCH CATHODE ASSY AMAT
 42,   
Applied Materials 0010-30137 6INCH DPS CATHODE ASSY AMAT
Applied Materials 0010-30137 6INCH DPS CATHODE ASSY AMAT
 38,   
quality monocrystalline silicon ingot price cheap polysilicon high purity silico
quality monocrystalline silicon ingot price cheap polysilicon high purity silico
 7     
New ASM Switch Element 00337636-01 w/ warranty Free Shipping
New ASM Switch Element 00337636-01 w/ warranty Free Shipping
 3     
Applied Materials AMAT 30 SLM Gas Filter, 4020-00084
Applied Materials AMAT 30 SLM Gas Filter, 4020-00084
 295     
Mykrolis Intelligen 2 Resist Pump INGEN2PUO
Mykrolis Intelligen 2 Resist Pump INGEN2PUO
 1,5  0 Bids   6d 21h
Novellus Type 15-11606-00 Ceramic Insulator Gas Distribution Sleeve Rev B
Novellus Type 15-11606-00 Ceramic Insulator Gas Distribution Sleeve Rev B
 5   
2013084-001 / Shield  Exh  Lower  Chbr  Ald Celsior / Aviza Technology
2013084-001 / Shield Exh Lower Chbr Ald Celsior / Aviza Technology
 500 68    
Silicon Thermal Powercool LB320-24 with Pump/Radiator/Controller/Power Supply
Top-Rated Plus Seller Silicon Thermal Powercool LB320-24 with Pump/Radiator/Controller/Power Supply
 105 29    
Sb2Te3  9% 2" diameter x 3mm thick ACI ALLOYS
Sb2Te3  9% 2" diameter x 3mm thick ACI ALLOYS
 3   
Mks Type 670 Signal Conditioner - 670bd21  (rre23)
Top-Rated Plus Seller Mks Type 670 Signal Conditioner - 670bd21 (rre23)
 1,     
Kepco Bop 100-1m Bipolar Power Supply / Amplifier   (qc29)
Top-Rated Plus Seller Kepco Bop 100-1m Bipolar Power Supply / Amplifier (qc29)
 5   375     
ID MAIL DISPATCHER server w/ 204-00007-00 PCB FRAME GRABBER  B1/N2899 +other GPU
ID MAIL DISPATCHER server w/ 204-00007-00 PCB FRAME GRABBER B1/N2899 +other GPU
 17,221     
^^ SPELLMAN RHR250W Power Supply- RHR30PN240/RVC/TP/FG/OL 0-30KVDC 8mA   (JF20)
Top-Rated Plus Seller ^^ SPELLMAN RHR250W Power Supply- RHR30PN240/RVC/TP/FG/OL 0-30KVDC 8mA (JF20)
 1,5     
NTE Electronics 502-0312 POT  2W 50K OHM 16MM 6MM SHAFT DIA 1 18" SHAFT
NTE Electronics 502-0312 POT  2W 50K OHM 16MM 6MM SHAFT DIA 1 18" SHAFT
 4 40  
EQUIPE,PRI,BROOKS PRE-200 200mm ALIGNER BELT, 1SET(5 EA) FOR OVERHAUL OR REPAIR
EQUIPE,PRI,BROOKS PRE-200 200mm ALIGNER BELT, 1SET(5 EA) FOR OVERHAUL OR REPAIR
 9   
Qty 84 Green Tweede & Company 22-453527-00 O-Ring Gasket Semiconductor
Qty 84 Green Tweede & Company 22-453527-00 O-Ring Gasket Semiconductor
 102 59    
Tantec Spot Generator HP
Tantec Spot Generator HP
 4,388     
Pacific Precision St zm 60 2 8,p
Pacific Precision St zm 60 2 8,p
 74     
TI Texas Instruments JTAG Emulator 3/5v
TI Texas Instruments JTAG Emulator 3/5v
 5     
Varían Titanium Sublimation Pump Control Unit Model 922-0032 UNTESTED AS IS #SA
Varían Titanium Sublimation Pump Control Unit Model 922-0032 UNTESTED AS IS #SA
 306 95  236 35    
^^ Agilent Model X3502-64003 Twistorr 84fs Pump -new? (sma3)
Top-Rated Plus Seller ^^ Agilent Model X3502-64003 Twistorr 84fs Pump -new? (sma3)
 1,5   1,125     
One meter of Silver/Antimony wire Ag/Sb 99/1 wt% - 23 31g -  ACI ALLOYS
One meter of Silver/Antimony wire Ag/Sb 99/1 wt% - 23 31g - ACI ALLOYS
 35     
CTI High Pressure Helium Braided Hose 8043074 G120 260PSI Cryo Pump
Top-Rated Plus Seller CTI High Pressure Helium Braided Hose 8043074 G120 260PSI Cryo Pump
      
LAM Research 810-002895-001 Lonworks Valve Control Node Assy RevD 710-002895-001
Top-Rated Plus Seller LAM Research 810-002895-001 Lonworks Valve Control Node Assy RevD 710-002895-001
 11     
Ernest Fullam Drilled Apertures Various Sizes NOS Lot
Ernest Fullam Drilled Apertures Various Sizes NOS Lot
 15     
HOKUYO DMG-HB1 sensor, USED
HOKUYO DMG-HB1 sensor, USED
 3     
HOKUYO DMS-HB1-V sensor, USED
HOKUYO DMS-HB1-V sensor, USED
 3     
Bruce 3160361 / Pcb,micro Canister Power Supply,thermco
Bruce 3160361 / Pcb,micro Canister Power Supply,thermco
 115     
90-2590 / Pcb, Gasonics I-o Interface, New Style With Exchange / Gasonics
90-2590 / Pcb, Gasonics I-o Interface, New Style With Exchange / Gasonics
 4,950 03    
Upg-6 35ms-l36-hc22
Upg-6 35ms-l36-hc22
 256     
R182257 Mykrolis HPC-20 CDG Adapter Unit
R182257 Mykrolis HPC-20 CDG Adapter Unit
 5     
AE PINNACLE-20K, PINNACLE 20K RF Generator, 3152412-402,0190-25698-001
AE PINNACLE-20K, PINNACLE 20K RF Generator, 3152412-402,0190-25698-001
 7,     
Granville-Phillips 350 Vacuum Gauge Controller 350504-G-T2, 422538
Granville-Phillips 350 Vacuum Gauge Controller 350504-G-T2, 422538
 3,5   
Universal Pioneer UM4004
Top-Rated Plus Seller Universal Pioneer UM4004
 65   
PA Air Pressure Regulator 11-018-109, 60 outlet
PA Air Pressure Regulator 11-018-109, 60 outlet
 44     
Gasonics A-2000ll Plasma Asher Quartz  Window W/hole P/n A06-001-01, Nos
Gasonics A-2000ll Plasma Asher Quartz Window W/hole P/n A06-001-01, Nos
 225   18     
Direct Logic 205 - Koyo  PLC
Direct Logic 205 - Koyo PLC
 75     
FEI Company 296 0109
FEI Company 296 0109
 1     
Pillar P-UAW2-TW2SBT Super 300 1/2 Inch Reducing Union Adapter NEW Open Box
Pillar P-UAW2-TW2SBT Super 300 1/2 Inch Reducing Union Adapter NEW Open Box
 3   
EMS (Aetrium) 5050 Integrated Circuit Handler
EMS (Aetrium) 5050 Integrated Circuit Handler
 5     
NTE Electronics 501-0018 POTENTIOMETER 2 WATT 500K OHM 1/4" DIAMETER
NTE Electronics 501-0018 POTENTIOMETER 2 WATT 500K OHM 1/4" DIAMETER
 1   
Reid Ashman OM1320-4520
Reid Ashman OM1320-4520
 1,499     
Tas-main Rev  4 10
Tas-main Rev  4 10
 325     
Oai 0358-010-01 358 Stepper Exposure Analyzer W/ Power Cord / Used
Top-Rated Plus Seller Oai 0358-010-01 358 Stepper Exposure Analyzer W/ Power Cord / Used
 1,84     
0100-09139 AMAT Applied Materials / Robot Extension / Sensor Assy
0100-09139 AMAT Applied Materials / Robot Extension / Sensor Assy
 14     
CMP PVA Sponge Brush Roll PB12725 R/L  2P for Novellus-Ipec 8" Fedex Korea Made
CMP PVA Sponge Brush Roll PB12725 R/L 2P for Novellus-Ipec 8" Fedex Korea Made
 199 95  
Inr-244-432 / Circulator Unit W/exchange, Chem Temp Contl, Dns / Smc
Inr-244-432 / Circulator Unit W/exchange, Chem Temp Contl, Dns / Smc
 2,5     
Board,strip - Pn# 4245219-002
Board,strip - Pn# 4245219-002
 99 95    
Mattson 300-22551-00 ASY 255-22550-00 Control Panel *used working
Mattson 300-22551-00 ASY 255-22550-00 Control Panel *used working
 1,   7     
NEW ASML 4022 454 71022 Connecting Tube BP Assy FREE SHIPPING
NEW ASML 4022 454 71022 Connecting Tube BP Assy FREE SHIPPING
 55   467 50    
ASML Used 4022 637 10752 XT4 WS CHUCK PARKING LOCK ASSY SEM-I-799=9G11
ASML Used 4022 637 10752 XT4 WS CHUCK PARKING LOCK ASSY SEM-I-799=9G11
 499 90  
Weltek 24d Screen Printer
Weltek 24d Screen Printer
 1,65     
Applied Materials AMAT Cutler Hammer Circuit Breaker, QCF2020T, 0680-02049
Applied Materials AMAT Cutler Hammer Circuit Breaker, QCF2020T, 0680-02049
 72 50    
NEW Kennametal 6 -8 mm Chamfer/Countersink and Drill Tool 3 37080R720
NEW Kennametal 6 -8 mm Chamfer/Countersink and Drill Tool 3 37080R720
 5   
SEMCO Corporation Model XLSM  serial # 432
SEMCO Corporation Model XLSM serial # 432
 695     
GO Element GO-PT102 Point Level Sensor Controller, USED
GO Element GO-PT102 Point Level Sensor Controller, USED
 15     
Oai 0358-010-01 358 Stepper Exposure Analyzer W/ Power Cord / For Parts / Read
Oai 0358-010-01 358 Stepper Exposure Analyzer W/ Power Cord / For Parts / Read
 84     
Amat 0200-01173 Insulator Quartz 6'' Smf Pik
Amat 0200-01173 Insulator Quartz 6'' Smf Pik
 1,3     
Ae Pinnacle M/n 3152412-264 P/n 0190-25692-001-001 Generator
Ae Pinnacle M/n 3152412-264 P/n 0190-25692-001-001 Generator
 8,999     
Advantest BLH-024180 X02 PCB
Advantest BLH-024180 X02 PCB
 329     
Carbon SEM Sputtering target: C  9% pure, 54mm diameter x 1mm thick
Carbon SEM Sputtering target: C  9% pure, 54mm diameter x 1mm thick
 125   
78165-20 Cole Parmer, 78165-20
78165-20 Cole Parmer, 78165-20
 1   
VG Quadrupoles with Cable
Top-Rated Plus Seller VG Quadrupoles with Cable
 19   114     
ISO100, NW100, 4" Bored Flanged, 304 Stainless Steel, Vacuum Grade
ISO100, NW100, 4" Bored Flanged, 304 Stainless Steel, Vacuum Grade
 5   
Varian KSM Metal Bellows Manipulator E17701410 6102297 NEW
Varian KSM Metal Bellows Manipulator E17701410 6102297 NEW
 99   
Mattson Technology 456-01027-00 O-Ring, 23 2 ID x 210W FLOROSI BLUE  NEW
Top-Rated Plus Seller Mattson Technology 456-01027-00 O-Ring, 23 2 ID x 210W FLOROSI BLUE NEW
 15     
Phoenix Contact SBS PIT PUSH IN TERMINALS 5053162 - New
Phoenix Contact SBS PIT PUSH IN TERMINALS 5053162 - New
 9     
Axcelis End Plate- Graphite VIG Version 17192430 REV A (99537)
Axcelis End Plate- Graphite VIG Version 17192430 REV A (99537)
 155     
Saint Gobain Crystar 133220 Cantilever Paddle
Saint Gobain Crystar 133220 Cantilever Paddle
 2,5   
Gauge Cable 85753-000-20m
Gauge Cable 85753-000-20m
    
Pcb Assy, Opto Switch Amat 0100-09042 H21b1 *usa Seller*
Pcb Assy, Opto Switch Amat 0100-09042 H21b1 *usa Seller*
 29 50    
Udx5107n / Vexta 5-phase Driver W/exchange / Vexta
Udx5107n / Vexta 5-phase Driver W/exchange / Vexta
 1,095     
Micro Automation Dicing Wheel 13739 Series 401 New
Micro Automation Dicing Wheel 13739 Series 401 New
 37 95  
Gems Sensor Flow Switch Fs-380 20 Va 120 Vac 226860 Spec  5 Gpm 240 Vac 220893
Gems Sensor Flow Switch Fs-380 20 Va 120 Vac 226860 Spec  5 Gpm 240 Vac 220893
 15     
Puls 10 121
Puls 10 121
 35     
Furon TSF35/209M4UTBT PTFE Tube Socket Tee 35MMBall/20MM Bore X 8 MM Bore
Furon TSF35/209M4UTBT PTFE Tube Socket Tee 35MMBall/20MM Bore X 8 MM Bore
 17   
Axcelis Electrode Ground Plate - Graphite VIG Version 17192420 REV A (99437A)
Axcelis Electrode Ground Plate - Graphite VIG Version 17192420 REV A (99437A)
 17     
Ircon T Series Mrt39999c Infrared Thermometer Two-wire Transmitter
Ircon T Series Mrt39999c Infrared Thermometer Two-wire Transmitter
 1,999     
^^ Sorensen DC Power Supply PRO600-16T   (#3665)
^^ Sorensen DC Power Supply PRO600-16T (#3665)
 4,5   3,375     
Labsphere Large Diameter Light Measurement Sphere CA-10834-000 , CSLMS-6511 TOCS
Labsphere Large Diameter Light Measurement Sphere CA-10834-000 , CSLMS-6511 TOCS
 10,55     
CoorsTek D-612-001 Insulator Ceramic Disc COPPER ID 15" X 4 375" ID X  75" THICK
CoorsTek D-612-001 Insulator Ceramic Disc COPPER ID 15" X 4 375" ID X  75" THICK
 35     
Nordson Efd Model 325 Ultra Tt Series Dispensing System (#3698)
Nordson Efd Model 325 Ultra Tt Series Dispensing System (#3698)
 2,   1,5     
Alber Continuous Load Unit  8n Clu / 8n-s    (#3677)
Alber Continuous Load Unit 8n Clu / 8n-s (#3677)
 2,   1,5     
Viton O-Ring, Black v0747-75, 2-289-S, (LOT OF 4)
Viton O-Ring, Black v0747-75, 2-289-S, (LOT OF 4)
 4     
Lam 839-065045-003 Bellows Assy
Lam 839-065045-003 Bellows Assy
 55     
Escap 22S 48 205E 14 Motor Assembly 013501-099-17 L BOAD W/ CABEL FOR BROOKS
Escap 22S 48 205E 14 Motor Assembly 013501-099-17 L BOAD W/ CABEL FOR BROOKS
 12     
Axcelis Aperture Back Emer - Graphite VIG Version 17138740 REV B (99305AV)
Axcelis Aperture Back Emer - Graphite VIG Version 17138740 REV B (99305AV)
 12     
990-004765-011 / Digital Flow Control Module / Pneutronics
990-004765-011 / Digital Flow Control Module / Pneutronics
 9     
Cupro Nickel 80/20 Wire
Cupro Nickel 80/20 Wire
 3     
Digital View Bare PCB Interface Part 416960051-3, 2008  Discontinued
Digital View Bare PCB Interface Part 416960051-3, 2008  Discontinued
 4     
New Phasetronics P1050-50 Power Control System
New Phasetronics P1050-50 Power Control System
 199     
Hipotronics Hv-dc Power Supply Model 8200-5 (#3612)
Hipotronics Hv-dc Power Supply Model 8200-5 (#3612)
 1,5   1,125     
*PREOWNED* Rofin Baasel Lasertech 2005-001 BL 653 120100366 + Warranty!
*PREOWNED* Rofin Baasel Lasertech 2005-001 BL 653 120100366 + Warranty!
 2,9     
USI  Ultra-coat Prism 100, conformal coating machine
USI Ultra-coat Prism 100, conformal coating machine
 1,95   
FEI Company 4035 272 14482
FEI Company 4035 272 14482
 1,1     
KLA-TENCOR Prometrix 36-0194 parallel I/O H2 ROBOT AXIS BOARD 54-0049
KLA-TENCOR Prometrix 36-0194 parallel I/O H2 ROBOT AXIS BOARD 54-0049
 24     
ASM 232720190 11 REV  F 6327203  REV  G / Free Expedited Shipping
ASM 232720190 11 REV  F 6327203  REV  G / Free Expedited Shipping
 299   
Genmark Gencobot LED KIT wafer semicon automation prealigner standalone
Genmark Gencobot LED KIT wafer semicon automation prealigner standalone
 5   
aslap impregnated laps   1200 Mesh Size 6 DL
aslap impregnated laps  1200 Mesh Size 6 DL
 2     
New ASM ASSEMBLY SYSTEMS LP ILLUMINATION 00316823-03 w/ warranty Free Shipping
New ASM ASSEMBLY SYSTEMS LP ILLUMINATION 00316823-03 w/ warranty Free Shipping
 6     
NTE Electronics 502-0319 POT  2W 500K OHM 16MM 6MM SHAFT DIA 1 18" SHAFT
NTE Electronics 502-0319 POT  2W 500K OHM 16MM 6MM SHAFT DIA 1 18" SHAFT
 4 37  
Shinko Electric SBX93-100022-11 Interface Board PCB SRCN1 SBX08-000015-11 Used
Shinko Electric SBX93-100022-11 Interface Board PCB SRCN1 SBX08-000015-11 Used
 153 19    
Wonik Quartz Fin Pedestal 1105-100520-14
Wonik Quartz Fin Pedestal 1105-100520-14
 4   
Cecla48738 Cecla48738 / Ts616e
Cecla48738 Cecla48738 / Ts616e
 2     
Meiden UP006/001A Battery Unit Card MDTE-PU4057-3964(3) Used Working
Meiden UP006/001A Battery Unit Card MDTE-PU4057-3964(3) Used Working
 153 17    
63105 Module Only / CHROMA ATE INC
Top-Rated Plus Seller 63105 Module Only / CHROMA ATE INC
 45     
SMC AW30-03-2 filter regulator, modular
Top-Rated Plus Seller SMC AW30-03-2 filter regulator, modular
 250     
HORIBA STEC Network Interface Model: CNL-06 | LE06-060719 - BRAND NEW |
Top-Rated Plus Seller HORIBA STEC Network Interface Model: CNL-06 | LE06-060719 - BRAND NEW |
 34     
Dual Remote Plasma Source (RPS) Supporter Coupler, P/N: 0040-53452 - NEW by AMAT
Top-Rated Plus Seller Dual Remote Plasma Source (RPS) Supporter Coupler, P/N: 0040-53452 - NEW by AMAT
 249 95    
New Celerity MPa Gauge  (-0 1 -  0 4) 2-1/4" Face 1/2" NPT Gauge 01-3207-E
New Celerity MPa Gauge (-0 1 - 0 4) 2-1/4" Face 1/2" NPT Gauge 01-3207-E
 2     
V wire: 2mm diameter 6+" long
V wire: 2mm diameter 6+" long
 25   
WATLOW Series 997 Temperature Controller
WATLOW Series 997 Temperature Controller
 12     
Vat Pendolum 65050-jh52-alj1 Valve Repair
Vat Pendolum 65050-jh52-alj1 Valve Repair
 6,     
Smc Flow Sensor Pfmb7201s-02l-c
Smc Flow Sensor Pfmb7201s-02l-c
 16     
853-0125530-01 Assy Vac Blk
853-0125530-01 Assy Vac Blk
 99     
^^ Elgar Sw  5250 Sw5250  Power Supply P/n 5162000-01 (js33)
^^ Elgar Sw 5250 Sw5250 Power Supply P/n 5162000-01 (js33)
 8,5   6,375     
HP Hewlett Packard 6267B DC Power Supply 0-40V 0-10A   (RY22)
HP Hewlett Packard 6267B DC Power Supply 0-40V 0-10A (RY22)
 2     
Edwards D37280700 w/D37370726 adapter Pump Display Terminal 5 way  with warranty
Edwards D37280700 w/D37370726 adapter Pump Display Terminal 5 way with warranty
 195   
Edwards U20001107p Eason Control Box
Edwards U20001107p Eason Control Box
 149 95    
Kniel Cp 15 3 5 4022 430 14741 Power Supply 115/230v
Kniel Cp 15 3 5 4022 430 14741 Power Supply 115/230v
 15     
Rechner Sensors Kas-40-leak-ptfe-n Acs Leak Sensor
Rechner Sensors Kas-40-leak-ptfe-n Acs Leak Sensor
 12     
Semco Model XLSM Level Sensor *POWERS ON-UNTESTED*
Semco Model XLSM Level Sensor *POWERS ON-UNTESTED*
 4     
MRC Materials Research A119124 RMX Magnet Assembly TARGE GUN Used Working
MRC Materials Research A119124 RMX Magnet Assembly TARGE GUN Used Working
 2,507 15    
Lancer Id Panel Assembly,Mech Lg Push,Gmv 54-0140-SP - Free Shipping + Geniune
Lancer Id Panel Assembly,Mech Lg Push,Gmv 54-0140-SP - Free Shipping + Geniune
 23 73  
Lucent Technologies ~ Autoplex  System 1000 ~ Software Solutions Handbook  PB VG
Lucent Technologies ~ Autoplex System 1000 ~ Software Solutions Handbook PB VG
 24   
NTE Electronics 501-0009 POTENTIOMETER 2 WATT 5K OHM 1/4" DIAMETER SHAFT
NTE Electronics 501-0009 POTENTIOMETER 2 WATT 5K OHM 1/4" DIAMETER SHAFT
 17 20  
Asymtek Needle Sensor Ns-series
Asymtek Needle Sensor Ns-series
 1     
Fsi 290077-400 Assy Pcb  No Eprom
Fsi 290077-400 Assy Pcb  No Eprom
 38     
Coleman Cable 8421f M17/75-rg214 Mil-c-17 Ojud5 Coaxial Cable (33") N Male-male
Coleman Cable 8421f M17/75-rg214 Mil-c-17 Ojud5 Coaxial Cable (33") N Male-male
 13   
New Sealed  Ulvac Sensorhead M-11
Top-Rated Plus Seller New Sealed Ulvac Sensorhead M-11
 45     
Omron T-Port Tap Terminal DCN1-1 DCN11 Used
Omron T-Port Tap Terminal DCN1-1 DCN11 Used
 1   
Allen Bradley Power Supply 96150403a
Allen Bradley Power Supply 96150403a
 175     
Vacuum SST Reservoir w/ 2 1/4 FVRR NW 16/25 Fitting, Used
Vacuum SST Reservoir w/ 2 1/4 FVRR NW 16/25 Fitting, Used
 15     
NSC RIE System ES371 Reactive Ion Etching System | Dry Etching System NSC ES 371
NSC RIE System ES371 Reactive Ion Etching System | Dry Etching System NSC ES 371
 79,2     
Inverpower Nucor ip30322 Inverter Gating Board Igb
Inverpower Nucor ip30322 Inverter Gating Board Igb
 378 98  
Oem 04,113054 Gyrset-cover 8" Quick Exch
Oem 04,113054 Gyrset-cover 8" Quick Exch
 5     
177-0104// Parker Sq60-30-2p-4221 (lam-ev) Valve [used/fast]
177-0104// Parker Sq60-30-2p-4221 (lam-ev) Valve [used/fast]
 7   
Applied Materials AMAT 0020-23400 CLAMP RING 8"TIN SNNF
Applied Materials AMAT 0020-23400 CLAMP RING 8"TIN SNNF
 3     
New Siemens ASM C Series Belt 188681 w/ warranty Free Shipping
New Siemens ASM C Series Belt 188681 w/ warranty Free Shipping
 7     
Magelis Xbt H022010 Operator Modicon Square D Telemechanique
Magelis Xbt H022010 Operator Modicon Square D Telemechanique
 199     
New Ewal Torqtite Gaskets 50-4v-ni "lot Of 6"
New Ewal Torqtite Gaskets 50-4v-ni "lot Of 6"
 11 25  
Omega Dfg51-2 Digital Force Gauge
Omega Dfg51-2 Digital Force Gauge
 1     
9903 United Electronic Industries Pcb Powerdaq 16-channel Analog Ou Pd2-ao-16/16
9903 United Electronic Industries Pcb Powerdaq 16-channel Analog Ou Pd2-ao-16/16
 25     
418-0202// Yaskawa Usarem-03csf12 Ac Servo Motor [used/fast]
418-0202// Yaskawa Usarem-03csf12 Ac Servo Motor [used/fast]
 5   
SUN X4240A PN: 605-4668-04 XVR-300 Graphics Accelerator
Top-Rated Plus Seller SUN X4240A PN: 605-4668-04 XVR-300 Graphics Accelerator
 159     
402-0102// Keyence Fu-95 (3ea) Sensor [used/fast]
402-0102// Keyence Fu-95 (3ea) Sensor [used/fast]
 5   
177-0104// 14r013fc Parker Valve[used/fast]
177-0104// 14r013fc Parker Valve[used/fast]
 5   
112-0201// Amat Applied 0240-33914 3310-01056 0690-01585 Kit [new]
112-0201// Amat Applied 0240-33914 3310-01056 0690-01585 Kit [new]
 7   
Ulvac DTC-21K Single Phase Pump
Ulvac DTC-21K Single Phase Pump
 199 95    
Corman Technologies INC CT-N108 REV B free ship
Corman Technologies INC CT-N108 REV B free ship
 299   
ETO ABX-X234 REV  B  /  Free Expedited Shipping
ETO ABX-X234 REV  B / Free Expedited Shipping
 1,499   
Applied Materials Amat Control Voltage Power Transformer V399-0051
Applied Materials Amat Control Voltage Power Transformer V399-0051
 35     
RST Instruments PETUR Model C-104 Piezometer Pressure Pneumatic Readout
RST Instruments PETUR Model C-104 Piezometer Pressure Pneumatic Readout
 2   
Raith Escosy/Motor Control
Top-Rated Plus Seller Raith Escosy/Motor Control
 14     
1497) [used] Disco Dapb1170-01-01
1497) [used] Disco Dapb1170-01-01
 395 01    
Swaglok Adapter, 3/8" Tube to 3/4" Pipe Female, 316 Stainless Steel, NEW!
Swaglok Adapter, 3/8" Tube to 3/4" Pipe Female, 316 Stainless Steel, NEW!
 12 95  
Brooks Sla7951sz204 Delta Class Smart Mass Flow Controller
Brooks Sla7951sz204 Delta Class Smart Mass Flow Controller
 1     
Iris A513 Rev C Circuit Board
Iris A513 Rev C Circuit Board
 19   
Ceramaseal 15742-01-A Feedthrough Double Quartz w/ Shutter New
Ceramaseal 15742-01-A Feedthrough Double Quartz w/ Shutter New
 512 11    
TOKYO ELECTRON TEL 1B81-010123-15  TS NET16 BS A BOARD Ass'y
TOKYO ELECTRON TEL 1B81-010123-15 TS NET16 BS A BOARD Ass'y
 722     
span pressure switch 1864363
span pressure switch 1864363
 5     
AMAT 3400-01011 Hose Push-lock 1/4IDX1/2OD, 451900
AMAT 3400-01011 Hose Push-lock 1/4IDX1/2OD, 451900
 25   
Lot of 3 * NEW SEALED * Veriflo Parker 735W Series 48600812 Regulators 3500 PSI
Lot of 3 * NEW SEALED * Veriflo Parker 735W Series 48600812 Regulators 3500 PSI
 125     
Eaton - Cutler Hammer Universal End Stops (50 PACK) XBAES35C
Eaton - Cutler Hammer Universal End Stops (50 PACK) XBAES35C
 7   
Honeywell Gkba16l6 Micro Switch Safety Interlock Switch
Honeywell Gkba16l6 Micro Switch Safety Interlock Switch
 5     
141-0603// Amat Applied 0150-09791 Cable Assy,mag Gen Filament Ov New
141-0603// Amat Applied 0150-09791 Cable Assy,mag Gen Filament Ov New
 6   
352-0401// Amat Applied 0021-76702 Clamp, Facilities Water Line Bottom New
352-0401// Amat Applied 0021-76702 Clamp, Facilities Water Line Bottom New
 6   
Amat/hytron 22032672-000 Kinetics
Amat/hytron 22032672-000 Kinetics
 13   10 49    
344-0501// Amat Applied 0021-10064 Snr Mtg W/slit-wps/chamber Mc- New
344-0501// Amat Applied 0021-10064 Snr Mtg W/slit-wps/chamber Mc- New
 4   
323-0101// Amat Applied 3300-02311 Ftg Tbg Elbow 1/8t X 10-32unf 1-touch New
323-0101// Amat Applied 3300-02311 Ftg Tbg Elbow 1/8t X 10-32unf 1-touch New
 2   
Varain Multipin (10) Electrical Feedthru Qty 3 NOS? 954-5164
Varain Multipin (10) Electrical Feedthru Qty 3 NOS? 954-5164
 1   
NEW GEORGE FISCHER +GF+ 735 528 611 SYGEF PVDF d63 UNION FREE SHIPPING
NEW GEORGE FISCHER +GF+ 735 528 611 SYGEF PVDF d63 UNION FREE SHIPPING
 4   42 49    
AMAT 0100-09106 Expanded Gas Panel Interface Board, PCB, FAB 0110-09106, 424067
AMAT 0100-09106 Expanded Gas Panel Interface Board, PCB, FAB 0110-09106, 424067
 35   
Newport 932-CX Liquid Crystal Controller
Newport 932-CX Liquid Crystal Controller
 12     
345-0101// Amat Applied 3870-02961 Valve Sol 24vdc Nc 3port 1/8np New
345-0101// Amat Applied 3870-02961 Valve Sol 24vdc Nc 3port 1/8np New
 6   
ASML AUTOMATION HRIB Mat: 4022 668 56022
ASML AUTOMATION HRIB Mat: 4022 668 56022
 727 58    
Motorola Mvme 162-01 Mvme16201
Motorola Mvme 162-01 Mvme16201
 2,225     
Julabo FC 1200T FC1200T Digital Recirculating Chiller 28L/Min, -10 to 80 Degrees
Top-Rated Plus Seller Julabo FC 1200T FC1200T Digital Recirculating Chiller 28L/Min, -10 to 80 Degrees
 3,5   3,325     
Hewlett Packard HP 6268B DC Power Supply (0-40V/0-30A)   (RY24)
Hewlett Packard HP 6268B DC Power Supply (0-40V/0-30A) (RY24)
 2   15     
*NEW* Comtrol 94100-2 Interface Module RocketPort 16 Ports RS-232 + Warranty!
*NEW* Comtrol 94100-2 Interface Module RocketPort 16 Ports RS-232 + Warranty!
 225     
20 Nos Georg Fischer  Sygef  Pvdf 45 Elbow
20 Nos Georg Fischer Sygef Pvdf 45 Elbow
 31   
Yaskawa Minerita Motors R02sakoe Utopi-200se Servo Motor
Yaskawa Minerita Motors R02sakoe Utopi-200se Servo Motor
 1     
SCP Global Process Controller Display Module in an SCP frame PN3270091G SNA46804
SCP Global Process Controller Display Module in an SCP frame PN3270091G SNA46804
 155     
Optronics Remote Camera Head and Cable 0 55 - 1 1X
Optronics Remote Camera Head and Cable 0 55 - 1 1X
 407 18    
Norcold 637360 Temp Monitor Control
Norcold 637360 Temp Monitor Control
 114 08  
Fei Board 4022 192 70376
Fei Board 4022 192 70376
 499 90  
141-0602// Amat Applied 0150-35582 Cable Assy Adapter 10 Torr Man New
141-0602// Amat Applied 0150-35582 Cable Assy Adapter 10 Torr Man New
 6   
Mksmwh-100  P/n Mwh-100-01
Mksmwh-100 P/n Mwh-100-01
 1,3   
Mitsutech Mtk1500n-hitr-un
Mitsutech Mtk1500n-hitr-un
 43     
SMC AW30-03-2 filter regulator, modular
Top-Rated Plus Seller SMC AW30-03-2 filter regulator, modular
 22     
Alessi Industries Micro Positioner Probe Positioner w/ magnetic base
Top-Rated Plus Seller Alessi Industries Micro Positioner Probe Positioner w/ magnetic base
 28     
MKS Instruments™ Pressure Transducer Baratron 3000 PSI (UK) 870B33PCB4GF4
MKS Instruments™ Pressure Transducer Baratron 3000 PSI (UK) 870B33PCB4GF4
 376 10    
Applied Material P2 Daughter Board Amat
Applied Material P2 Daughter Board Amat
 25     
Lot of 10 NEW Schroff 69001-893 Top Locking Brackets
Lot of 10 NEW Schroff 69001-893 Top Locking Brackets
 1     
Keithley DDA-16 Circuit Board
Keithley DDA-16 Circuit Board
 2     
Markem Corp  Offset Wheel 0771741 Bt Green  125" Width
Markem Corp  Offset Wheel 0771741 Bt Green  125" Width
 2   
K92211-P2 Actuator, 12VDC / Rail Mount Linear Assy / Airpax / K92211-P2 LOT of 2
K92211-P2 Actuator, 12VDC / Rail Mount Linear Assy / Airpax / K92211-P2 LOT of 2
 15     
Markem Corp  Offset Wheel 0773377 Bt Green  390" Width
Markem Corp  Offset Wheel 0773377 Bt Green  390" Width
 34   
Shinko Electric SCE93-100010-C1 Interface Board PCB SBX08-000033-12 Used Working
Shinko Electric SCE93-100010-C1 Interface Board PCB SBX08-000033-12 Used Working
 503 18    
Philips 5322 694 15486   SPECTRUM _2 CONTROL CARD
Philips 5322 694 15486 SPECTRUM _2 CONTROL CARD
 83   747     
327-0401// Amat Applied 0021-10937 (6ea) Captive Washer, 375 Dia [new]
327-0401// Amat Applied 0021-10937 (6ea) Captive Washer, 375 Dia [new]
 3   
Tokyo Electron Tel Pcb Board Ext If # 01, Epc-t0091a-11, New
Tokyo Electron Tel Pcb Board Ext If # 01, Epc-t0091a-11, New
 4     
Rotec DIG 32-IS I/O module new
Rotec DIG 32-IS I/O module new
 25     
Nickel  % pure, 2 0" diameter x 0 25" thick, ACI ALLOYS
Nickel  % pure, 2 0" diameter x 0 25" thick, ACI ALLOYS
 8   
Mks Type 670 Signal Conditioner - 670bd21  (rre24)
Top-Rated Plus Seller Mks Type 670 Signal Conditioner - 670bd21 (rre24)
 1,     
Kepco Bop 100-1m Bipolar Power Supply / Amplifier   (dw6)
Kepco Bop 100-1m Bipolar Power Supply / Amplifier (dw6)
 4   3     
Cylindrical Auger Electron Optics PHI 10-155 S/N 155-6-087
Cylindrical Auger Electron Optics PHI 10-155 S/N 155-6-087
 2,754     
CIRCUIT SPECIALISTS 3646A Programmable DC Power Supply 0-72V/01 5A  (UBI37)
Top-Rated Plus Seller CIRCUIT SPECIALISTS 3646A Programmable DC Power Supply 0-72V/01 5A (UBI37)
 15   112 50    
Cable Assy, Power Inlet 11/19 DDH
Cable Assy, Power Inlet 11/19 DDH
 14 25    
Yaskawa Sgds-02a01a Servopack Ac Servo Motor Driver , Used
Yaskawa Sgds-02a01a Servopack Ac Servo Motor Driver , Used
 25     
Unit Model 1663, MFC, UFC-1663, AMAT 3030-09092, 100 cc PH3, 421797
Unit Model 1663, MFC, UFC-1663, AMAT 3030-09092, 100 cc PH3, 421797
 45   
EM-DBH Motor, EM-DHB / Three Phase / National / Panapower
EM-DBH Motor, EM-DHB / Three Phase / National / Panapower
 165     
Eurotherm EFIT by Schneider Electric EFIT/16A/115V/0V10/PA/ENG/SELF/XX/NOFUSE/-
Top-Rated Plus Seller Eurotherm EFIT by Schneider Electric EFIT/16A/115V/0V10/PA/ENG/SELF/XX/NOFUSE/-
 14     
NEW MRC Aluminum Gadolinium Alloy (AlGd) Polished Substrate, 2" x 2" x  015"
NEW MRC Aluminum Gadolinium Alloy (AlGd) Polished Substrate, 2" x 2" x  015"
 79 97    
VAT Valve Solenoid Kit 5/2 Way - U30009123 (UK)
VAT Valve Solenoid Kit 5/2 Way - U30009123 (UK)
 94 34    
Lam Research Robot Controller Rev  A MPN 79-343925-00, 02-393791-00
Top-Rated Plus Seller Lam Research Robot Controller Rev  A MPN 79-343925-00, 02-393791-00
 15,   14,25     
Nanahoshi Kagaku - 12 Pin Panel Mount Connector RCPT - NJC-2012-RM (UK)
Nanahoshi Kagaku - 12 Pin Panel Mount Connector RCPT - NJC-2012-RM (UK)
 6 22    
Cotek Dn-10-24 Power Supply 24v  0 42a
Cotek Dn-10-24 Power Supply 24v 0 42a
 45   
ESI 138817 REV A FMP New
ESI 138817 REV A FMP New
 318     
879-0133-004 Cassett Reticle, SVG
879-0133-004 Cassett Reticle, SVG
 22     
142-0502// Amat Applied 0150-10406 Cable, Assy , Mfc, 24 Long Oz New
142-0502// Amat Applied 0150-10406 Cable, Assy , Mfc, 24 Long Oz New
 4   
IVS71 – Semco F112097 Thermco 117790-001 Element Breaker Trip Board – NEW
IVS71 – Semco F112097 Thermco 117790-001 Element Breaker Trip Board – NEW
 15     
VERIFLO 959-30W-3P-FSMMF REGULATOR stainless body
VERIFLO 959-30W-3P-FSMMF REGULATOR stainless body
 15   
Applied Materials AKT1600 Robot 0020-65254
Applied Materials AKT1600 Robot 0020-65254
 6,   
4 Inch SEMIXICON Porous Ceramic Vacuum Chuck Table Same Day shipping USA seller
4 Inch SEMIXICON Porous Ceramic Vacuum Chuck Table Same Day shipping USA seller
 75     
Bede Scientific Instruments 60-013041-000 Sensor Interface FAB300 Used Working
Bede Scientific Instruments 60-013041-000 Sensor Interface FAB300 Used Working
 758 12    
Riken Keiki GD-V77D Smart Gas Detector New
Riken Keiki GD-V77D Smart Gas Detector New
 208 14    
Lot Of 2 Festo 1302994 Vboh-32-g14
Lot Of 2 Festo 1302994 Vboh-32-g14
 4     
SYNCHRO  Resolver  - NEW
SYNCHRO Resolver - NEW
 45     
Wonik 2105-420336-51 Quartz 224/Sleeve Ser# WQUT0317100-001 210542033651
Wonik 2105-420336-51 Quartz 224/Sleeve Ser# WQUT0317100-001 210542033651
 39 89  
Yamamoto MS61L Differential Pressure Switch
Top-Rated Plus Seller Yamamoto MS61L Differential Pressure Switch
 22   
Axcelis 17138730 Electrode, Aper Bk Bias Vg1
Axcelis 17138730 Electrode, Aper Bk Bias Vg1
 11     
Bellows Assy 4660201 Consolidated 95693-10
Bellows Assy 4660201 Consolidated 95693-10
 5   
Applied Materials Amat Water Line  Input Chamber Bottom Radiance  0050-27972
Applied Materials Amat Water Line Input Chamber Bottom Radiance 0050-27972
 25     
BUD Industries exn-23400-pcb-bulk x8 Boards
BUD Industries exn-23400-pcb-bulk x8 Boards
 15     
CH Products Eclipse Yoke with 144 Programmable Functions with Control Manager
CH Products Eclipse Yoke with 144 Programmable Functions with Control Manager
 329     
ASSY, PP3 Serial Distribution Board - Beckman Coulter - 624636 - Fast Ship! #R3
ASSY, PP3 Serial Distribution Board - Beckman Coulter - 624636 - Fast Ship! #R3
 1   11     
Kniel Cp 24 2 2 4022 430 14761 Power Supply Card
Kniel Cp 24 2 2 4022 430 14761 Power Supply Card
 4     
Sierratherm 5-48-00072 Rev E Lcd Overtemp Card Pcb Pc Board New
Sierratherm 5-48-00072 Rev E Lcd Overtemp Card Pcb Pc Board New
 18     
TEL Tokyo Electron 3D10-251415-V1 Inner Electrode Cover Refurbished
TEL Tokyo Electron 3D10-251415-V1 Inner Electrode Cover Refurbished
 808 18    
Lam  810-099175-013 Viop Phase Iii  Pcb Board
Lam 810-099175-013 Viop Phase Iii Pcb Board
 799   
Tgm Pyewch Model Ct-16110-bhp Temperature Controller,
Tgm Pyewch Model Ct-16110-bhp Temperature Controller,
 99     
HVCA JB208   581141 rev B relay
HVCA JB208 581141 rev B relay
 48 50  
Pentair #158739 #10 slwh/wh 1/4" FLT cap SLIM LINE QTY 2
Pentair #158739 #10 slwh/wh 1/4" FLT cap SLIM LINE QTY 2
 1   
Honeywell Wintriss AutoPac Model 2CHPAC  Item #9644003 NO KEYS
Honeywell Wintriss AutoPac Model 2CHPAC Item #9644003 NO KEYS
 9     
VAT 405417 Monovat Seat Compl
VAT 405417 Monovat Seat Compl
 32     
Amat 0226-10777 Mass Flow Controoler Unit Ufc-1160a Gas N2 / 2slm
Amat 0226-10777 Mass Flow Controoler Unit Ufc-1160a Gas N2 / 2slm
 1,1     
Champ 900-0144-17 REV-C
Top-Rated Plus Seller Champ 900-0144-17 REV-C
 222 22  2   
Mini Drill Ring Setter
Mini Drill Ring Setter
 5     
Voip Phase 3 810-099175-013 Rev B Pcb
Voip Phase 3 810-099175-013 Rev B Pcb
 25     
500135611 Handle, EGOKi
500135611 Handle, EGOKi
 6     
Bede scientific MSOURCE Type A
Bede scientific MSOURCE Type A
 1,999   
Copper/tin Pellets
Copper/tin Pellets
 75     
ADL GmbH Power Supply GG 12
ADL GmbH Power Supply GG 12
 888     
Bruce Systems 7355X Control Unit
Bruce Systems 7355X Control Unit
 85     
Wilden Diaphragm Pump, Used, AS-IS Condition
Wilden Diaphragm Pump, Used, AS-IS Condition
 175     
NEW Starter for Gator J&N 410-44018
NEW Starter for Gator J&N 410-44018
 74 95    
Rev-D I-Tech IPC-6160 Board 12 Available for Purchase
Rev-D I-Tech IPC-6160 Board 12 Available for Purchase
 25     
Angstrom Sciences Magnetron Assembly
Angstrom Sciences Magnetron Assembly
 1,388     
Pall PHD11UNMEH11B Filter
Pall PHD11UNMEH11B Filter
 5   56     
Raith ESCOSY/MOTOR CONTROL
Raith ESCOSY/MOTOR CONTROL
 149 95  74 98    
LOT OF 2 Festo PEV-W-KL-LED-GH Pressure Switch 152-618
LOT OF 2 Festo PEV-W-KL-LED-GH Pressure Switch 152-618
 5     
KyTek KT2002 2-Channel Display
Top-Rated Plus Seller KyTek KT2002 2-Channel Display
 52 48    
Advantest BGK-022429 Card SG-AGA, Used
Advantest BGK-022429 Card SG-AGA, Used
 175     
Clippard Minimatic "X" Couplers, # 15002-5 Brass 5 count
Clippard Minimatic "X" Couplers, # 15002-5 Brass 5 count
 5   
Amat Slurry B Unit For Malema Controller
Amat Slurry B Unit For Malema Controller
 3,8     
Omron Door Switch Ac 0 75a 240v Dc-13 0 27a 250v D4gs-n4r-3
Omron Door Switch Ac 0 75a 240v Dc-13 0 27a 250v D4gs-n4r-3
 125     
BECKHOFF EL1872 (6) /  Free Expedited Shipping
BECKHOFF EL1872 (6) / Free Expedited Shipping
 349   
HORIBA SEC-Z714AGX GAS:N2 1009SCCM Digital Mass Flow Module, NEW
HORIBA SEC-Z714AGX GAS:N2 1009SCCM Digital Mass Flow Module, NEW
 1,     
134-0502// Amat Applied 0040-20257 Assy Lift Hoop 8 Wide Body Ch [used]
134-0502// Amat Applied 0040-20257 Assy Lift Hoop 8 Wide Body Ch [used]
 1,36   
Brad Harrison 290911A Tee Connector NNB
Top-Rated Plus Seller Brad Harrison 290911A Tee Connector NNB
 15 97    
Platform Assy  Unload   5885-0005-0001
Platform Assy Unload 5885-0005-0001
 25     
Amat 0050-92815 Line, Rough Cooldown @b Pump Connection, Kf50 , New
Amat 0050-92815 Line, Rough Cooldown @b Pump Connection, Kf50 , New
 1,1     
Applied Material 0190-21434 Target Monolithic Source 12 9 IN *new surplus
Applied Material 0190-21434 Target Monolithic Source 12 9 IN *new surplus
 2,   1,4     
*tc*  Osaka Vacuum Tg200 Turbomolecular Pump   (tfw8)
Top-Rated Plus Seller *tc* Osaka Vacuum Tg200 Turbomolecular Pump (tfw8)
 85   637 50    
Power Designs Pd Model 1556b 10-6000v 20ma Dc Power Source  (bxk50)
Top-Rated Plus Seller Power Designs Pd Model 1556b 10-6000v 20ma Dc Power Source (bxk50)
 4     
NEW MKS TECHNOLOGIES 492015-1063 HEATER JACKET- 1 5” Diameter ELBOW 120 VAC
NEW MKS TECHNOLOGIES 492015-1063 HEATER JACKET- 1 5” Diameter ELBOW 120 VAC
 35     
Asymtek Tactile Cable Height Sensor 06-4590-00 rev C HS-01354
Top-Rated Plus Seller Asymtek Tactile Cable Height Sensor 06-4590-00 rev C HS-01354
 2   
Used MDC? ELECTRICAL FEEDTHROUGH 2 PinVaccum Feedthrough K5-3
Used MDC? ELECTRICAL FEEDTHROUGH 2 PinVaccum Feedthrough K5-3
 149 95    
Heater tape cable flexible 750°F(400°С)Max, 2 kW, fiberglass/silicone insulation
Heater tape cable flexible 750°F(400°С)Max, 2 kW, fiberglass/silicone insulation
 99 90  
Asml 4022 470 53672 Wh Shb Interface Panel
Asml 4022 470 53672 Wh Shb Interface Panel
 1,1     
HWASUNG Lead Cutter & Dipping M/C EACP-NA01
HWASUNG Lead Cutter & Dipping M/C EACP-NA01
 1,2     
DN50 Aluminum Compression Ring Inficon 32111498-000
DN50 Aluminum Compression Ring Inficon 32111498-000
 18   
Whedco IMC-4230-1-B Motor Controller 78003981/9710
Whedco IMC-4230-1-B Motor Controller 78003981/9710
 3   
Metronelec Menisco ST 50 Solderbility Tester
Metronelec Menisco ST 50 Solderbility Tester
 1,499     
349571-002 Fan, Holder
349571-002 Fan, Holder
 23     
LUFRAN SLG-1 Level Sensor
LUFRAN SLG-1 Level Sensor
 6     
Ultratech 1006-231200 Link Rod Keeper Theta 1006231200 (Pack Of 3)
Ultratech 1006-231200 Link Rod Keeper Theta 1006231200 (Pack Of 3)
 18   
Cwv-4-10  (lot Of 4     Free Ship)
Cwv-4-10 (lot Of 4    Free Ship)
 229 20    
4AA12-N4-I10-Y83-M 810-107813-107 Lam Research ESC Power Supply ESC PWR SPLY
4AA12-N4-I10-Y83-M 810-107813-107 Lam Research ESC Power Supply ESC PWR SPLY
 699     
Dns Dai Nippon Screen Arm
Top-Rated Plus Seller Dns Dai Nippon Screen Arm
 15     
63107 Module Only  / CHROMA ATE INC
Top-Rated Plus Seller 63107 Module Only / CHROMA ATE INC
 275     
Legris Connectic 3109 56 18 1/4OD x 3/8 NPT Male Elbow,90 Deg Pk10
Legris Connectic 3109 56 18 1/4OD x 3/8 NPT Male Elbow,90 Deg Pk10
 52     
nsk rz10110fn514
nsk rz10110fn514
 5     
4 Varian 2820006100 Clamp, Speed, 423918
4 Varian 2820006100 Clamp, Speed, 423918
 8   
9730) [used] Bruker Aqs Fcu4/2
9730) [used] Bruker Aqs Fcu4/2
 1,550 01    
137-0301// Amat Applied 0050-09020 Manifold Vacuum Line [used]
137-0301// Amat Applied 0050-09020 Manifold Vacuum Line [used]
 16   
General Scanning Inc / GSI E00-7010003
General Scanning Inc / GSI E00-7010003
 3     
Watlow CVC1LH-2701370C 120 VAC Celsius Temperature Controller
Watlow CVC1LH-2701370C 120 VAC Celsius Temperature Controller
 84     
ASM 02-325956D01 ASSY Transfer Arm 150mm WHC
ASM 02-325956D01 ASSY Transfer Arm 150mm WHC
 1,807 18    
Jst Dt921e Switch Panel Tested In Working Order Free Shipping
Jst Dt921e Switch Panel Tested In Working Order Free Shipping
 1     
Boat Quartz
Boat Quartz
 3,458   
NEW Swagelok 6LV-BNBW4-C Pneumatic Valve
NEW Swagelok 6LV-BNBW4-C Pneumatic Valve
 275     
Mitsubishi CP30-BA Circuit Protector 1 Pole 15 Amp
Mitsubishi CP30-BA Circuit Protector 1 Pole 15 Amp
 8     
ThermoCube 10-300-2G-1-ES-SW-AR-DI-32  THERMOELECTRIC PELTIER CHILLER
ThermoCube 10-300-2G-1-ES-SW-AR-DI-32 THERMOELECTRIC PELTIER CHILLER
 8   
^^ Pfeiffer Balzers Tpu 170 Turbo Pump  (dw37)
^^ Pfeiffer Balzers Tpu 170 Turbo Pump (dw37)
 4   3     
TURK™ Capacitative Barrel Proximity Sensor (UK) BCF10-S30-VP4X (SEMICONDUCTOR)
TURK™ Capacitative Barrel Proximity Sensor (UK) BCF10-S30-VP4X (SEMICONDUCTOR)
 251 56    
Unit UTS-2020 Mass Flow Controller, MFC, N2, 20 SLM Used
Unit UTS-2020 Mass Flow Controller, MFC, N2, 20 SLM Used
 14   142 49    
USB CD Drive with power supply
USB CD Drive with power supply
 4   
Brad Harrison 300911A Tee Connector NNB
Top-Rated Plus Seller Brad Harrison 300911A Tee Connector NNB
 19 97    
Parker 500 Indexer Compumotor Drive (used working)
Parker 500 Indexer Compumotor Drive (used working)
 25   175     
New Power Plasma Rf Generator Npg-8000h (ver V04) 208vac 38a/phase 60hz 2mhz 8kw
New Power Plasma Rf Generator Npg-8000h (ver V04) 208vac 38a/phase 60hz 2mhz 8kw
 4,5     
Quad Systems 60-10321-REV B
Quad Systems 60-10321-REV B
 395   
Silicon Valley Group SVG-8132CTD* HIGH Volume*Waffer 2"-5" Handling*Very Low HRS
Silicon Valley Group SVG-8132CTD* HIGH Volume*Waffer 2"-5" Handling*Very Low HRS
 28,     
Stanford Research Srs Ps325 High Voltage Power Supply  (rre20)
Stanford Research Srs Ps325 High Voltage Power Supply (rre20)
 85   637 50    
GEORG FISCHER 735 908 647 PVDF  D40-25 REDUCING BUSHING SYGEF Standard +GF+
GEORG FISCHER 735 908 647 PVDF D40-25 REDUCING BUSHING SYGEF Standard +GF+
 45     
Fujikin 316L Pneumatic Solenoid Valve, L# AGB3V000, C# 023718, 1/4" VCR, 452232
Fujikin 316L Pneumatic Solenoid Valve, L# AGB3V000, C# 023718, 1/4" VCR, 452232
 45   
0020-01999 Bracket, Magnet Assy 0020-01999 / Rev 006 / from 300mm Chamber Lid To
0020-01999 Bracket, Magnet Assy 0020-01999 / Rev 006 / from 300mm Chamber Lid To
 2,5     
International Rectifier IRFT002 HEXFET POWER MODULE ZIP-11P [1 pc]
International Rectifier IRFT002 HEXFET POWER MODULE ZIP-11P [1 pc]
 12     
Karl Keb Combivert F5 Operator 00 f5 060-2031
Karl Keb Combivert F5 Operator 00 f5 060-2031
 421     
Axcelis Electrode Insulator 17254970 (99353B)
Axcelis Electrode Insulator 17254970 (99353B)
 3   
Fujikin Pneumatic Valve 095129   N o
Fujikin Pneumatic Valve 095129 N o
 2     
Fp-uddf-71-9 52-nl-pa#a
Fp-uddf-71-9 52-nl-pa#a
 216 90    
Technology 80 Inc 5641 Board #800113c  
Technology 80 Inc 5641 Board #800113c  
 25     
Asm 02-327347d03 Assy-injector Flange Rp Rh Stepped
Asm 02-327347d03 Assy-injector Flange Rp Rh Stepped
 3,007 18    
Chiba Precision D34r10b Servo Motor
Chiba Precision D34r10b Servo Motor
 1,399     
Mykrolis Qcczatxk1k Quickchange Chemlock Atx Filter 10" 0 05um
Mykrolis Qcczatxk1k Quickchange Chemlock Atx Filter 10" 0 05um
 1,2     
*Parts/Repair* Wallac Interface Board DIC 1055 3760 D + *Fast Shipping*
*Parts/Repair* Wallac Interface Board DIC 1055 3760 D + *Fast Shipping*
 55     
Al203 /  % 100g approx  3mm
Al203 /  % 100g approx  3mm
 15     
ASML 4022 629 01240 Stainless Steel Braided Hose New
ASML 4022 629 01240 Stainless Steel Braided Hose New
 159     
SPTS Technologies  AC132802 A M C 1 A MODULE
SPTS Technologies AC132802 A M C 1 A MODULE
 4     
53919741 /temperature Detector Jbs-8281-2-aw 5-39-19741/ Dns Dai Nippon Screen
53919741 /temperature Detector Jbs-8281-2-aw 5-39-19741/ Dns Dai Nippon Screen
 95 03    
(1pc) F9301dmqb Fairchild Digital Microcircuit Ic 16-pin Cdip
(1pc) F9301dmqb Fairchild Digital Microcircuit Ic 16-pin Cdip
 4 95  
Universal Plastics UP1100 timer
Top-Rated Plus Seller Universal Plastics UP1100 timer
 6     
Futurestar 118-035 Pathfinder Meter Flow 600-3500 Cc 3/8
Futurestar 118-035 Pathfinder Meter Flow 600-3500 Cc 3/8
 5     
Parker Veriflo NPR735100W2PFSMM Regulator 0-100 PSI
Parker Veriflo NPR735100W2PFSMM Regulator 0-100 PSI
 15     
SEMILAB WML-1 / WML 1 / WML1, Lifetime Tester
SEMILAB WML-1 / WML 1 / WML1, Lifetime Tester
 878 04    
Tyco Electronics ET1515L-7CWC-1-1GY-G Touch Screen E210772 *used working
Tyco Electronics ET1515L-7CWC-1-1GY-G Touch Screen E210772 *used working
 2   14     
Ulvac Amc-8l Matching Controller
Ulvac Amc-8l Matching Controller
 44     
0200-09559 / Cover 200mm Ceramic (6 Holes  128) / Applied Materials Amat
0200-09559 / Cover 200mm Ceramic (6 Holes  128) / Applied Materials Amat
 1,263     
430-0203// Pittman Gm14603d712 (unclean) Motor [used]
430-0203// Pittman Gm14603d712 (unclean) Motor [used]
 2   
428-0103// Twk Kbe58-s8192gkd01 Motor [used]
428-0103// Twk Kbe58-s8192gkd01 Motor [used]
 7   
Mykrolis QCCVAT1C1K Filter Quickchange  Chemlock AT 0 1µm Prewet
Mykrolis QCCVAT1C1K Filter Quickchange Chemlock AT 0 1µm Prewet
 1,225     
Kollmorgen Cti-187-2 Brushless Motor Danaher Motion
Kollmorgen Cti-187-2 Brushless Motor Danaher Motion
 95     
Power Supply
Power Supply
 32   
Pillar P-rutaw6-w2-tw6as Super 300 Type Tee Fitting
Pillar P-rutaw6-w2-tw6as Super 300 Type Tee Fitting
 3     
Applied Materials 3700-01429 O'Rings ID 8 734 CSD  139 Viton 75 Duro BLK
Applied Materials 3700-01429 O'Rings ID 8 734 CSD  139 Viton 75 Duro BLK
    
LTD Materials 11623-000 Injector Removable Quartz Injector Tube 63-3/4 In OAL
LTD Materials 11623-000 Injector Removable Quartz Injector Tube 63-3/4 In OAL
 175   
ASML 4022 629 01259 Stainless Steel Braided Hose New
ASML 4022 629 01259 Stainless Steel Braided Hose New
 59 98    
352-0502// Smc Vz412 Smc Solenoid Valve [used]
352-0502// Smc Vz412 Smc Solenoid Valve [used]
 4   
Aplied Materials 0150-00075 long flat cable
Aplied Materials 0150-00075 long flat cable
 299   
Leybold 23F20073 8" target and backing plate  NiV material
Leybold 23F20073 8" target and backing plate  NiV material
 1,4     
Eom E1310102 Resonator Tune Motor Controller, Used
Eom E1310102 Resonator Tune Motor Controller, Used
 1,5     
Pillar P-rutaw4-tw4-tw2as Super 300 Type Tee Fitting A0349477
Pillar P-rutaw4-tw4-tw2as Super 300 Type Tee Fitting A0349477
 3     
Medite Tes99  Tes 99 420
Medite Tes99 Tes 99 420
 1,3     
SiC CARRIER XT 200mm-167 2585480-01 CoorsTek, Inc
SiC CARRIER XT 200mm-167 2585480-01 CoorsTek, Inc
 6,999     
WJ Manufacturing 8" Nitrogen Shield P/N: 918032-001 NEW
WJ Manufacturing 8" Nitrogen Shield P/N: 918032-001 NEW
 68     
Wv-4-20 6-hs  (lot Of 5     Free Ship)
Wv-4-20 6-hs (lot Of 5    Free Ship)
 299 68    
RMiO-02C Board, Control Board RMiO-02C / Rev H / ABB AC 800 Inverter
RMiO-02C Board, Control Board RMiO-02C / Rev H / ABB AC 800 Inverter
 1,279     
USHIO PB-0929 NO 960112 STAGE 3AXLE / Free Expedited Shipping
USHIO PB-0929 NO 960112 STAGE 3AXLE / Free Expedited Shipping
 399   
Rainier Rubber 121R0001-1 Door Thick wall Seal Compound Number R1436-70
Rainier Rubber 121R0001-1 Door Thick wall Seal Compound Number R1436-70
 65   
Parker Veriflow, Series 06-442VFVF
Parker Veriflow, Series 06-442VFVF
 3   
Fujikin 60-183753-00 Rev  2 Assembly w/ 2 O P  Type N C  Valves 0 39-0 59MPa New
Top-Rated Plus Seller Fujikin 60-183753-00 Rev  2 Assembly w/ 2 O P  Type N C  Valves 0 39-0 59MPa New
 149 98    
GE/Fanuc Genius Bus Interface Unit IC670GBI102F NOS
Top-Rated Plus Seller GE/Fanuc Genius Bus Interface Unit IC670GBI102F NOS
 474 66    
0200-89005 / Insert, Chamber Inlet, 5 Zone / Applied Materials Amat
0200-89005 / Insert, Chamber Inlet, 5 Zone / Applied Materials Amat
 55     
Kalrez AS-568A, K#111, CPD 4079, 10 77X2 62mm, Oring, AMAT 3700-01442, 406322
Kalrez AS-568A, K#111, CPD 4079, 10 77X2 62mm, Oring, AMAT 3700-01442, 406322
 2   
141-0601// Amat Applied 0150-20984 Applied Matrials Components New
141-0601// Amat Applied 0150-20984 Applied Matrials Components New
 2   
142-0501// Amat Applied 0150-21889 Applied Matrials Components New
142-0501// Amat Applied 0150-21889 Applied Matrials Components New
 2   
RVAR-5512 Board, RVAR-5512 / Inverter Board / ABB
RVAR-5512 Board, RVAR-5512 / Inverter Board / ABB
 45     
Bhk-a-v-0 Busch Pump Control
Bhk-a-v-0 Busch Pump Control
 35     
E3s-x3ce4 / Photoelectric Switch, 12-24vdc / Omron
E3s-x3ce4 / Photoelectric Switch, 12-24vdc / Omron
 160     
Set of (22) Thomas West Pads SCF Westpads for Tungsten Semiconductor
Set of (22) Thomas West Pads SCF Westpads for Tungsten Semiconductor
 3   24     
Meltric 01-na313
Meltric 01-na313
 15     
TermoTek P300 Series Chiller P310-14819-2
TermoTek P300 Series Chiller P310-14819-2
 1,9   
^^ Inficon Ag Ll-9496 Balzers Type Peg100 (tf41)
Top-Rated Plus Seller ^^ Inficon Ag Ll-9496 Balzers Type Peg100 (tf41)
 1   75     
142-0701// Amat Applied 0150-09517 Cable Assy,cap Mono/proc Gas I New
142-0701// Amat Applied 0150-09517 Cable Assy,cap Mono/proc Gas I New
 2   
324-0201// Amat Applied 0021-11083 Applied Matrials Components New
324-0201// Amat Applied 0021-11083 Applied Matrials Components New
 2   
Amat Upper Shield 0020-26312-6160476
Amat Upper Shield 0020-26312-6160476
 45     
Saginomiya Karuman Ace Flow Meter Slk-1532tx -new
Saginomiya Karuman Ace Flow Meter Slk-1532tx -new
 8     
21150-5 Board, iRSC / Assy 21150-5 / EiS Inc / Executone
21150-5 Board, iRSC / Assy 21150-5 / EiS Inc / Executone
 15   
Fujikin CWT-4X4BW-1 Pure Vacuum Manifold
Top-Rated Plus Seller Fujikin CWT-4X4BW-1 Pure Vacuum Manifold
 1     
344-0503// Amat Applied 0020-81456 Applied Matrials Components New
344-0503// Amat Applied 0020-81456 Applied Matrials Components New
 2   
142-0701// Amat Applied 0150-00901 Applied Matrials Components New
142-0701// Amat Applied 0150-00901 Applied Matrials Components New
 2   
142-0701// Amat Applied 0150-10307 Applied Matrials Components New
142-0701// Amat Applied 0150-10307 Applied Matrials Components New
 2   
352-0403// Amat Applied 0020-81447  Applied Matrials Components New
352-0403// Amat Applied 0020-81447 Applied Matrials Components New
 2   
324-0201// Amat Applied 0020-40137 Lock Shipping Door, Llc New
324-0201// Amat Applied 0020-40137 Lock Shipping Door, Llc New
 2   
327-0402// Amat Applied 0021-17996 Applied Matrials Components [new]
327-0402// Amat Applied 0021-17996 Applied Matrials Components [new]
 2   
351-0201// Amat Applied 0900-01112 Fltremi Conn Adptr Dsub 9p W/clinch Nut [new]
351-0201// Amat Applied 0900-01112 Fltremi Conn Adptr Dsub 9p W/clinch Nut [new]
 2   
110-0201// Advanced 3152436-102v Pinnacle Used
110-0201// Advanced 3152436-102v Pinnacle Used
 10,   
Hoshizaki T2 Screw 4 8 Sus 7P32-0408 - Free Shipping + Geniune OEM
Hoshizaki T2 Screw 4 8 Sus 7P32-0408 - Free Shipping + Geniune OEM
 12 74  
Parker Veriflow, Model 06-442VFVF,  P/N 54010131
Parker Veriflow, Model 06-442VFVF, P/N 54010131
 4   
141-0603// Amat Applied 0150-20983 Applied Matrials Components New
141-0603// Amat Applied 0150-20983 Applied Matrials Components New
 2   
2705) [used] Disco Fbpcb-0310 Pcb(safety+pc)
2705) [used] Disco Fbpcb-0310 Pcb(safety+pc)
 550 01  
343-0302// Amat Applied 0040-91668 Capacitor Bracket New
343-0302// Amat Applied 0040-91668 Capacitor Bracket New
 2   
344-0403// Amat Applied 3690-01809 Screw Shcdk#10 New
344-0403// Amat Applied 3690-01809 Screw Shcdk#10 New
 2   
344-0501// Amat Applied 0020-94830 (2ea) Clamp Plt Filament Strap New
344-0501// Amat Applied 0020-94830 (2ea) Clamp Plt Filament Strap New
 2   
Millipore Ultrex  Us Filter  Membralox 28239g
Millipore Ultrex Us Filter Membralox 28239g
 98   
Scitex 6 334 5380855 02 96 334 03 HD Mag PCB Kodax Lodem
Scitex 6 334 5380855 02 96 334 03 HD Mag PCB Kodax Lodem
 14   
Parker Veriflow, Series 18E-VFVF
Parker Veriflow, Series 18E-VFVF
 35   
Parker Veriflow, Series 18E-VMVM, Used
Parker Veriflow, Series 18E-VMVM, Used
 35   
NB8 - BTI Bruce Technologies INPUT Module 9760132 - USED
NB8 - BTI Bruce Technologies INPUT Module 9760132 - USED
 225     
115909 / Magniflow Motor, Pump, Centrifugal Bsm-4 2-30 / Celerity
115909 / Magniflow Motor, Pump, Centrifugal Bsm-4 2-30 / Celerity
 3,500 82    
Finepcr Hybridization Incubator COMBI-H12
Finepcr Hybridization Incubator COMBI-H12
 55     
021468-01 / Ade Corp Gage Interface Board / Ade
021468-01 / Ade Corp Gage Interface Board / Ade
 8     
New ASM Siemens 00319423S02 Vacuum Nozzle pack of 8 w/ warranty Free Shipping
New ASM Siemens 00319423S02 Vacuum Nozzle pack of 8 w/ warranty Free Shipping
 2     
Unbranded 4201 Lens Scope With Handle
Unbranded 4201 Lens Scope With Handle
 46     
DMK12-04-C1 Plug End
DMK12-04-C1 Plug End
 33 95    
Tescom , 64-3661KRK32
Tescom , 64-3661KRK32
 25   
Fujikin Diaphragm Valve Fpr-nsd-71-3 3-3 406045
Fujikin Diaphragm Valve Fpr-nsd-71-3 3-3 406045
 45     
New Siemens 00327878-01 Belt Reverse w/ warranty Free Shipping
New Siemens 00327878-01 Belt Reverse w/ warranty Free Shipping
 1     
New Siemens 00200469S03 Axis w/ warranty Free Shipping
New Siemens 00200469S03 Axis w/ warranty Free Shipping
 1     
Swagelock, SS-6NKRVCR8,
Swagelock, SS-6NKRVCR8,
 3   
CS0081 Stepper Motor Driver
CS0081 Stepper Motor Driver
 25   
Sienna Technologies Inc, A11711000-01 Board
Sienna Technologies Inc, A11711000-01 Board
 199 95    
115909 / Magniflow Motor, Pump, Centrifugal Bsm-4 2-30 / Celerity
115909 / Magniflow Motor, Pump, Centrifugal Bsm-4 2-30 / Celerity
 3,249 69    
Metron TEL Tokyo Electron Clamp Stainless Steel D125663 New
Metron TEL Tokyo Electron Clamp Stainless Steel D125663 New
 362 11    
Aetrium 304726 Assembly 304710
Aetrium 304726 Assembly 304710
 1,9     
Parker Filter  10 0 Micron     10320-100-1
Parker Filter 10 0 Micron 10320-100-1
 1     
C05-0298-A Board, C05-0298-A / Environnement S A
C05-0298-A Board, C05-0298-A / Environnement S A
 15     
MOTOROLA 1907594001 MBX 860 Testsite * Rev P 1907594-001
MOTOROLA 1907594001 MBX 860 Testsite * Rev P 1907594-001
 1,2     
ESI Vision Products TURBOHR+ Serial No 71204 free ship
ESI Vision Products TURBOHR+ Serial No 71204 free ship
 975   
Sign Tower T-110-4 Signal Light Hanyoung Nux NOS
Sign Tower T-110-4 Signal Light Hanyoung Nux NOS
 15     
Tel / Mrc Centering Disc Assy, 200 Mm, P/n A113820
Top-Rated Plus Seller Tel / Mrc Centering Disc Assy, 200 Mm, P/n A113820
 25   2     
Parker 945AOPLPNCHF222VESP3 50 54012962 Valve
Parker 945AOPLPNCHF222VESP3 50 54012962 Valve
 54   
Fike  50" Disc Type: SCRD UT, SER#: 9728977, Material: SST
Fike  50" Disc Type: SCRD UT, SER#: 9728977, Material: SST
 49 95  24 97    
WGMS02RRU Inline Filter
WGMS02RRU Inline Filter
 55     
Dainippon Screen MFG Co, SELC-006
Dainippon Screen MFG Co, SELC-006
 1,9     
Centering Ring 15-148835-00 Rev  A 103005
Centering Ring 15-148835-00 Rev  A 103005
 5     
Schumacher Ufdli Chemical Delivery System  1497-00113c
Schumacher Ufdli Chemical Delivery System 1497-00113c
 1,     
ASM 232720180 11 63272022  REV  D / Free Expedited Shipping
ASM 232720180 11 63272022  REV  D / Free Expedited Shipping
 299   
Wonik WQIF00842 Quartz Removable Injector 48" Length 1/4" OD (Pack Of 2)
Wonik WQIF00842 Quartz Removable Injector 48" Length 1/4" OD (Pack Of 2)
 225   
Asml 4022 486 81492
Asml 4022 486 81492
 2     
Lakeland 72130 TychemSL White Medium Level B Chemical Coverall Lot of 6 New
Lakeland 72130 TychemSL White Medium Level B Chemical Coverall Lot of 6 New
 312 11    
Morse 1" High Speed Steel Chucking Reamer Straight 8 Flute 10-1/2" OAL
Morse 1" High Speed Steel Chucking Reamer Straight 8 Flute 10-1/2" OAL
 26     
OC OERLIKON BALZERS COATING 12mm KUEHLPLATTE TARGET 102124758
OC OERLIKON BALZERS COATING 12mm KUEHLPLATTE TARGET 102124758
 3,     
Mattson Technology 299-13000-00 Relay PCB Board 299-13001-00 Used Working
Mattson Technology 299-13000-00 Relay PCB Board 299-13001-00 Used Working
 211 16    
T E M  Filter,  #TEM-815
T E M  Filter, #TEM-815
 225   
BHK-A-V0 Circuit Board
BHK-A-V0 Circuit Board
 6   
3D Instruments, Test Gauge, P/N 25544-21B11
3D Instruments, Test Gauge, P/N 25544-21B11
 75   
Axis SX-3056 Digital Scaling Meter, 67570 Used
Axis SX-3056 Digital Scaling Meter, 67570 Used
 99   74 25    
Tokyo Electron MARK 5 TRACK BEARING, 6007ZZC4
Tokyo Electron MARK 5 TRACK BEARING, 6007ZZC4
 2     
Edwards N03615000 CABLE 208V, PO17 KIT
Edwards N03615000 CABLE 208V, PO17 KIT
 407 18    
GE Analog Input Module IC200ALG230H
Top-Rated Plus Seller GE Analog Input Module IC200ALG230H
 163 65    
New England Affiliated Technologies Neat 103m Microstepping Motor Drive
New England Affiliated Technologies Neat 103m Microstepping Motor Drive
 56 87  
SPECTRA-PHYSICS 7310 Remote
SPECTRA-PHYSICS 7310 Remote
 499   
DISCO EAUA UA-266105 D3 PMCB Interface Board Used Working
DISCO EAUA UA-266105 D3 PMCB Interface Board Used Working
 410 11    
RSF Electronics Z525
RSF Electronics Z525
 354     
Jenoptik 013501-099-17 L Interface Board PCB Used Working
Jenoptik 013501-099-17 L Interface Board PCB Used Working
 153 18    
Maxon Gear 144031, Maxon Motor 254489, & HEDM-5500 Encoders
Maxon Gear 144031, Maxon Motor 254489, & HEDM-5500 Encoders
 85     
New ASM Siemens Rubber Bonded Metal Buffer 00363164-01 w/ warranty Free Shipping
New ASM Siemens Rubber Bonded Metal Buffer 00363164-01 w/ warranty Free Shipping
 1     
Leica 301-364-055 PCB
Leica 301-364-055 PCB
 11     
Amst Muegge Cable Sam-02
Amst Muegge Cable Sam-02
 399     
Used Yamamoto MS61L Differential Pressure Switch
Used Yamamoto MS61L Differential Pressure Switch
 15     
141-0303// Amat Applied 3860-01469 (25ft) Tbgplstc 5/32od 3/32id Polyu New
141-0303// Amat Applied 3860-01469 (25ft) Tbgplstc 5/32od 3/32id Polyu New
 1   
60560034-01 / Pcb-systems Support / Lumonics
60560034-01 / Pcb-systems Support / Lumonics
 1,140 30    
GE/Fanuc Input Module IC670MDL240K
Top-Rated Plus Seller GE/Fanuc Input Module IC670MDL240K
 117 82    
GE/Fanuc Grouped Input Module IC670MDL240H
Top-Rated Plus Seller GE/Fanuc Grouped Input Module IC670MDL240H
 196 38    
GE/Fanuc Isolated Input Module IC670MDL233J
Top-Rated Plus Seller GE/Fanuc Isolated Input Module IC670MDL233J
 81 79    
BTU Engineering Ratio Slave PCB Assy, 3162055, Used
BTU Engineering Ratio Slave PCB Assy, 3162055, Used
 2     
Amat 0100-11002 Digital I/o Card
Amat 0100-11002 Digital I/o Card
 145     
Regal Joint Flow Sensor Type Fs10s
Regal Joint Flow Sensor Type Fs10s
 99 98    
Kf10 To 1" Tube Aluminum  Adapter P1043
Kf10 To 1" Tube Aluminum Adapter P1043
    
Wonik 1105-201191-61 Quartz Cap 13" OD 7" ID TEL 110520119161
Wonik 1105-201191-61 Quartz Cap 13" OD 7" ID TEL 110520119161
 475   
Nikon 4b990-466 (black Off Panel Limit Sensor )  Nsr
Nikon 4b990-466 (black Off Panel Limit Sensor ) Nsr
 75     
Nikon Bl02803ab (mirror Assembly) Nsr
Nikon Bl02803ab (mirror Assembly) Nsr
 199     
MSK Instruments ,Inc 246B Power Supply Readout
MSK Instruments ,Inc 246B Power Supply Readout
 6   
Varian Neuron 16 Channel I/O Discrete Board 04-719368-01
Varian Neuron 16 Channel I/O Discrete Board 04-719368-01
 14     
Advantest Control Box A9712B
Top-Rated Plus Seller Advantest Control Box A9712B
 1   
*tc*  Leybold Turbovac 50 Turbo Vacuum Pump   (tfw2)
Top-Rated Plus Seller *tc* Leybold Turbovac 50 Turbo Vacuum Pump (tfw2)
 1,     
Metroline/IPC, Branson, 4055/2 Plasma System, Plasma Surface Treatment Tool
Metroline/IPC, Branson, 4055/2 Plasma System, Plasma Surface Treatment Tool
 3,899 96  
MTCS S12-DN Temperature Controller, 423668
MTCS S12-DN Temperature Controller, 423668
 25   
Fujikin 316L-P Diaphragm Valve, APWYM000, 454751, 1/4" VCR, 452084
Fujikin 316L-P Diaphragm Valve, APWYM000, 454751, 1/4" VCR, 452084
 195   
PARKER PR-04SE Servo Controller, USED
PARKER PR-04SE Servo Controller, USED
 3     
Novellus 674494-01 Spring Retainer Shield Setech 00059552 (Pack Of 10)
Novellus 674494-01 Spring Retainer Shield Setech 00059552 (Pack Of 10)
 13 50  
ASM 1012-522-01 Upper Lamp Array Assembly ASSY-UPPER LAMP ARRAY New
ASM 1012-522-01 Upper Lamp Array Assembly ASSY-UPPER LAMP ARRAY New
 3,007 18    
Axcelis Type 1225216 Bracket  Photodiode Support Aluminum 6065440 Rev A
Axcelis Type 1225216 Bracket Photodiode Support Aluminum 6065440 Rev A
 15   
Microwave Associates 13 GC Assy Rev R - Assembly
Microwave Associates 13 GC Assy Rev R - Assembly
 325     
Credence Systems Co TT1N30R2-1 PROGRAMMING PENDANT
Credence Systems Co TT1N30R2-1 PROGRAMMING PENDANT
 129   
Amat 0100-09035 Pcb Assy Relay Interlock Board
Amat 0100-09035 Pcb Assy Relay Interlock Board
 3     
207944 Or 380963 / Actuator, Ald Ll Vac 0310x-ca24-akk3 / Vat
207944 Or 380963 / Actuator, Ald Ll Vac 0310x-ca24-akk3 / Vat
 475 98    
VG Quadrupoles Model 100D with Cable
Top-Rated Plus Seller VG Quadrupoles Model 100D with Cable
 19   114     
Applied Materials 3700-01145 Oring
Applied Materials 3700-01145 Oring
 2     
AMAT Textured Alloy Handle CS AT 70
Top-Rated Plus Seller AMAT Textured Alloy Handle CS AT 70
 4   
BALLUFF BES 516-326-E5-C-S4 INDUCTIVE SENSOR with HARDWARE *FREE SHIPPING*
BALLUFF BES 516-326-E5-C-S4 INDUCTIVE SENSOR with HARDWARE *FREE SHIPPING*
 2     
Lot of 3 Drytek LAM 602120 Cartridge LIFT PIN ASM,unUsed^7006
Lot of 3 Drytek LAM 602120 Cartridge LIFT PIN ASM,unUsed^7006
 139     
PURE TECH  Copper Cu Target  5% , 2 0" Dia x 0 250" Thick
PURE TECH Copper Cu Target  5% , 2 0" Dia x 0 250" Thick
 188     
PHILLIPS 4022 332 86863 a DUAL SCALER SMD 5322 216 04654  /  Free Shipping
PHILLIPS 4022 332 86863 a DUAL SCALER SMD 5322 216 04654 / Free Shipping
 599   
324-0101// Amat Applied 3810-01048 Applied Matrials Components [new]
324-0101// Amat Applied 3810-01048 Applied Matrials Components [new]
 5   
TRUTZSCHLER FU 2 9494-70 536 501 Inverter
TRUTZSCHLER FU 2 9494-70 536 501 Inverter
 2,     
ESI 2300 Galvo Board Upgrade kit,  P/N 115727 Rev E  -Free Shipment
ESI 2300 Galvo Board Upgrade kit, P/N 115727 Rev E  -Free Shipment
 65     
Fujikin FUBV-715-6 35
Fujikin FUBV-715-6 35
 89 95  44 97    
PAIR of AMAT 3780-01049 | SPRING EXT 1 560FL X 3120, 3780-01049
Top-Rated Plus Seller PAIR of AMAT 3780-01049 | SPRING EXT 1 560FL X 3120, 3780-01049
 2   
T183378 VG Scienta RHC Heater Controller 1133301A
T183378 VG Scienta RHC Heater Controller 1133301A
 2     
Fujikin FUSDAL-21-6 35UGF-APD
Fujikin FUSDAL-21-6 35UGF-APD
 89 95  44 97    
WATLOW Temperature Controller 142A-3680-1400,120VAC input 120/240 VAC output, 3A
WATLOW Temperature Controller 142A-3680-1400,120VAC input 120/240 VAC output, 3A
 97 95    
UMG Technologies PLT-15750 Board Support 2 Leg 2 8 Tab
Top-Rated Plus Seller UMG Technologies PLT-15750 Board Support 2 Leg 2 8 Tab
 1   17     
SMC Cylinder CXSL10-125-Y59BL-XB11
SMC Cylinder CXSL10-125-Y59BL-XB11
 5     
Novellus Type 04-710176-01 Timing Belt 3/8" x 9 1/4"
Novellus Type 04-710176-01 Timing Belt 3/8" x 9 1/4"
 19 50  
Binks Trigger Nut 54-3460
Binks Trigger Nut 54-3460
 4     
4022 456 40362 Assy Filter Bracket 5''
4022 456 40362 Assy Filter Bracket 5''
 52     
U1A00001 Board, U1A00001 / Ultrawely Power DIST Board / Amtech
U1A00001 Board, U1A00001 / Ultrawely Power DIST Board / Amtech
 52     
Amfeltec Corp Rev 1 1 US Pat: 7,850,475 E343438
Amfeltec Corp Rev 1 1 US Pat: 7,850,475 E343438
 95     
New ASM Siemens 0034122001 Cable HS50 w/ warranty Free Shipping
New ASM Siemens 0034122001 Cable HS50 w/ warranty Free Shipping
 24     
New FEI  CONNECTION BOX A 4022-262-63490
New FEI CONNECTION BOX A 4022-262-63490
 3   
Lot Of 13 GRAYCO Optical Left Abbey Prisms
Lot Of 13 GRAYCO Optical Left Abbey Prisms
 94     
Allen-Bradley 140-MN-0250 Manual Motor Starter, Circuit Breaker, 452628
Allen-Bradley 140-MN-0250 Manual Motor Starter, Circuit Breaker, 452628
 15   
ULCOAT DPFQ-7012(U)-LA2FF Quartz Mask Blanks Box
ULCOAT DPFQ-7012(U)-LA2FF Quartz Mask Blanks Box
 5     
LEYBOLD AG 892 76 A 91 01 00018 Cold Heads Electrical Manifold MC 4
LEYBOLD AG 892 76 A 91 01 00018 Cold Heads Electrical Manifold MC 4
 55     
Fujikin FUCDF-21-6 35UGF-CR-AKH
Fujikin FUCDF-21-6 35UGF-CR-AKH
 89 95  44 97    
Minebea Csa-503c-07  Transmitter
Minebea Csa-503c-07 Transmitter
 35     
18" Stainless Gas Line with Fittings
18" Stainless Gas Line with Fittings
 9   
NEW Ichor Systems 394-06201-000 ASML NPU Interconnect Board/Card Assembly
Top-Rated Plus Seller NEW Ichor Systems 394-06201-000 ASML NPU Interconnect Board/Card Assembly
 34 89    
Kouwei I/O Board KW-509B
Kouwei I/O Board KW-509B
 34     
Engelhardt GmbH SNMB-1 Module Power Supply MSNMB203 98030985
Top-Rated Plus Seller Engelhardt GmbH SNMB-1 Module Power Supply MSNMB203 98030985
 199 98    
AMAT 0050-15-4 Weldment Right And Left Angle 10980200
AMAT 0050-15-4 Weldment Right And Left Angle 10980200
 39   29 25  
500133888 Cable, 500133888 / KAA4626-19-00 / DEVASYS Smbus 1 0 M Cable / Intel
500133888 Cable, 500133888 / KAA4626-19-00 / DEVASYS Smbus 1 0 M Cable / Intel
 5     
Southwest KMFE-00250004A 24V  40W Temperature Sensor
Southwest KMFE-00250004A 24V 40W Temperature Sensor
 12   
FMS BKS D 3 125 Web Guide Actuator
FMS BKS D 3 125 Web Guide Actuator
 75     
Edward Iq Interface Module
Edward Iq Interface Module
 3     
Manual for FSI Phoenix
Manual for FSI Phoenix
 6     
Midwestern Research PCB MCB51038M0
Midwestern Research PCB MCB51038M0
 399     
Nikon 4k733-190 (lamp Support Mechanism Cover ) Nsr
Nikon 4k733-190 (lamp Support Mechanism Cover ) Nsr
 125     
Jeol Mp003470-01
Jeol Mp003470-01
 87   
Tosoh SMD Chromium Target 99 97 #2718-24-000-370 ++ NEW ++
Tosoh SMD Chromium Target 99 97 #2718-24-000-370 ++ NEW ++
 199 95    
Applied Materials 3060-01101 ball bearing ,  180id
Applied Materials 3060-01101 ball bearing ,  180id
 3     
Thermco Systems Assy 600056-03a Rev D
Thermco Systems Assy 600056-03a Rev D
    
Asml 4022 630 27002 Al Xt Baseband Laser Mod Assy 4022 455 78511 Red Green Laser
Asml 4022 630 27002 Al Xt Baseband Laser Mod Assy 4022 455 78511 Red Green Laser
 34,999     
Drytek / Lam Lower Electrode Microwave Assy 2301838
Top-Rated Plus Seller Drytek / Lam Lower Electrode Microwave Assy 2301838
 2,499   1,999 20    
Jst Dt921v Switch Panel Tested Working Condition
Jst Dt921v Switch Panel Tested Working Condition
 6     
CKD OPP3-1H 10-Port Pneumatic Manifold FL247221 Used Working
CKD OPP3-1H 10-Port Pneumatic Manifold FL247221 Used Working
 205 11    
BGCA0639 Blade, Dressing Board 75x75x1 0t
BGCA0639 Blade, Dressing Board 75x75x1 0t
 52     
Proteus 98004SN6P1 Flow Meter 5 VDC=6 0 GPM NEW
Proteus 98004SN6P1 Flow Meter 5 VDC=6 0 GPM NEW
 69   
Balzers Bms 101 Freeze Etching Unit Control & Bcm 101 Commutator Unit
Balzers Bms 101 Freeze Etching Unit Control & Bcm 101 Commutator Unit
 145   
SMC Cylinder CXSL10-150-Y59BL-XB11
SMC Cylinder CXSL10-150-Y59BL-XB11
 1     
NTE Electronics 502-0403 POTENTIOMETER 1/4W 24MM 6MM SHAFT DIA 1 18" SHAFT
NTE Electronics 502-0403 POTENTIOMETER 1/4W 24MM 6MM SHAFT DIA 1 18" SHAFT
 6 39  
Ultratech 2504-106040 Belt Polyurethane O-ring S7024261 (Pack Of 4)
Ultratech 2504-106040 Belt Polyurethane O-ring S7024261 (Pack Of 4)
 16 50  
Von Ardenne Pem 06 Pem06-pm Vaprocos Adio-module A0044187
Von Ardenne Pem 06 Pem06-pm Vaprocos Adio-module A0044187
 25     
New ASM Siemens Needle Sleeve 6x10x9 002200334-01 w/ warranty Free Shipping
New ASM Siemens Needle Sleeve 6x10x9 002200334-01 w/ warranty Free Shipping
 24     
Amat 0520-00066 Valve Vat 329055
Amat 0520-00066 Valve Vat 329055
 3,9     
Riko Varitap Vscp-30-n Thyristor Ac Regulator
Riko Varitap Vscp-30-n Thyristor Ac Regulator
 239   
Turck Devicenet Eurofast cables WSC WKC 5711-0 5M batch of 4
Turck Devicenet Eurofast cables WSC WKC 5711-0 5M batch of 4
 35   
Wasco Super Purity Pressure Switch SP129-51W2B-X/7095 USA Swagelok VCR Fitting
Wasco Super Purity Pressure Switch SP129-51W2B-X/7095 USA Swagelok VCR Fitting
 8   
Control Techniques Interface PCB 300300-11
Control Techniques Interface PCB 300300-11
 22   
MURR ELEKTRONIK 67010 RELAY BASE FOOT INPUT24VDC OUTPUT250VAC/110VDC max  3A
MURR ELEKTRONIK 67010 RELAY BASE FOOT INPUT24VDC OUTPUT250VAC/110VDC max  3A
 7     
Quad systems 10-18279    EPCU  rev C with 30 day warranty!!!
Quad systems 10-18279 EPCU rev C with 30 day warranty!!!
 32     
Fit-Line Flarelink UES1212 UES1212TN PVDF 90 Degree Elbow
Fit-Line Flarelink UES1212 UES1212TN PVDF 90 Degree Elbow
 48   
Alphanetics 30002-01, 6 Slot, PCB Board, 406320
Alphanetics 30002-01, 6 Slot, PCB Board, 406320
 295   
Assy H&L H920
Assy H&L H920
 24     
Halstrup Walcher PS 27 Differential Pressure transmitter No Display PTLE AIRFLOW
Halstrup Walcher PS 27 Differential Pressure transmitter No Display PTLE AIRFLOW
 54     
Quartz Thermpcouple Sheath  18” Qo4452reva-1-jci-004 Semiconductor
Quartz Thermpcouple Sheath 18” Qo4452reva-1-jci-004 Semiconductor
 5   
Desco 60501 Jr C/e Chargebuster High Output Ionizer Sn: 605011413001
Desco 60501 Jr C/e Chargebuster High Output Ionizer Sn: 605011413001
 588     
Swagelok 6LV-BNBW4-C
Swagelok 6LV-BNBW4-C
 25     
Dainippon Screen Control Gepi-101 Power Interface With Pcn And Nfb Connections
Dainippon Screen Control Gepi-101 Power Interface With Pcn And Nfb Connections
 224   
Balzers AG BG 448 094B/BS PCB
Balzers AG BG 448 094B/BS PCB
 198     
Pressure Transducer GFD30A3VSFV
Pressure Transducer GFD30A3VSFV
 125   
RECHNER Series 90 Capacitive Sensor KAS-90-61-S
Top-Rated Plus Seller RECHNER Series 90 Capacitive Sensor KAS-90-61-S
 48 95    
Meech 970 Pulsed Dc Controller
Meech 970 Pulsed Dc Controller
 115   
SCP    3107A   Process Timer   583-057-1A
SCP    3107A   Process Timer   583-057-1A
 6     
OMEGA ENGINEERING Flow Control FM-8506
OMEGA ENGINEERING Flow Control FM-8506
 5     
6LV-DFBW8-P-C Valve,
6LV-DFBW8-P-C Valve,
 195     
Insulator Seal MDC 9422149 HV Electrical Feedthough 3 Conductor 2 75in CF
Insulator Seal MDC 9422149 HV Electrical Feedthough 3 Conductor 2 75in CF
 225     
Philips Legato-21 0 ASML 8122 410 52393, 4022 472 85551
Philips Legato-21 0 ASML 8122 410 52393, 4022 472 85551
 1,9     
laser head 10256664-01-TUS
laser head 10256664-01-TUS
 45   
Honeywell Relay Card Module 46190305-001
Honeywell Relay Card Module 46190305-001
    
Mattson Wet Products 0613575 Immersion Heater Heatrod Type H-fk 1480 0 8kw New
Mattson Wet Products 0613575 Immersion Heater Heatrod Type H-fk 1480 0 8kw New
 38     
Tantalum Neutraliser Assy
Tantalum Neutraliser Assy
 349   
LUCAS LABS OVDS-7010 Reference Module
LUCAS LABS OVDS-7010 Reference Module
 99 95  
Mattson 1011281 Thermal Controller *used working
Mattson 1011281 Thermal Controller *used working
 5   35     
*tc*  Leybold Turbovac Pump Tw 700  Tw700 L/tdl - New In Box ?   (dtg16)
Top-Rated Plus Seller *tc* Leybold Turbovac Pump Tw 700 Tw700 L/tdl - New In Box ? (dtg16)
 3,   2,25     
*tc*  Leybold Tw 250 S / Tw250s  Vacuum Pump   (tfw5)
Top-Rated Plus Seller *tc* Leybold Tw 250 S / Tw250s Vacuum Pump (tfw5)
 85   637 50    
Unit Instruments Ufc-1560a Mass Flow Controller Mfc 500 Sccm Hf Stainless Steel
Unit Instruments Ufc-1560a Mass Flow Controller Mfc 500 Sccm Hf Stainless Steel
 74 50    
Cal-Weld 10-00242-00 Steel Tube 10-00242-00/B
Cal-Weld 10-00242-00 Steel Tube 10-00242-00/B
 28 25  
Sp-2010 / Syntest Corp  Dot Matrix Sp-2010 / Syntest Corp
Sp-2010 / Syntest Corp  Dot Matrix Sp-2010 / Syntest Corp
 120 68    
BENCHMARK THERMAL BFUA7279-1 Flexible Heater
BENCHMARK THERMAL BFUA7279-1 Flexible Heater
 32 56    
BENCHMARK THERMAL BFUA7279-2 Flexible Heater
BENCHMARK THERMAL BFUA7279-2 Flexible Heater
 38 79    
CGI 023RNX0100-XX-01910 Gearhead New
CGI 023RNX0100-XX-01910 Gearhead New
 302 12    
351-0202// Amat Applied 3780-01158 (2ea) Spr Cprsn [used]
351-0202// Amat Applied 3780-01158 (2ea) Spr Cprsn [used]
 1   
Yaskawa Motionpack 110 Jefmc-b011-05f
Yaskawa Motionpack 110 Jefmc-b011-05f
 375     
[Used] HUTTINGER / 1208875-09-201076257 / TE 991290 VER04
[Used] HUTTINGER / 1208875-09-201076257 / TE 991290 VER04
 154   
Eurotherm DeviceNet Mini8  /  Free Shipping
Eurotherm DeviceNet Mini8 / Free Shipping
 299   
New Panasonic 1021570420 Nozzles pack of 3 w/ warranty Free Shipping
New Panasonic 1021570420 Nozzles pack of 3 w/ warranty Free Shipping
 6     
Aspect Systems Inc, Recipe Module
Aspect Systems Inc, Recipe Module
 89 10  
AMAT 0270-09269 Rev  A MEI 2177 ALIGNMENT FIXTURE
AMAT 0270-09269 Rev  A MEI 2177 ALIGNMENT FIXTURE
 8   
Fujikin Ah4n0000 Manual Shut-off Diaphragm Valve
Fujikin Ah4n0000 Manual Shut-off Diaphragm Valve
 15     
Optem HF-165 Microscope *used working, 90 day warranty*
Optem HF-165 Microscope *used working, 90 day warranty*
 4   28     
Cosense Electronic Module Multi-Channel System P/N : 14002
Cosense Electronic Module Multi-Channel System P/N : 14002
 185     
HORIBA STEC SMT-8000 TMGe 100SCCM
Top-Rated Plus Seller HORIBA STEC SMT-8000 TMGe 100SCCM
 4     
ESI CKA 107033  Card , PCB 106045 ESI Palomar System 5410 NEW
ESI CKA 107033 Card , PCB 106045 ESI Palomar System 5410 NEW
 388     
Tokyo Electron BX80-000063-11 Module CONT BOX
Tokyo Electron BX80-000063-11 Module CONT BOX
 174     
NSE Control Devices, 23MJ89, SST Float, New
NSE Control Devices, 23MJ89, SST Float, New
 105     
Lot of 10 9-Pin D Type Male Connectors, 406974
Lot of 10 9-Pin D Type Male Connectors, 406974
 2   
Novellus Type BB-870556-51 Thermocouple Assembly BB87055651
Novellus Type BB-870556-51 Thermocouple Assembly BB87055651
 29 50  
Hamamatsu H9759-02
Hamamatsu H9759-02
 299 90  
NEW Probe Board Stiffener 0A384-300047B-003 Aluminum 13 75" x 13 75" x 0 649"
Top-Rated Plus Seller NEW Probe Board Stiffener 0A384-300047B-003 Aluminum 13 75" x 13 75" x 0 649"
 124     
0021-60551 Diffuser 29696
0021-60551 Diffuser 29696
 9  0 Bids 4d 13h
Magnetic 6'' Round Assembly
Magnetic 6'' Round Assembly
 99     
Siemens FBS8072 CIRCUIT ADAPTER SHOE 80A,690V VDE 0660
Siemens FBS8072 CIRCUIT ADAPTER SHOE 80A,690V VDE 0660
 6     
Asml 4022 636 65066 Paac 500/65 Power Supply
Asml 4022 636 65066 Paac 500/65 Power Supply
 3,999     
TOKYO ELECTRONIC INDUSTRY CCD CAMERA UNIT CSU4000B & ELMC 0102009 Camera
TOKYO ELECTRONIC INDUSTRY CCD CAMERA UNIT CSU4000B & ELMC 0102009 Camera
 14   
Ref Plus Fan Guard RGR-0040 - Free Shipping + Geniune OEM
Ref Plus Fan Guard RGR-0040 - Free Shipping + Geniune OEM
 24 64  22 98  
Applied Materials 0041-14084 0021-47728 0021-47654 0021-87366 0021-26855 Magnet
Applied Materials 0041-14084 0021-47728 0021-47654 0021-87366 0021-26855 Magnet
 11,999     
Hyunam HPR-2004 Pop Data Terminal/Controller HPR2004
Top-Rated Plus Seller Hyunam HPR-2004 Pop Data Terminal/Controller HPR2004
 13     
Bay Pneumatic Inc BES-501-8
Bay Pneumatic Inc BES-501-8
 14   
Matrix 1010-0017 Process Interface Board
Matrix 1010-0017 Process Interface Board
 42     
Phase Metrics Board E040635000-1 Rev 4
Phase Metrics Board E040635000-1 Rev 4
 13     
Fei Company 98694 Rev A
Fei Company 98694 Rev A
 3     
Mitsutech MTK 1500 Monitor -18" LCD Panel *USED*
Mitsutech MTK 1500 Monitor -18" LCD Panel *USED*
 4   
NEW Assembleon/Phillips 9498 396 02440 Controller 12-88mm PCB Control ITF Board
Top-Rated Plus Seller NEW Assembleon/Phillips 9498 396 02440 Controller 12-88mm PCB Control ITF Board
 62     
ECI Dispenser Probe TQ716201-52 Chemical ACE Chem X Std with WARRANTY
ECI Dispenser Probe TQ716201-52 Chemical ACE Chem X Std with WARRANTY
 299   239 20    
Fusion Systems AC ACU - 310401R
Fusion Systems AC ACU - 310401R
 81 28    
Weresch Automat SBA-80 Automatic Cut & Bend Machine
Weresch Automat SBA-80 Automatic Cut & Bend Machine
 499     
Wonik  Quartz Fin Rev  Pedestal  1105-100710-15
Wonik Quartz Fin Rev  Pedestal 1105-100710-15
 4   
TREBORKRSS75-00-A SS75 REBUILD KIT -Free US Shipping-
TREBORKRSS75-00-A SS75 REBUILD KIT -Free US Shipping-
 1     
Parker Veriflo 930 125psi Max Valve, Used
Parker Veriflo 930 125psi Max Valve, Used
 5     
OMEGAMARKER  Temperature Test Kit, Range 125°F - 350 °F in 25 °F steps
OMEGAMARKER Temperature Test Kit, Range 125°F - 350 °F in 25 °F steps
 19     
Fagor Commercial Feedthrough 12023613 - Free Shipping + Geniune OEM
Fagor Commercial Feedthrough 12023613 - Free Shipping + Geniune OEM
 32 15  30 87  
Scientific TechnologiesLight Curatin Controller  MS4324B-2
Scientific TechnologiesLight Curatin Controller MS4324B-2
 25   
Allen Bradley 2711 77131-015-51 Hardware Kit
Allen Bradley 2711 77131-015-51 Hardware Kit
 5     
NEW Kennametal 1 -12 mm Chamfer/Countersink and Drill Tool 3 37120R732
NEW Kennametal 1 -12 mm Chamfer/Countersink and Drill Tool 3 37120R732
 125   
Saint Gobain Crystar Process Tube  3414277r
Saint Gobain Crystar Process Tube 3414277r
 5,   
Valqua Armor Crystal B60 AS568 254
Valqua Armor Crystal B60 AS568 254
 99   
Tel Alpha 805c Boat Elevator
Tel Alpha 805c Boat Elevator
 5,4     
Fei Tool, Test, 100x55mm, Stage 22670
Fei Tool, Test, 100x55mm, Stage 22670
 4   24     
Ultratech stepper 03-20-01995 BD STAGE ASH 5 AXIS Rev D1 + 00784 SLAVE VME Rev C
Ultratech stepper 03-20-01995 BD STAGE ASH 5 AXIS Rev D1 + 00784 SLAVE VME Rev C
 4   24     
Ferran Scientific Micropole Cni-05
Ferran Scientific Micropole Cni-05
 59 95  
August Technology Corp 200857 Rev  B Nsx Vacuum Board
August Technology Corp 200857 Rev  B Nsx Vacuum Board
 3   24   
Halstrup Walcher PS 17 PS17 Differential pressure transmitter NEW
Halstrup Walcher PS 17 PS17 Differential pressure transmitter NEW
 18     
Celerity Mykrolis Hpc-20t160 Cdg Adapter Unit
Celerity Mykrolis Hpc-20t160 Cdg Adapter Unit
 13     
x6 Life Technologies Calibration Plaque Assembly 4478586 Rev A
x6 Life Technologies Calibration Plaque Assembly 4478586 Rev A
 14     
tescom 100 psi UHP regulators with built in pressure transducers
tescom 100 psi UHP regulators with built in pressure transducers
 1     
GE/Fanuc Isolated Relay IC670MDL930K
Top-Rated Plus Seller GE/Fanuc Isolated Relay IC670MDL930K
 212 75    
Innovative Integration M62/67 PCI Board
Innovative Integration M62/67 PCI Board
 6   54   
Weinschel 3200-2E Programmable Attenuator (Used Working) lot of 3
Weinschel 3200-2E Programmable Attenuator (Used Working) lot of 3
 2   14     
MINCO AF Microscope Objective Lens
MINCO AF Microscope Objective Lens
 45     
Minco Af Microscope Objective Lens
Minco Af Microscope Objective Lens
 45     
Axcelis 1708920 Wiper Spacer Aluminum 39 80mm OD 31 75mm ID 10 20mm Width
Axcelis 1708920 Wiper Spacer Aluminum 39 80mm OD 31 75mm ID 10 20mm Width
 25   
Eaton PCB 1426890 rev B assy 15S0869 A Air interface D I  PCB
Eaton PCB 1426890 rev B assy 15S0869 A Air interface D I  PCB
 649     
Perceptron 926-0324 / E-bridge
Perceptron 926-0324 / E-bridge
 11     
Lot of 2 Omron S8VS-06024 Switching Rail Power Supply
Lot of 2 Omron S8VS-06024 Switching Rail Power Supply
 3     
Lot Of 21 GRAYCO Optical Right Abbey Prisms
Lot Of 21 GRAYCO Optical Right Abbey Prisms
 13     
Daymarc 91-5347-01 Board Robot Sensor AM-5347-01 MR62489
Daymarc 91-5347-01 Board Robot Sensor AM-5347-01 MR62489
 45   
Eaton Fiber Optic Interface  Unit 5000  0906-0146-0001
Eaton Fiber Optic Interface Unit 5000 0906-0146-0001
 1,     
Swagelok, SS-3K-CH16-VI, Seal Kit
Swagelok, SS-3K-CH16-VI, Seal Kit
 65   
Hilscher Devicenet CPCI Board CIF80 BSL-DN V1 500
Hilscher Devicenet CPCI Board CIF80 BSL-DN V1 500
 79 90  
TEL Tokyo Electron 3D10-201599-V1 Focus Ring New
TEL Tokyo Electron 3D10-201599-V1 Focus Ring New
 200 08    
MyData Automation L-19-241-2A Rev  4 Circuit Board Working Used Free Shipping
MyData Automation L-19-241-2A Rev  4 Circuit Board Working Used Free Shipping
 24     
Wonik Quartz 1105-401412-51 Quartz Injector
Wonik Quartz 1105-401412-51 Quartz Injector
 27 50  
Balzers UDV 040 All Metal Gas Dosing Valve  Tag #39
Balzers UDV 040 All Metal Gas Dosing Valve Tag #39
 65     
Cylinder Lead Pigtail for HP Gas Panel w/ CGA 632-642,  P21-5200-632, New
Cylinder Lead Pigtail for HP Gas Panel w/ CGA 632-642, P21-5200-632, New
 12     
Board No 40911201-2  Assy No  97911231 Rev C
Board No 40911201-2 Assy No  97911231 Rev C
 95     
(5) Cajon 4L6L-12-CFR-02916 Flange, 304L Stainless Steel
(5) Cajon 4L6L-12-CFR-02916 Flange, 304L Stainless Steel
 2   
Steel Shelving
Steel Shelving
 2     
New Data Logic S5-5-G8-02 Photoelectric Sensor - Ships FREE from the USA (RE119)
New Data Logic S5-5-G8-02 Photoelectric Sensor - Ships FREE from the USA (RE119)
 5     
Dual Leak Detect Kit - SS 54 (UK) 920100-004
Dual Leak Detect Kit - SS 54 (UK) 920100-004
 345 92    
LAM Research 605-230312-007 Rev B Board,TRUST,P M D MC55320CP 3 1G,Used,US&7678
LAM Research 605-230312-007 Rev B Board,TRUST,P M D MC55320CP 3 1G,Used,US&7678
 259     
Heating element Black Max
Heating element Black Max
 3     
Efc  Digital Electrostatic Power Supply Up-100 Efc Up-100
Efc Digital Electrostatic Power Supply Up-100 Efc Up-100
 117 29  106 73    
Silicon Thermal Powercool LB300 Thermal Controller- Fair Condition
Top-Rated Plus Seller Silicon Thermal Powercool LB300 Thermal Controller- Fair Condition
      
Phase 2 Automation 148 Disk Lifter DL148
Phase 2 Automation 148 Disk Lifter DL148
 14     
323-0101// Amat Applied 3300-02652 Ftg   Tbg 1/16 Delrin Tee New
323-0101// Amat Applied 3300-02652 Ftg Tbg 1/16 Delrin Tee New
 2   
FutureStar 229-0150-57 New  FSI
FutureStar 229-0150-57 New FSI
 199     
Dynamics Research Corp  PC 40010R3
Dynamics Research Corp  PC 40010R3
 425     
0810523 / Pump,high Tempprocess,pff40ht / Steag Electronic Systems Inc
0810523 / Pump,high Tempprocess,pff40ht / Steag Electronic Systems Inc
 9,400     
GHF GHDPT102X1E PTFE Filter, 0 01 Micron, 10", RS1163
GHF GHDPT102X1E PTFE Filter, 0 01 Micron, 10", RS1163
 395   
New ASM 03042330-01 Push Bottom 3SB3 w/ warranty Free Shipping
New ASM 03042330-01 Push Bottom 3SB3 w/ warranty Free Shipping
 5     
Ultratech 03-18-00446 Combo Transition PCB Used Working
Ultratech 03-18-00446 Combo Transition PCB Used Working
 511 10    
Everett Charles Technologies 22-5965 Cleaning Brush Kit
Everett Charles Technologies 22-5965 Cleaning Brush Kit
 18   
Airaurri Cylinder CDJ2D16-5
Top-Rated Plus Seller Airaurri Cylinder CDJ2D16-5
 2   17 40  
Oriental Motor Dfc5128t 5-phase Motor Driver Vexta
Oriental Motor Dfc5128t 5-phase Motor Driver Vexta
 8   
Amat Coupling         (0760-90020)
Amat Coupling         (0760-90020)
 209     
Nikon 2K264-029 Lateral Pinion Shaft Product No  MEC35000
Nikon 2K264-029 Lateral Pinion Shaft Product No  MEC35000
 31   
Varian 04944001 Compression Collar
Varian 04944001 Compression Collar
 12   
Axcelis Type 17107290 Brass Shim  010 Thickness (Pack Of 10)
Axcelis Type 17107290 Brass Shim  010 Thickness (Pack Of 10)
 27 50  
Robotrol Corp 2020131 Rev RBX388 PCB Corporation
Robotrol Corp 2020131 Rev RBX388 PCB Corporation
 1   75     
Unit Instruments Ufc-1200a Mass Flow Controller Mfc 100 Sccm Cl2 Ss
Unit Instruments Ufc-1200a Mass Flow Controller Mfc 100 Sccm Cl2 Ss
 84 50    
UNIT INSTRUMENTS UFC-1200A Mass Flow Controller MFC 5 SLM NH3 Stainless Steel
UNIT INSTRUMENTS UFC-1200A Mass Flow Controller MFC 5 SLM NH3 Stainless Steel
 84 50    
323-0201// Amat Applied 3700-01418 O Ring Id 4 875csd  139 2-249  New
323-0201// Amat Applied 3700-01418 O Ring Id 4 875csd  139 2-249 New
 2   
LAM 752-004083-001 Shaft, Perecision,  125 X 1 875, 102163
LAM 752-004083-001 Shaft, Perecision,  125 X 1 875, 102163
 8   
UMC Inlet Connections Nut,Nipple,Gasket CGA 728 with 712-728 Nut 64-728-4GM6
UMC Inlet Connections Nut,Nipple,Gasket CGA 728 with 712-728 Nut 64-728-4GM6
 15     
Veriflo Regulator , P/n 45900240 , Model Sq2micro03o2pupgpa
Veriflo Regulator , P/n 45900240 , Model Sq2micro03o2pupgpa
 6     
Platen 779-2167 T&B,50 Position "D" Connector, AMP TE,2-1437000-5, 622,LH02D
Platen 779-2167 T&B,50 Position "D" Connector, AMP TE,2-1437000-5, 622,LH02D
 92     
Phoenix Contact 5022795 APK 1 Lot of 10
Phoenix Contact 5022795 APK 1 Lot of 10
 2     
04-708633-01 / Elevator Bellows / Metal Flex Welded Bellows, Inc
04-708633-01 / Elevator Bellows / Metal Flex Welded Bellows, Inc
 320 82    
Ultratech 083322 AFS/P-Axis Bent Fiber Optic Cable For Ultratech Stepper XLS
Ultratech 083322 AFS/P-Axis Bent Fiber Optic Cable For Ultratech Stepper XLS
 49   
Omron T-Port Tap Terminal DCN1-1 DCN11 Used
Omron T-Port Tap Terminal DCN1-1 DCN11 Used
 1   
Axic Hf-8 Plasma System
Axic Hf-8 Plasma System
 18,287 50    
Furon N2 Sprayer
Furon N2 Sprayer
 6     
Philips 40224365035 ASML Motion Card Used Working
Philips 40224365035 ASML Motion Card Used Working
 603 12    
LAM Pneumatic Manifold, V100-97-11A, SMC, 423719
LAM Pneumatic Manifold, V100-97-11A, SMC, 423719
 45   
Ni National Instruments GPIB-1014P ASSY180150 180152-01 REV E
Ni National Instruments GPIB-1014P ASSY180150 180152-01 REV E
 199 90  
Unit Instruments Upc-1000 200 Sccm N2 Mass Flow Controller Mfc Ss ¼” Swagelok
Unit Instruments Upc-1000 200 Sccm N2 Mass Flow Controller Mfc Ss ¼” Swagelok
 64 50    
Trebor 98002261 316 PTFE O-Rings 32 35mm OD 22mm ID  Package of 4 O-Rings
Trebor 98002261 316 PTFE O-Rings 32 35mm OD 22mm ID Package of 4 O-Rings
 22 50  
Z-World PCB Micro G2 Board
Z-World PCB Micro G2 Board
 7   
CTTC AE1306 Rev  B LED Light Ring
Top-Rated Plus Seller CTTC AE1306 Rev  B LED Light Ring
 67 98    
Cal-Weld 10-00241-00 Steel Line 19 3/4" OAL 5" 90 Degree Bend 10-00241-00/B
Cal-Weld 10-00241-00 Steel Line 19 3/4" OAL 5" 90 Degree Bend 10-00241-00/B
 28 25  
Ultratech 0505-364300D Theta Bearing Short Ange 0505364300D
Ultratech 0505-364300D Theta Bearing Short Ange 0505364300D
 42 25  
Aeroquip 5700-s5-12 (lot Of 9)
Aeroquip 5700-s5-12 (lot Of 9)
 175     
HEATEFLEX LH1-10-C-A01-P504 Inline Heater Used Working
HEATEFLEX LH1-10-C-A01-P504 Inline Heater Used Working
 2,407 18    
ASM Advanced Semiconductor Materials 2550210-21 Distribution PCB Used Working
ASM Advanced Semiconductor Materials 2550210-21 Distribution PCB Used Working
 354 17    
4022 841 72781 Connection tubes ( set of 3 ) AT-T
4022 841 72781 Connection tubes ( set of 3 ) AT-T
 52     
OEM Group Type 5676-214 Cam Weldment Product Stop Slide Assembly 1-1/2" OD
OEM Group Type 5676-214 Cam Weldment Product Stop Slide Assembly 1-1/2" OD
 54 98  
Unit Instruments Ufc-1268au Mass Flow Controller Mfc 20 Slm O2 Stainless Steel
Unit Instruments Ufc-1268au Mass Flow Controller Mfc 20 Slm O2 Stainless Steel
 64 50    
Btu 3161525 Analog I/o Microcontroller
Btu 3161525 Analog I/o Microcontroller
 25   2   
Axcelis 1766531 Burn Thru Plate Rev D
Axcelis 1766531 Burn Thru Plate Rev D
 22   
Alacrity Inc, 003-00626, PCB Board
Alacrity Inc, 003-00626, PCB Board
 9     
UNIT INSTRUMENTS UFC-1200A Mass Flow Controller MFC 100 CC H2 Stainless Steel
UNIT INSTRUMENTS UFC-1200A Mass Flow Controller MFC 100 CC H2 Stainless Steel
 84 50    
Nikon 4s990-595 (ld Unit)  Nsr
Nikon 4s990-595 (ld Unit) Nsr
 325     
Mitsubishi Circuit Protector  CP30-BA Pole 1
Mitsubishi Circuit Protector CP30-BA Pole 1
 1     
ESI Y Motor Intertie P/N 72816 Rev C  -Free Shipment
ESI Y Motor Intertie P/N 72816 Rev C  -Free Shipment
 79     
CA345-04805 Bearing Assembly Front, CA345-04805 / 160 F / HP
CA345-04805 Bearing Assembly Front, CA345-04805 / 160 F / HP
 52     
Ultratech 083321 AWA Bent Fiber Optic Cable for Ultratech Stepper XLS
Ultratech 083321 AWA Bent Fiber Optic Cable for Ultratech Stepper XLS
 49   
Npaq Control Pcb  
Npaq Control Pcb  
 89   
Daymarc 91-7853-01 Control Board MR62491 91-A7862
Daymarc 91-7853-01 Control Board MR62491 91-A7862
 35   
Applied Materials Type 0020-00246 Line Mounting Gate Block
Applied Materials Type 0020-00246 Line Mounting Gate Block
 4   
Oriel 7072 Detection System
Oriel 7072 Detection System
 65     
Csa 26awg  1/8 A/8 Ll106336 300v Data Cable (male / Male)
Csa 26awg 1/8 A/8 Ll106336 300v Data Cable (male / Male)
 155     
Novellus 15-00636-00 Bracket Aluminum 150063600
Novellus 15-00636-00 Bracket Aluminum 150063600
 25   
ESI Power Window Driver Board P/N 81499 Rev E -Free Shipment
ESI Power Window Driver Board P/N 81499 Rev E -Free Shipment
 119     
Tokyo Electron TS3286-004684-11 Harness Arm Clamp Sensor 3286-004684-11
Tokyo Electron TS3286-004684-11 Harness Arm Clamp Sensor 3286-004684-11
 39 79  
O&M Heater Belt 100V 50W 187mm x 26mm Heater Band
O&M Heater Belt 100V 50W 187mm x 26mm Heater Band
 26 25  
TEL Tokyo Electron D117778 CATH ADAPTER, RMX-10
TEL Tokyo Electron D117778 CATH ADAPTER, RMX-10
 1,257 18    
Optimised Control D281 Motion Controller Card PCB esMINT v2 67j/m
Optimised Control D281 Motion Controller Card PCB esMINT v2 67j/m
 2     
BECKHOFF KL2488 (10) /  Free Expedited Shipping
BECKHOFF KL2488 (10) / Free Expedited Shipping
 419   
NEW Ekk Eagle Industry fluid high-speed joint  FR-0012030
NEW Ekk Eagle Industry fluid high-speed joint FR-0012030
 898 38    
MKS Instruments HPS DryScrub ASA4/2 Bellows New
MKS Instruments HPS DryScrub ASA4/2 Bellows New
 9   
Lucas Labs OVDS 7000 VDS Reference Module OVDS-7010 Working Surplus
Lucas Labs OVDS 7000 VDS Reference Module OVDS-7010 Working Surplus
 309 12    
Ultratech Stepper Reticle LIB Breakout Board 03-15-04607 Rev  A
Ultratech Stepper Reticle LIB Breakout Board 03-15-04607 Rev  A
 24   162 49    
Ultratech Stepper Alignment Analog Board 03-20-01321 Rev  B
Ultratech Stepper Alignment Analog Board 03-20-01321 Rev  B
 2   194     
Ultratech Stepper 503 265702 / Semifusion model 150 stage servo controller
Ultratech Stepper 503 265702 / Semifusion model 150 stage servo controller
 2   194     
Elpac SOLV 15-24 Power Supply FXD OVP
Elpac SOLV 15-24 Power Supply FXD OVP
 175   
New Panasonic Filter & Frame 200+ pcs N610097899AC w/ warranty Free Shipping
New Panasonic Filter & Frame 200+ pcs N610097899AC w/ warranty Free Shipping
 2     
Daymarc 90-9580-04 Used Control Board
Daymarc 90-9580-04 Used Control Board
 125   
Nikon 4S025-215-1 (Queensgate NS2601/A)  PCB   NSR
Nikon 4S025-215-1 (Queensgate NS2601/A) PCB NSR
 1,299     
F A S  Technologies TR39000F-FE-R Drawings Volume I
Top-Rated Plus Seller F A S  Technologies TR39000F-FE-R Drawings Volume I
 32 98    
Unox 3 Stage Solenoid Valve KEL1412A - Free Shipping + Geniune OEM
Unox 3 Stage Solenoid Valve KEL1412A - Free Shipping + Geniune OEM
 136   81 60  
Mvs17-t23-cbl-eg-vss / Specific Ion, Flouride Sensor / Electro-chemical Devices
Mvs17-t23-cbl-eg-vss / Specific Ion, Flouride Sensor / Electro-chemical Devices
 399 97  359 97    
FUJIKIN Mass flow Power Supply Display Controller FCS-T2000-PSD-1
FUJIKIN Mass flow Power Supply Display Controller FCS-T2000-PSD-1
 1,   
Hathaway BLC02805-A00108 Power Supply Board PCB Untested As-Is
Hathaway BLC02805-A00108 Power Supply Board PCB Untested As-Is
 501 12    
ABB WSO113 2 Poles 13A Switch Socket WSO113
ABB WSO113 2 Poles 13A Switch Socket WSO113
 225     
Axcelis Type 1225525 Shield Igniter Bulb Aluminum 1225525-A
Axcelis Type 1225525 Shield Igniter Bulb Aluminum 1225525-A
 26 50  
Daymarc 90-8632-01 Used Control Board,Temperature Control MR13477
Daymarc 90-8632-01 Used Control Board,Temperature Control MR13477
 95   
Agilent Technologies P/N: 9699950S022 Cable Mod  9699950 Length 1 5M NEW
Agilent Technologies P/N: 9699950S022 Cable Mod  9699950 Length 1 5M NEW
 49     
Interloop I/O Driver Model 231
Interloop I/O Driver Model 231
 24   162 49    
New Ceramaseal Ceramtec Model 889b7282-1
New Ceramaseal Ceramtec Model 889b7282-1
 25     
RadiSys EXP-MX PCB Assembly Used Working
RadiSys EXP-MX PCB Assembly Used Working
 308 13    
VAT F10-113024/2 Gate Valve  Tag #31
VAT F10-113024/2 Gate Valve Tag #31
 65     
Tombo 1110-319219-12 Nichias Insulator Heat Jacket
Tombo 1110-319219-12 Nichias Insulator Heat Jacket
 27 25  
NEW GTC C112 L112  431643 High Purity Standard Valves
Top-Rated Plus Seller NEW GTC C112 L112 431643 High Purity Standard Valves
 395     
Abbott Transistor V05ds-43 3a
Abbott Transistor V05ds-43 3a
 2   194     
Fpr-71-9 52#a
Fpr-71-9 52#a
 15     
Ultratech Stepper C956-04 Socket Assembly Photomultiplier
Ultratech Stepper C956-04 Socket Assembly Photomultiplier
 199   
Alcatel Controller Interface Control
Top-Rated Plus Seller Alcatel Controller Interface Control
 165     
SUPERSIC DE-31056 Carrier, Contguous, 3CX, 150mm New
SUPERSIC DE-31056 Carrier, Contguous, 3CX, 150mm New
 308 18    
B84102-C20 epcos used
B84102-C20 epcos used
 36     
Kurz Digital Air Velocity System 425
Kurz Digital Air Velocity System 425
      
Cambridge 670 rev B Driver Board PCB Module
Cambridge 670 rev B Driver Board PCB Module
 74   
Effusion Deposition Source with Power Supply  Tag #08
Effusion Deposition Source with Power Supply Tag #08
 3,95     
Effusion Deposition Source with Power Supply  Tag #12
Effusion Deposition Source with Power Supply Tag #12
 3,95     
Powerwave 7070 30 MCU Master Control Unit
Top-Rated Plus Seller Powerwave 7070 30 MCU Master Control Unit
 49     
Phasetronics Inc  Model # P1050-X2-60 Power Control
Phasetronics Inc  Model # P1050-X2-60 Power Control
 2     
Rheodyne O3-905260-50 Flow Controller 03-905260-50
Top-Rated Plus Seller Rheodyne O3-905260-50 Flow Controller 03-905260-50
 168     
HNU Power supply electrometer PI-52
HNU Power supply electrometer PI-52
 7     
Ehwa 7" Diamond & Cbn Wheel, P/n 011644370-01  New
Ehwa 7" Diamond & Cbn Wheel, P/n 011644370-01  New
 131 21  
Daymarc 91-9972-01 Used Control Board Assembly 91-D9973
Daymarc 91-9972-01 Used Control Board Assembly 91-D9973
 115   
Daymarc 90-9580-01 Used Control Board Interface MR62471
Daymarc 90-9580-01 Used Control Board Interface MR62471
 95   
Varian Implanter Feed-Thru Manifold E17063120 New Other
Varian Implanter Feed-Thru Manifold E17063120 New Other
 33     
NEAT 103M Micro Stepping Drive
NEAT 103M Micro Stepping Drive
 306 18    
Materion Microelectronics 7113050 99 95% Co/Fe 10% at% Target New Surplus
Materion Microelectronics 7113050 99 95% Co/Fe 10% at% Target New Surplus
 751 18    
NEW Tokyo Electron Limited (TEL) 3M87-065514-11 Assembly FDCBOX1 for PF Pump
Top-Rated Plus Seller NEW Tokyo Electron Limited (TEL) 3M87-065514-11 Assembly FDCBOX1 for PF Pump
 13     
Mykrolis WGSLSFW1M Waferpure Gas Purification,Used,USA 95163
Mykrolis WGSLSFW1M Waferpure Gas Purification,Used,USA 95163
 74 90    
Centrilift 89359 a/89360 inverter driver
Centrilift 89359 a/89360 inverter driver
 3     
Balzers Contact Flange
Balzers Contact Flange
      
Varian F97550002-d Extraction Electrode
Varian F97550002-d Extraction Electrode
 1   
323-0101// Amat Applied 3180-01031 Latch Toggle Strike 30# Sst New
323-0101// Amat Applied 3180-01031 Latch Toggle Strike 30# Sst New
 2   
Novellus RF Cable 03-00125-FT4/F UNUSED
Novellus RF Cable 03-00125-FT4/F UNUSED
 16     
09007-0140 Instrument Air Gauge
Top-Rated Plus Seller 09007-0140 Instrument Air Gauge
 3   
Muto Technology 500005 RING CLAMP 150MM OCR 4041778-0001
Muto Technology 500005 RING CLAMP 150MM OCR 4041778-0001
 307 18    
Millipore Baratron Model Cdld0112e, 0-1 Torr
Top-Rated Plus Seller Millipore Baratron Model Cdld0112e, 0-1 Torr
 275   22     
3/8-16 X 3/4 Polypropylene Hex Cap Screw Bolt Lot of 10
3/8-16 X 3/4 Polypropylene Hex Cap Screw Bolt Lot of 10
    
323-0402// Amat Applied 0090-76048 Assembly,300mm Otf Emitter, J4 Used
323-0402// Amat Applied 0090-76048 Assembly,300mm Otf Emitter, J4 Used
 2   
FN356-36-24 Filter, FN356-36-24 / Power Line Filter / 400~250 VAC / 50~60Hz / Sc
FN356-36-24 Filter, FN356-36-24 / Power Line Filter / 400~250 VAC / 50~60Hz / Sc
 15     
Apc Fuse Board
Apc Fuse Board
 2     
Fujikin Fupsdl-21gt-6 35ugc-drv Manual Valve
Fujikin Fupsdl-21gt-6 35ugc-drv Manual Valve
 95     
Buhner & Schaible Contactor  N81
Buhner & Schaible Contactor N81
 3   27     
Yamato Post Release Bake 410i
Yamato Post Release Bake 410i
 12,     
Jel Jel Robot Dtvhr4275
Jel Jel Robot Dtvhr4275
 3,999   
Swagelok SS-BN5398 Valve
Swagelok SS-BN5398 Valve
 25     
Iei Rack-360gwpx-r22 Industrial Desktop Rack 360
Iei Rack-360gwpx-r22 Industrial Desktop Rack 360
 3,     
Veriflo 43700659 959100W3PXFSMMF Regulator
Veriflo 43700659 959100W3PXFSMMF Regulator
 15     
Semifusion Auto Photo Controller model 170
Semifusion Auto Photo Controller model 170
 74     
Drytek / LAM TRANSPORT I/O PCB, P/N 2800911 REV 7
Top-Rated Plus Seller Drytek / LAM TRANSPORT I/O PCB, P/N 2800911 REV 7
 4   32     
Harrington SPM88 SPM-88 1/2 Inch Union PTFE Fittings Adapters  2 Count Lot NEW
Harrington SPM88 SPM-88 1/2 Inch Union PTFE Fittings Adapters 2 Count Lot NEW
 5   
Noshok 25-900-30/30 PSI/KPA Gauge, 1/4 NPT Bottom Conn, 451756
Noshok 25-900-30/30 PSI/KPA Gauge, 1/4 NPT Bottom Conn, 451756
 35 97  32 37    
Anti-Static Cord Bolt Kit CHARLESWATER 14240 NOS Sealed Bag Qty 1
Anti-Static Cord Bolt Kit CHARLESWATER 14240 NOS Sealed Bag Qty 1
      
Texas Instruments Ti Ddp10101 Formatter Pwb Dlp Product Direct
Texas Instruments Ti Ddp10101 Formatter Pwb Dlp Product Direct
    
GESPAC GESMDC-1 9520 Board
GESPAC GESMDC-1 9520 Board
 65     
Parker Veriflow, Model 70SA-S-4245,  P/N 55500332
Parker Veriflow, Model 70SA-S-4245, P/N 55500332
 79 95  
Applied Materials 3700-01158 Wiper Seal 1-3/4 Diameter
Applied Materials 3700-01158 Wiper Seal 1-3/4 Diameter
 25   
Wika Transducer WU-15 with Swagelok Flow switch 6LFV4DT4ASDU7575
Wika Transducer WU-15 with Swagelok Flow switch 6LFV4DT4ASDU7575
 95   
Desco 60501 Jr C/e Chargebuster High Output Ionizer Sn: 605011712014
Desco 60501 Jr C/e Chargebuster High Output Ionizer Sn: 605011712014
 588   
Disco Flow Meter P/n Ljrv-910020-1, Nos
Top-Rated Plus Seller Disco Flow Meter P/n Ljrv-910020-1, Nos
 265   212     
Quad Systems 60-10617-REV C
Quad Systems 60-10617-REV C
 895   
42242 / Diphase Interface Adaptor / Ttc
42242 / Diphase Interface Adaptor / Ttc
 241 67    
Daymarc 94-1949-01 Contactor Insert Set/Critical MR63677
Daymarc 94-1949-01 Contactor Insert Set/Critical MR63677
 45   
Daymarc 94-1967-01 Contactor Insert ,Set Critical MR63676
Daymarc 94-1967-01 Contactor Insert ,Set Critical MR63676
 45   
ACP 0030-60025 Overlay Lower Applied Materials M E C Tech Type
ACP 0030-60025 Overlay Lower Applied Materials M E C Tech Type
 5   
Nikon LAMPAS Controller Interface Board LAMPAS-HOST 30051-1
Nikon LAMPAS Controller Interface Board LAMPAS-HOST 30051-1
 99 95  
Deltron V601A05 5VDC 120 AMP 600W Power Supply V601 Series Type V601A Single Out
Top-Rated Plus Seller Deltron V601A05 5VDC 120 AMP 600W Power Supply V601 Series Type V601A Single Out
 15     
Mattson 1012366 Signal Transfer Rack *Used Working, 90 Day Warranty*
Mattson 1012366 Signal Transfer Rack *Used Working, 90 Day Warranty*
 7   49     
999-9999// Amat Applied 0020-24263 (delivery 21 Days) Blade 6" [2nd Source]
999-9999// Amat Applied 0020-24263 (delivery 21 Days) Blade 6" [2nd Source]
 8   
Samsung Electronics SRCP BMIO2 PCI I/O Interface Board Rockwell TMC
Top-Rated Plus Seller Samsung Electronics SRCP BMIO2 PCI I/O Interface Board Rockwell TMC
 210 49    
Esec 632 180/3
Esec 632 180/3
 249   
Tokyo Electron 1187-000868-13 Clamp F Assembly Quartz Flange DS1187-000868-15
Tokyo Electron 1187-000868-13 Clamp F Assembly Quartz Flange DS1187-000868-15
 59 50  
Ultratech 1052-680300 Load Rollers/Pulley Drive 30878 1052680300 (Pack Of 4)
Ultratech 1052-680300 Load Rollers/Pulley Drive 30878 1052680300 (Pack Of 4)
 55 95  
VariTech 5015006026 Heater Shim 0 010" Angled 3" Length Novellus 15-00554-31
VariTech 5015006026 Heater Shim 0 010" Angled 3" Length Novellus 15-00554-31
 28   
Allen Bradley 800 E Contact Cartridge
Allen Bradley 800 E Contact Cartridge
 6 95    
BENKAN 10K-1/4" + CONVUM MPS-35 Pressure Sensor
BENKAN 10K-1/4" + CONVUM MPS-35 Pressure Sensor
 35     
Nikon 4S598-312 (Mix & Sync Unit) NSR
Nikon 4S598-312 (Mix & Sync Unit) NSR
 5     
Fp-71rs1-9 52-pa#a
Fp-71rs1-9 52-pa#a
 512     
Heatflex HPF16 Heated Filtered Process Bath  Tag # 1
Heatflex HPF16 Heated Filtered Process Bath Tag # 1
 6,5     
Efector I95012 Ifg3002bpkg/us100dps Proximity Switch
Efector I95012 Ifg3002bpkg/us100dps Proximity Switch
 2     
Novellus 15-033874-00  Plug, Injector, Manifold "new"
Novellus 15-033874-00 Plug, Injector, Manifold "new"
 2   
Insulator Seal Incorporated 9325008 Heater? Device Unknown NOS
Insulator Seal Incorporated 9325008 Heater? Device Unknown NOS
 6   
11931p-001/vertical Reducer Ratio 56 55 Torque 38055 Type K97am112/dematic
11931p-001/vertical Reducer Ratio 56 55 Torque 38055 Type K97am112/dematic
 17,000 62    
Smc Xla-40 High Vacuum Valve
Smc Xla-40 High Vacuum Valve
 25     
(4) Used Setra Pressure Transducers 228-1 & C239
(4) Used Setra Pressure Transducers 228-1 & C239
 59     
Smc 80-zr120s2-k15mzb-x174 Vacuum Injector, Nos
Top-Rated Plus Seller Smc 80-zr120s2-k15mzb-x174 Vacuum Injector, Nos
 349   279 20    
417-0302// Yaskawa Sgmph-04aae-ya12 (cut Cable) Ac Servo Motor [used]
417-0302// Yaskawa Sgmph-04aae-ya12 (cut Cable) Ac Servo Motor [used]
 5   
Disco Sensor Unit,model Ahth002-01, P/n Lnmr-010053-00 Nos
Top-Rated Plus Seller Disco Sensor Unit,model Ahth002-01, P/n Lnmr-010053-00 Nos
 195   156     
CU55B3 Fan Centaur 25 CU Series AC Axial Fan
CU55B3 Fan Centaur 25 CU Series AC Axial Fan
 19 55    
Fanuc A660-2004-t188/l240r0 Cable For Cable For A2900854v320
Fanuc A660-2004-t188/l240r0 Cable For Cable For A2900854v320
 21 49    
Blb-020986 / W/blb-020972 Io Connector Pcb For M6741ad / Advantest
Blb-020986 / W/blb-020972 Io Connector Pcb For M6741ad / Advantest
 278 55    
100018-00 / Pcb, Analog / Rotec
100018-00 / Pcb, Analog / Rotec
 260     
Disco Flow Meter P/n Lheh-910056-11, Nos
Top-Rated Plus Seller Disco Flow Meter P/n Lheh-910056-11, Nos
 275   22     
Metroline 17619-01 Tepla Chamber Door Gasket
Metroline 17619-01 Tepla Chamber Door Gasket
 28 50  
690-7461-001 / Wire Flexure / Svg
690-7461-001 / Wire Flexure / Svg
 20 82    
Tylan MFC FC-280, CF4 100Sccm, Refurbished with Certifications
Tylan MFC FC-280, CF4 100Sccm, Refurbished with Certifications
 3   
MRC Materials Research 884-29-000 Control Board 3MI PCB Used Working
MRC Materials Research 884-29-000 Control Board 3MI PCB Used Working
 7     
[Used] MILLIPORE PHOTO 250 SET(MAIN + REMOTE)  WORKING
[Used] MILLIPORE PHOTO 250 SET(MAIN + REMOTE) WORKING
 1,2     
Tokyo Electron 1187-019937-11 Flex Tube 90 Degree End DS1187-019937-11
Tokyo Electron 1187-019937-11 Flex Tube 90 Degree End DS1187-019937-11
 28 89  
Aro Diaphram Pump
Aro Diaphram Pump
 475     
MFCDNET2-MB/A0 D2E01502 MFCDNET2-MB/B0 PCB -30 Day Warranty ??
MFCDNET2-MB/A0 D2E01502 MFCDNET2-MB/B0 PCB -30 Day Warranty ??
 1,499     
Nihon Koshuna Power Sensor Amp, Mpa-hz4s1a
Nihon Koshuna Power Sensor Amp, Mpa-hz4s1a
 35     
G167849 Celtec CK180 RF Supply
G167849 Celtec CK180 RF Supply
 1     
Bruce Technologies System I/O Assy 3161161 Board
Bruce Technologies System I/O Assy 3161161 Board
 4   
BTU Engineering System Microcontroller Assy 3161951 Rev 4/7
BTU Engineering System Microcontroller Assy 3161951 Rev 4/7
 4   
K644A Dispense Nozzel Assembly
K644A Dispense Nozzel Assembly
 25   
Rexroth 1172-200-00 Star  Belt Drive Fixture New
Rexroth 1172-200-00 Star  Belt Drive Fixture New
 275     
Genuine Mounting Bracket for Agilent E1709A Remote High Performance Receiver
Genuine Mounting Bracket for Agilent E1709A Remote High Performance Receiver
 2   
Swagelok, SS-8BA-K5, Insert/Adapter Kit
Swagelok, SS-8BA-K5, Insert/Adapter Kit
 1   
Charles Supper Company X-Y Micro Slide with Z Travel 2201/3019 - Micropositioner
Charles Supper Company X-Y Micro Slide with Z Travel 2201/3019 - Micropositioner
 155     
Powertec 19C-AO1-BC Valuswitcher Series Power Supply Input VAC: 115V/230
Powertec 19C-AO1-BC Valuswitcher Series Power Supply Input VAC: 115V/230
 12     
Daymarc 93-2125-01 Circuit Board Assembly IFC Chamber Board
Daymarc 93-2125-01 Circuit Board Assembly IFC Chamber Board
 115   
Daymarc 93-2187 Circuit Board Assembly Elec Diag 93-D2188 MR63472
Daymarc 93-2187 Circuit Board Assembly Elec Diag 93-D2188 MR63472
 125   
Cal Weld 10-00090-01 Tube Assembly With Auto Isolation Valve 100009001
Cal Weld 10-00090-01 Tube Assembly With Auto Isolation Valve 100009001
 79 59  
MB-304 Reducer Fitting SP114 1-1/4''x3/4''
MB-304 Reducer Fitting SP114 1-1/4''x3/4''
 2     
Filter Cartridge ASM 1024-615-01 / 4001-7257-02
Filter Cartridge ASM 1024-615-01 / 4001-7257-02
 11   
Oriel 7072 Detection System Used Working
Oriel 7072 Detection System Used Working
 85     
VT Board M-W9205B M-W92058 0671158
VT Board M-W9205B M-W92058 0671158
 175   
NOS PSU Power Supply Board BS-3405 3
NOS PSU Power Supply Board BS-3405 3
 175   
Nos Assy Mag Cam Sw Lat Red Da51719 4138202 Cable 30ft
Nos Assy Mag Cam Sw Lat Red Da51719 4138202 Cable 30ft
 125   
Delta Tau UMAC 2/4-Axis 4096 Interpolator (ASSY 603736-100)
Top-Rated Plus Seller Delta Tau UMAC 2/4-Axis 4096 Interpolator (ASSY 603736-100)
 14   
Smc 10-vq1201y-5
Smc 10-vq1201y-5
 1   
Disco FBPCB-0133 & FBPCB-0084 & EAUA-518600
Disco FBPCB-0133 & FBPCB-0084 & EAUA-518600
 529   
AUGUST TECHNOLOGY CORP  SERVO AMPLIFIER  PN 200222 Rev C AN 701223
AUGUST TECHNOLOGY CORP  SERVO AMPLIFIER PN 200222 Rev C AN 701223
 269   
AUGUST TECHNOLOGY MULTI PORT VACUUM PN 702243 Rev B AN 702244 Rev B1
AUGUST TECHNOLOGY MULTI PORT VACUUM PN 702243 Rev B AN 702244 Rev B1
 329   
TEL Tokyo Electron D126902-02A Collimator New
TEL Tokyo Electron D126902-02A Collimator New
 612 11    
New Tokyo Electron LTD  TS3286-004002-11  Driver Motor Arm Z  Made in Japan
New Tokyo Electron LTD  TS3286-004002-11  Driver Motor Arm Z  Made in Japan
 275 95  
ASML 8519993003 Perkin Elmer 851-9993-002 solenoid driver
ASML 8519993003 Perkin Elmer 851-9993-002 solenoid driver
 32     
Gates Gt37005mgt, Power Grip Timing Belt, J0401
Gates Gt37005mgt, Power Grip Timing Belt, J0401
 99 95  
Quartz View Port Window, 3 9/16”x 1/4”
Quartz View Port Window, 3 9/16”x 1/4”
 9     
Quartz View Port Window, 4 5/8”x 1/4”
Quartz View Port Window, 4 5/8”x 1/4”
 108     
Genus 2299-02 Digital Isolator Board
Top-Rated Plus Seller Genus 2299-02 Digital Isolator Board
 129 98    
46943-00 / Pea Vavle / Gemu
46943-00 / Pea Vavle / Gemu
 194 62    
New Tokyo Electron 1d10-401722-11 Bel  Cover Coverbel (drm)
New Tokyo Electron 1d10-401722-11 Bel  Cover Coverbel (drm)
 8     
Disco FBPCB-0315 16-DO(COMM)-KN
Disco FBPCB-0315 16-DO(COMM)-KN
 249   
Edwards Temperature Management System P/n aa01390 , Removed From Working Machine
Edwards Temperature Management System P/n aa01390 , Removed From Working Machine
 4     
Ferran Scientific Sc6-18
Ferran Scientific Sc6-18
 89 95  
Semiquip 01-30638-800 Board
Semiquip 01-30638-800 Board
 2   
ASM 232720110 11 REV  E 63272011  REV  J / Free Expedited Shipping
ASM 232720110 11 REV  E 63272011  REV  J / Free Expedited Shipping
 299   
ASM 232620014 11 REV  E 63262006  REV  E / Free Expedited Shipping
ASM 232620014 11 REV  E 63262006  REV  E / Free Expedited Shipping
 299   
ASM 232620022 11 REV  D 632620022  REV  F / Free Expedited Shipping
ASM 232620022 11 REV  D 632620022  REV  F / Free Expedited Shipping
 289   
ASML 8519953002 Perkin Elmer 851-9953-002 PCB card mobot DMC
ASML 8519953002 Perkin Elmer 851-9953-002 PCB card mobot DMC
 31     
Used Abb Contactor Af26z-30-00-21
Top-Rated Plus Seller Used Abb Contactor Af26z-30-00-21
 35 50    
TEL 3281-000174-13 PCB IN/OUT Interface
TEL 3281-000174-13 PCB IN/OUT Interface
 239     
Unused Vintage 1958 Raytheon Crystal Unit Diode Silicon Junction 1n434b Rare
Unused Vintage 1958 Raytheon Crystal Unit Diode Silicon Junction 1n434b Rare
 2     
Signet 40-8993 Filament Insulator Mounting Screw Insert Axcelis 1200321 New
Signet 40-8993 Filament Insulator Mounting Screw Insert Axcelis 1200321 New
 13     
ESI GIO2 ESMB-000-0003-02 P/N 151142 Rev D Circuit Board -Free Shipment-
ESI GIO2 ESMB-000-0003-02 P/N 151142 Rev D Circuit Board -Free Shipment-
 19     
Air Products AP12251 Front Panel Display Board PCB Used Working
Air Products AP12251 Front Panel Display Board PCB Used Working
 503 18    
1094-909-01 Rev  B Plate-Gasket Lower Plenum DFSH
Top-Rated Plus Seller 1094-909-01 Rev  B Plate-Gasket Lower Plenum DFSH
 39 98    
Wallow Ez-zone Integrated Control Loop Box Unit Ezkb-h5r6-aaaa
Wallow Ez-zone Integrated Control Loop Box Unit Ezkb-h5r6-aaaa
 3     
Little Giant 00121447 00097598 Z-world Davis CA USA
Little Giant 00121447 00097598 Z-world Davis CA USA
 175   
Tombo 1110-205733-11 Tokyo Electron Type Thermal Insulator
Tombo 1110-205733-11 Tokyo Electron Type Thermal Insulator
 98 50  
UFC-1660 UNIT1660 Metal Z Seal
UFC-1660 UNIT1660 Metal Z Seal
 1,2     
ULVAC K09I011  / Free Shipping
ULVAC K09I011 / Free Shipping
 22   
AUGUST TECHNOLOGY NSX Safety Interlock S I/O PN 702126 Rev A PN 702124 Rev C
AUGUST TECHNOLOGY NSX Safety Interlock S I/O PN 702126 Rev A PN 702124 Rev C
 229   
SHI Control Systems 646751-102AA  / Free Expedited Shipping
SHI Control Systems 646751-102AA / Free Expedited Shipping
 199   
Lot of 4 JAPAN LSI Output Board KLSI-004-2 (Working)
Lot of 4 JAPAN LSI Output Board KLSI-004-2 (Working)
 145   
ASML 8518470004 Perkin Elmer 851-8470-004A PCB A2701
ASML 8518470004 Perkin Elmer 851-8470-004A PCB A2701
 174     
The Hall Company Industrial Graphic Overlay Keypad Display LEDBL51320A-1-W
The Hall Company Industrial Graphic Overlay Keypad Display LEDBL51320A-1-W
 25     
Genus Assembly 13056-00 Rev L Circuit Board
Top-Rated Plus Seller Genus Assembly 13056-00 Rev L Circuit Board
 225 98    
Ultratech Stepper 05-15-04682 Rev  C Cable Assembly
Top-Rated Plus Seller Ultratech Stepper 05-15-04682 Rev  C Cable Assembly
 1     
NEW Parker Hannifin Compumotor Series CP 48660104 PCB Drive 07090600529
Top-Rated Plus Seller NEW Parker Hannifin Compumotor Series CP 48660104 PCB Drive 07090600529
 61 29    
Air Products Crsd 1037 Circuit Board Rev D
Air Products Crsd 1037 Circuit Board Rev D
 69     
Marquardt Foot Pedal 2410 0401
Marquardt Foot Pedal 2410 0401
 14     
C&h Technologies 11026010-0001 Rev D Vme Module Board
C&h Technologies 11026010-0001 Rev D Vme Module Board
 3   
ASML 85199930024 Perkin Elmer 851-9993-002A
ASML 85199930024 Perkin Elmer 851-9993-002A
 32     
TEL INDEXER INTERCONNECT 3281-000083-11 3208-000083-11/Free Expedited Shipping
TEL INDEXER INTERCONNECT 3281-000083-11 3208-000083-11/Free Expedited Shipping
 199   
TEL PCB INDEX BASE 3281-000141-12 / Free Expedited Shipping
TEL PCB INDEX BASE 3281-000141-12 / Free Expedited Shipping
 199   
ampere MACRO 6301-3  / Free Expedited Shipping
ampere MACRO 6301-3 / Free Expedited Shipping
 199   
ampere MACRO 6743  / Free Expedited Shipping
ampere MACRO 6743 / Free Expedited Shipping
 199   
ORBOTECH ORBOTECH-DNV0-016087-REV-F / Free Expedited Shipping
ORBOTECH ORBOTECH-DNV0-016087-REV-F / Free Expedited Shipping
 199   
ORBOTECH ORBOT-DNV2-015842  /  Free Expedited Shipping
ORBOTECH ORBOT-DNV2-015842 / Free Expedited Shipping
 199   
ORBOTECH ORBOT-DNV1-016389  /  Free Expedited Shipping
ORBOTECH ORBOT-DNV1-016389 / Free Expedited Shipping
 199   
SCHUMACHER ASSY  1730-3009 REV  H   /  Free Expedited Shipping
SCHUMACHER ASSY  1730-3009 REV  H / Free Expedited Shipping
 199   
USHIO GP SIO5 NO 931004 9607113/U00  / Free Expedited Shipping
USHIO GP SIO5 NO 931004 9607113/U00 / Free Expedited Shipping
 209   
FUJIFILM ULTRA SUPER LOW TWO SHEET TYPES 270mmx4mm
FUJIFILM ULTRA SUPER LOW TWO SHEET TYPES 270mmx4mm
 35     
Semifusion Corp Comparator Connector PCB Model 160
Semifusion Corp Comparator Connector PCB Model 160
 4     
Beijer QTERM-IV F193 Human Machine Interface Terminal with Multidrop
Beijer QTERM-IV F193 Human Machine Interface Terminal with Multidrop
 17   
Parker,4 Bv1 - Ss, 1/4 Male Vcr Nut
Parker,4 Bv1 - Ss, 1/4 Male Vcr Nut
 12     
9 IDEC RH1B-4 Relay AC24V | New
9 IDEC RH1B-4 Relay AC24V | New
 99 95    
FAS Technologies TR39000F-FE-R Engineering Manual & Software Specification
Top-Rated Plus Seller FAS Technologies TR39000F-FE-R Engineering Manual & Software Specification
 29 98    
Tera Technology TVPS-MF125 Auto Gate Valve CVD Pneumatic TeraTech
Tera Technology TVPS-MF125 Auto Gate Valve CVD Pneumatic TeraTech
 74     
Mitsubishi FR-F740PJ-3 7K Inverter, 423753
Mitsubishi FR-F740PJ-3 7K Inverter, 423753
 55   
ASM AMERICA 02-320586D01 Reflector-Center-Assy
ASM AMERICA 02-320586D01 Reflector-Center-Assy
 3,056 18  1,528 09    
Integrated Flow Systems SR4-120-4MVMV-S5-30 Pressure Regulator, Inlet 50 PSIG
Top-Rated Plus Seller Integrated Flow Systems SR4-120-4MVMV-S5-30 Pressure Regulator, Inlet 50 PSIG
 6   
Genus 2299-02 Digital Isolator Board
Top-Rated Plus Seller Genus 2299-02 Digital Isolator Board
 128 98    
Neundorfer Pcb Card 440-942-8990 New
Neundorfer Pcb Card 440-942-8990 New
 8   
Siemens Simatic Module Simatic S7-1200 Sm 1222 Dc
Siemens Simatic Module Simatic S7-1200 Sm 1222 Dc
 15     
0010-21788 Magnet Assy , GAMMA 2
0010-21788 Magnet Assy , GAMMA 2
 5,2     
SMC HCQ2B25-7Z-dux02014  Cylinder 145 PSI 1 0 MPa
SMC HCQ2B25-7Z-dux02014 Cylinder 145 PSI 1 0 MPa
 2   
Eurotherm Controls 6551R10-050041 Input Isolator 65510514531 New
Eurotherm Controls 6551R10-050041 Input Isolator 65510514531 New
 401 12    
AUGUST TECHNOLOGY AXI LT TWR RLY PN 708669 Rev A AN 708670 Rev A
AUGUST TECHNOLOGY AXI LT TWR RLY PN 708669 Rev A AN 708670 Rev A
 189   
SHI Control Systems 646751-100AA 646751-800ACG01  / Free Expedited Shipping
SHI Control Systems 646751-100AA 646751-800ACG01 / Free Expedited Shipping
 159   
Interface MAT-4914 / Free Expedited Shipping
Interface MAT-4914 / Free Expedited Shipping
 139   
Asml 4022 435 60004fsd Library Module Assy Pepperl+fuchs 419365 Mlv20-8-h-2376
Asml 4022 435 60004fsd Library Module Assy Pepperl+fuchs 419365 Mlv20-8-h-2376
 6,499     
SMC ARJ210 MSBG 1 Set Press Regulator
SMC ARJ210 MSBG 1 Set Press Regulator
 23 76    
2PCS Pro-Face HTK270-M HMI Touchscreen Graphic Panel
2PCS Pro-Face HTK270-M HMI Touchscreen Graphic Panel
 24   187 49    
Hirata Board Set HPC-784A And HPC-778
Hirata Board Set HPC-784A And HPC-778
 69 97  
Btu 3161161 System I/o Board
Btu 3161161 System I/o Board
 15   12   
Btu 3161161 System I/o Board
Btu 3161161 System I/o Board
 15   12   
TEL PCB STAGE IO MOTHER 3281-000128-13 / Free Expedited Shipping
TEL PCB STAGE IO MOTHER 3281-000128-13 / Free Expedited Shipping
 289   
ORBOTECH ORBOTECH-INTR-024227 REV A  /  Free Expedited Shipping
ORBOTECH ORBOTECH-INTR-024227 REV A / Free Expedited Shipping
 299   
USHIO FA-CONT 930228 9708023/U00 / Free Expedited Shipping
USHIO FA-CONT 930228 9708023/U00 / Free Expedited Shipping
 309   
Fusion Uv Systems, Inc  Curing Light Power Supply Model P 300m
Fusion Uv Systems, Inc  Curing Light Power Supply Model P 300m
 599 98    
Advanced Energy Pinnacle 6x6kW 400V  DC Magnetron Power Supply 3152326-100
Advanced Energy Pinnacle 6x6kW 400V DC Magnetron Power Supply 3152326-100
 9     
StereoZoom Microscope on a stand Tag # 2
StereoZoom Microscope on a stand Tag # 2
 45     
ASM 1127-408-01 Cold Trap SST
Top-Rated Plus Seller ASM 1127-408-01 Cold Trap SST
 99 98    
Genus Board 2299-01 Digital Isolator FAB 2290-00
Genus Board 2299-01 Digital Isolator FAB 2290-00
 137 98    
Applied Materials 0195-01051 Insite DSG Motherboard w/ 0190-17522 daughterboard
Applied Materials 0195-01051 Insite DSG Motherboard w/ 0190-17522 daughterboard
 2,9   
Pall PFN1F13H1 Megaplast housing
Pall PFN1F13H1 Megaplast housing
 2   284     
Eurotherm DeviceNet Mini8 Eurotherm /  Free Expedited Shipping
Eurotherm DeviceNet Mini8 Eurotherm / Free Expedited Shipping
 299   
BUSTRONIC ASSY  101VMEJ106-9001 REV B / Free Expedited Shipping
BUSTRONIC ASSY  101VMEJ106-9001 REV B / Free Expedited Shipping
 182   
TEL PCB LDRCVR INTERLOCK 3208-000140-13 3281-000140-11 /Free Expedited Shipping
TEL PCB LDRCVR INTERLOCK 3208-000140-13 3281-000140-11 /Free Expedited Shipping
 179   
ETO ABX-X299 REV  H  /  Free Expedited Shipping
ETO ABX-X299 REV  H / Free Expedited Shipping
 119   
SCHUMACHER ASSY  1730-3002 REV  K   /  Free Expedited Shipping
SCHUMACHER ASSY  1730-3002 REV  K / Free Expedited Shipping
 179   
Ajs Controls 3041 System_e Pcb Circuit Board
Top-Rated Plus Seller Ajs Controls 3041 System_e Pcb Circuit Board
 149 97    
ASML 8548025004 PCB assembly A5751 854-8025-004 J
ASML 8548025004 PCB assembly A5751 854-8025-004 J
 1     
GE/Fanuc Isolated Relay IC670MDL331K
Top-Rated Plus Seller GE/Fanuc Isolated Relay IC670MDL331K
 310 97    
NEW Sealed AMAT 0040-53452 Dual RPS supporter Fast Free Shipping!
NEW Sealed AMAT 0040-53452 Dual RPS supporter Fast Free Shipping!
 9   8     
Tellurium 3 inch diameter x 1/8 inch thick ACI ALLOYS
Tellurium 3 inch diameter x 1/8 inch thick ACI ALLOYS
 9   
GE General Electric Fanuc Circuit Board 44B398234-001 44B398312-002/1 44A397802
GE General Electric Fanuc Circuit Board 44B398234-001 44B398312-002/1 44A397802
 169 46    
DA-4-04M-0-RR Disply Alarm  mm H2O
DA-4-04M-0-RR Disply Alarm mm H2O
 93     
1-135-140/ Power Supply/ Integrated Design Inc
1-135-140/ Power Supply/ Integrated Design Inc
 320 98    
3000401 / Blade Endeffector 8" Vers  2 / Mattson
3000401 / Blade Endeffector 8" Vers  2 / Mattson
 2,325 69    
428-0102// Electroid Bfsb-7-4-12v Power Off Brake [used]
428-0102// Electroid Bfsb-7-4-12v Power Off Brake [used]
 1   
8pcs New GE Ceramics 100mm Mo Heat Shield Baffle Molly Baffel
8pcs New GE Ceramics 100mm Mo Heat Shield Baffle Molly Baffel
 2   194     
Matrox GS/RRUPG/N 763-04 REV A
Matrox GS/RRUPG/N 763-04 REV A
 95     
Xalyo Systems Card H055000-000-A00 5500-000 PMC2 JA1
Xalyo Systems Card H055000-000-A00 5500-000 PMC2 JA1
 399 95    
Eaton WMZT3D01T Circuit Breaker, 3-pole
Top-Rated Plus Seller Eaton WMZT3D01T Circuit Breaker, 3-pole
 317 50  
Blue Cord Co  PWM100F Digital FFU Controller PWM-100F
Top-Rated Plus Seller Blue Cord Co  PWM100F Digital FFU Controller PWM-100F
 74     
Origa Sweden Sensor cable 970590300180 Three sensors to one connector
Origa Sweden Sensor cable 970590300180 Three sensors to one connector
 25   
E7211A00HD701 Controller,
E7211A00HD701 Controller,
 52     
Pack of 5  ~ PA19373 6 cavity plug applied
Pack of 5 ~ PA19373 6 cavity plug applied
 17     
MKS Series 902 Piezo Transducer 902-1213
MKS Series 902 Piezo Transducer 902-1213
 124     
Zeiss 348224-9113-1302 Vac Control Board Siemens 34224-9113 w/ 14 day warranty
Zeiss 348224-9113-1302 Vac Control Board Siemens 34224-9113 w/ 14 day warranty
 2,9   
FUJIKIN PNEUMATIC VALVE OP 0 39~0 59MPa TYPE N C
FUJIKIN PNEUMATIC VALVE OP 0 39~0 59MPa TYPE N C
 159 87    
Lantek Circuit Board Liu-4 Revision A
Lantek Circuit Board Liu-4 Revision A
 64   58 49  
4022 489 72071 Seal, DN40
4022 489 72071 Seal, DN40
 52     
HAL-01-385 Board,
HAL-01-385 Board,
 52     
Lacquer Pump Dispensing System w/Chemipon NSD07-S2SC-20MESP Pump
Lacquer Pump Dispensing System w/Chemipon NSD07-S2SC-20MESP Pump
 1,312 50  577 50    
Tosoh 3076E-24-000-280 Chrome 10 987" OD Series IV Assembly 88808
Tosoh 3076E-24-000-280 Chrome 10 987" OD Series IV Assembly 88808
 375   
MYKROLIS Gas Filter WG2F36WR1
MYKROLIS Gas Filter WG2F36WR1
 46     
Shinkawa CMU-04 Camera Module
Top-Rated Plus Seller Shinkawa CMU-04 Camera Module
 58 98    
Assembly Automation 01-16279 Thermal/Heater Controller
Top-Rated Plus Seller Assembly Automation 01-16279 Thermal/Heater Controller
 99 98    
ASM 1175-057-01 Rev  A0 Susceptor - Runout/Wobble Jig
Top-Rated Plus Seller ASM 1175-057-01 Rev  A0 Susceptor - Runout/Wobble Jig
 97 98    
Genus Assy 13052-00 Rev A Sch 13053-00 Board
Top-Rated Plus Seller Genus Assy 13052-00 Rev A Sch 13053-00 Board
 197 98    
Genus 1700012001 Digital Isolator 2299-01 Board
Top-Rated Plus Seller Genus 1700012001 Digital Isolator 2299-01 Board
 99 98    
ASAHI BMKE800 caliper
ASAHI BMKE800 caliper
      
BTU Engineering 1301533,  750 Thermocouple for MRA-6 New
Top-Rated Plus Seller BTU Engineering 1301533,  750 Thermocouple for MRA-6 New
 35 98    
miControl mcDSA-E2 Servo Amplifier Motor Controller 1210366
miControl mcDSA-E2 Servo Amplifier Motor Controller 1210366
 85     
Carten Mda250-03-lv-nc-fsm-mr-integral-ends 501813-04 Nsmp
Carten Mda250-03-lv-nc-fsm-mr-integral-ends 501813-04 Nsmp
 65     
00192311 / Steag Press Regulator Lr-1/4-d-7 / Festo Corporation
00192311 / Steag Press Regulator Lr-1/4-d-7 / Festo Corporation
 247 50    
3281-000174-14 Board, PCB In Out Interface 3298-000174-13
3281-000174-14 Board, PCB In Out Interface 3298-000174-13
 249     
NEW Parker Veriflo 54016459  Regulator SQ130E-1003PXFS8FS8F IF3 7
NEW Parker Veriflo 54016459 Regulator SQ130E-1003PXFS8FS8F IF3 7
 152     
Rigaku 641121W SER  T14N210 Single Detector Assembly U14I857 720
Rigaku 641121W SER  T14N210 Single Detector Assembly U14I857 720
 692 24    
T172975 Silicon Thermal Pneumatic Adjustable Cantilever Powerdrive
T172975 Silicon Thermal Pneumatic Adjustable Cantilever Powerdrive
 1     
Parker, Digital MFC, 701-AAASVPRX
Parker, Digital MFC, 701-AAASVPRX
 1,5     
Ultratech 082520G2 Halogen Light Source Assembly XLS 8" Stepper V12855/656/04280
Ultratech 082520G2 Halogen Light Source Assembly XLS 8" Stepper V12855/656/04280
 259 45  
GE/Fanuc In/Out Barrier Style Terminal Base IC670CHS001H NOS
Top-Rated Plus Seller GE/Fanuc In/Out Barrier Style Terminal Base IC670CHS001H NOS
 71 96    
[Used] MILLIPORE PHOTO 250 PUMP CONTROLLER WORKING
[Used] MILLIPORE PHOTO 250 PUMP CONTROLLER WORKING
 1,     
*PREOWNED* Yashibi IP-252 88,6 Connector Interface PCB Board + Warranty!
*PREOWNED* Yashibi IP-252 88,6 Connector Interface PCB Board + Warranty!
 55     
BTU Engineering Video Assy Board 3181181
BTU Engineering Video Assy Board 3181181
 4   
0021-35008 Applied Materials RTP MOD II Reflector Plate *New Surplus*
0021-35008 Applied Materials RTP MOD II Reflector Plate *New Surplus*
 4,65     
Brooks Aligner  171168
Brooks Aligner 171168
 1,1   
Koganei CS-PDA 16X60-A Pneumatic Cylinder
Koganei CS-PDA 16X60-A Pneumatic Cylinder
 25   21 25    
NEW Kennametal 8 -1 mm Chamfer/Countersink and Drill Tool 3 37100R720
NEW Kennametal 8 -1 mm Chamfer/Countersink and Drill Tool 3 37100R720
 55   
434640-3 GG-1248 Hub And Slider
434640-3 GG-1248 Hub And Slider
 5   
Tokyo Electron 1180-000563-11 PCB Assembly MC-31041R DS1180-000563-11
Tokyo Electron 1180-000563-11 PCB Assembly MC-31041R DS1180-000563-11
 89   
IVS PC980124 Pneumatic Control Circuit Board
IVS PC980124 Pneumatic Control Circuit Board
 75   
Daymarc 91-9519-01 Circuit Board Assembly 91-D9520 MR63465
Daymarc 91-9519-01 Circuit Board Assembly 91-D9520 MR63465
 95   
Applied Materials Type 11S2931 Dummy Buffer Cassette Assembly 5" 25 Slot
Applied Materials Type 11S2931 Dummy Buffer Cassette Assembly 5" 25 Slot
 21   
Noshok 25-900-30/30 PSI/KPA Gauge, 1/4 NPT Bottom Conn, 451756
Noshok 25-900-30/30 PSI/KPA Gauge, 1/4 NPT Bottom Conn, 451756
 45   
0 75" Pneumatic Angle Valve, KF-16 Flanges
0 75" Pneumatic Angle Valve, KF-16 Flanges
 28     
Micromanipulator CCI-1 inker interface
Micromanipulator CCI-1 inker interface
      
Nsph-20e, Nisso Tool Chemical Pump Nse Haruna
Nsph-20e, Nisso Tool Chemical Pump Nse Haruna
 2,   
DFT Track Selector PCB STK RHBU-021
DFT Track Selector PCB STK RHBU-021
 4   24     
C&H Enterprises 15-289073-00 Blankoff Top Plate WCVD New
C&H Enterprises 15-289073-00 Blankoff Top Plate WCVD New
 607 15    
Dgbs Suply 4022 293 1400
Dgbs Suply 4022 293 1400
 99   
Interface Controller
Interface Controller
 149 95  
AMAT Applied Materials 8 KV Connector VS/SV (Long) 3355725 220550583
AMAT Applied Materials 8 KV Connector VS/SV (Long) 3355725 220550583
 149   
MKS AS00921-02 RMU Module
Top-Rated Plus Seller MKS AS00921-02 RMU Module
 2     
No Name 3922 536 07860 392253607860 Cassette Station
No Name 3922 536 07860 392253607860 Cassette Station
 1   
Tokyo Electron D3012-007712-1 Valve Assy
Tokyo Electron D3012-007712-1 Valve Assy
 5     
176-0104// Amat Applied 0050-37710 Applied Matrials Components [asis]
176-0104// Amat Applied 0050-37710 Applied Matrials Components [asis]
 2   
176-0103// Amat Applied 0050-37710 Applied Matrials Components [asis]
176-0103// Amat Applied 0050-37710 Applied Matrials Components [asis]
 2   
QTY=2 Ckd Corporation Sensor, Vacuum PPD PPD-S-V01AHN-HS-FL368997 New in Box
QTY=2 Ckd Corporation Sensor, Vacuum PPD PPD-S-V01AHN-HS-FL368997 New in Box
 7   
Tokyo Electron 2105-420138-12 Quartz Sleeve DS2105-420138-12 210542013812
Tokyo Electron 2105-420138-12 Quartz Sleeve DS2105-420138-12 210542013812
 31 95  
4022 489 70553 Seal Ring, G Viton DN32
4022 489 70553 Seal Ring, G Viton DN32
 52     
F-17251A230B Fan, AC230v / 0 13A
F-17251A230B Fan, AC230v / 0 13A
 52     
T230-24-2 Controller, T230-24-2 / 230V AC 50Hz / 24V DC / 3A / Aminach
T230-24-2 Controller, T230-24-2 / 230V AC 50Hz / 24V DC / 3A / Aminach
 52     
Tokyo Electron DS018-000442-1 Slide Shaft SNS30-90 DTN 51763620-005
Tokyo Electron DS018-000442-1 Slide Shaft SNS30-90 DTN 51763620-005
 35   
Nikon 4S008-096-1 Video Control Board PCB NIIP-VIDEO-M Used Working
Nikon 4S008-096-1 Video Control Board PCB NIIP-VIDEO-M Used Working
 2,210 17    
Tocco D-209518-PT-10 Printed Circuit Board
Tocco D-209518-PT-10 Printed Circuit Board
 1,2   1,16     
564 Ionization Guage (K2500301)
564 Ionization Guage (K2500301)
 225   
R D  Mathis Co  PD-1250 Power Divider
Top-Rated Plus Seller R D  Mathis Co  PD-1250 Power Divider
 159 98    
Genus 2269-01 L Water Temperature Board New
Top-Rated Plus Seller Genus 2269-01 L Water Temperature Board New
 93 98    
Keyence Lb-72 Controller Amplifier Unit For Photo Electric Lb72
Keyence Lb-72 Controller Amplifier Unit For Photo Electric Lb72
 8     
Siemens,simatic Rack Pc, Ipc547e, Ipc547c
Siemens,simatic Rack Pc, Ipc547e, Ipc547c
 1,2     
ESI Vision Products Video Auto-focus Board P/N 75273 -Free Shipment
ESI Vision Products Video Auto-focus Board P/N 75273 -Free Shipment
 169     
HP / Agilent 44701A 5 5 to 3 5 Digit Integrating Voltmeter
Top-Rated Plus Seller HP / Agilent 44701A 5 5 to 3 5 Digit Integrating Voltmeter
 99 95    
Jetter Type: PASE-E/E-EW PLC Controller
Jetter Type: PASE-E/E-EW PLC Controller
 75     
001-1021-01/pot 10k Xfer Arm/mrc
001-1021-01/pot 10k Xfer Arm/mrc
 50 82    
Technology 80 Inc Model 24,900861/b, Motor Controller Pcb
Technology 80 Inc Model 24,900861/b, Motor Controller Pcb
      
^^ Leitz Npl Plan 100x 0 90 Microscope Objective   (sj29)
^^ Leitz Npl Plan 100x 0 90 Microscope Objective (sj29)
 2   15     
@@ YASKAWA YR-CRJ3-A00 ROBOT ARM w/ ERCJ-CR CONTROLLER (#2)
@@ YASKAWA YR-CRJ3-A00 ROBOT ARM w/ ERCJ-CR CONTROLLER (#2)
 4,   3,     
New Genuine Rph Engineering R001316-02-small-led-board pcb Led Board R001316
New Genuine Rph Engineering R001316-02-small-led-board pcb Led Board R001316
 5   47     
Tylan FC-2952 MASS FLOW CONTROLLER MFC 200 SCCM FC-2952MOEP5
Tylan FC-2952 MASS FLOW CONTROLLER MFC 200 SCCM FC-2952MOEP5
 95     
Irie Koken 1SV25M0 Angle Valve Reseller Lot of 10 Used Working
Irie Koken 1SV25M0 Angle Valve Reseller Lot of 10 Used Working
 902 12    
Aaplied Materials Reducer Box , Part # 0010-00174 , New - Open Box
Aaplied Materials Reducer Box , Part # 0010-00174 , New - Open Box
 2,     
AE APEX 2305650-A 1310032 D 1310032 E  /  Free Expedited Shipping
AE APEX 2305650-A 1310032 D 1310032 E / Free Expedited Shipping
 249   
NEW w/ Mounting Hardware SMC Cylinder CDQSL16-78  5-DCJ1528J
NEW w/ Mounting Hardware SMC Cylinder CDQSL16-78  5-DCJ1528J
    
Shinkawa CMU-04 Camera Assembly Module
Top-Rated Plus Seller Shinkawa CMU-04 Camera Assembly Module
 75 98    
New Pentagon Technologies 1-1098a-03-010000-14 A3 Shield Ring Rdc
New Pentagon Technologies 1-1098a-03-010000-14 A3 Shield Ring Rdc
 54     
Philips 5322 694 15229 Generator control card
Philips 5322 694 15229 Generator control card
 83   747     
VME-HLS-DS Board, Screen VME-HLS-SD / PC-99033D-123
VME-HLS-DS Board, Screen VME-HLS-SD / PC-99033D-123
 52     
Hyunam HPR-2004 Pop Data Terminal Controller
Hyunam HPR-2004 Pop Data Terminal Controller
 72 47  68 12    
Bhk Inc  Analamp Uv Power Supply, Model 90-0002-01
Bhk Inc  Analamp Uv Power Supply, Model 90-0002-01
 15   12     
Mks As01500-89-1 Cleaner Interlock Board Applied Materials 0195-14065 Amat
Mks As01500-89-1 Cleaner Interlock Board Applied Materials 0195-14065 Amat
 299     
MKS KT10013-02 Remote Monitor Unit RMU
Top-Rated Plus Seller MKS KT10013-02 Remote Monitor Unit RMU
 12     
Precise Sensors 70425-250-01-GA Sensor Kit
Precise Sensors 70425-250-01-GA Sensor Kit
 65     
Custom Green Tape Cutter
Custom Green Tape Cutter
 1,95     
Willcox  Hose Insulating Flange #616-insflng-128
Willcox Hose Insulating Flange #616-insflng-128
 1,75     
3 count Superlok SBVi210-S-6 Valves sbvi210-s6  Bx174
3 count Superlok SBVi210-S-6 Valves sbvi210-s6  Bx174
 12   
430-0303// Cmc Mh2105-057e E2-500-250-i Pm Servo Motor [used]
430-0303// Cmc Mh2105-057e E2-500-250-i Pm Servo Motor [used]
 2   
Gai/lantek Liu-s Circuit Board Gl170 Revision A
Gai/lantek Liu-s Circuit Board Gl170 Revision A
    8     
AUGUST TECHNOLOGY CORP P/N 706765 Board
AUGUST TECHNOLOGY CORP P/N 706765 Board
 199 90  
Rexroth 1172-200-50 Star Belt Drive New
Rexroth 1172-200-50 Star Belt Drive New
 25     
201-DQASVBMT MFC, 100 SCCM / ( N2 ) / 201-DQASVBMT / Mass Flow Controller / Port
201-DQASVBMT MFC, 100 SCCM / ( N2 ) / 201-DQASVBMT / Mass Flow Controller / Port
 9     
419-0202// Cti 8039645g005 Jgauge [used]
419-0202// Cti 8039645g005 Jgauge [used]
 12   
Tokyo Electron B21380-001714-11 U-Trap Assy SCP-54-01 CT1380-001714-11
Tokyo Electron B21380-001714-11 U-Trap Assy SCP-54-01 CT1380-001714-11
 79 85  
Assy, Differential Encoder / Receiver Amat 0100-76251 Equivalent *usa Seller*
Assy, Differential Encoder / Receiver Amat 0100-76251 Equivalent *usa Seller*
 395 30  
Genus PCB 2260-00D Water Temperature Board Assy 2269-OIL New
Top-Rated Plus Seller Genus PCB 2260-00D Water Temperature Board Assy 2269-OIL New
 82 98    
Ulcoat ULQ-6025 (D)- LIP3500FF Quartz Mask Blanks Metalized Side New
Ulcoat ULQ-6025 (D)- LIP3500FF Quartz Mask Blanks Metalized Side New
 4     
New World Machining 12002558 Rev  2 Cover, Vacuum Delivery Aluminum New
Top-Rated Plus Seller New World Machining 12002558 Rev  2 Cover, Vacuum Delivery Aluminum New
 27 98    
7102 MOTHERBOARD with CHASSIS RACK 105547-003 REV F
7102 MOTHERBOARD with CHASSIS RACK 105547-003 REV F
 15     
99-43005-01 Assy, CP Module 90s  ( 9044S )
99-43005-01 Assy, CP Module 90s ( 9044S )
 1,7     
5321-0243-6190 / Tosoh Wet Clean Kit Sapphire Long Injector / Novellus
5321-0243-6190 / Tosoh Wet Clean Kit Sapphire Long Injector / Novellus
 5     
Nikon 4S001-141 (STGX8)  PCB   NSR
Nikon 4S001-141 (STGX8) PCB NSR
 1,299     
Tokyo Electron Limited TEL TEB211-15 GRSO EC80-000180-15 free ship
Tokyo Electron Limited TEL TEB211-15 GRSO EC80-000180-15 free ship
 135   
Applied Magnetics 559883 SFL Grammer with Linear Slide and Pneumatic Actuators
Applied Magnetics 559883 SFL Grammer with Linear Slide and Pneumatic Actuators
 446 25  208 95    
Qinex EM-0061-16-24-13-N Thermal System Controller *used working
Qinex EM-0061-16-24-13-N Thermal System Controller *used working
 5   35     
8500025650 / Pcb Assy-gespac, 8500025650 / Asm America Inc
8500025650 / Pcb Assy-gespac, 8500025650 / Asm America Inc
 1,925 68    
SMC NVV5J3-UIB 950063 NVV5J3-ULB 950061 Solenoid Manifold Ass'bly NVJ3233 NVJ114
SMC NVV5J3-UIB 950063 NVV5J3-ULB 950061 Solenoid Manifold Ass'bly NVJ3233 NVJ114
 99 95  
Pc3598-01 / Panel Interface Module / Disco
Pc3598-01 / Panel Interface Module / Disco
 200     
Comsaco Transformer Assembly NAEC P/N 616702-1
Top-Rated Plus Seller Comsaco Transformer Assembly NAEC P/N 616702-1
 225     
No Name Circuit Board  Pbf-0231-b Pbf-0231-4
No Name Circuit Board Pbf-0231-b Pbf-0231-4
 69   
TEL Tokyo Electron 3D81-000103-V1 PCB TPB-S V0 TYB62E-1/RF
TEL Tokyo Electron 3D81-000103-V1 PCB TPB-S V0 TYB62E-1/RF
 36     
Zeiss 347924-9010-1002 L1400 Uniplimth Board Siemens 347524-9010-000 + warranty
Zeiss 347924-9010-1002 L1400 Uniplimth Board Siemens 347524-9010-000 + warranty
 2,9   
ASML Kay Value 4022 454 65411 KV 9 45F Fluid Automation Systems Module
ASML Kay Value 4022 454 65411 KV 9 45F Fluid Automation Systems Module
 129 95    
ASML Kay Value 4022 454 65421 KV 9 45F Fluid Automation Systems Module
ASML Kay Value 4022 454 65421 KV 9 45F Fluid Automation Systems Module
 129 95    
ASML Kay Value 4022 454 65411 KV 9 45F Fluid Automation Systems Unit
ASML Kay Value 4022 454 65411 KV 9 45F Fluid Automation Systems Unit
 129 95    
Orbot Instruments WF 730-Decision 4000172 IDDH 1280114 REV C PCB Card
Top-Rated Plus Seller Orbot Instruments WF 730-Decision 4000172 IDDH 1280114 REV C PCB Card
 8     
Sonix UHF/V3951 2MM OLF Transducer
Sonix UHF/V3951 2MM OLF Transducer
 2,5   
FAS Technologies TR39000F-FE-R Drawings Volume 1 Circa 1998
Top-Rated Plus Seller FAS Technologies TR39000F-FE-R Drawings Volume 1 Circa 1998
 39 98    
3001991 / Ring Tilting Drive Unit / Mattson
3001991 / Ring Tilting Drive Unit / Mattson
 38     
Beam Scan Model 1280 Control Unit  1280-RS232 #2
Beam Scan Model 1280 Control Unit 1280-RS232 #2
 5   
Beam Scan Model 1280 Control Unit  1280-RS232
Beam Scan Model 1280 Control Unit 1280-RS232
 5   
Tosoh SMD AL ALUMINUM  9% 50PPM Si 0 32" DELTA BOLT ON FOR PE 70600-13-003
Tosoh SMD AL ALUMINUM  9% 50PPM Si 0 32" DELTA BOLT ON FOR PE 70600-13-003
 64     
Turck Industrial Cable Accessories Zone and 3mm Press Regulator Head WK4 4T-0 5
Turck Industrial Cable Accessories Zone and 3mm Press Regulator Head WK4 4T-0 5
 17 49  
Lot of WaferHolder/Gripper Carrier Combs
Lot of WaferHolder/Gripper Carrier Combs
 3   27     
NEW ASM Advanced Semiconductor Materials 73008-01098 ASSY/50A/RC to FP/RC
NEW ASM Advanced Semiconductor Materials 73008-01098 ASSY/50A/RC to FP/RC
    
Philips 5322 694 15232  SPECTRUM _1 CONTROL CARD
Philips 5322 694 15232 SPECTRUM _1 CONTROL CARD
 83   747     
104-0401// Amat Applied 0650-01036 Cmptr Country Kit Kybd+mouse+p New
104-0401// Amat Applied 0650-01036 Cmptr Country Kit Kybd+mouse+p New
 27   
scientific optical assembly sy3121 sy-3166-ibm sy-3470 ibm [3*MM-6]
scientific optical assembly sy3121 sy-3166-ibm sy-3470 ibm [3*MM-6]
 25     
FSI International 290104-400 Pneumatic Chemfill Interface PCB Edwards Used
FSI International 290104-400 Pneumatic Chemfill Interface PCB Edwards Used
 705 17    
Smc Vxa2130p-01-b Pilot Valve, Nos
Top-Rated Plus Seller Smc Vxa2130p-01-b Pilot Valve, Nos
 57 25  
Smc Vxa2132m-01-b Pilot Valve, Nos
Top-Rated Plus Seller Smc Vxa2132m-01-b Pilot Valve, Nos
 57 25  
Novellus PVD Universal Stage Shield (16-126664-02 Rev  C), 15 Lb , ESC, RF Table
Top-Rated Plus Seller Novellus PVD Universal Stage Shield (16-126664-02 Rev  C), 15 Lb , ESC, RF Table
 23     
Nikon RN852-0335-1 Load Arm RN85203351
Nikon RN852-0335-1 Load Arm RN85203351
 325   
Agilent G1946-60038 Gas Flow Control Controller
Agilent G1946-60038 Gas Flow Control Controller
 189     
ASM 2888092-01 REACTOR TOUCHSCREEN New Surplus
ASM 2888092-01 REACTOR TOUCHSCREEN New Surplus
 1,007 18    
ADVANCE HICV-G130T17-139PN  Diaphragm Pump, MODEL 37454
ADVANCE HICV-G130T17-139PN Diaphragm Pump, MODEL 37454
 2     
Rohm Ihaas IC1000, PIPW, 080, 1010, SUBA IV Pad 20"/200mm Specific Gravity 0 810
Top-Rated Plus Seller Rohm Ihaas IC1000, PIPW, 080, 1010, SUBA IV Pad 20"/200mm Specific Gravity 0 810
 97 98    
Rohm Ihaas IC1000, PIPW, 080, 1010, SUBA IV Pad 20"/200mm Specific Gravity 0 798
Top-Rated Plus Seller Rohm Ihaas IC1000, PIPW, 080, 1010, SUBA IV Pad 20"/200mm Specific Gravity 0 798
 97 98    
320017  /  Beam Faraday Motion Pcb  /  Genus
320017 / Beam Faraday Motion Pcb / Genus
 176 66    
MDC Vacuum Insulator Seal 9424006 NEW  (1127)
Top-Rated Plus Seller MDC Vacuum Insulator Seal 9424006 NEW (1127)
 95   85 50  
Honeywell HT Pyrolyzer Module MIDAS-T-HTP  REV 07
Honeywell HT Pyrolyzer Module MIDAS-T-HTP REV 07
 3     
ESI assy 85205
ESI assy 85205
 429     
130-0402// AMAT APPLIED 0100-09028 wPCB ASSY,LOADER INTERCO USED
130-0402// AMAT APPLIED 0100-09028 wPCB ASSY,LOADER INTERCO USED
 4   
Oga 4022 296 0123
Oga 4022 296 0123
 2,15   
VINTAGE Bruker Board W4P3 005 for SpectroSpin 250
VINTAGE Bruker Board W4P3 005 for SpectroSpin 250
 1   14     
VINTAGE Receiver Board Z1P2317B Bruker SpectroSpin 250 Extension Card
VINTAGE Receiver Board Z1P2317B Bruker SpectroSpin 250 Extension Card
 2   224   
VINTAGE Bruker Transmitter Board 0-060 P 067a for SpectroSpin 250
Top-Rated Plus Seller VINTAGE Bruker Transmitter Board 0-060 P 067a for SpectroSpin 250
 1   14     
Salvi Valve, 4-port, 4-way, i d  10 mm P/N 18101257
Salvi Valve, 4-port, 4-way, i d  10 mm P/N 18101257
 1,1     
Lam 839-065045-003 Bellows Assy
Lam 839-065045-003 Bellows Assy
 1,299     
LTX Corporation 849-00064-00  LBD-0088 2 CABLE ASSEMBLY
LTX Corporation 849-00064-00 LBD-0088 2 CABLE ASSEMBLY
 45     
[Used] MILLIPORE PHOTO 250  REMOTE WORKING FREE SHIPPING
[Used] MILLIPORE PHOTO 250 REMOTE WORKING FREE SHIPPING
 55   
119-25914-01 112-25914-16 9828 3286  121-27030-06 control board
Top-Rated Plus Seller 119-25914-01 112-25914-16 9828 3286 121-27030-06 control board
 199     
112-25016-05 1705 119-25016-01 PCB Assy video processor
Top-Rated Plus Seller 112-25016-05 1705 119-25016-01 PCB Assy video processor
 199     
Nikon 4S001-162 (STGX8)  PCB   NSR
Nikon 4S001-162 (STGX8) PCB NSR
 1,299     
Rohm Ihaas IC1000, PIPW, 080, 1010, SUBA IV Pad 20"/200mm Specific Gravity 0 797
Top-Rated Plus Seller Rohm Ihaas IC1000, PIPW, 080, 1010, SUBA IV Pad 20"/200mm Specific Gravity 0 797
 97 98    
112-25319-07 1507 4201-0298-01 4201-0300-02 119-25319-01 PCB Assy CPU
Top-Rated Plus Seller 112-25319-07 1507 4201-0298-01 4201-0300-02 119-25319-01 PCB Assy CPU
 199     
*PREOWNED* Yashibi YCB-014 Board + Warranty & Fast Shipping!
*PREOWNED* Yashibi YCB-014 Board + Warranty & Fast Shipping!
 55     
Pressure Transmitter, Pc-304sfk, Pureron Japan
Pressure Transmitter, Pc-304sfk, Pureron Japan
 5     
Applied Materials 0021-17526 REV  001, Teflon Ring, 9 3/4" OD x 7 1/2" ID
Applied Materials 0021-17526 REV  001, Teflon Ring, 9 3/4" OD x 7 1/2" ID
 222     
Schneider Electric Lxm26du04m3x Servo Driver
Schneider Electric Lxm26du04m3x Servo Driver
 2     
Cuken CK-NN90F-70 W/ Precision Gearbox CK-NNF0000090070121029 15823443244 70:1
Cuken CK-NN90F-70 W/ Precision Gearbox CK-NNF0000090070121029 15823443244 70:1
 499   
NEW AMAT 0020-24438 SMF/QTZ Quartz Insulator JMF/EXT Ti 6"/150mm
Top-Rated Plus Seller NEW AMAT 0020-24438 SMF/QTZ Quartz Insulator JMF/EXT Ti 6"/150mm
 64     
Oriental Motor Vexta 6802-dg60m Hollow Rotary Actuator
Oriental Motor Vexta 6802-dg60m Hollow Rotary Actuator
 275     
Simpact As-000-0020-06 Rev H Communication Module
Simpact As-000-0020-06 Rev H Communication Module
 194     
Asm 16-187453d01 Support-dts Susceptor E3000
Asm 16-187453d01 Support-dts Susceptor E3000
 1,007 18    
UC Components WS2 Coated SS Screw Vented Socket Head Cap 10-32 x 5/16” QTY 23
UC Components WS2 Coated SS Screw Vented Socket Head Cap 10-32 x 5/16” QTY 23
 25   
Asm 02-333409d01 Assy-hyb Cass-pass Thru-vac-semitrn
Asm 02-333409d01 Assy-hyb Cass-pass Thru-vac-semitrn
 1,007 18    
^^ Leitz Trinocular Head- Vertical Illuminator & Periplan 10x Eyepieces   (sj32)
^^ Leitz Trinocular Head- Vertical Illuminator & Periplan 10x Eyepieces (sj32)
 25   187 50    
Fusion Uv Systems, Inc  Curing Light Power Supply Model P300
Fusion Uv Systems, Inc  Curing Light Power Supply Model P300
 599 98    
Arm Transport Left 522366-00
Arm Transport Left 522366-00
 5   
Wonik Quartz 1105-401393-51 224/Sleeve Flange 110540139351
Wonik Quartz 1105-401393-51 224/Sleeve Flange 110540139351
 75 15  
Tokyo Electron 1110-316736-12 Stopper Half Moon 111031673612
Tokyo Electron 1110-316736-12 Stopper Half Moon 111031673612
 15   
Daymarc 90-9600-31 Shuttle Control Board MR13468
Daymarc 90-9600-31 Shuttle Control Board MR13468
 195   
Daymarc 93-1556-01 Board Interface Chamber MR13472
Daymarc 93-1556-01 Board Interface Chamber MR13472
 215   
SPTS Inc  MS01654 Cold Trap Supply
SPTS Inc  MS01654 Cold Trap Supply
 25   
K-Tec Electronics A617934 Cable 02-81892-00 For 8110 Control
K-Tec Electronics A617934 Cable 02-81892-00 For 8110 Control
 125   
Heraeus 77303 Quartz Shutter TEL 1105-300428-12 13-1/4" OD
Heraeus 77303 Quartz Shutter TEL 1105-300428-12 13-1/4" OD
 172 98  
ASM Flexible Wirewound Heater 1091-163-01
ASM Flexible Wirewound Heater 1091-163-01
 16   
Tescom 50 PSIG Max Outlet -- Used --
Tescom 50 PSIG Max Outlet -- Used --
 5     
Iwashita SHOTMATIC D3 Automatic Dispenser + Parts / Spares
Iwashita SHOTMATIC D3 Automatic Dispenser + Parts / Spares
 135     
Cymatix CYM5067 Gear Motor Rev  E 24 VDC 50:1 56123058 Rev E Gearmotor
Cymatix CYM5067 Gear Motor Rev  E 24 VDC 50:1 56123058 Rev E Gearmotor
 8     
Applied Materials Precision Etch 8300 Schematics May 1990 Edition 3 0230-00019
Top-Rated Plus Seller Applied Materials Precision Etch 8300 Schematics May 1990 Edition 3 0230-00019
 49 98    
APTECH Regulator AP1802S 3PW FV8 GA
APTECH Regulator AP1802S 3PW FV8 GA
 4   
Ex-10 (lot Of 2) / Ex-10, Photoeletric Sensor / Sunx
Ex-10 (lot Of 2) / Ex-10, Photoeletric Sensor / Sunx
 115 68    
Mcdanel Ceramics Termocouple High Temperature tube 0 43 X 0 695 X 28" Long COE
Mcdanel Ceramics Termocouple High Temperature tube 0 43 X 0 695 X 28" Long COE
 15     
Swagelok,SS-16-VCR-2-GR, 1" Silver plated gasket
Swagelok,SS-16-VCR-2-GR, 1" Silver plated gasket
 5   
Veriflo Parker Regulator IR2
Veriflo Parker Regulator IR2
 6     
Carl Zeiss 45 74 92 AF Controller Working Surplus
Carl Zeiss 45 74 92 AF Controller Working Surplus
 1,106 18    
Extraction Systems Inc  TMBRTM-10, TOTAL MOLECULAR BASE REAL TIME MONITOR
Extraction Systems Inc  TMBRTM-10, TOTAL MOLECULAR BASE REAL TIME MONITOR
 6,5   5,2     
ESI 9300 Z VAC INTERLOCK BOARD 133190 REV  A  /  Free Shipping
ESI 9300 Z VAC INTERLOCK BOARD 133190 REV  A / Free Shipping
 119   
Kokusai #fasc 10s33 Auto Shaft Straightner
Kokusai #fasc 10s33 Auto Shaft Straightner
 12,5     
02-790879-00 Liebert PWA DC Regulator Circuit Broad --SA
02-790879-00 Liebert PWA DC Regulator Circuit Broad --SA
 155 21    
VINTAGE Bruker SpectroSpin 250 PFP-Shimautomatic 0-510P0091
VINTAGE Bruker SpectroSpin 250 PFP-Shimautomatic 0-510P0091
 1   14     
VINTAGE Bruker B-CN 5 / 50 8302/IV Control Board SpectroSpin
Top-Rated Plus Seller VINTAGE Bruker B-CN 5 / 50 8302/IV Control Board SpectroSpin
 1   14     
VINTAGE Bruker Board W4P3006 for SpectroSpin 250
VINTAGE Bruker Board W4P3006 for SpectroSpin 250
 1   14     
VINTAGE Bruker B-CN5/50 B303III Board
VINTAGE Bruker B-CN5/50 B303III Board
 1   14   
1 Used Pl Pwb 104350-001 Rev J, 7102 Motherboard Assembly 105547 **make Offer**
1 Used Pl Pwb 104350-001 Rev J, 7102 Motherboard Assembly 105547 **make Offer**
 12     
Swagelok NUPRO 6LV-DA7213-C  N22857   1/4" MVCR, 1/4" FVCR FITTING
Swagelok NUPRO 6LV-DA7213-C  N22857  1/4" MVCR, 1/4" FVCR FITTING
 155     
ASM Cable Assembly 02-195706-01 ASSY-CA-A36/A48-PC402
ASM Cable Assembly 02-195706-01 ASSY-CA-A36/A48-PC402
 13   
Panasonic Color Monitor 120V CT-1384Y
Panasonic Color Monitor 120V CT-1384Y
 12   
Moeller Faz/c10/1 278557
Moeller Faz/c10/1 278557
 15     
Shuttle, Motor Feedthru, Left
Shuttle, Motor Feedthru, Left
 639 20  
112-25326-14 14014 4201-0342-01 119-25326-02 PCB Ass CPU
Top-Rated Plus Seller 112-25326-14 14014 4201-0342-01 119-25326-02 PCB Ass CPU
 199     
343-0301// Amat Applied 0020-09602 Manifold Input [used]
343-0301// Amat Applied 0020-09602 Manifold Input [used]
 11   
Nikon 4s013-310 Interface Board Blx3, 4s013310
Nikon 4s013-310 Interface Board Blx3, 4s013310
 1     
Lynair Lc-1-08a Aligning Road End Coupler
Lynair Lc-1-08a Aligning Road End Coupler
 16     
CTI Gasket 8081212P004
Top-Rated Plus Seller CTI Gasket 8081212P004
 9 04  3 25    
MKS 1160B-05000SV MFC, Mass Flow Controller, N2, 5000 SCCM, 421906
MKS 1160B-05000SV MFC, Mass Flow Controller, N2, 5000 SCCM, 421906
 75   
Tokyo Electron 1105-401390-61 Pipe Seal Wonik Quartz 3/8"ID 8-1/4" OAL
Tokyo Electron 1105-401390-61 Pipe Seal Wonik Quartz 3/8"ID 8-1/4" OAL
 48 98  
Thermco Process Controller Tmx9000 117751-021
Thermco Process Controller Tmx9000 117751-021
 3,4     
1522600 / Pcb Assy Creonics Sam Ex Yscan / Axcelis Technologies
1522600 / Pcb Assy Creonics Sam Ex Yscan / Axcelis Technologies
 5,634 66    
(1) Pen Holder Assembly ASM 73008-02691 H1571
(1) Pen Holder Assembly ASM 73008-02691 H1571
 65   
SMC VQ1100Y-5 Manifold 10 Solenoid Space
SMC VQ1100Y-5 Manifold 10 Solenoid Space
 1     
MOUNTZ Torque Screwdriver, Blue, PRESET 6LBF IN
Top-Rated Plus Seller MOUNTZ Torque Screwdriver, Blue, PRESET 6LBF IN
 19     
DiL2M Contactor, DiL2M / With 11S DiLM / Moeller
DiL2M Contactor, DiL2M / With 11S DiLM / Moeller
 7     
Axcelis 17049110 Panel FRT Blank 4 Bolt PTD 1-3/4" X 19" 1/8" Thick
Axcelis 17049110 Panel FRT Blank 4 Bolt PTD 1-3/4" X 19" 1/8" Thick
 85   
Murr Elektronik MCS-B5-110-240/24 Power Supply
Murr Elektronik MCS-B5-110-240/24 Power Supply
 79 96    
New Compatible Manufacturing Solyn-0010-31050 Carrier Detect Arm 3"
New Compatible Manufacturing Solyn-0010-31050 Carrier Detect Arm 3"
 56     
Smc  Flow Switch Pf2a521-03
Smc Flow Switch Pf2a521-03
 12     
Disco YEAJ-GA1622 Flow Meter, Switch 412385
Disco YEAJ-GA1622 Flow Meter, Switch 412385
 15   
Tokyo Electron 1110-205729-21 Heat Insulator DS1110-205729-21 Tombo 4505
Tokyo Electron 1110-205729-21 Heat Insulator DS1110-205729-21 Tombo 4505
 95   
Tokyo Electron 1105-401393-51 Quartz Injector Sleeve
Tokyo Electron 1105-401393-51 Quartz Injector Sleeve
 75 15  
Tokyo Electron CT386-440791-2 Nozzle Head Sensor Assembly
Tokyo Electron CT386-440791-2 Nozzle Head Sensor Assembly
 11   
Fujikin  Akcxu000
Fujikin Akcxu000
 4   
Berkeley Process Control USA4-11-28-UR Multi Axis Universal Servo Amplifier
Berkeley Process Control USA4-11-28-UR Multi Axis Universal Servo Amplifier
 85     
Ultratech Stepper Vacuum Pump / Supply Unit
Ultratech Stepper Vacuum Pump / Supply Unit
 675     
125-0402// Amat Applied 0021-09004 Shield, Protective, Mxp, Sgd Used
125-0402// Amat Applied 0021-09004 Shield, Protective, Mxp, Sgd Used
 2   
Okuma Opus 5000 Axis Board E4809-045-039-E
Okuma Opus 5000 Axis Board E4809-045-039-E
 135     
GSI General Scanning View Engineering 2860070 PCB DSP Combiner Board, 2860071
GSI General Scanning View Engineering 2860070 PCB DSP Combiner Board, 2860071
 3   12     
USHIO 9706092/U00 / Free Expedited Shipping
USHIO 9706092/U00 / Free Expedited Shipping
 359   
176-0402// Amat Applied 0050-09026 Manifold Llc Vent [used]
176-0402// Amat Applied 0050-09026 Manifold Llc Vent [used]
 45   
Swagelok Nupro MS-ISK-BK Indicator Switch KIT, 450278
Swagelok Nupro MS-ISK-BK Indicator Switch KIT, 450278
 7   
NPS Siguma-5 (Σ -5) Resistivity Processor (Front Probe, & Power Cord Included)
NPS Siguma-5 (Σ -5) Resistivity Processor (Front Probe, & Power Cord Included)
 2     
GE Fanuc Power Supply PCB Circuit Board Model# 44A399746-G01
GE Fanuc Power Supply PCB Circuit Board Model# 44A399746-G01
 14     
Applied Materials 0040-00443 Gas tube weldment oxide proces
Applied Materials 0040-00443 Gas tube weldment oxide proces
 499     
Aion Ucl Brush Roller 70(60/38)x317mm, P/n: Aion-7bu402zz-2x
Aion Ucl Brush Roller 70(60/38)x317mm, P/n: Aion-7bu402zz-2x
 3     
Fabco-Air The Pancake Line FPS-1409-4 Air Cylinder
Fabco-Air The Pancake Line FPS-1409-4 Air Cylinder
 7     
Bm03442 / Pcb Solenoid Driver /  Pri
Bm03442 / Pcb Solenoid Driver / Pri
 375 56    
20003772b / Inspex Electronic Assembly With Pcb 2000730a, 20003718a / Inspex
20003772b / Inspex Electronic Assembly With Pcb 2000730a, 20003718a / Inspex
 695 68    
Asahi 752nk-t1 Erg Box
Asahi 752nk-t1 Erg Box
 1,199 95    
Tokyo Electron ME2-091510-C Quartz Trap DSME2-091510-C
Tokyo Electron ME2-091510-C Quartz Trap DSME2-091510-C
 195   
Queensgate / SIFAM NPS3330 High Precision 3-Axis Piezoelectric Controller Set
Top-Rated Plus Seller Queensgate / SIFAM NPS3330 High Precision 3-Axis Piezoelectric Controller Set
 3     
MRC Materials Research Corporation Hard Etch Bump Kit 150mm Refurbished
MRC Materials Research Corporation Hard Etch Bump Kit 150mm Refurbished
 1,106 18    
Georg Fischer  3/4 Inch Natural Pvdf Coupler 4830-007
Georg Fischer 3/4 Inch Natural Pvdf Coupler 4830-007
 24 95    
4359255 / Gauge,pressure,0-4000psi,1/4 / Wika
4359255 / Gauge,pressure,0-4000psi,1/4 / Wika
 127 25    
Nikon 4s005-237-1 (ex4-irad) Nsr
Nikon 4s005-237-1 (ex4-irad) Nsr
 699     
Nikon 4s005-237 (ex4-irad) Nsr
Nikon 4s005-237 (ex4-irad) Nsr
 699     
Rohm Ihaas IC1000, PIPW, 080, 1010, SUBA IV Pad 20"/200mm Specific Gravity 0 795
Top-Rated Plus Seller Rohm Ihaas IC1000, PIPW, 080, 1010, SUBA IV Pad 20"/200mm Specific Gravity 0 795
 97 98    
Elbow PPFR 1-1/2" 6251 F1412
Top-Rated Plus Seller Elbow PPFR 1-1/2" 6251 F1412
 8   3 24    
Applied Materials AMAT Weldment N2 30-PSI Regulator, 0050-33318
Top-Rated Plus Seller Applied Materials AMAT Weldment N2 30-PSI Regulator, 0050-33318
 85   51     
Idec Electronic Timer Rte-b12
Idec Electronic Timer Rte-b12
 3     
CCS HLV-27-BL Blue light Fiber Optic LED illuminator
CCS HLV-27-BL Blue light Fiber Optic LED illuminator
 59 90  
112-25326-14 15036 4201-0342-01 119-25326-02 PCB Assy IMS graphics
Top-Rated Plus Seller 112-25326-14 15036 4201-0342-01 119-25326-02 PCB Assy IMS graphics
 199     
117740-001 / System Board / Thermco
117740-001 / System Board / Thermco
 983     
MRC Materials Research A114569 Index Assy  Rev  A Eclipse Star Incomplete As-Is
MRC Materials Research A114569 Index Assy  Rev  A Eclipse Star Incomplete As-Is
 1,204 12    
Shield Ring(ES1805-220159-23), Tokyo Electron
Shield Ring(ES1805-220159-23), Tokyo Electron
 3     
5 Fujikin Fpr-nsd-21-6 pneumatic Valves
5 Fujikin Fpr-nsd-21-6 pneumatic Valves
 119 95    
Rofin Sinar 120300718-00005 ali3_v30 ddf Board tested
Rofin Sinar 120300718-00005 ali3_v30 ddf Board tested
 399   
Novellus Spindle Fork 7 65in 15-00699-00
Novellus Spindle Fork 7 65in 15-00699-00
 1     
^^ Trig-Tek 252A APS-8 Accelerometer Power Supply  (CW66)
Top-Rated Plus Seller ^^ Trig-Tek 252A APS-8 Accelerometer Power Supply (CW66)
 4   3     
NEW Cybeq Systems Automation Wafer Robot with Original Boxing
NEW Cybeq Systems Automation Wafer Robot with Original Boxing
 1,2     
Drytek/Lam 384T Switch Interface Board, P/N 2800292
Top-Rated Plus Seller Drytek/Lam 384T Switch Interface Board, P/N 2800292
 175     
Cdld-01s06 Tylan General Pressure Gauge
Cdld-01s06 Tylan General Pressure Gauge
 1,     
Cdld-02s06 Tylan General Pressure Gauge
Cdld-02s06 Tylan General Pressure Gauge
 1,     
Stainless Flange, Model: 586-616, 2286, 6-3/4'' W x 2'' H
Top-Rated Plus Seller Stainless Flange, Model: 586-616, 2286, 6-3/4'' W x 2'' H
 35     
Lot Of 3 Millipore Spps00004 Silicone O-ring Kit
Lot Of 3 Millipore Spps00004 Silicone O-ring Kit
 2     
BENCHMARK THERMAL BFUA7279-3 Flexible Heater
BENCHMARK THERMAL BFUA7279-3 Flexible Heater
 36 84    
BENCHMARK THERMAL BFUA7279-6 Flexible Heater
BENCHMARK THERMAL BFUA7279-6 Flexible Heater
 36 41    
Silicon Reticles 7''
Silicon Reticles 7''
 72     
36-0083 / Ptr1000-120 Pcb Assy W/2 Pcbs / Phasetronics
36-0083 / Ptr1000-120 Pcb Assy W/2 Pcbs / Phasetronics
 765 82    
Mektronix Technology MC-03 3-axis Motion Controller
Mektronix Technology MC-03 3-axis Motion Controller
 1,9   
Parker Veriflo 930GSFSMMLK2 3 (P/N: 45700507) Toggle Diaphragm Valve
Parker Veriflo 930GSFSMMLK2 3 (P/N: 45700507) Toggle Diaphragm Valve
 1     
Neles / Metso Automation S426434 Board Vat 65426436a / 65426436b
Neles / Metso Automation S426434 Board Vat 65426436a / 65426436b
 52 95    
IVS80 – Semco F112298 DF Furnace Scavenger Door Driver Board (DF5200/6000) – NEW
IVS80 – Semco F112298 DF Furnace Scavenger Door Driver Board (DF5200/6000) – NEW
 26     
704 900 4 Switch, 704 900 4 / Emergency Stop Switch / EAO
704 900 4 Switch, 704 900 4 / Emergency Stop Switch / EAO
 19 55    
Tylan Fc-280-sav Mass Flow Controller 5 Slpm N2,
Tylan Fc-280-sav Mass Flow Controller 5 Slpm N2,
 14     
Ev Group Cpvci 190203 Pcb
Ev Group Cpvci 190203 Pcb
 18     
Veeco Caliber Nanodrive Controller Part # 840-014-800
Veeco Caliber Nanodrive Controller Part # 840-014-800
 1,   85     
Kocat Inc  Ozone Removal System, Model Cat-voc-sp-60-002
Kocat Inc  Ozone Removal System, Model Cat-voc-sp-60-002
 15,   12,     
4022 450 94531 Nipple
4022 450 94531 Nipple
 19 55    
VINTAGE Bruker Board DEC W4P3042 for SpectroSpin 250
VINTAGE Bruker Board DEC W4P3042 for SpectroSpin 250
    74     
VINTAGE Lexscan Corporation ODEL rA-51 Module For Bruker 250
VINTAGE Lexscan Corporation ODEL rA-51 Module For Bruker 250
    74     
Nikon 4s003-023 (blws-drv) Pcb Nsr
Nikon 4s003-023 (blws-drv) Pcb Nsr
 1,499     
New AK024D Coil, AK024D / 24v DC 3 W / Danfoss
New AK024D Coil, AK024D / 24v DC 3 W / Danfoss
 74     
Unit Instruments, Model UFC-1260A MASS FLOW CONTROLLER, 500 SCCM, N2/NF3
Unit Instruments, Model UFC-1260A MASS FLOW CONTROLLER, 500 SCCM, N2/NF3
 4   
PolyTec, OFV3001, Vibrometer Controller, 100/115/230 VAC, 50/60 HZ, Powers On
Top-Rated Plus Seller PolyTec, OFV3001, Vibrometer Controller, 100/115/230 VAC, 50/60 HZ, Powers On
 1,     
Fei 22253-A 18098 Rev  A Vacuum Interlock
Fei 22253-A 18098 Rev  A Vacuum Interlock
 234     
176-0103// Amat Applied 0050-22361 Gasline Valve W/elbow Gas Panel Cajon [asis]
176-0103// Amat Applied 0050-22361 Gasline Valve W/elbow Gas Panel Cajon [asis]
 15   
79903 / Fins, Quartz Tel Hto, 2105-323052-52 / Tokyo Electron Tel
79903 / Fins, Quartz Tel Hto, 2105-323052-52 / Tokyo Electron Tel
 650 03    
Advanced Energy 2301236-B Circuit Board
Advanced Energy 2301236-B Circuit Board
 149 95    
ADCS Advanced Delivery Chemical liquid line 25 ft 16-000910 BRAND NEW SEALED
ADCS Advanced Delivery Chemical liquid line 25 ft 16-000910 BRAND NEW SEALED
 45     
Tokyo Electron B2017-003912-1 Duct Super Flex AG Ohtsuka 125M/Mx2ML 017-003912-1
Tokyo Electron B2017-003912-1 Duct Super Flex AG Ohtsuka 125M/Mx2ML 017-003912-1
 225   
Canon Bh8-3294-01 Mvps Pcb Board
Canon Bh8-3294-01 Mvps Pcb Board
 65     
Square D Contact Set 9713cs
Square D Contact Set 9713cs
 15     
ASM COE 139 Curing System
ASM COE 139 Curing System
 12,795     
Drytek / Lam Flow/He Interface Board, 2800606
Top-Rated Plus Seller Drytek / Lam Flow/He Interface Board, 2800606
 225     
Siemens Sinamics CUA32 PLC Control Unit Adapter and 340 Power Module, 423528
Siemens Sinamics CUA32 PLC Control Unit Adapter and 340 Power Module, 423528
 2,5     
9099-3-0 Insert Tool, 9099-3-0 / Rev B / Contact Service Tool Inc
9099-3-0 Insert Tool, 9099-3-0 / Rev B / Contact Service Tool Inc
 25     
ESI CPB 4 P/N 158728 Rev  A Power Supply Board -Free Shipment
ESI CPB 4 P/N 158728 Rev  A Power Supply Board -Free Shipment
 29     
Applied Materials Spin Window 0120-91554 -- 0100-00970 -- Used
Applied Materials Spin Window 0120-91554 -- 0100-00970 -- Used
 245     
A New UNIT UFC-1460A MASS FLOW CONTROLLER GAS He / 20 SCCM
A New UNIT UFC-1460A MASS FLOW CONTROLLER GAS He / 20 SCCM
 5     
Unit Instruments Ufc-1100 1 Slm N2 Mass Flow Controller Stainless Steel Mvcr
Unit Instruments Ufc-1100 1 Slm N2 Mass Flow Controller Stainless Steel Mvcr
 134 50    
7002-200-0009 Rev a Cpu Board & Display Assy 70022000009
7002-200-0009 Rev a Cpu Board & Display Assy 70022000009
 1,     
New Curtis Industries L-11 Terminal Block
New Curtis Industries L-11 Terminal Block
 65     
ASML Mat 4022 486 38673 Semiconductor Manufacturing ASML 402248638673
ASML Mat 4022 486 38673 Semiconductor Manufacturing ASML 402248638673
 297     
OPCON 101272-01-08 Photoeye Board Used With Warranty See All Pictures
OPCON 101272-01-08 Photoeye Board Used With Warranty See All Pictures
 5     
Kokusai Cvm-6a Power Unit Controller
Kokusai Cvm-6a Power Unit Controller
 300 95    
USHIO GP-PIO-A 940314 9705032/U00, DLCU 930921 / Free Expedited Shipping
USHIO GP-PIO-A 940314 9705032/U00, DLCU 930921 / Free Expedited Shipping
 339   
?SYSTEM ELECTRONICS 5904514805 Interface Board RIF 21-2000??Fast DHL Shipping???
?SYSTEM ELECTRONICS 5904514805 Interface Board RIF 21-2000??Fast DHL Shipping???
 499     
Unit Instruments UFC 7321 MFC Mass Flow Controllers  Tag #00
Unit Instruments UFC 7321 MFC Mass Flow Controllers Tag #00
 65     
Tempress Ratio Monitor Pcb Assy 92533 D Rev 01
Tempress Ratio Monitor Pcb Assy 92533 D Rev 01
 12     
GEORG FISCHER+GF+SYGEF 735 108 634 90° ELBOW 40mm PVDF-HP NEW
GEORG FISCHER+GF+SYGEF 735 108 634 90° ELBOW 40mm PVDF-HP NEW
 16     
Chemical Safety Technology CST-2/4-MEN-5 Desiccator Airflotek *used working
Chemical Safety Technology CST-2/4-MEN-5 Desiccator Airflotek *used working
 5,25     
0150-40432, assy Cable, pwr, tmc
0150-40432, assy Cable, pwr, tmc
 1     
0620-02024, Amatcbleset1b, Cable Assy
0620-02024, Amatcbleset1b, Cable Assy
 1     
Wonik 1105-401421-51 Quartz Pipe Intake Gas 110540142151
Wonik 1105-401421-51 Quartz Pipe Intake Gas 110540142151
 78 95  
Q'son Precision BEI Cover Insert Assembly EM Spoiler CB71271-001 MOGCB71271-001
Q'son Precision BEI Cover Insert Assembly EM Spoiler CB71271-001 MOGCB71271-001
 4     
SSEC 310130000 Solvent Heater
SSEC 310130000 Solvent Heater
 75     
09007-0140 Instrument Air Gauge
09007-0140 Instrument Air Gauge
 35     
R177536 Varian 80MHz Reference Generator Assy 019036-00B Sch-01903699-D
R177536 Varian 80MHz Reference Generator Assy 019036-00B Sch-01903699-D
 1     
444-0201// Oriental Motor Ph533-nb Motor [used]
444-0201// Oriental Motor Ph533-nb Motor [used]
 2   
DC HOT CHUCK POWER SUPPLY  MC SYSTEMS m/n 8834 +++++ POWER TESTED+++++
DC HOT CHUCK POWER SUPPLY MC SYSTEMS m/n 8834 +++++ POWER TESTED+++++
 485     
Gasonic NOVELLUS IRIDIA PEP 4800 DL
Gasonic NOVELLUS IRIDIA PEP 4800 DL
 150,     
Exclusive Design EDC Digital Signal I/O Board 16682 Rev  A
Exclusive Design EDC Digital Signal I/O Board 16682 Rev  A
 4   24     
Smc Vxv3132l-01-5dz-b-q Soleniod Valve, Nos
Top-Rated Plus Seller Smc Vxv3132l-01-5dz-b-q Soleniod Valve, Nos
 149 77  119 82    
Weber/schurter Circuit Breaker 20a As16x-cb3
Weber/schurter Circuit Breaker 20a As16x-cb3
 75     
Disco Grinder Large L-pad
Disco Grinder Large L-pad
 2     
Desco 60501 Chargebuster High Output Ionizer 120vac/2a Tested Sn: 605011338010
Desco 60501 Chargebuster High Output Ionizer 120vac/2a Tested Sn: 605011338010
 820 98    
176-0104// Amat Applied 0050-09391 Weldment Manifold Single-valve 7 Line [asis]
176-0104// Amat Applied 0050-09391 Weldment Manifold Single-valve 7 Line [asis]
 45   
Cosmo Indicator Val-2 Unit V-sc-a
Cosmo Indicator Val-2 Unit V-sc-a
 5   
Veriflo Corp  Regulator S0542924B Max Inlet 500PSI
Veriflo Corp  Regulator S0542924B Max Inlet 500PSI
 99     
Ite P130 Molded Case Circuit Breaker
Ite P130 Molded Case Circuit Breaker
 14     
Speedline Align Slot 4 No  1013084 (7/22)
Speedline Align Slot 4 No  1013084 (7/22)
 599     
AMAT 678200 Lower Heat Shield
AMAT 678200 Lower Heat Shield
 2,     
X13650736-12 - 0211161623 - REV J 1213 TE Board
X13650736-12 - 0211161623 - REV J 1213 TE Board
 6     
Drytek / Lam Interlock Protection Circuit Board  P/N 2800937 rev 2
Top-Rated Plus Seller Drytek / Lam Interlock Protection Circuit Board  P/N 2800937 rev 2
 175   14     
ASM A A  Ltd  Vacuum Chuck Micro Size New
Top-Rated Plus Seller ASM A A  Ltd  Vacuum Chuck Micro Size New
 129 98    
Guide Bar Sakurai Ltd 214003 02-145a-0003
Guide Bar Sakurai Ltd 214003 02-145a-0003
 5   4   
NIKON TPC-42V-0 4S013-224 | PCB BOARD | Pre-Owned
NIKON TPC-42V-0 4S013-224 | PCB BOARD | Pre-Owned
 4     
TYK RS-1000PN  LEAK SENSOR,  New OLD STOCK
Top-Rated Plus Seller TYK RS-1000PN LEAK SENSOR, New OLD STOCK
 74 77    
Sonic Materials Power Supply Board 855-00094
Sonic Materials Power Supply Board 855-00094
 4   34     
Bori Jue301194-d Jue301195 Icp
Bori Jue301194-d Jue301195 Icp
 199   
(Lot of 2) 36" Engineering Rolling Controller Arms for MFG (300)
(Lot of 2) 36" Engineering Rolling Controller Arms for MFG (300)
 1,     
Ultratech Plate Cleaner 603 High Pressure 5" Spin cleaner
Ultratech Plate Cleaner 603 High Pressure 5" Spin cleaner
 3,85     
Kidde 408947-8-10, FSS-MDS Dual Spectrum Control Unit -New
Kidde 408947-8-10, FSS-MDS Dual Spectrum Control Unit -New
 128 95  
7142-0019-0002 / Slit-pinned,fixed Arc, Moly / Eaton
7142-0019-0002 / Slit-pinned,fixed Arc, Moly / Eaton
 327 82    
GE/Fanuc Genius Bus Interface Unit IC670GBI102E
Top-Rated Plus Seller GE/Fanuc Genius Bus Interface Unit IC670GBI102E
 540 14    
Philips Analytical Digital Extension PCB
Philips Analytical Digital Extension PCB
 175     
Trc Ground Fault Circuit Interrupters 24500-003
Trc Ground Fault Circuit Interrupters 24500-003
 2     
Xprt 17682
Xprt 17682
      
Alloy Products 10116 Chem Storage Tank Developer
Alloy Products 10116 Chem Storage Tank Developer
 25     
Hitachi N-EVCN 569-5559
Hitachi N-EVCN 569-5559
 5     
Unit Instruments, Model UFC-1500A MASS FLOW CONTROLLER, N2, 500 SCCM
Top-Rated Plus Seller Unit Instruments, Model UFC-1500A MASS FLOW CONTROLLER, N2, 500 SCCM
 58   
Danger Wear Goggles When Operating This Machine Safety Labels Sticker Bag of 5
Danger Wear Goggles When Operating This Machine Safety Labels Sticker Bag of 5
 14   12 21    
Li 99 9% 2" diameter x 0 25" thick ACI ALLOYS
Li 99 9% 2" diameter x 0 25" thick ACI ALLOYS
 35   
313806, #313806m  Tc Assembly Mcu / Axcelis Technologies A=4 37" Factory Sealed
313806, #313806m Tc Assembly Mcu / Axcelis Technologies A=4 37" Factory Sealed
 295   
Applied Materials AMAT Ionizer Controller, 0500-00138
Applied Materials AMAT Ionizer Controller, 0500-00138
 2,85     
Mykrolis CWUZ400L1 Microgard Minichem 40 Filter 0 05um Media UPE Support PE
Mykrolis CWUZ400L1 Microgard Minichem 40 Filter 0 05um Media UPE Support PE
 78 50  
1348) [used] Bruker Aqs Psu 350w Ecl2
1348) [used] Bruker Aqs Psu 350w Ecl2
 1,300 02    
Crossing Automation Inc™ Pressure Sensor Process Board (UK) 3200-4482-02
Crossing Automation Inc™ Pressure Sensor Process Board (UK) 3200-4482-02
 245 28    
ENTEGRIS MYKROLIS CHEMLOCK - PFA Head 3/4" NPTF I/0 -1/4" Vents - YY56HA20A (UK)
ENTEGRIS MYKROLIS CHEMLOCK - PFA Head 3/4" NPTF I/0 -1/4" Vents - YY56HA20A (UK)
 496 86    
ESI CKA 148030 rev C
ESI CKA 148030 rev C
 599     
Masterflex Solid State Speed Control with Masterflex Easy-Load Pump, Used
Masterflex Solid State Speed Control with Masterflex Easy-Load Pump, Used
 35   
Rite Track 99-71265-01 ATS Drive Board 997126501 New Unopened
Rite Track 99-71265-01 ATS Drive Board 997126501 New Unopened
 325 50  
Vacuum Generator IB 50
Vacuum Generator IB 50
 2     
Dijet SMC 6 010-10456 6" Indexable Face Mill Cutter 1-1/2" Arbor 10 Flute
Dijet SMC 6 010-10456 6" Indexable Face Mill Cutter 1-1/2" Arbor 10 Flute
 1     
Marquip 6800-01289-0001 Pcb Assembly
Marquip 6800-01289-0001 Pcb Assembly
 3     
Futurestar Pathfinder Flow Meter 102-022
Futurestar Pathfinder Flow Meter 102-022
 47     
RF Generator Model LP2000-400k
RF Generator Model LP2000-400k
 1,5     
GSI General Scanning 229 017 01 Servo Interface w/ 222 033  Photo Receiver PCB
GSI General Scanning 229 017 01 Servo Interface w/ 222 033  Photo Receiver PCB
 4   15     
500197917 Flow Regulator / FESTO
500197917 Flow Regulator / FESTO
 19 55    
500124475 Conn Plug CPC 28POS REV Ser 2
500124475 Conn Plug CPC 28POS REV Ser 2
 19 55    
442-0303// Oriental Motor Asm46mk Motor [used]
442-0303// Oriental Motor Asm46mk Motor [used]
 1   
347-0402// Amat Applied 0020-04079 Feedthru, Helium [used]
347-0402// Amat Applied 0020-04079 Feedthru, Helium [used]
 1   
Proteus Industries 98004SN6P1 Flow Meter 5VDC= 6 0 GPM
Proteus Industries 98004SN6P1 Flow Meter 5VDC= 6 0 GPM
 99   
RSF Elektronik 690 73-4 ML 320mm Optical Linear Encoder
RSF Elektronik 690 73-4 ML 320mm Optical Linear Encoder
 199   
Dwyer Series 3000MR Photohelic Pressure Switch, 450472
Dwyer Series 3000MR Photohelic Pressure Switch, 450472
 15   
ASML 4022 470 0445 SSD Module Used Working
ASML 4022 470 0445 SSD Module Used Working
 902 12    
Komatsu Electronics Controller Model GR-74 12003121000
Komatsu Electronics Controller Model GR-74 12003121000
 8   
Esn-tl12 & Esn-tr12 / Transmitter Receiver Safety Light Curtain,12-24vdc / Takex
Esn-tl12 & Esn-tr12 / Transmitter Receiver Safety Light Curtain,12-24vdc / Takex
 375     
Smc Vcw23-5dl-2-l-q, Soleniod Valve, Nos
Top-Rated Plus Seller Smc Vcw23-5dl-2-l-q, Soleniod Valve, Nos
 99 65    
LTS Chemical inc Silicon dioxide SiO2 ( 9%) 1"x1"x3mm Thk AA-15
LTS Chemical inc Silicon dioxide SiO2 ( 9%) 1"x1"x3mm Thk AA-15
 25     
MKS 20705A Process Sense Vacuum Exhaust
MKS 20705A Process Sense Vacuum Exhaust
 3   2     
Evans Environmental Products E Doc Model E doc-sd-1sl-004x-d1
Evans Environmental Products E Doc Model E doc-sd-1sl-004x-d1
 1,6     
TRUMPF NNB 2246442, Elektr Ausr NS_EMO_Auftrennung_HPDL SEM-I-352
TRUMPF NNB 2246442, Elektr Ausr NS_EMO_Auftrennung_HPDL SEM-I-352
 499 90    
hp indigo HEAT EXCHANGER
hp indigo HEAT EXCHANGER
 25     
58900086 / Wafertray For 6", For Liner Plate And Hotliner Replacement / Mattson
58900086 / Wafertray For 6", For Liner Plate And Hotliner Replacement / Mattson
 5,789 70    
Molecular Imprints 3100-0098-01 rev B pcb quad laser alignment board
Molecular Imprints 3100-0098-01 rev B pcb quad laser alignment board
 7   3     
SMC Quick Change Filter Model FQ1011N-04-R002N-X109
SMC Quick Change Filter Model FQ1011N-04-R002N-X109
 299   
Molecular Imprints 3100-0045-01 Rev B DTLC Interface board used
Molecular Imprints 3100-0045-01 Rev B DTLC Interface board used
 84   424     
Varian Electrode suppression profiler  E19002351 NEW
Varian Electrode suppression profiler E19002351 NEW
 1     
313806, #313806aa  Tc Assembly Mcu / Axcelis Technologies A=4 37" Factory Sealed
313806, #313806aa Tc Assembly Mcu / Axcelis Technologies A=4 37" Factory Sealed
 297   
Wallac Interface Board DIC 1055 3760 C
Wallac Interface Board DIC 1055 3760 C
 232 04    
Thermo 2079640-E Orbitrap Exciter Board Assembly
Thermo 2079640-E Orbitrap Exciter Board Assembly
 325     
Ge General Electric Zz-7359 3-pole 30 Amp Thqb Used
Ge General Electric Zz-7359 3-pole 30 Amp Thqb Used
 1   11     
Amat 0010-01315 Sheild Assy 8" Electra IMP
Amat 0010-01315 Sheild Assy 8" Electra IMP
 1,15     
Machine Technology 2217605061 MINI I/O II Board Used Working
Machine Technology 2217605061 MINI I/O II Board Used Working
 1,010 11    
Dful94v0
Dful94v0
 44     
TEL Tokyo Electron A21110-212028-12 Inner Tube Supporter new
TEL Tokyo Electron A21110-212028-12 Inner Tube Supporter new
 501 12    
Elevator Wh
Elevator Wh
 4,16   
Wafer dc motor
Wafer dc motor
 8,     
Parker, Digital MFC, 701-AAASVPRX, 5%PH3/95%N2, 1000 sccm
Parker, Digital MFC, 701-AAASVPRX, 5%PH3/95%N2, 1000 sccm
 1,5     
Parker, Digital MFC, P/N:701-AAASVPRS, N2, 5 SLPM
Parker, Digital MFC, P/N:701-AAASVPRS, N2, 5 SLPM
 1,5     
(RVSI) Technology 80  5641B 901124/E & 24-B 900861/C attached (4)
(RVSI) Technology 80 5641B 901124/E & 24-B 900861/C attached (4)
 199     
128-0601// Amat Applied 3700-03026 Seal Formed Csd  139 Chemraz513 80duro [new]
128-0601// Amat Applied 3700-03026 Seal Formed Csd  139 Chemraz513 80duro [new]
 37   
Berkeley MWTX-8-MNET MachineWorks tx Machine Controller, Servo, 322812
Berkeley MWTX-8-MNET MachineWorks tx Machine Controller, Servo, 322812
 1,25   
Vicor FlatPAC VI-LU3-EX AC-DC Switcher
Vicor FlatPAC VI-LU3-EX AC-DC Switcher
 14   67 50    
0090-08514, Rev2
0090-08514, Rev2
 32     
Programmed test Sources D310 Frequency Synthesizer  Tag # 17
Programmed test Sources D310 Frequency Synthesizer Tag # 17
 1,5     
S M C  Pocket Counter 2000
S M C  Pocket Counter 2000
 1     
Lot of 360 PCLD68_D Circuit Board E74927 HT-81148
Lot of 360 PCLD68_D Circuit Board E74927 HT-81148
 8   71     
Arc - Action Research Corporation 150
Arc - Action Research Corporation 150
 25     
348-0201// Amat Applied 0020-09650 Cover Right Panel [new]
348-0201// Amat Applied 0020-09650 Cover Right Panel [new]
 7   
Smc Ex260-spr5 Profibus Dp (pnp) W/ Valves
Smc Ex260-spr5 Profibus Dp (pnp) W/ Valves
 1,2     
Nanonics Imaging Low Voltage Adapter LVA 274
Nanonics Imaging Low Voltage Adapter LVA 274
 3     
Load-lock
Load-lock
 3,2   
Iron sputter target, 99 95% pure, 3" diameter x 1mm thick
Iron sputter target, 99 95% pure, 3" diameter x 1mm thick
 145   
Lambda LTX Trillium HAL-02-1187 HAL-02-1216 Backplane Power Supply PCB
Lambda LTX Trillium HAL-02-1187 HAL-02-1216 Backplane Power Supply PCB
 10   
Desco 60501 Chargebuster High Output Ionizer 120vac/2a Jr  Ionizer Tested
Desco 60501 Chargebuster High Output Ionizer 120vac/2a Jr  Ionizer Tested
 787   
USHIO SYS286CONT NO 930928 9705030/U02 / Free Expedited Shipping
USHIO SYS286CONT NO 930928 9705030/U02 / Free Expedited Shipping
 399   
ASM 232720091 11 REV  B 632720091  REV  C / Free Expedited Shipping
ASM 232720091 11 REV  B 632720091  REV  C / Free Expedited Shipping
 299   
ASM 232720100 11 REV  B 6327201  REV  C / Free Expedited Shipping
ASM 232720100 11 REV  B 6327201  REV  C / Free Expedited Shipping
 289   
Seba Instant Display Sctf-dl3
Seba Instant Display Sctf-dl3
 88   
Whitman -- P117G-10N-F11LG-A -- Used
Whitman -- P117G-10N-F11LG-A -- Used
 3   
TEMP  VAC  CONTROL 9300-0052 (9300-5252 CS) Control Board
TEMP  VAC  CONTROL 9300-0052 (9300-5252 CS) Control Board
 218 91    
Mcgraw-edison, Power Systems Division, Distr  Arrest, Insulator 10 Kv e7 Ceramic
Mcgraw-edison, Power Systems Division, Distr  Arrest, Insulator 10 Kv e7 Ceramic
 75     
Nikon 4s013-371 (stgstcrmepx4) Pcb Nsr
Nikon 4s013-371 (stgstcrmepx4) Pcb Nsr
 1,199     
A-83439-2 / Low Level Interface / Zmisc
A-83439-2 / Low Level Interface / Zmisc
 5     
MIFR15/MIFT15 Photoelectric Emitter Lot of 4 For Parts Only
MIFR15/MIFT15 Photoelectric Emitter Lot of 4 For Parts Only
 69 95  
Hp STOP Switch Push Button Red CA354-01370
Top-Rated Plus Seller Hp STOP Switch Push Button Red CA354-01370
 13     
Ae Mecury-1013 Rf Match,  3150272-013
Ae Mecury-1013 Rf Match, 3150272-013
 3,     
(3) AMAT Braided Hose Assemblies 5/16" ID x 12" L L Seal-Lok-S TFE/SST SEALED
(3) AMAT Braided Hose Assemblies 5/16" ID x 12" L L Seal-Lok-S TFE/SST SEALED
 135     
Wallac DPA 1055 3085 E SMK 9802
Wallac DPA 1055 3085 E SMK 9802
 218 91    
Newton Research Labs 9200 Industrial Vision System
Newton Research Labs 9200 Industrial Vision System
 199 95  
7308t-1 / Omnix Industrial Automation Computer,/ Kontron
7308t-1 / Omnix Industrial Automation Computer,/ Kontron
 2,4     
0040-30144 Rev  010 Carrier Detect Shaft Bellows, Me-2009-07-30-021
0040-30144 Rev  010 Carrier Detect Shaft Bellows, Me-2009-07-30-021
 17     
Koyo Osc-0014 Pcb Board
Koyo Osc-0014 Pcb Board
 1     
FutureStar Flow Meter FX118-0075  New 1180075 FSI
FutureStar Flow Meter FX118-0075 New 1180075 FSI
 275     
FutureStar Flow Meter FX118-00125  New 11800125 FSI
FutureStar Flow Meter FX118-00125 New 11800125 FSI
 375     
Allen Bradley 600 Manual Motor Starter
Allen Bradley 600 Manual Motor Starter
 2     
1785) [used] Disco 16-do/h(comm) Fbpcb-0258
1785) [used] Disco 16-do/h(comm) Fbpcb-0258
 182 01    
BROOKS GF Thermal Mass Flow CONTROLLER Gas: N2 860SCCM GF125CXXC
BROOKS GF Thermal Mass Flow CONTROLLER Gas: N2 860SCCM GF125CXXC
 1   
Veeco Shield Channel W/C 115-01-154 033591300 Rev F
Veeco Shield Channel W/C 115-01-154 033591300 Rev F
 74     
Siemens Di-32xdc 24v 6es7 321-1bl00-0aa0
Siemens Di-32xdc 24v 6es7 321-1bl00-0aa0
 85     
BECKHOFF EL1088 (9) /  Free Expedited Shipping
BECKHOFF EL1088 (9) / Free Expedited Shipping
 299   
BECKHOFF KL2622 (10) /  Free Expedited Shipping
BECKHOFF KL2622 (10) / Free Expedited Shipping
 349   
BECKHOFF ES3064 (3) / ES3162 (6) /  Free Expedited Shipping
BECKHOFF ES3064 (3) / ES3162 (6) / Free Expedited Shipping
 349   
BECKHOFF ES1098 (6) / ES2088 (3) /  Free Expedited Shipping
BECKHOFF ES1098 (6) / ES2088 (3) / Free Expedited Shipping
 349   
*PREOWNED* Perkin Elmer N8150260 MKS Instruments GM50A-31174 10 SCCM + Warranty!
*PREOWNED* Perkin Elmer N8150260 MKS Instruments GM50A-31174 10 SCCM + Warranty!
 65     
Sogevac Sv40 Bi Rotary Vane Vacuum Pump   (#3669)
Sogevac Sv40 Bi Rotary Vane Vacuum Pump (#3669)
 75   562 50    
BECKHOFF KL1408 (10) /  Free Expedited Shipping
BECKHOFF KL1408 (10) / Free Expedited Shipping
 399   
BECKHOFF EL3314 (7) /  Free Expedited Shipping
BECKHOFF EL3314 (7) / Free Expedited Shipping
 339   
6067B Board, 6067BC PBiS  /  Steag Ast Elektronik
6067B Board, 6067BC PBiS / Steag Ast Elektronik
 25     
[Used] TEL TOKYO ELECTRON Limted SSMC-8B381-639514-2 FREE SHIPPING
[Used] TEL TOKYO ELECTRON Limted SSMC-8B381-639514-2 FREE SHIPPING
 85   
Vv5j3-32p-04-c4-x184 / Manifold W-si Unit / Smc
Vv5j3-32p-04-c4-x184 / Manifold W-si Unit / Smc
 464 76    
Omega Thermoelectric Stage Controller 020605 As-is
Omega Thermoelectric Stage Controller 020605 As-is
 5     
Future Technology 1043-128-01 Cable Assembly A11 Gas Detect Signal PWR to XMTR
Future Technology 1043-128-01 Cable Assembly A11 Gas Detect Signal PWR to XMTR
 75   
Intelledex 2400 Robot Transfer Arm & Controller
Intelledex 2400 Robot Transfer Arm & Controller
 1,9     
Tylan Model Fc-280 Sakz Mass Flow Controller, 500 Sccm N2, Vcr, Lot Of 2
Top-Rated Plus Seller Tylan Model Fc-280 Sakz Mass Flow Controller, 500 Sccm N2, Vcr, Lot Of 2
 125   
STM Tube Tray MedSchenker, 100 position,  autoclavable Pack of 5
STM Tube Tray MedSchenker, 100 position, autoclavable Pack of 5
 35 04  
Disco FBPCB-0174 8-DO(COMM)_PCB  (lot of 2)
Disco FBPCB-0174 8-DO(COMM)_PCB (lot of 2)
 329   
Axcelis 17099460 Center Pole Upper 22226
Axcelis 17099460 Center Pole Upper 22226
 745   
Spectrum 760059 760056 P/N: 014204-020 Board
Spectrum 760059 760056 P/N: 014204-020 Board
 299   
Square D Contactor DLS31 22CU DLS31 8502 24 Volt
Square D Contactor DLS31 22CU DLS31 8502 24 Volt
 95   
brooksnet DN devicenet vacuum gauge automation AMAT novellus lam
brooksnet DN devicenet vacuum gauge automation AMAT novellus lam
 1     
Fenwal SDP-ADS-K1 Reseiver for Photoelectric Smoke Dedector, USED
Fenwal SDP-ADS-K1 Reseiver for Photoelectric Smoke Dedector, USED
 2     
moller cl-k5-125
moller cl-k5-125
 2   
Ondyne Model 1800 Hygrotwin Hygrometer
Ondyne Model 1800 Hygrotwin Hygrometer
 15     
Svg Ltn-19330-01
Svg Ltn-19330-01
 699     
New Cmp Conditioner E-3425-03100039-n15a
New Cmp Conditioner E-3425-03100039-n15a
 151     
CVC Vacuum Controller  AVC 485
Top-Rated Plus Seller CVC Vacuum Controller AVC 485
 1,5     
Thermco BC 1058 PWB Board
Thermco BC 1058 PWB Board
 14   
4022 453 75681 Silicone cover
4022 453 75681 Silicone cover
 3     
Kuhnke 657 442 02
Kuhnke 657 442 02
    
vacuum controller
vacuum controller
    
Ckd Nab500a-sc4
Ckd Nab500a-sc4
 1   
Eod-150 200103-01
Eod-150 200103-01
    
Parker Veriflo Pressure Regulator  Sq60502pvcrmmpmev P/n 45200237ev
Parker Veriflo Pressure Regulator Sq60502pvcrmmpmev P/n 45200237ev
 11   
EDCO Technologies 1SU41008
EDCO Technologies 1SU41008
 1     
Rite Track 99-71122A-01 Track Interface Board 9971122A01
Rite Track 99-71122A-01 Track Interface Board 9971122A01
 495   
1727u024c123 / Dc Motor / Faulhaber
1727u024c123 / Dc Motor / Faulhaber
 45     
Ve-1502 / Dark-shield Space Plate Arc Sprayed / Venzon Engineering
Ve-1502 / Dark-shield Space Plate Arc Sprayed / Venzon Engineering
 669 77    
Fujikin Block 1/4" Od Sus316l-p K-mfet-000, Pn: Cwfl-4x4bw-316lp K-mfet-000
Fujikin Block 1/4" Od Sus316l-p K-mfet-000, Pn: Cwfl-4x4bw-316lp K-mfet-000
 125     
Infrared Labaratories Infrared Module Irem1-arc/irl Ctrlr
Infrared Labaratories Infrared Module Irem1-arc/irl Ctrlr
 1,2     
Advantest BLM-020835 G00 DF board
Advantest BLM-020835 G00 DF board
 599     
Moritex Mml-ad-led-cr12 Led Spot Light Source
Moritex Mml-ad-led-cr12 Led Spot Light Source
 81     
Lot of (3) Vintae Lexscan Corporation Modules for Bruker NME 250 SpectroSpin
Lot of (3) Vintae Lexscan Corporation Modules for Bruker NME 250 SpectroSpin
 1   14     
Okuma Opus 5000ii SPCI Board E4809-045-110-c
Okuma Opus 5000ii SPCI Board E4809-045-110-c
 4     
National Instruments SCB-68 182469F-01L Shielded Connector *used working
National Instruments SCB-68 182469F-01L Shielded Connector *used working
 75   52 50    
Pentek Filter 145722
Pentek Filter 145722
 62   
Horiba Stec SEC-Z313M Option MR  MG-05 GAS N2 Warranty
Horiba Stec SEC-Z313M Option MR  MG-05 GAS N2 Warranty
 149     
[Used] TEL TOKYO ELECTRON LIMITED SMC-C85A 381-639006-5 FREE SHIPPING
[Used] TEL TOKYO ELECTRON LIMITED SMC-C85A 381-639006-5 FREE SHIPPING
 87   
SYJ5120-5LZ-M5 Valve, Pneumatic Regulator / 0 15~0 7MPa / SMC
SYJ5120-5LZ-M5 Valve, Pneumatic Regulator / 0 15~0 7MPa / SMC
 56     
BIONEER MyGenie96 Thermal Block 100-220V 50-60HZ 800W
BIONEER MyGenie96 Thermal Block 100-220V 50-60HZ 800W
 95     
AMAT Applied Materials 8 KV Connector 220550583
AMAT Applied Materials 8 KV Connector 220550583
 34   
Mks 225a-26796 Differential Transducer
Mks 225a-26796 Differential Transducer
 7     
41 060 062- -091 / Motor / Maxon
41 060 062- -091 / Motor / Maxon
 749     
Balluff Bes 516-326-e5-c-s4 Inductive Sensor 10-30vdc
Balluff Bes 516-326-e5-c-s4 Inductive Sensor 10-30vdc
 4     
Canon Bh8-3270-01, Bm1-2467
Canon Bh8-3270-01, Bm1-2467
 25   
Alcatel P0176-D Control Board Used Working
Alcatel P0176-D Control Board Used Working
 150 08    
04726001 / Scan Monitor / Varian
04726001 / Scan Monitor / Varian
 350 82    
138-0601// Pearl Kogyo Zdk-926-100m Control Asis
138-0601// Pearl Kogyo Zdk-926-100m Control Asis
 1,5   
Solomat 455 Thermohygrometer
Solomat 455 Thermohygrometer
 14   
176-0504// Amat Applied 4020-01061 Fltr In-ln Gas 6101psig 1/4-gskt Mlin [used]
176-0504// Amat Applied 4020-01061 Fltr In-ln Gas 6101psig 1/4-gskt Mlin [used]
 3   
Tokyo Electron 1187-066343-15 Water Cooled Trap
Tokyo Electron 1187-066343-15 Water Cooled Trap
 899 95    
ECI NOWPak Dispenser TQ716201-53 ACE Chem Y NEW
ECI NOWPak Dispenser TQ716201-53 ACE Chem Y NEW
 399   319 20    
Saint Gobain Crystar 133220 Cantilever Paddle
Saint Gobain Crystar 133220 Cantilever Paddle
 2,5   
Hewlett Packard HP 04062-60204 Power distribution
Hewlett Packard HP 04062-60204 Power distribution
 75   
Universal Plastics UP1108-CE EPO Emergency Power Off
Universal Plastics UP1108-CE EPO Emergency Power Off
 39   
Contemporary Controls SBX20-CXS Network Interface Module
Contemporary Controls SBX20-CXS Network Interface Module
 44   
Tsptw100 / Preclude Gas Analyzer / Inficon
Tsptw100 / Preclude Gas Analyzer / Inficon
 1,975     
ESI 616  Measurement Board 168146 NEW
ESI 616 Measurement Board 168146 NEW
 1,388     
ASML 4022 668 66171 Cable
ASML 4022 668 66171 Cable
 25     
Gordon Phanton Ceiling Fan (LF Panel) Model:771167
Gordon Phanton Ceiling Fan (LF Panel) Model:771167
 315     
SA200 Controller, SA200 / Digital Temperatuer Controller / RKC
SA200 Controller, SA200 / Digital Temperatuer Controller / RKC
 63     
Fei 17250-e
Fei 17250-e
 2   
141-0502// Amat Applied 0150-01280 Cable Assy, Limit Switch A Used
141-0502// Amat Applied 0150-01280 Cable Assy, Limit Switch A Used
 6   
405-0301// Spg Rs43bxtc Motor [used/fast]
405-0301// Spg Rs43bxtc Motor [used/fast]
 5   
176-0203// Balzers Bpv15125 Valve [used]
176-0203// Balzers Bpv15125 Valve [used]
 6   
Scionex PN: 0190-09472
Scionex PN: 0190-09472
 579     
Kester Solderability Tester KS-100
Top-Rated Plus Seller Kester Solderability Tester KS-100
 795     
NEW AMAT 0020-27308 Stainless Steel 8" Lower Shield
Top-Rated Plus Seller NEW AMAT 0020-27308 Stainless Steel 8" Lower Shield
 74     
Drytek/Lam  AMN ISO INTERFACE ASSY 2801022
Top-Rated Plus Seller Drytek/Lam AMN ISO INTERFACE ASSY 2801022
 175     
Amat 0020-78984 Plate,adapter Flex, Used
Amat 0020-78984 Plate,adapter Flex, Used
 2     
Eauf-965100 / Panel Unit,operation (dfd-651) / Disco
Eauf-965100 / Panel Unit,operation (dfd-651) / Disco
 346 22    
Amat 0015-09056 Bellows Assy Wafer Solid , Used
Amat 0015-09056 Bellows Assy Wafer Solid , Used
 199     
New Bps Swivel Membrane 102027259 Fl-9496 9126179
New Bps Swivel Membrane 102027259 Fl-9496 9126179
 29   
New Balzers Stainless Target Cooling Membrane 102027259 Cdi 915/900
New Balzers Stainless Target Cooling Membrane 102027259 Cdi 915/900
 29   
Oriel 60200 Recirculating Cooler Used Working
Oriel 60200 Recirculating Cooler Used Working
 607 12    
Opto 22 AC37 ISA Bus High Speed Serial Co-processor, 409675
Opto 22 AC37 ISA Bus High Speed Serial Co-processor, 409675
 55   
StereoZoom Microscope on a stand  Tag # 7
StereoZoom Microscope on a stand Tag # 7
 45     
203-0026 / Vpm-3114 Test Level 2 Pcb Card / Cognex
203-0026 / Vpm-3114 Test Level 2 Pcb Card / Cognex
 2,953 66    
Pcb  0100-00590 Rev 3
Pcb 0100-00590 Rev 3
 96   
Wallac Motor Control Board HPS 1055 3870 A
Wallac Motor Control Board HPS 1055 3870 A
 218 91    
SMTC-9FM Connector, SMTC-9FM / 9 Pin / Male+Female Set / ( LOT of 10 units ) / O
SMTC-9FM Connector, SMTC-9FM / 9 Pin / Male+Female Set / ( LOT of 10 units ) / O
 7     
Parker Veriflo Pressure Regulator  P/n 45200237
Parker Veriflo Pressure Regulator P/n 45200237
 11     
Lot of 3 MILLIPORE 204
Lot of 3 MILLIPORE 204
 15   
Rs 467-447
Rs 467-447
 1     
Malema MFC-8000-T2101-052-N-001 FLOW CONTROLLER, WORKING
Malema MFC-8000-T2101-052-N-001 FLOW CONTROLLER, WORKING
 4,5     
FutureStar Flow Meter FX 100-010-06  New 10001006 FSI
FutureStar Flow Meter FX 100-010-06 New 10001006 FSI
 275     
CFOP extension cable assy 2M a-9817-0302-01 RQ:031120
CFOP extension cable assy 2M a-9817-0302-01 RQ:031120
 35   
NEW MKS TECHNOLOGIES 492015-1021 HEATER JACKET- 21” length 1 5” Diameter 120VAC
NEW MKS TECHNOLOGIES 492015-1021 HEATER JACKET- 21” length 1 5” Diameter 120VAC
 385     
Chemat Technology KW-4A Vacuum Control 2-Speed Timer 1000RPM Spin-Coater
Chemat Technology KW-4A Vacuum Control 2-Speed Timer 1000RPM Spin-Coater
 75   
CVC Products Inc Vacuum Controller  AVC 485
CVC Products Inc Vacuum Controller AVC 485
 4,     
Delta Tau 4096 Interpolator ACC-51E ASSY 603438-105
Delta Tau 4096 Interpolator ACC-51E ASSY 603438-105
 14   
Hitachi TSS-023 Rev D ISA Backplane *USED*
Hitachi TSS-023 Rev D ISA Backplane *USED*
 14     
SCP 1100 Quick Dump Rinser Contol Panel 3260671 L
Top-Rated Plus Seller SCP 1100 Quick Dump Rinser Contol Panel 3260671 L
 195 98    
15-158185-00 Injector, Ceramic Tube
15-158185-00 Injector, Ceramic Tube
 6     
MB-14 Board, MB-14 [ PC ] / NO 7054C /Contec
MB-14 Board, MB-14 [ PC ] / NO 7054C /Contec
 335     
Novellus / LSA Anodic 00-684281-00 Split Clamp Set 00-684281
Novellus / LSA Anodic 00-684281-00 Split Clamp Set 00-684281
 98   
Maxon Gear 166167
Maxon Gear 166167
 4   
Ue Precision Sensor Exhaust Vacuum P90s-21
Ue Precision Sensor Exhaust Vacuum P90s-21
 125     
PHILLIPS 4022 332 86341 e PSD INTERFACE 5322 694 16012  /  Free Shipping
PHILLIPS 4022 332 86341 e PSD INTERFACE 5322 694 16012 / Free Shipping
 599   
AUGUST TECHNOLOGY NSX 105/AX1 POWER DISTRIBUTION PN 709544 Rev B AN 709545
AUGUST TECHNOLOGY NSX 105/AX1 POWER DISTRIBUTION PN 709544 Rev B AN 709545
 529   
1311) [used] Bruker Aqs Sadc
1311) [used] Bruker Aqs Sadc
 620 02    
Amat 0020-30447 Plate,blocker Sx 6 In , New
Amat 0020-30447 Plate,blocker Sx 6 In , New
 25     
ESI P/N 63939 Rev C Special Function Board -Free Shipment
ESI P/N 63939 Rev C Special Function Board -Free Shipment
 379     
Varian 08147001 Switching Transformer Assy - New
Varian 08147001 Switching Transformer Assy - New
 14   
Furon 1103505 Solenoid Valve Nc-com-no
Furon 1103505 Solenoid Valve Nc-com-no
 55     
CSF Technologies 170-12061-00 Hivac Bellow for TAZ Eclipse New
CSF Technologies 170-12061-00 Hivac Bellow for TAZ Eclipse New
 579 09    
Hirata HPC-531C Circuit Board
Top-Rated Plus Seller Hirata HPC-531C Circuit Board
 279     
CPVSC1 Valve, Terminal / CPVSC1 / with 4 units Festo 548904 / Festo
CPVSC1 Valve, Terminal / CPVSC1 / with 4 units Festo 548904 / Festo
 175     
Baldor N24A 91164064 M35A13-672 Industrial Motor New
Baldor N24A 91164064 M35A13-672 Industrial Motor New
 601 12    
Groschopp Viersen  1781423
Groschopp Viersen 1781423
 14     
*PREOWNED* Straatum 02-0015-36 SmartPIM Hitachi 308 System 0082811 + Warranty!
*PREOWNED* Straatum 02-0015-36 SmartPIM Hitachi 308 System 0082811 + Warranty!
 5     
Screen PIO Board 7-39-33729  PC-90048B VME Module  *USED*
Screen PIO Board 7-39-33729 PC-90048B VME Module *USED*
 1   
Groschopp Viersen  1781423
Groschopp Viersen 1781423
 14     
Drytek / Lam 384T Digital Readout Assembly, P/N 600961
Top-Rated Plus Seller Drytek / Lam 384T Digital Readout Assembly, P/N 600961
 25   2     
***new*** Matrix 7911/dsc Rev D Bus Card
***new*** Matrix 7911/dsc Rev D Bus Card
 99 95    
Matheson Membrane Filter 6164-V6MM
Matheson Membrane Filter 6164-V6MM
 19 95  
Ulvac Japan 12” Keytran Robot Finger Dy3099-090-01d
Ulvac Japan 12” Keytran Robot Finger Dy3099-090-01d
 1,2     
Semitest 220-0208-01 LEI 300126
Semitest 220-0208-01 LEI 300126
 329     
Smc Vcw31-5dl-5-02-l-f, Soleniod Valve, Nos
Top-Rated Plus Seller Smc Vcw31-5dl-5-02-l-f, Soleniod Valve, Nos
 89 65    
429-0103// Mcg Ib23001-e1 (unclean) Motor [used/fast]
429-0103// Mcg Ib23001-e1 (unclean) Motor [used/fast]
 1   
Schneider IEC 61810-1 5A 5A/250V  RELAY WITH SOCKET, USED
Schneider IEC 61810-1 5A 5A/250V RELAY WITH SOCKET, USED
 24     
Sick PPS-AA1 12 5VDC Handheld Power Supply, 102351
Sick PPS-AA1 12 5VDC Handheld Power Supply, 102351
 5   
2301853 LWR Insulating Ring
2301853 LWR Insulating Ring
 5     
317-0201// Amat Applied 0020-23041 Clamp,shield 8 Wafer [new]
317-0201// Amat Applied 0020-23041 Clamp,shield 8 Wafer [new]
 4   
Parker 945AOPLPNCHF222VESP3 50 54012962 Valve
Parker 945AOPLPNCHF222VESP3 50 54012962 Valve
 54     
ACL D13450 REV  D Microwave Control Module
ACL D13450 REV  D Microwave Control Module
 2   
Telemecanique 2200EB5
Telemecanique 2200EB5
 2     
NEW Assembleon 4022 592 13485 Placement Head Controller PCB Board
Top-Rated Plus Seller NEW Assembleon 4022 592 13485 Placement Head Controller PCB Board
 237     
Ashwani Metals Interlock Block Assembly Kit 26P-3028
Top-Rated Plus Seller Ashwani Metals Interlock Block Assembly Kit 26P-3028
 89 95  28 78    
phase metrics 048005 rev a
phase metrics 048005 rev a
 125     
Toshiba Ceramics 6621000-6665232 150 Slot Wafer Holder New
Toshiba Ceramics 6621000-6665232 150 Slot Wafer Holder New
 1,012 11    
Disco 4-RI (COMM)_PCB FBPCB-0274 (lot of 3)
Disco 4-RI (COMM)_PCB FBPCB-0274 (lot of 3)
 289   
ADC Soneplex HDSL-22 SPX2200-C1 Module Card SND1AUO
ADC Soneplex HDSL-22 SPX2200-C1 Module Card SND1AUO
 8     
Bhk Inc  Analamp Uv Power Supply, Model 90-0010
Bhk Inc  Analamp Uv Power Supply, Model 90-0010
 98     
Blacoh CT1401V NEW
Blacoh CT1401V NEW
 225     
FEI Company 296 0109 Board
FEI Company 296 0109 Board
 1     
233-2583-34 lid domed sinrem 5300644A new
233-2583-34 lid domed sinrem 5300644A new
 2     
Tokyo Electron Tel Modify Kit 6 Module Cable, P/n:5084-001296-11, New
Tokyo Electron Tel Modify Kit 6 Module Cable, P/n:5084-001296-11, New
 6     
Dinippon Screen Propon Pump M-200 7-39-21006
Dinippon Screen Propon Pump M-200 7-39-21006
 3     
Asml 4022 436 3049
Asml 4022 436 3049
 2,25     
Tokyo Electron Model-120-01ctl  Temperature Controller
Tokyo Electron Model-120-01ctl Temperature Controller
 1,499     
NOVELLUS 15-281946-00, ASSY-HOLDER, 2nd New
NOVELLUS 15-281946-00, ASSY-HOLDER, 2nd New
 35     
1951418 / Slider Piston Cable Assy Ctas12x5 / Applied Materials Amat
1951418 / Slider Piston Cable Assy Ctas12x5 / Applied Materials Amat
 343 35    
Novellus 38-10043-00, Cable, C08460-2098
Novellus 38-10043-00, Cable, C08460-2098
 3     
HP hewlett packard 59310-60101 board w/ warranty
HP hewlett packard 59310-60101 board w/ warranty
 45   
Ham-Let Motoyama Japan UCV 2LDS8R-BV, Gas Line Manual Isolation Shutoff
Ham-Let Motoyama Japan UCV 2LDS8R-BV, Gas Line Manual Isolation Shutoff
 99     
Novellus 03-032762-00 Assembly Harness DLCM PNEU PNL
Novellus 03-032762-00 Assembly Harness DLCM PNEU PNL
 135   
square Silicon Wafer Box up to 4 inch by 4 inch lot of 5
square Silicon Wafer Box up to 4 inch by 4 inch lot of 5
 5     
SPAN Pressure Tranducer Model SPT-204, NEW old Stock
SPAN Pressure Tranducer Model SPT-204, NEW old Stock
 59 77  
Benchtop Chemical Bath
Benchtop Chemical Bath
 355     
Benchtop Chemical Bath with Lid
Benchtop Chemical Bath with Lid
 41     
Lot 3x IQE Waffers TLMA01-4-13 #M281
Lot 3x IQE Waffers TLMA01-4-13 #M281
 5   42 50    
Tokyo Electron Cx80-050013-13 Power Suppyl Assy, Dc
Tokyo Electron Cx80-050013-13 Power Suppyl Assy, Dc
 2,8     
404949-002 / Needle-flow Control  171 / Fsi
404949-002 / Needle-flow Control  171 / Fsi
 249 90    
Tel / Mrc L/a Align Fixture 200 Mm, Ecl, P/n A126934
Top-Rated Plus Seller Tel / Mrc L/a Align Fixture 200 Mm, Ecl, P/n A126934
 55   44     
101-0691 rev a
101-0691 rev a
    
Rite Track 99-71122A
Rite Track 99-71122A
 4   
New Panasonic Shaft X004103 w/ warranty Free Shipping
New Panasonic Shaft X004103 w/ warranty Free Shipping
      
Oriental Motor Msm425-411 Speed Control Motor
Oriental Motor Msm425-411 Speed Control Motor
 35     
Fujikin Liquid Process Ampule
Fujikin Liquid Process Ampule
 3,4     
Fanuc A20b-2000-640 New
Fanuc A20b-2000-640 New
 2     
ESI Digital Profile Servo Module Board P/N 73730 Rev F -Free Shipment
ESI Digital Profile Servo Module Board P/N 73730 Rev F -Free Shipment
 49     
Ulvac Controller Pcb (set Up 1) Ms-iva
Ulvac Controller Pcb (set Up 1) Ms-iva
 2,5     
ADE 3900 DSP board 023676-01 rev 02
ADE 3900 DSP board 023676-01 rev 02
 329   
Wallac POS HV Supply EPK 1055 2638 A
Wallac POS HV Supply EPK 1055 2638 A
 173 29    
19 New Kurt J  Lesker  Copper Flange
Top-Rated Plus Seller 19 New Kurt J  Lesker Copper Flange
 5     
Rorze Rz203-001-001 Aligner
Rorze Rz203-001-001 Aligner
 1,699 90  
BECKHOFF Lof of 10 KL2408 / Free Expedited Shipping
BECKHOFF Lof of 10 KL2408 / Free Expedited Shipping
 359   
BECKHOFF Lof of 10 KL9010 / Free Expedited Shipping
BECKHOFF Lof of 10 KL9010 / Free Expedited Shipping
 319   
Disco EAUF--765400 Operation Panel Unit, Farmon ID 412505
Disco EAUF--765400 Operation Panel Unit, Farmon ID 412505
 1,1   
SVG ZS36-9003 EM1-1 Cirpro-1 39-88 Res Pcb PC Board
SVG ZS36-9003 EM1-1 Cirpro-1 39-88 Res Pcb PC Board
 25   187 50    
Hook And Shorting Bar Assembly MDO-60
Hook And Shorting Bar Assembly MDO-60
 14 95  4 63    
4022 454 11572 Nipple
4022 454 11572 Nipple
 95     
New IN ORIGINAL BOX  BP CHAMBER STRP MWAVE 715-008596-701
Top-Rated Plus Seller New IN ORIGINAL BOX BP CHAMBER STRP MWAVE 715-008596-701
 355     
Jst Dt921t Timer (trq77)
Top-Rated Plus Seller Jst Dt921t Timer (trq77)
 15   112 50    
Microline Reverse Osmosis R O  Comparator R7075
Microline Reverse Osmosis R O  Comparator R7075
 4     
UL24A02-2C-72 Relay Card USED
UL24A02-2C-72 Relay Card USED
 49     
Control Driver 6684-0077 (6684-7701C) S14H305
Control Driver 6684-0077 (6684-7701C) S14H305
 249 76    
Novellus 16-126664-01 PVD Universal Stage Shield, 15 Lb ; cleaned by Cleanpart
Top-Rated Plus Seller Novellus 16-126664-01 PVD Universal Stage Shield, 15 Lb ; cleaned by Cleanpart
 55     
8907 Board, 8970 Analog Unit Card Board / Hioki
8907 Board, 8970 Analog Unit Card Board / Hioki
 95     
Micro/sys Sb8486
Micro/sys Sb8486
 32     
Orbotech Inc  Part# 0413964B-F RF Module 125m 3w Assembly, New In Box
Orbotech Inc  Part# 0413964B-F RF Module 125m 3w Assembly, New In Box
 169     
ACL D13450 REV  D Microwave Control Module
ACL D13450 REV  D Microwave Control Module
 2   
Semiconductor 09-04352 Control Board
Semiconductor 09-04352 Control Board
 124     
009-0102// Amat Applied Npg-8000h Npp Rf Generator Asis
009-0102// Amat Applied Npg-8000h Npp Rf Generator Asis
 3,   
Panasonic MSDA021A2A13 AC SERVO DRIVER 115V 6 0A, USED
Panasonic MSDA021A2A13 AC SERVO DRIVER 115V 6 0A, USED
 3     
EBARA PRECISION - Topring Shim 0 05mm - C-3203-190-0001 (UK)
EBARA PRECISION - Topring Shim 0 05mm - C-3203-190-0001 (UK)
 371 07    
CKD™ Pneumatic Cylinder - Up Down (UK) SSD-LF-40-40
CKD™ Pneumatic Cylinder - Up Down (UK) SSD-LF-40-40
 119 50    
H & S Products Desiccator (Inside diameter 17 5"x 17 5"x 16 5") *used working
H & S Products Desiccator (Inside diameter 17 5"x 17 5"x 16 5") *used working
 5     
DNS 3 Bottle Change Controller, CECC-001K1
DNS 3 Bottle Change Controller, CECC-001K1
 1,25   
Lot of 11 NEW F 74167PC 8225 Indonesia Integrated Circuit Semiconductor
Top-Rated Plus Seller Lot of 11 NEW F 74167PC 8225 Indonesia Integrated Circuit Semiconductor
 25     
Shihlin Nv100-ce Earth Leakage Breaker 3 Pole 100a 480v         B-296
Top-Rated Plus Seller Shihlin Nv100-ce Earth Leakage Breaker 3 Pole 100a 480v B-296
 11     
424-0401// Ae 3152052-000c Mdx-052 Remote [used]
424-0401// Ae 3152052-000c Mdx-052 Remote [used]
 1,1   
Smc Pneumatic Assy
Smc Pneumatic Assy
 185 63    
Tecnomara Fireboy,SMB0291,220/110Vac 63/125mA 5W,Used 4293
Tecnomara Fireboy,SMB0291,220/110Vac 63/125mA 5W,Used 4293
 83 90    
New 25mw3-430-004 Ceramic Insulator 25mw3430004
New 25mw3-430-004 Ceramic Insulator 25mw3430004
 5   
138-0501// Pearl Kogyo Zdk-926-100m (broken) Control Asis
138-0501// Pearl Kogyo Zdk-926-100m (broken) Control Asis
 1,3   
Ross 5H00C5111
Ross 5H00C5111
 2     
0021-53853, Hub Idler Brush Box
0021-53853, Hub Idler Brush Box
 8     
Epichem Group Epcr-8
Epichem Group Epcr-8
 8     
OmniProbe AutoProbe Motion Controller rev 4 1B PN: ASSY-0001 45 40
OmniProbe AutoProbe Motion Controller rev 4 1B PN: ASSY-0001 45 40
 9   
Best Me500va
Best Me500va
 899     
Siemens 3te 40022-0b
Siemens 3te 40022-0b
 7     
OT3-2 Oxygeh Moisture TRAP, OT3-2 / 500 cc / R&D Separations
OT3-2 Oxygeh Moisture TRAP, OT3-2 / 500 cc / R&D Separations
 5     
SMC NP420-DN2A MANIFOLD W/ VQ1101-5 x16 , USED AS IS
SMC NP420-DN2A MANIFOLD W/ VQ1101-5 x16 , USED AS IS
 9     
6461 / Temperture Controller Ecs / Ecs Technology
6461 / Temperture Controller Ecs / Ecs Technology
 2     
SemiGas Systems Manual Control Unit - Auto Purge M (MCU-1)
SemiGas Systems Manual Control Unit - Auto Purge M (MCU-1)
 25   212 50    
61027203A Control Unit, SAMI GS / ABB Drives /
61027203A Control Unit, SAMI GS / ABB Drives /
 52     
Tokyo Electron 1386-447840-12 Float Sensor Assy Ct1386
Tokyo Electron 1386-447840-12 Float Sensor Assy Ct1386
 45     
ASM FHT 1034-315-01 Gas Distr to Gas Line Heater
ASM FHT 1034-315-01 Gas Distr to Gas Line Heater
 65 21  
Techniquip Foi-250 250 Watt Fiber Optic Illuminator
Techniquip Foi-250 250 Watt Fiber Optic Illuminator
 12     
Orbotech Inc  P/N 035127-F Power Unit 330 for CE, AC Power Controller 306-10030
Orbotech Inc  P/N 035127-F Power Unit 330 for CE, AC Power Controller 306-10030
 129     
Omron F3SJ-A0905P20-D Safety Light Curtain  #11399
Omron F3SJ-A0905P20-D Safety Light Curtain #11399
 375 20    
SMC VVQS1000-26-1-1 VVQS1000 VVSQ11 4 & 5 Port Solenoid Valve Tie Rod Lot of 20
SMC VVQS1000-26-1-1 VVQS1000 VVSQ11 4 & 5 Port Solenoid Valve Tie Rod Lot of 20
    
302001 Valve, Red Hot Switch
302001 Valve, Red Hot Switch
 64     
INTER-TEL 87260411 826 3112-1 IOP 690 2100-2 0 Circuit Board Used With Warranty
INTER-TEL 87260411 826 3112-1 IOP 690 2100-2 0 Circuit Board Used With Warranty
 14     
MILLIPORE Intelliflow MFC, 100 SCCM, NH3, FSDAE100F305
MILLIPORE Intelliflow MFC, 100 SCCM, NH3, FSDAE100F305
 475     
watlow 18lr-lst
watlow 18lr-lst
 5   
UL24A08-2C-72 Relay Card USED
UL24A08-2C-72 Relay Card USED
 69     
220479 /  Process,header / Versum Materials
220479 / Process,header / Versum Materials
 350 03    
Model 877-500-00 Rev  D ET Bin Number Controller
Model 877-500-00 Rev  D ET Bin Number Controller
 6   
Inficon 600-1096-p10 Interconnect Cable
Inficon 600-1096-p10 Interconnect Cable
 18     
ADVANCE HICV-G130T17-139PN  Diaphragm Pump, MODEL 37454
ADVANCE HICV-G130T17-139PN Diaphragm Pump, MODEL 37454
 4     
344-0501// Amat Applied 0020-30887 Washer 3/16 (#10) Spring Inco New
344-0501// Amat Applied 0020-30887 Washer 3/16 (#10) Spring Inco New
 1   
Used Ds Teaching Box Ds-s-t1
Used Ds Teaching Box Ds-s-t1
 99     
Spectra-hysics TREM-Q1
Spectra-hysics TREM-Q1
 2,     
UNIT INSTRUMENTS UFC-1260A 100 SCCM N2 MASS FLOW Controller used
UNIT INSTRUMENTS UFC-1260A 100 SCCM N2 MASS FLOW Controller used
 165   123 75    
KLA MCB PCB for KLA-6020 601-00317 710-570821-00
KLA MCB PCB for KLA-6020 601-00317 710-570821-00
 34     
8810 / Fluid Control System Manifold 98123459 / Burkert
8810 / Fluid Control System Manifold 98123459 / Burkert
 700 68    
P129626 / Switch Flow 5gpm / Gems Sensors & Controls
P129626 / Switch Flow 5gpm / Gems Sensors & Controls
 39 66    
Dainippon Screen Mfg Co Lyd7v6-00143
Dainippon Screen Mfg Co Lyd7v6-00143
 1     
Amat 1270-00008 Sw Temp Rtd-in Spdt-out Field Config , Used
Amat 1270-00008 Sw Temp Rtd-in Spdt-out Field Config , Used
 2     
UVEXS, Inc  16576 & 15647-4 Modular Curing System USED
UVEXS, Inc  16576 & 15647-4 Modular Curing System USED
 3,499     
Kostek Bm Robot Body Kvr300
Kostek Bm Robot Body Kvr300
 1,   
ASML 4022 668 66231 Cable
ASML 4022 668 66231 Cable
 25     
442-0202// Orienatal Motor A6479-9015ktge Motor [used]
442-0202// Orienatal Motor A6479-9015ktge Motor [used]
 1   
Nordson Pda 2 V2 1-24v Dc Controller Valvemate
Nordson Pda 2 V2 1-24v Dc Controller Valvemate
 1,4     
Blacoh 2400BPF psi/Bar 180/10 3 NEW
Blacoh 2400BPF psi/Bar 180/10 3 NEW
 25   
HP Agilent E5515-60124-3837-01-200023-21521 PCB Made in USA
HP Agilent E5515-60124-3837-01-200023-21521 PCB Made in USA
 176 75    
Stec Ec-3300ps-ak
Stec Ec-3300ps-ak
 399     
SMC CDQ2WA32-15-DA Cylinder Short Stroke Lot of 2
SMC CDQ2WA32-15-DA Cylinder Short Stroke Lot of 2
 175   
Wallac Microcomputer DCD 1055 6200 A
Wallac Microcomputer DCD 1055 6200 A
 218 91    
Stearns 2-12-1807-00-AEH Drive Assembly MRC A126257 Eclipse Star Used Working
Stearns 2-12-1807-00-AEH Drive Assembly MRC A126257 Eclipse Star Used Working
 704 12    
Applied Materials 0195-14329 Precision Chamber Ac Enclosure 0180-07178 Amat
Applied Materials 0195-14329 Precision Chamber Ac Enclosure 0180-07178 Amat
 21,999     
Aitec Gt-2500 Teach Pendant
Aitec Gt-2500 Teach Pendant
 5     
Bunn Valve, Sol 24Vdc 3Way 1/8 3/32 56785 00 - Free Shipping + Geniune OEM
Bunn Valve, Sol 24Vdc 3Way 1/8 3/32 56785 00 - Free Shipping + Geniune OEM
 330 20  254   
Thermco PET-I Manual Torch Controller
Thermco PET-I Manual Torch Controller
 75     
329-0301// Ushio Xs-50210af 4s064-794-1 Controller [asis]
329-0301// Ushio Xs-50210af 4s064-794-1 Controller [asis]
 2,   
Tokyo Electron Tel Bushing Slide 6mm, Smsf6-h, New
Tokyo Electron Tel Bushing Slide 6mm, Smsf6-h, New
 65     
Computer Dynamics HPIO Board REV B Made in USA
Computer Dynamics HPIO Board REV B Made in USA
 176 75    
Ultratech 2957766 Monitor Left Hand Position MR00063618
Ultratech 2957766 Monitor Left Hand Position MR00063618
 35   
348-0301// Amat Applied 3500-01153 Nut Lock No 6 Sst [used]
348-0301// Amat Applied 3500-01153 Nut Lock No 6 Sst [used]
 2   
Yamada Ad-50st Pulsation Damper 100psi Max
Yamada Ad-50st Pulsation Damper 100psi Max
 499 95    
04726001 / Scan Monitor / Varian
04726001 / Scan Monitor / Varian
 350 82    
Ulvac 1023408 Plate bottom hote plate for ulvac asher
Ulvac 1023408 Plate bottom hote plate for ulvac asher
 1,5   
00040942-00 / Hot/cold Di Valve Diaphragm / Scp Services
00040942-00 / Hot/cold Di Valve Diaphragm / Scp Services
 217 33    
Lesker Copper Target Cu  % 3" Diam  25" thick EJTCUXX403A4
Lesker Copper Target Cu  % 3" Diam  25" thick EJTCUXX403A4
 8     
Tegal RF Interface PCB # 99-173-008
Tegal RF Interface PCB # 99-173-008
 69   
VSTC CPU XVB-101B / Free Expedited Shipping
VSTC CPU XVB-101B / Free Expedited Shipping
 999   
OWIS B-0204203X Art Nr  42 060 0133 Motorized Z-Stage with 14 day warranty
OWIS B-0204203X Art Nr  42 060 0133 Motorized Z-Stage with 14 day warranty
 495   
Brooks 5896b11a Readout Mass Flow Controller
Brooks 5896b11a Readout Mass Flow Controller
 200   150 74    
2 New Ssec 12801008a Pump Ring Seals For 156e Siphon
2 New Ssec 12801008a Pump Ring Seals For 156e Siphon
 2     
Advantest Control Box Trigger Box Controller H3 H3-65068X02
Advantest Control Box Trigger Box Controller H3 H3-65068X02
 1   
Smc Xld-40 High Vacuum Valve
Smc Xld-40 High Vacuum Valve
 25     
NEW Applied Materials 0020-16193 Top Plate, Cass in Place
Top-Rated Plus Seller NEW Applied Materials 0020-16193 Top Plate, Cass in Place
 24     
Chemraz As-568a-231 Cpd 515
Chemraz As-568a-231 Cpd 515
 1     
4022 439 77671 o-ring, FPM 51414  7 65X1 78
4022 439 77671 o-ring, FPM 51414 7 65X1 78
 35     
Ph554-a2 / 5 Phase Stepping Motor, Dc 0 75a / Vexta
Ph554-a2 / 5 Phase Stepping Motor, Dc 0 75a / Vexta
 250     
Mattson 73200101 IND486E Rev A PCB Circuit Board, Mattson Aspen *Used Working*
Mattson 73200101 IND486E Rev A PCB Circuit Board, Mattson Aspen *Used Working*
 1,5   1,05     
Applied Materials AMAT Weldment N2 5PSI POS A/C ETCH COMM 0050-33309
Top-Rated Plus Seller Applied Materials AMAT Weldment N2 5PSI POS A/C ETCH COMM 0050-33309
 9   54     
323-0102// Amat Applied 3690-04427 Amat Component New
323-0102// Amat Applied 3690-04427 Amat Component New
 2   
Nikon 4b990-799 (iss Shutter Drive Unit Tfhi12)  Nsr
Nikon 4b990-799 (iss Shutter Drive Unit Tfhi12) Nsr
 825     
Wedgewood Technology model 320 UV analyzer
Top-Rated Plus Seller Wedgewood Technology model 320 UV analyzer
 18     
Ebmpapst VDC-3-54 32 24VDC 3300 U/min motor
Ebmpapst VDC-3-54 32 24VDC 3300 U/min motor
 25     
Pcb Assy Chbr Interconn
Pcb Assy Chbr Interconn
 16   
Sony Ys-w270 Camera Adaptor, New
Sony Ys-w270 Camera Adaptor, New
 129     
Ultratech Stepper 5 Axis Focus A/D Board 03-20-01955 Rev  C
Ultratech Stepper 5 Axis Focus A/D Board 03-20-01955 Rev  C
 7   3     
Testing Wafer, 8"
Testing Wafer, 8"
 12   
Part 81176 Clamp Bracket
Part 81176 Clamp Bracket
 17 33  
329-0301// Ushio Xs-75201af 4s065-505 Controller [asis]
329-0301// Ushio Xs-75201af 4s065-505 Controller [asis]
 2,   
Applied Materials AMAT 15Pin Wire Jumper Connector 0150-20142
Applied Materials AMAT 15Pin Wire Jumper Connector 0150-20142
 1   
Boc Edwards D37320259 / D37350602
Boc Edwards D37320259 / D37350602
 2     
ASML 4022 668 56301 Cable
ASML 4022 668 56301 Cable
 25     
352-0401// Amat Applied 0020-38083 Bolt, Stopper New
352-0401// Amat Applied 0020-38083 Bolt, Stopper New
 8   
(100) NEW- Swagelok JC40T75 Teflon Clamp Seal Gaskets || Fast Shipped ????
(100) NEW- Swagelok JC40T75 Teflon Clamp Seal Gaskets || Fast Shipped ????
 75     
^^ Inficon Ag Ll-9496 Balzers Type Peg100 (tf43)
Top-Rated Plus Seller ^^ Inficon Ag Ll-9496 Balzers Type Peg100 (tf43)
 1   75     
Festo Manifold Assy Cpv18-vi
Festo Manifold Assy Cpv18-vi
 101     
Newport E4241a1 2-axis Driver I/o Card / Used
Top-Rated Plus Seller Newport E4241a1 2-axis Driver I/o Card / Used
 1     
Novellus Type 04-731600-12 Plenum DSR Dark Space Ring 15-3/8" OD 1" Height
Novellus Type 04-731600-12 Plenum DSR Dark Space Ring 15-3/8" OD 1" Height
 195   
342-0202// Amat Applied 0040-09548 Cover, Window, Side Shield, Upper, Unive Used
342-0202// Amat Applied 0040-09548 Cover, Window, Side Shield, Upper, Unive Used
 2   
123-0302// Amat Applied 3930-01005 Speed Cntrl,10-as Ser/in [used]
123-0302// Amat Applied 3930-01005 Speed Cntrl,10-as Ser/in [used]
 2   
SMC Pressure Switch ISE30-01-65 ISE300165 12-24VDC Used
SMC Pressure Switch ISE30-01-65 ISE300165 12-24VDC Used
 2   
^ Precision Detectors PD4001 PDExpert Electronics Module #D885
^ Precision Detectors PD4001 PDExpert Electronics Module #D885
 5   425     
Watlow 060080109N Heater Blanket
Watlow 060080109N Heater Blanket
 5   
Lambda Power Supply Controller HAL-02-728 Printed Circuit Board PCB Assembly LTX
Lambda Power Supply Controller HAL-02-728 Printed Circuit Board PCB Assembly LTX
 1   
Np Nprhc-504l New Power Plasma, Used
Np Nprhc-504l New Power Plasma, Used
 15     
Omron F3SJ-A0905P20-D Safety Light Curtain  #11400
Omron F3SJ-A0905P20-D Safety Light Curtain #11400
 375 20    
Pisco PW1/2X3/8 Unequal Union Y Pneumatic Fitting Adapter 1/2 x 3/8 x 3/8
Pisco PW1/2X3/8 Unequal Union Y Pneumatic Fitting Adapter 1/2 x 3/8 x 3/8
 18     
Tokyo Electron 3m81-021529-15 Throttle Valve Control Board
Tokyo Electron 3m81-021529-15 Throttle Valve Control Board
 6     
Ibt Industrial Solutions As-229 O-ring, 9 Pack  229n70 - New (opened)
Ibt Industrial Solutions As-229 O-ring, 9 Pack 229n70 - New (opened)
      
GE/Fanuc Genius Bus Interface Unit IC670GBI102A NOS
Top-Rated Plus Seller GE/Fanuc Genius Bus Interface Unit IC670GBI102A NOS
 747 47    
Pentagon Technologies 038941602A Lower Shield Cover
Pentagon Technologies 038941602A Lower Shield Cover
 9   84     
^^ Omegalux Cat No Fgh101-040 Fg Hvy Tape - New (dmc25)
Top-Rated Plus Seller ^^ Omegalux Cat No Fgh101-040 Fg Hvy Tape - New (dmc25)
 35     
NOS MDC Hose 441105-2000  75" X  8" X 25" QF16/VCO
NOS MDC Hose 441105-2000  75" X  8" X 25" QF16/VCO
 145   
0090-77110 Assy, Sliprings
0090-77110 Assy, Sliprings
 5     
Cosel 252W PCB Mount Isolated DC-DC Converter SNDHS250B12
Cosel 252W PCB Mount Isolated DC-DC Converter SNDHS250B12
 195 23    
Motor-etc, Tem16km-24-864, Think Engineering
Motor-etc, Tem16km-24-864, Think Engineering
 18     
16337-01 / Collar, Quartz, Rev F 1 25 Inch Hole / Novellus
16337-01 / Collar, Quartz, Rev F 1 25 Inch Hole / Novellus
 328 12    
AMAT APPLIED MATERIALS 0150-76869 50 Conductor Cable Assembly
AMAT APPLIED MATERIALS 0150-76869 50 Conductor Cable Assembly
 384 41    
Bruce Technology 9015602-002 Alarm Panel
Bruce Technology 9015602-002 Alarm Panel
 3     
LTX Corporation Analog Control TS80 60Hz PN 865-0010-00
LTX Corporation Analog Control TS80 60Hz PN 865-0010-00
 1,1     
Honeywell GCP-33A , 10A Circuit Protector 3 Pole,New
Honeywell GCP-33A , 10A Circuit Protector 3 Pole,New
 55     
Disco Sensor, P/n Ekem-910046-01 2, Koganei Psu-ea-s Nos
Disco Sensor, P/n Ekem-910046-01 2, Koganei Psu-ea-s Nos
 225     
G169029 Interphase Systems Inc  IS510 Computer Control, Accelerometer, Encoder
G169029 Interphase Systems Inc  IS510 Computer Control, Accelerometer, Encoder
 1     
Amat 0243-72962 Motor Conversion Elev
Amat 0243-72962 Motor Conversion Elev
 4,5     
UFC-8160 MFC UltraClean Metal Z-Seal N2 100 sccm
UFC-8160 MFC UltraClean Metal Z-Seal N2 100 sccm
 14   
Fairchild control box type: DISP CONT, MOD Assy# 97231299 Rev 1A  PCB:40044631-2
Fairchild control box type: DISP CONT, MOD Assy# 97231299 Rev 1A  PCB:40044631-2
 325 95  
Mission Mlc Nt Power Supply 1105970-02 700-0124-02 120v 11 5a
Mission Mlc Nt Power Supply 1105970-02 700-0124-02 120v 11 5a
 3     
17122900 / Shield Exit (inner) / Axcelis Technologies
17122900 / Shield Exit (inner) / Axcelis Technologies
 228     
Tel Tokyo Electron Detector Rt #01 8000 2s86-005547-11
Tel Tokyo Electron Detector Rt #01 8000 2s86-005547-11
 24   
Applied Materials Ring Cover 300mm SST 0021-17770
Applied Materials Ring Cover 300mm SST 0021-17770
 875   
Leybold Vakuum Display Two
Leybold Vakuum Display Two
 95     
Laser Drive Inc 040-00014-00 PCB CARD
Laser Drive Inc 040-00014-00 PCB CARD
 59     
Base for Motorized Mechanical Precision Stage 7-1/2 Rise Black Aluminum
Base for Motorized Mechanical Precision Stage 7-1/2 Rise Black Aluminum
 39 95    
Omron F3SJ-A0905P20-L Safety Light Curtain #11398
Omron F3SJ-A0905P20-L Safety Light Curtain #11398
 375 20    
Matrox Electronics Systems Std-2480-as
Matrox Electronics Systems Std-2480-as
 125     
Pfeiffer/Balzers TCF 102 Vent Control
Pfeiffer/Balzers TCF 102 Vent Control
 26     
HP INDIGO CA254-02703 460049-001 rev e  SCR MODULE
HP INDIGO CA254-02703 460049-001 rev e SCR MODULE
 2     
999-9999// Amat Applied 0040-39554 (delivery 21 Days) Gimbal Assy [2nd Source]
999-9999// Amat Applied 0040-39554 (delivery 21 Days) Gimbal Assy [2nd Source]
 55   
Smc Xld-40 High Vacuum Valve
Smc Xld-40 High Vacuum Valve
 365     
Uti Instruments 04020 Rf Generator Model 2221
Uti Instruments 04020 Rf Generator Model 2221
 42     
Drytek / Lam Keyboard Filter Board  P/N 2801110
Top-Rated Plus Seller Drytek / Lam Keyboard Filter Board  P/N 2801110
 225   18     
Denkenseiki NCT-M 3 3kVA Special lsolation Transformer PRI 220 / SEC 120 USED
Denkenseiki NCT-M 3 3kVA Special lsolation Transformer PRI 220 / SEC 120 USED
 5     
Novellus PVD Universal Stage Shield (16-126664-01), 15 Lb ; cleaned by Cleanpart
Top-Rated Plus Seller Novellus PVD Universal Stage Shield (16-126664-01), 15 Lb ; cleaned by Cleanpart
 63     
Smc D-h7pw Switch Cj5s Cylinder
Smc D-h7pw Switch Cj5s Cylinder
 5     
333-0201// Amat Applied 0020-23621 Frame, Source G12 2nd Source New
333-0201// Amat Applied 0020-23621 Frame, Source G12 2nd Source New
 3,   
Norgren VM1516SL12898A DeviceNET 5V MOD NET
Norgren VM1516SL12898A DeviceNET 5V MOD NET
 853 15    
Pfeiffer/Balzers TCV 103/PM Valve Control
Pfeiffer/Balzers TCV 103/PM Valve Control
 215     
Setech KPB6-90 High Vacuum Apparatus Rebuild Kit KPB6(90) 00152831
Setech KPB6-90 High Vacuum Apparatus Rebuild Kit KPB6(90) 00152831
 15   
Novellus Type 04-731323-01 Cap Quartz HTR Table 8-1/8" OD GM 1333-04-731323
Novellus Type 04-731323-01 Cap Quartz HTR Table 8-1/8" OD GM 1333-04-731323
 15   
SFI Type 18685 Tube Gas Pyrometer 9 1/8" OAL 1/4" Tube OD Swagelok Swivel End
SFI Type 18685 Tube Gas Pyrometer 9 1/8" OAL 1/4" Tube OD Swagelok Swivel End
 95   
Semicaps scan card version 3 g
Semicaps scan card version 3 g
 409     
136-0401// Amat Applied 0040-22415, 0100-20097 Panel Manifold Generater [used]
136-0401// Amat Applied 0040-22415, 0100-20097 Panel Manifold Generater [used]
 2,8   
Trackball Assembly Pn 18-010557
Trackball Assembly Pn 18-010557
 15   
Technology 80 BOARD 5641B 901124/E, 24-B 900861/C
Technology 80 BOARD 5641B 901124/E, 24-B 900861/C
 219   
LTX Corporation 865-0152-00 Station Controller TS80
LTX Corporation 865-0152-00 Station Controller TS80
 1,1     
Tera Tech Auto Gate Valve TVS-MF200 with WARRANTY
Tera Tech Auto Gate Valve TVS-MF200 with WARRANTY
 899   719 20    
DryTek 2800257E Oscillator/Buffer Board
Top-Rated Plus Seller DryTek 2800257E Oscillator/Buffer Board
 119 98    
D3599 AMTECH Ultraweld / D3599 / Welder
D3599 AMTECH Ultraweld / D3599 / Welder
 52     
Vintage Knight WideBand Oscilloscope
Vintage Knight WideBand Oscilloscope
 22     
V100-17-CAN Board, V100-17-CAN / Module Bord / Unitronics
V100-17-CAN Board, V100-17-CAN / Module Bord / Unitronics
 6     
06, 615ms476 X15 Hose
06, 615ms476 X15 Hose
 29     
Gemu 600040m5e Diaphragm
Gemu 600040m5e Diaphragm
 8     
Freedom Electric Dma 09144 Cable Harness Ddd
Freedom Electric Dma 09144 Cable Harness Ddd
 25   2   
Benchtop Chemical Bath
Benchtop Chemical Bath
 305     
Phasetronics P1050-X2-60 Thyristor-SCR power pack 480 volt 50 amp 60 hz rev B
Phasetronics P1050-X2-60 Thyristor-SCR power pack 480 volt 50 amp 60 hz rev B
 16     
1529-03 8mm Hose
1529-03 8mm Hose
 39     
Festo Mha1-24-24-3 Solenoid Valve
Festo Mha1-24-24-3 Solenoid Valve
 12     
17283760 / Liner Vg Pump Housing / Axcelis Technologies
17283760 / Liner Vg Pump Housing / Axcelis Technologies
 309 51    
William Advanced Materials Cr/Ti 10%, at% STK5195 0/01/1 Sputtering Target
William Advanced Materials Cr/Ti 10%, at% STK5195 0/01/1 Sputtering Target
    
Vsw-7602-ws  / V&t Diverter Valve Side Ported 35-200 Psig 2 4-14 Bar Vq / Versa
Vsw-7602-ws / V&t Diverter Valve Side Ported 35-200 Psig 2 4-14 Bar Vq / Versa
 210 68    
Amat 1110-01045 Ntwrk Port Server 16-chan , Used
Amat 1110-01045 Ntwrk Port Server 16-chan , Used
 95     
Novellus Type BB-103551-89 Brass Gasket CFF HTR Base (Pack Of 3)
Novellus Type BB-103551-89 Brass Gasket CFF HTR Base (Pack Of 3)
 1   
Amat 0190-01544 Interlock Module Emax, New
Amat 0190-01544 Interlock Module Emax, New
 55     
Intevac anode control assy 00-832276-01 rev  1
Intevac anode control assy 00-832276-01 rev  1
 389     
Ksi 1981 Analog Control  Assy, 1500005-rev  N, 103842
Ksi 1981 Analog Control Assy, 1500005-rev  N, 103842
 35     
Pcb 34176 Circuit Board Asm 34177
Pcb 34176 Circuit Board Asm 34177
 89     
Zippy P1x-6300p Industrial Power Supply
Zippy P1x-6300p Industrial Power Supply
 3     
323-0201// Amat Applied 3700-02323 Oring Id 8 734 Csd  139 Chemra New
323-0201// Amat Applied 3700-02323 Oring Id 8 734 Csd  139 Chemra New
 2   
Boc Edwards Y14204000 Tms Temperature Measuring System
Boc Edwards Y14204000 Tms Temperature Measuring System
 199 95    
Cymechs Robot Teach Pendant, Gp2301hs
Cymechs Robot Teach Pendant, Gp2301hs
 219     
Cymechs Robot Teach Pendant, Gt2500
Cymechs Robot Teach Pendant, Gt2500
 219     
Micromanipulator E225868
Micromanipulator E225868
 8,     
Genus 2139-00 Rev B Solenoid Driver Board Art 2312-00 Fab 2310-00
Top-Rated Plus Seller Genus 2139-00 Rev B Solenoid Driver Board Art 2312-00 Fab 2310-00
 149 98    
Dry Pump Adixen AD70KL
Dry Pump Adixen AD70KL
 29,995     
New Panasonic MCMAED2 PC Board w/ warranty Free Shipping
New Panasonic MCMAED2 PC Board w/ warranty Free Shipping
 6     
Tegal 9XX PCB, 99-165-003 REV J, NOS
Top-Rated Plus Seller Tegal 9XX PCB, 99-165-003 REV J, NOS
 3   24     
LOT of 3 Mixed Type Flexible Hose Assemblies
LOT of 3 Mixed Type Flexible Hose Assemblies
 89 87    
Millipore Fsgdb100c700 Intelliflow Digital Flow Controller Gas: N2 Range: 30000
Millipore Fsgdb100c700 Intelliflow Digital Flow Controller Gas: N2 Range: 30000
 14     
Disco FBPCB-0281 & FBPCB-0084 board
Disco FBPCB-0281 & FBPCB-0084 board
 629     
Advent AUC2141-306HGW L-Band Upconverter
Advent AUC2141-306HGW L-Band Upconverter
 5   21     
15-00229-00 Nut feedthrough
15-00229-00 Nut feedthrough
 55     
OPTEM DIG1003 Optics Assembly with 14 day warranty
OPTEM DIG1003 Optics Assembly with 14 day warranty
 75   
*tc*  Leybold Turbovac Pump Tw 700  Tw700 L/tdl - New In Box ?   (dtg15)
Top-Rated Plus Seller *tc* Leybold Turbovac Pump Tw 700 Tw700 L/tdl - New In Box ? (dtg15)
 3,   2,25     
EBARA PRECISION - Top Cup (J) - C-4110-686-0001 (UK)
EBARA PRECISION - Top Cup (J) - C-4110-686-0001 (UK)
 314 46    
ASML 4022 668 66101 Cable
ASML 4022 668 66101 Cable
 25     
HP  indigo scu ca256-00186 ca254-02702 SCU MODULE
HP indigo scu ca256-00186 ca254-02702 SCU MODULE
 3     
Amat 0015-77050 Clamp , New
Amat 0015-77050 Clamp , New
 2     
Tamagawa Seiki Tbl Driver / Ta8077n2
Tamagawa Seiki Tbl Driver / Ta8077n2
 1     
02-800170-01 Liebert Emerson Printed Circuit Board PCB --SA
02-800170-01 Liebert Emerson Printed Circuit Board PCB --SA
 75 21    
417461G1 Liebert Emerson Logic Control Circuit Board --SA
417461G1 Liebert Emerson Logic Control Circuit Board --SA
 220 21    
153-0201// Rohde & Schwarz 804 8110 52 Rf Unit Fsb-rf Analyzer Display Unit Used
153-0201// Rohde & Schwarz 804 8110 52 Rf Unit Fsb-rf Analyzer Display Unit Used
 2,   
Schlumberger Technologies 97851116 Gas Control PCB w/ P2X Daughterboard
Top-Rated Plus Seller Schlumberger Technologies 97851116 Gas Control PCB w/ P2X Daughterboard
 49 29    
Ulvac # 1019020 plate shield top for ulvac asher
Ulvac # 1019020 plate shield top for ulvac asher
 5   
Amat 3020-01132 Cylinder Removed From Mirra Hclu
Amat 3020-01132 Cylinder Removed From Mirra Hclu
 35     
Smc Xgd201-46236-1f-x165 Slit Door Valve
Smc Xgd201-46236-1f-x165 Slit Door Valve
 25     
MDC 665209 - QuickDoor, 10" ViewPort QD-1000-VP
MDC 665209 - QuickDoor, 10" ViewPort QD-1000-VP
 1,1     
Asyst Crossing 3200-4469-01 PCB
Asyst Crossing 3200-4469-01 PCB
 22     
Amat 0100-09235 Pcb Assembly Ozonator Distribution , Used
Amat 0100-09235 Pcb Assembly Ozonator Distribution , Used
 25     
HUMIDITY TEST SYSTEM Express Test HAST 1000F PRESSURIZED  57 psi
HUMIDITY TEST SYSTEM Express Test HAST 1000F PRESSURIZED 57 psi
 4,25     
Komatsu RX-710 Process Temperature Controller 1-Phase 200-240VAC Powered-ON
Komatsu RX-710 Process Temperature Controller 1-Phase 200-240VAC Powered-ON
 69 29    
Pilz PNOZ X3 Safety Relay,Dual Channel,  200 at lot of 5
Pilz PNOZ X3 Safety Relay,Dual Channel,  200 at lot of 5
 2     
KOKUSAI 3ROT186645, ANALYZER-OXYGEN, anlyzer co OXYGEN ANALYZER
KOKUSAI 3ROT186645, ANALYZER-OXYGEN, anlyzer co OXYGEN ANALYZER
 5     
Edwards ATS0012151014 PB Panel 12653-1017-0000
Edwards ATS0012151014 PB Panel 12653-1017-0000
 1   9     
New, Saint-Gobain, TSF-35/20-84UTBT, Tube Skt Tee, 35mm Ball/20mm Bore x 8mm
New, Saint-Gobain, TSF-35/20-84UTBT, Tube Skt Tee, 35mm Ball/20mm Bore x 8mm
 3   
110536134 / Platen Plate / Varian
110536134 / Platen Plate / Varian
 2,500     
ETO Ehrhorn Technological Operations ABX-X234 300W Driver Board PCB Rev  B Used
ETO Ehrhorn Technological Operations ABX-X234 300W Driver Board PCB Rev  B Used
 2,508 18    
AK024D Coil, AK024D / 24v DC 3 W / Danfoss
AK024D Coil, AK024D / 24v DC 3 W / Danfoss
 65     
7 5ft VSEA Cable Assembly W10003 E16328310 / 10A61P1 / 6A113P7 ESPD Wafer
7 5ft VSEA Cable Assembly W10003 E16328310 / 10A61P1 / 6A113P7 ESPD Wafer
 299 95    
6inCF Components nipple
6inCF Components nipple
 35     
Alcatel 5401 CP Turbo Pump
Alcatel 5401 CP Turbo Pump
 2,15     
Hitachi HT98313 Power Relay Board PCB BD13 Ver  A Used Working
Hitachi HT98313 Power Relay Board PCB BD13 Ver  A Used Working
 358 18    
172-0601// Simco A300 Aerostat [asis]
172-0601// Simco A300 Aerostat [asis]
 2   
Ulvac MCP-1010SX Magnet Power Supply
Ulvac MCP-1010SX Magnet Power Supply
 2,198 98    
Fpr-nd-71-9 52-316lp
Fpr-nd-71-9 52-316lp
 249 50    
Novellus 15-120465-01 Ceramic Ring Shield DFE/Damaclean 9 1/4" OD 8 1/8" ID
Novellus 15-120465-01 Ceramic Ring Shield DFE/Damaclean 9 1/4" OD 8 1/8" ID
 325   
Advantest BPG-032802 PPG-632802882BBA
Advantest BPG-032802 PPG-632802882BBA
 249   
Lot of Brand New ORIENTAL VEXTA 5 Phase MOTOR & DRIVER EX SERIES - Model UDX5114
Lot of Brand New ORIENTAL VEXTA 5 Phase MOTOR & DRIVER EX SERIES - Model UDX5114
 3,995     
Mpu818-n035 0-10 96 / Diaphragm Vacuum Pump / Matheson
Mpu818-n035 0-10 96 / Diaphragm Vacuum Pump / Matheson
 1,400 98    
347-0402// Amat Applied 0020-34131 Base,rf New
347-0402// Amat Applied 0020-34131 Base,rf New
 2   
Snt476 / Control Instruments Snt476 Gas Monitoring Systems / Control Instr Corp
Snt476 / Control Instruments Snt476 Gas Monitoring Systems / Control Instr Corp
 750 68    
Evans Components pw-16-pezmf-gvps-16-pt Valve Assembly
Evans Components pw-16-pezmf-gvps-16-pt Valve Assembly
 85     
Aluminum copper 95 5/4 5 wt%,  25" x  25", 73g
Aluminum copper 95 5/4 5 wt%,  25" x  25", 73g
 3     
0010-15816 / Assembly, Gvde, Dpn 200mm / Applied Materials
0010-15816 / Assembly, Gvde, Dpn 200mm / Applied Materials
 6,     
Queensgate NPS 3330 x3 Axis Controller
Queensgate NPS 3330 x3 Axis Controller
 1,5     
NOS QualiTau Oven Component Module
NOS QualiTau Oven Component Module
 1     
New HPS 304 Stainless Steel Non-rotatable Flange ISO-80-000N Nonrotatable Flange
New HPS 304 Stainless Steel Non-rotatable Flange ISO-80-000N Nonrotatable Flange
 68 95  
Cosel AOU-02A Isolated DC/DC Converter Board PCB AOU-03A Used Working
Cosel AOU-02A Isolated DC/DC Converter Board PCB AOU-03A Used Working
 310 18    
Amat 0010-77825 Assy, Lwr Electronics Scrubber, Pressurized
Amat 0010-77825 Assy, Lwr Electronics Scrubber, Pressurized
 6,7     
92000070187 / Bonding Head Right Tcb1 Ap2 3 / Besi Apac
92000070187 / Bonding Head Right Tcb1 Ap2 3 / Besi Apac
 2,145 68    
Cosel AOU-01B Isolated DC/DC Converter Board PCB Used Working
Cosel AOU-01B Isolated DC/DC Converter Board PCB Used Working
 310 18    
Eaton  A-24 End Station Vac Control Interface PCB, 0342-1077-4001, NOS
Top-Rated Plus Seller Eaton A-24 End Station Vac Control Interface PCB, 0342-1077-4001, NOS
 295   236     
323-0101// Amat Applied 3300-03016 Ftg   Adptr 1/4mpt X 1/8 Tube Metering O New
323-0101// Amat Applied 3300-03016 Ftg Adptr 1/4mpt X 1/8 Tube Metering O New
 2   
George Fischer preamplifier 32720 198864602
George Fischer preamplifier 32720 198864602
 1     
AMAT 0195-11145 Delphin Display Kit Monitor
Top-Rated Plus Seller AMAT 0195-11145 Delphin Display Kit Monitor
 2,4     
175-0401// Amat Applied 0010-76018 Assy 6 Cassette [2nd New]
175-0401// Amat Applied 0010-76018 Assy 6 Cassette [2nd New]
 2,15   
HP Indigo EAS-2151-52 Sensor Optocupler
HP Indigo EAS-2151-52 Sensor Optocupler
 5     
ASM 23 27 20330 11 Rev  B EPI PCB Control Circuit Board
ASM 23 27 20330 11 Rev  B EPI PCB Control Circuit Board
 3   
Horiba CS-151F1-0105-AA-QU Chemical Solution Concentration Monitor CS-100 Series
Top-Rated Plus Seller Horiba CS-151F1-0105-AA-QU Chemical Solution Concentration Monitor CS-100 Series
 899 97    
Iai Rca-e Robo Cylinder
Iai Rca-e Robo Cylinder
 4   
455352A Valve,
455352A Valve,
 52     
Airtrol R820-10 Mini Regulator
Airtrol R820-10 Mini Regulator
 5     
Bimba Fo-042-3fmvee1 Air Cylinder Wetstation Door
Bimba Fo-042-3fmvee1 Air Cylinder Wetstation Door
 5     
012913-502 Board, 012913-502 / Rev A / Connector Board / HP
012913-502 Board, 012913-502 / Rev A / Connector Board / HP
 52     
4022 192 71531 Conn 0000
4022 192 71531 Conn 0000
 14     
HP  indigo scu ca256-00187 ca254-02703 SCU MODULE
HP indigo scu ca256-00187 ca254-02703 SCU MODULE
 3     
Eaton Cutler Hammer 7asht-401 Ratio 4005acurrent Transformer Lot 3
Eaton Cutler Hammer 7asht-401 Ratio 4005acurrent Transformer Lot 3
 30 07    
Part 81176 Clamp Bracket (Pack of 6)
Part 81176 Clamp Bracket (Pack of 6)
 98 38  
Qty (2) Fujikin Valves O P  0 34 ~ 0 69MPa Type N C  316L
Qty (2) Fujikin Valves O P  0 34 ~ 0 69MPa Type N C  316L
 69 88  
Japan Lsi Puls Gen Board Klsi-006
Japan Lsi Puls Gen Board Klsi-006
 4   
Fbsdal-6 35ugf-2b3-dvd#b
Fbsdal-6 35ugf-2b3-dvd#b
 374 50    
Texas Instruments NVSRAM Manual Adapter Board 1210B
Texas Instruments NVSRAM Manual Adapter Board 1210B
 14   
Integrated Designs INC  1-130-021 Network Communication Board
Integrated Designs INC  1-130-021 Network Communication Board
 24   162 49    
323-0101// Amat Applied 3180-01030 Latch Toggle 30# Sst New
323-0101// Amat Applied 3180-01030 Latch Toggle 30# Sst New
 2   
?SYSTEM ELECTRONICS 1002510132 INTERFACE CONV  AN96 PCB 02??Fast DHL Shipping???
?SYSTEM ELECTRONICS 1002510132 INTERFACE CONV  AN96 PCB 02??Fast DHL Shipping???
 299     
Omicron VAKUUMPHYSIK GMBH TWINAD V3 10/99
Omicron VAKUUMPHYSIK GMBH TWINAD V3 10/99
 67     
SVG Silicon Valley Group 858-8163-003 Processor PCB Card Rev  A 90S Used Working
SVG Silicon Valley Group 858-8163-003 Processor PCB Card Rev  A 90S Used Working
 410 18    
SVG Silicon Valley Group 851-8233-004 Processor PCB Card Rev  B 90S Used Working
SVG Silicon Valley Group 851-8233-004 Processor PCB Card Rev  B 90S Used Working
 310 18    
SPAN THRUTUBE TRANSDUCER, Model NiT-00204, NEW
SPAN THRUTUBE TRANSDUCER, Model NiT-00204, NEW
 89     
Smc Cg1g-v40-500 Pneumatic Cylinder
Smc Cg1g-v40-500 Pneumatic Cylinder
 60 95    
RECIF Technologies STDBH0130C Interface Board PCB Nikon NSR System Used Working
RECIF Technologies STDBH0130C Interface Board PCB Nikon NSR System Used Working
 308 18    
RECIF Technologies INTAH0540A Interface Board PCB Nikon NSR System Used Working
RECIF Technologies INTAH0540A Interface Board PCB Nikon NSR System Used Working
 308 18    
Digital Dynamics IOC ANALLOG BOARD 004433-16725
Digital Dynamics IOC ANALLOG BOARD 004433-16725
 4   
RECIF Technologies PWRAH0168A Power Supply Board PCB Nikon NSR System Used
RECIF Technologies PWRAH0168A Power Supply Board PCB Nikon NSR System Used
 308 18    
Daifuku 7a01000ha2 Rm/tfe/cov  Unit Controller
Daifuku 7a01000ha2 Rm/tfe/cov Unit Controller
 120 95    
527-35269-05    / Pcb Temperature Control Eaton Lsi-55 / Excelteq Inc
527-35269-05 / Pcb Temperature Control Eaton Lsi-55 / Excelteq Inc
 379 03    
SVG Silicon Valley Group 879-8077-002 Processor PCB Card Rev  B 90S Used
SVG Silicon Valley Group 879-8077-002 Processor PCB Card Rev  B 90S Used
 309 18    
Mattson 19201628 Heaterjacket For Pall Filter 230v/42w
Mattson 19201628 Heaterjacket For Pall Filter 230v/42w
 15     
New, Laser Identification Systems, 6050014  (22d-4)
New, Laser Identification Systems, 6050014  (22d-4)
 995     
Tel Tokyo Electron 2l81-050032-v3 Tab113-1/io1-lf Processor Board
Tel Tokyo Electron 2l81-050032-v3 Tab113-1/io1-lf Processor Board
 19     
343-0501// Amat Applied 0020-83521 Arc Chmbr, Remote Insulatd Pfs New
343-0501// Amat Applied 0020-83521 Arc Chmbr, Remote Insulatd Pfs New
 15   
352-0402// Amat Applied 0020-94729 Screw Wheel Retaining New
352-0402// Amat Applied 0020-94729 Screw Wheel Retaining New
 6   
DNS Dainippon Screen SL-2121 Terminal SM Unit
DNS Dainippon Screen SL-2121 Terminal SM Unit
 48     
MTA10X5 Cylinder, MTA10X5 / Picker Cylinder / Rev 3 / Koganei
MTA10X5 Cylinder, MTA10X5 / Picker Cylinder / Rev 3 / Koganei
 13     
ASML 4022 668 66261 Cable
ASML 4022 668 66261 Cable
 75     
Smc Lvq30-z11n-1 3/8" Flow Control Valve, Nos
Top-Rated Plus Seller Smc Lvq30-z11n-1 3/8" Flow Control Valve, Nos
 15     
Smc Lva42-03-a Air Operated Valve, Nos
Top-Rated Plus Seller Smc Lva42-03-a Air Operated Valve, Nos
 18   144     
Smc Sfb104-m5 - Ultratech - Sf Clean Gas Filter 57-20-03306 (uk)
Smc Sfb104-m5 - Ultratech - Sf Clean Gas Filter 57-20-03306 (uk)
 119 50    
NEW 180W Lambda HR-12F-24 Switching Power Supply AC-DC 115vac 24V 7 5A HR12F24
NEW 180W Lambda HR-12F-24 Switching Power Supply AC-DC 115vac 24V 7 5A HR12F24
 249 69  167 29    
Aetrium Device Change Kit
Aetrium Device Change Kit
 199     
Manganese/Tellurium sputter target Mn/Te (1:1 at%), 1 5" diam x 0 15" thk
Manganese/Tellurium sputter target Mn/Te (1:1 at%), 1 5" diam x 0 15" thk
 3     
ASML 4022 668 66281 Cable
ASML 4022 668 66281 Cable
 25     
SVG Silicon Valley Group 851-8233-004 Processor PCB Card Rev  F 90S Used Working
SVG Silicon Valley Group 851-8233-004 Processor PCB Card Rev  F 90S Used Working
 310 18    
Kithley Parallel Digital I/o Pcb  Model# Pio-96j 14332 Rev A  Pc9792
Kithley Parallel Digital I/o Pcb  Model# Pio-96j 14332 Rev A  Pc9792
 149   
141-0603// Amat Applied 0150-09363 Assy Cable Feeder Wire K2-4 To New
141-0603// Amat Applied 0150-09363 Assy Cable Feeder Wire K2-4 To New
 2   
142-0601// Amat Applied 0140-90805 Applied Matrials Components New
142-0601// Amat Applied 0140-90805 Applied Matrials Components New
 2   
347-0302// Amat Applied 0020-03119 Block Depressor Mounting 200mm New
347-0302// Amat Applied 0020-03119 Block Depressor Mounting 200mm New
 2   
324-0202// Amat Applied 0021-07547 Applied Matrials Components [new]
324-0202// Amat Applied 0021-07547 Applied Matrials Components [new]
 2   
Ulvac 1018517 plate gas diffuser top for ulvac asher
Ulvac 1018517 plate gas diffuser top for ulvac asher
 5   
AMAT 0050-27331 WELDMENT, Used
AMAT 0050-27331 WELDMENT, Used
 25   18 75  
(NEW IN BOX) Sierratherm Card Belt Speed IO Assembled -- 5-48-00032
(NEW IN BOX) Sierratherm Card Belt Speed IO Assembled -- 5-48-00032
 144     
0040-49775 / Gas Line Assembly 6g / Applied Materials Amat
0040-49775 / Gas Line Assembly 6g / Applied Materials Amat
 354 11    
323-0101// Amat Applied 3300-03846 Ftg   Tbg Ferrule Front 1/8t Sst New
323-0101// Amat Applied 3300-03846 Ftg Tbg Ferrule Front 1/8t Sst New
 2   
Uu2/teee/tx/ct/t/871 / Pump, Diaphragm, Unitech Uu2, W/dampener / Tencarva
Uu2/teee/tx/ct/t/871 / Pump, Diaphragm, Unitech Uu2, W/dampener / Tencarva
 3,500 82    
Hitachi HT98312A Interface Connector Board PCB BD12 Ver  A Used Working
Hitachi HT98312A Interface Connector Board PCB BD12 Ver  A Used Working
 208 18    
Hitachi HT98313 Power Relay Board PCB BD13 Ver  A1 Used Working
Hitachi HT98313 Power Relay Board PCB BD13 Ver  A1 Used Working
 361 18    
SPAN Pressure Tranducer Model SPT-100, NEW
SPAN Pressure Tranducer Model SPT-100, NEW
 75     
Kurt J  Lesker Carbon Graphite Target EJTCXXX503A2
Kurt J  Lesker Carbon Graphite Target EJTCXXX503A2
 143 75  129 37    
Ulvac BPR2 G-Tran
Ulvac BPR2 G-Tran
 232 88  209 59    
Panasonic MCMAET5 Control Board - Free Shipping
Panasonic MCMAET5 Control Board - Free Shipping
 9     
Azbil Spg5at11rd500500
Azbil Spg5at11rd500500
 194   137 74    
HP  indigo  CA254-00406 CA256-00137 DCI MODULE
HP indigo CA254-00406 CA256-00137 DCI MODULE
 8     
17133270 / Cathode Insulator Els/vae / Axcelis Technologies
17133270 / Cathode Insulator Els/vae / Axcelis Technologies
 138     
Axcelis Type 17221620 Entrance Pole Upper
Axcelis Type 17221620 Entrance Pole Upper
 45   
2187-207323-15 / Manifold Assembly / Tokyo Elec
2187-207323-15 / Manifold Assembly / Tokyo Elec
 10,800 97    
Danaher Motion 18406-01h Operator Panel Op7
Danaher Motion 18406-01h Operator Panel Op7
 2,     
Sopra PM2 386 NIR Photomultiplier Module with 14 day warranty
Sopra PM2 386 NIR Photomultiplier Module with 14 day warranty
 95   
Cen Hermos Gwy-xs-2896
Cen Hermos Gwy-xs-2896
 300 07    
Mks 325 103250028 Modecell
Mks 325 103250028 Modecell
 150 07    
H-Square NOSPQ1 Vacuum Wand
H-Square NOSPQ1 Vacuum Wand
 8     
Daymarc 90-9600-32 Board Assembly Bin Control 91-6947 MR13467
Daymarc 90-9600-32 Board Assembly Bin Control 91-6947 MR13467
 21   
Blue M 260179 CERAMIC BEADS FOR THE HEATER PACK (PKG 10)
Blue M 260179 CERAMIC BEADS FOR THE HEATER PACK (PKG 10)
 5     
Wika Ultra High Purity Transducer, Model Wuc-10 P# 50733559 S# 11079uoe
Wika Ultra High Purity Transducer, Model Wuc-10 P# 50733559 S# 11079uoe
 45     
RECIF Technologies INTBH0222 Interface Board PCB Nikon NSR System Used Working
RECIF Technologies INTBH0222 Interface Board PCB Nikon NSR System Used Working
 308 18    
A57-016-01 Cable Cylinder Assy
A57-016-01 Cable Cylinder Assy
 52     
Belden 5824637 Cable Switch Assy
Belden 5824637 Cable Switch Assy
 49 33  
Sandvik Capto C5 Indexable Turning Head C5-DCRNR-27060-12 (LOC1014B)
Sandvik Capto C5 Indexable Turning Head C5-DCRNR-27060-12 (LOC1014B)
 99 95    
340-0303// Amat Applied 0040-33487 Guide - Keyboard Right [new]
340-0303// Amat Applied 0040-33487 Guide - Keyboard Right [new]
 1   
Applied Materials 15 Slot Tray 200mm 0020-13995
Applied Materials 15 Slot Tray 200mm 0020-13995
 5     
M and W Systems HX35A-19-DT HX35A Flowrite Heat Exchanger
M and W Systems HX35A-19-DT HX35A Flowrite Heat Exchanger
 7   
Genus 2299-01 Digital Isolator Circuit Board FAB 2299-00
Top-Rated Plus Seller Genus 2299-01 Digital Isolator Circuit Board FAB 2299-00
 139 98    
Toei Electric BS Servo Motor VLBST-Z01030-U 100W 3000r/min Used
Toei Electric BS Servo Motor VLBST-Z01030-U 100W 3000r/min Used
 12   
WATERS Associates Model 430 Conductivity Detector
WATERS Associates Model 430 Conductivity Detector
 26     
715011753001 Lam Upper Baffle Plate
715011753001 Lam Upper Baffle Plate
 12     
Amat 3060-01623 Bearing, Used
Amat 3060-01623 Bearing, Used
 15     
Nickel/Titanium 99 9/0 1 wt%,  % pure, 3 0" dia x 2mm thk
Nickel/Titanium 99 9/0 1 wt%,  % pure, 3 0" dia x 2mm thk
 15     
395-45000-01 Mini IPC 395-45000-01 / Rev A / NOVA
395-45000-01 Mini IPC 395-45000-01 / Rev A / NOVA
 1,8     
Used Hitachi PCB Pair BGAI-I Warranty Fast Shipping
Used Hitachi PCB Pair BGAI-I Warranty Fast Shipping
 45     
Axeclis Techologies 075342 Rf Detecor Module
Axeclis Techologies 075342 Rf Detecor Module
 250 07    
NEW Sixnet Sixtrak ST-DI-024-08 Universal Analog I/O Module *16G5*
NEW Sixnet Sixtrak ST-DI-024-08 Universal Analog I/O Module *16G5*
 6   
DNS Dainippon Screen HLS-MC1A PC-97040A CONTROL BOARD
DNS Dainippon Screen HLS-MC1A PC-97040A CONTROL BOARD
 14     
RECIF Technologies INTAH0281A Interface Board PCB PCB0281A Nikon NSR System Used
RECIF Technologies INTAH0281A Interface Board PCB PCB0281A Nikon NSR System Used
 208 18    
Nordiko N600596EE PCB Module Board
Nordiko N600596EE PCB Module Board
 4   24     
1507440 / Pcb Assy Amu Rmt / Axcelis Technologies
1507440 / Pcb Assy Amu Rmt / Axcelis Technologies
 3,850 82    
2-39-54851 / Fiber Optic Cable / Dns
2-39-54851 / Fiber Optic Cable / Dns
 2,750 97    
142-0502// Amat Applied 0150-35286 Cable Assy Chamber Pneumatics New
142-0502// Amat Applied 0150-35286 Cable Assy Chamber Pneumatics New
 2   
142-0502// Amat Applied 0150-93070 C a  E m c  Cap Assy New
142-0502// Amat Applied 0150-93070 C a  E m c  Cap Assy New
 2   
123-0302// Amat Applied 3930-01005 Speed Cntrl,10-as Ser/in [new]
123-0302// Amat Applied 3930-01005 Speed Cntrl,10-as Ser/in [new]
 2   
350-0302// Amat Applied 0900-01110 Applied Matrials Components [new]
350-0302// Amat Applied 0900-01110 Applied Matrials Components [new]
 2   
1503230 / Pcb Antilog A/d (1403230) / Axcelis Technologies
1503230 / Pcb Antilog A/d (1403230) / Axcelis Technologies
 4,200 82    
Nikon 4S013-013 Interface Board PCB NSR System Used Working
Nikon 4S013-013 Interface Board PCB NSR System Used Working
 302 19    
Kurt J Lesker Molybdenum Target,  Mo, 80% / 3  Dia  x  125 Thick, Part# EJTMOX
Kurt J Lesker Molybdenum Target, Mo, 80% / 3  Dia  x  125 Thick, Part# EJTMOX
 103 50  93 15    
Shinko Electric 3ASSYC010905 DC-DC Converter Board PCB OHT-P2 YEP-1789 Used
Shinko Electric 3ASSYC010905 DC-DC Converter Board PCB OHT-P2 YEP-1789 Used
 311 18    
540243010 / Regulator 1" Uhp Pvdf V782 W/spg End / Frank
540243010 / Regulator 1" Uhp Pvdf V782 W/spg End / Frank
 36     
Omron E2K-C25ME1 Proximity Sensor - P01-000089
Omron E2K-C25ME1 Proximity Sensor - P01-000089
 175   
Shinko Electric 3ASSYC006802 Interface Board PCB OHT-G YEP-1735A Used Working
Shinko Electric 3ASSYC006802 Interface Board PCB OHT-G YEP-1735A Used Working
 311 18    
ASM Heater Emerald 73050-70271 Susceptor Cleaned/Sealed with WARRANTY
ASM Heater Emerald 73050-70271 Susceptor Cleaned/Sealed with WARRANTY
 2,5   2,     
Unit Instruments, Model UFC 1260A, 200 SCCM N2,MASS FLOW CONTROLLER
Unit Instruments, Model UFC 1260A, 200 SCCM N2,MASS FLOW CONTROLLER
 6   
407-0202// Bertan Pmt-20cn-3 Power Supply [used]
407-0202// Bertan Pmt-20cn-3 Power Supply [used]
 6   
NEOCERA MAGMA 303 SQUID Controller
Top-Rated Plus Seller NEOCERA MAGMA 303 SQUID Controller
 795   
LAM: Ceramic Plate 6 inch domed 716-011563-161 (A716-0011563-161)
LAM: Ceramic Plate 6 inch domed 716-011563-161 (A716-0011563-161)
 1,37     
Eurotherm 3508, Process Controller, working
Eurotherm 3508, Process Controller, working
 25     
BTU Engineering 3161161 System I/O Assembly PCB Card 3162280 Used Working
BTU Engineering 3161161 System I/O Assembly PCB Card 3162280 Used Working
 402 19    
Novellus Type 15-120465-01 Quartz Ring Shield 9 1/4" OD 8 1/8" ID
Novellus Type 15-120465-01 Quartz Ring Shield 9 1/4" OD 8 1/8" ID
 285   
Fusion UV Curing Irradiator Model I 300B (200185) [#7]
Fusion UV Curing Irradiator Model I 300B (200185) [#7]
 199   
Disco Filter, Nn2143, Disco P/n Lwlh-920008-00, Nos
Top-Rated Plus Seller Disco Filter, Nn2143, Disco P/n Lwlh-920008-00, Nos
 375   3     
Advantest Wun-h90676air H R 800096275, Blg-024720
Advantest Wun-h90676air H R 800096275, Blg-024720
 77     
03601-96-080 / Flat Panel 2 X 40 / Iee
03601-96-080 / Flat Panel 2 X 40 / Iee
 120 82    
Tokyo Electron 3m81-022515-11 Throttle Valve Controller Card
Tokyo Electron 3m81-022515-11 Throttle Valve Controller Card
 6     
Amat Applied Materials Vacuum Fitting 0050-62843/002 New
Amat Applied Materials Vacuum Fitting 0050-62843/002 New
 26     
Daymarc 93-1497-01 Keypad Assembly S7031810
Daymarc 93-1497-01 Keypad Assembly S7031810
 125   
Daymarc 91-5250-01 Circuit Board Assembly Sensor Distributor MR13476
Daymarc 91-5250-01 Circuit Board Assembly Sensor Distributor MR13476
 145   
LSA Anodic 04-712094-01 Shield AYP/P Ram Novellus 0471209401
LSA Anodic 04-712094-01 Shield AYP/P Ram Novellus 0471209401
 95   
0 28" LED DC  0-100V Digital Voltmeter Panel Meter Green COLOR
0 28" LED DC 0-100V Digital Voltmeter Panel Meter Green COLOR
 2 23  2 10    
Daninippon Screen Ds-1608-tr(b) Lot Of 3
Daninippon Screen Ds-1608-tr(b) Lot Of 3
 180 07    
17063730 / Lift Ring Vacuum Disk /  Eaton
17063730 / Lift Ring Vacuum Disk / Eaton
 1,500 68    
Crossing Automation 3200-4418-03 Control Board
Crossing Automation 3200-4418-03 Control Board
 12     
Wonik 1105-201185-31 224/External Torch Chamber 110520118531 Ser# C7491-1
Wonik 1105-201185-31 224/External Torch Chamber 110520118531 Ser# C7491-1
 349 89  
BOC Edwards 87242-1 Aluminum Screen Shield 14" OD 8-11/16" ID 2-11/16" Width
BOC Edwards 87242-1 Aluminum Screen Shield 14" OD 8-11/16" ID 2-11/16" Width
 325   
Eaton 266092 Guide Bracket Assembly Aluminum Eaton/Axcelis
Eaton 266092 Guide Bracket Assembly Aluminum Eaton/Axcelis
 298 50  
Candela Instruments DTD Controller Assy 0737 REV 2
Candela Instruments DTD Controller Assy 0737 REV 2
 166 73    
Disco Photo Interrupter Sensor, P/n Dbbc0990002-00, 2 Each, Nos
Disco Photo Interrupter Sensor, P/n Dbbc0990002-00, 2 Each, Nos
 225     
Quartz 16942-01 Quartz Joint Socket 1 5" Branson Type
Quartz 16942-01 Quartz Joint Socket 1 5" Branson Type
 465 50  
Hine Design Flat Panel Display - Vacuum Cassette Indexer Operations Manual
Hine Design Flat Panel Display - Vacuum Cassette Indexer Operations Manual
 12     
Shinko Electric MA26501 Interface Board PCB Working Surplus
Shinko Electric MA26501 Interface Board PCB Working Surplus
 151 19    
Lam 810-802902-033 Motherboard Node 2
Lam 810-802902-033 Motherboard Node 2
 99     
Ebara Precision™ POR Flange Stopper BLB-1 No 4 (UK) C-3532=234-0011
Ebara Precision™ POR Flange Stopper BLB-1 No 4 (UK) C-3532=234-0011
 245 28    
Multi-amp Alfa-10 Automatic Power Factor Test Set Cat # 810280  (qc8)
Multi-amp Alfa-10 Automatic Power Factor Test Set Cat # 810280 (qc8)
 6,5     
ADVANCED DICING TECHNOLOGIES Motor Driver 07100-2100-000-00, 07100-2105-000-00
ADVANCED DICING TECHNOLOGIES Motor Driver 07100-2100-000-00, 07100-2105-000-00
 5   
350-0202// Brllks 001-5628-02 Gauge Block [new]
350-0202// Brllks 001-5628-02 Gauge Block [new]
 1   
Norton Wheel 18bb-11-32b69-5mm  New
Norton Wheel 18bb-11-32b69-5mm New
 414   
Vexta PWS,PCB Converter VMTBH3500 A VST/H3500A
Vexta PWS,PCB Converter VMTBH3500 A VST/H3500A
 1   
ASML 4022 668 66071 Cable
ASML 4022 668 66071 Cable
 25     
Fusion UV Curing Irradiator Model I 300B (200185) [#8]
Fusion UV Curing Irradiator Model I 300B (200185) [#8]
 199   
Jst Dt921gm Monitor Panel
Jst Dt921gm Monitor Panel
 119 95    
Brooks Techware BRD-ARD-VMED-C Board
Brooks Techware BRD-ARD-VMED-C Board
 2   135     
Yaskawa SGMPH-08A1A2C AC Servo Motor Shimpo Gearhead VRSF-PB-S9D-750-YF
Yaskawa SGMPH-08A1A2C AC Servo Motor Shimpo Gearhead VRSF-PB-S9D-750-YF
 35   
Applied Materials 0020-30347 Cylinder, Ext  Anode
Applied Materials 0020-30347 Cylinder, Ext  Anode
 33     
010-0102// Pearl Kogyo Rp-1000-13m Generator [asis]
010-0102// Pearl Kogyo Rp-1000-13m Generator [asis]
 4,   
?ASML WTCB Mat No  4022 472 72572 Connector Board ASML??Fast DHL/EMS Shipping???
?ASML WTCB Mat No  4022 472 72572 Connector Board ASML??Fast DHL/EMS Shipping???
 399     
Edwards Y14204000 Temperature Management System, Used
Edwards Y14204000 Temperature Management System, Used
 5     
Danfoss 131B3600 HVAC Drive with 30 day warranty
Danfoss 131B3600 HVAC Drive with 30 day warranty
 1,9   
Hitachi ZVC018-0 Interface Board ZVC018-B00 PCB ZVC018-BA0 Used Working
Hitachi ZVC018-0 Interface Board ZVC018-B00 PCB ZVC018-BA0 Used Working
 305 17    
Bruce 3130033 Relay Board, PCB Assembly, Working When Removed
Top-Rated Plus Seller Bruce 3130033 Relay Board, PCB Assembly, Working When Removed
 225     
Bruce 3161301, Battery Backup E2 Memory, PCB Assembly, Working When Removed
Top-Rated Plus Seller Bruce 3161301, Battery Backup E2 Memory, PCB Assembly, Working When Removed
 15     
TLC TC2350 Power Condition Input 208 Output 240/120 *used working
TLC TC2350 Power Condition Input 208 Output 240/120 *used working
 5   35     
MATTSON Bias Match 553-21146-00 RFS 233041-01
MATTSON Bias Match 553-21146-00 RFS 233041-01
 2,6   
Applied PERSONALITY BOARD ASSY 0660-01634 REV  A / Free Expedited Shipping
Applied PERSONALITY BOARD ASSY 0660-01634 REV  A / Free Expedited Shipping
 499   
DryTek 2800391E Dual Driver Module Board - General Signal
Top-Rated Plus Seller DryTek 2800391E Dual Driver Module Board - General Signal
 219 98    
SVG Silicon Valley Group 851-8220-011 Processor PCB Card Rev  A ASML 90S Used
SVG Silicon Valley Group 851-8220-011 Processor PCB Card Rev  A ASML 90S Used
 310 18    
Asm Technology 2520834-21 Pwba Interconnect Robot Pcb Board
Asm Technology 2520834-21 Pwba Interconnect Robot Pcb Board
 25     
Siemens  Pump  95-265V, 50/60Hz , Chem-Ad Series B
Siemens Pump 95-265V, 50/60Hz , Chem-Ad Series B
 125     
Amat  0020-26312-05-38 Upper Shield
Amat 0020-26312-05-38 Upper Shield
 35     
Electrotech Cpu Pcb, P/n Cb3971
Top-Rated Plus Seller Electrotech Cpu Pcb, P/n Cb3971
 95   76     
SVG Silicon Valley Group 858-8163-003 Processor PCB Card Rev  C 90S Used Working
SVG Silicon Valley Group 858-8163-003 Processor PCB Card Rev  C 90S Used Working
 410 18    
Hitachi 549-5525 RPSCONT2 Interface Board PCB 25496725 Used Working
Hitachi 549-5525 RPSCONT2 Interface Board PCB 25496725 Used Working
 404 17    
Air Products CRSD 1537 Digital Processor Board PCB Card CRSD1537 Used Working
Air Products CRSD 1537 Digital Processor Board PCB Card CRSD1537 Used Working
 203 17    
Hitachi HT98312A Interface Connector Board PCB BD12 Ver  A1 Used Working
Hitachi HT98312A Interface Connector Board PCB BD12 Ver  A1 Used Working
 211 18    
Aurora Biosciences 00006441 Sensor/Power Board PCB Used Working
Aurora Biosciences 00006441 Sensor/Power Board PCB Used Working
 151 19    
Perkin-Elmer 851-8242-006 Processor PCB Card Rev  N SVG ASML 90S Used Working
Perkin-Elmer 851-8242-006 Processor PCB Card Rev  N SVG ASML 90S Used Working
 410 18    
Amat 0190-01871 Interlock Module Ccm 300mm Dps, New
Amat 0190-01871 Interlock Module Ccm 300mm Dps, New
 1,8     
105195001 / Xp Current Integrator / Varian
105195001 / Xp Current Integrator / Varian
 9,500 88    
Opal 30613435000 PIL Board PCB 1212 373562 Used Working
Opal 30613435000 PIL Board PCB 1212 373562 Used Working
 202 19    
Lot of 2 AMAT Applied Materials 8 KV Connector 220550583
Lot of 2 AMAT Applied Materials 8 KV Connector 220550583
 59   
Kurt J Lesker Molybdenum Target,  Mo, 90% / 3  Dia  x  125 Thick, Part# EJTMOX
Kurt J Lesker Molybdenum Target, Mo, 90% / 3  Dia  x  125 Thick, Part# EJTMOX
 103 50  93 15    
Tokyo Electron Tel 3208-000141-12 3281-000141-12 Pcb Indexer Base 97 Board Card
Tokyo Electron Tel 3208-000141-12 3281-000141-12 Pcb Indexer Base 97 Board Card
 399     
Hyunam HPR-2004 Pop Data Terminal/Controller HPR2004 for PARTS No Power (AS/IS)
Hyunam HPR-2004 Pop Data Terminal/Controller HPR2004 for PARTS No Power (AS/IS)
 3     
Air Products CRSD 1037 COMO Processor Board PCB Used Working
Air Products CRSD 1037 COMO Processor Board PCB Used Working
 303 18    
Amat 3700-07129 O-ring, F-972, 101  Inch Id , 2
Amat 3700-07129 O-ring, F-972, 101  Inch Id , 2
 5     
Daifuku LED-3695A LED Display and Connector Board PCB Used Working
Daifuku LED-3695A LED Display and Connector Board PCB Used Working
 202 19    
AE(APPLIED ENERGY TECHNOLOGY)  EPS 200 / Power on tested
AE(APPLIED ENERGY TECHNOLOGY) EPS 200 / Power on tested
 8     
Hitachi 564-5515 EMO CNT Interface Board PCB 25646715 Used Working
Hitachi 564-5515 EMO CNT Interface Board PCB 25646715 Used Working
 304 17    
Crossing Automation 3200-4454 PCB
Crossing Automation 3200-4454 PCB
 14     
Omicron PI_S V4
Omicron PI_S V4
 179   
RECIF Technologies PWRAH0117A Power Interface Board PCB PCB0117A Used Working
RECIF Technologies PWRAH0117A Power Interface Board PCB PCB0117A Used Working
 255 17    
Amat 3800-01116 Rgltr Air Press 7-100psig
Amat 3800-01116 Rgltr Air Press 7-100psig
 5     
Neodymium sputtering target Nd 99 9% 2" diameter x 0 25"  thick: ACI ALLOYS
Neodymium sputtering target Nd 99 9% 2" diameter x 0 25" thick: ACI ALLOYS
 45   
Array Technologies SMB3ITX-00 I/O Board SMB3ITX00
Array Technologies SMB3ITX-00 I/O Board SMB3ITX00
 380 33  
GTC L112-DV2  Diaphragm Valves L112 High Pressure (New)
GTC L112-DV2 Diaphragm Valves L112 High Pressure (New)
 87     
RECIF Technologies STDAH0237A Pneumatic Output Board PCB INTAH0281A Used Working
RECIF Technologies STDAH0237A Pneumatic Output Board PCB INTAH0281A Used Working
 355 17    
Disco KN-006 UA-001900 INPUT board
Disco KN-006 UA-001900 INPUT board
 229   
SMC 139007 Safety Switch
SMC 139007 Safety Switch
 90 33  
New Gems Sensors 25329 Level Switch *free Shipping*
New Gems Sensors 25329 Level Switch *free Shipping*
 49 50    
BTU Engineering 3162024 7900 Backplane Board PCB 3162020 Used Working
BTU Engineering 3162024 7900 Backplane Board PCB 3162020 Used Working
 212 18    
TOKYO ELECTRON 2507441-001 Stop Plate  55 SVGA-x
TOKYO ELECTRON 2507441-001 Stop Plate  55 SVGA-x
 15   75     
Pearl Kogyo LP-2000-800KBXE
Pearl Kogyo LP-2000-800KBXE
 4,     
Nikon Step And Reapeat System
Nikon Step And Reapeat System
 6,     
Sandvik 4167115-0001 SS Furnace Collar Used Working
Sandvik 4167115-0001 SS Furnace Collar Used Working
 308 18    
136-0601// Amat Applied 0010-76952 Assy, Ihc Chamber A, B, And C, Used
136-0601// Amat Applied 0010-76952 Assy, Ihc Chamber A, B, And C, Used
 3,   
Siemens 002-8276-02 Circuit Board PCB Reseller Lot of 11 Used Working
Siemens 002-8276-02 Circuit Board PCB Reseller Lot of 11 Used Working
 278 16    
Festo Control Systems 0010-30955 Facility Interface, Ps, Working
Festo Control Systems 0010-30955 Facility Interface, Ps, Working
 6     
Leybold Ag Sv110
Leybold Ag Sv110
 5   
Daifuku SPR-3636A Interface Board PCB Used Working
Daifuku SPR-3636A Interface Board PCB Used Working
 406 18    
SMC VACUUM REGULATOR T230-1-03G 100 SET PRSS 1 3kPA
SMC VACUUM REGULATOR T230-1-03G 100 SET PRSS 1 3kPA
 79 80  
National Instruments NPB-536C PCB Board Reseller Lot of 3 Used Working
National Instruments NPB-536C PCB Board Reseller Lot of 3 Used Working
 308 15    
BTU Engineering 3161181 Video Assembly PCB Card 3161180 Used Working
BTU Engineering 3161181 Video Assembly PCB Card 3161180 Used Working
 402 19    
RECIF Technologies STDAH0347D Interface Board PCB PCB0347B Working Surplus
RECIF Technologies STDAH0347D Interface Board PCB PCB0347B Working Surplus
 305 17    
EH Lift Sensor Assembly 90428244
Top-Rated Plus Seller EH Lift Sensor Assembly 90428244
 8   32 40    
Riken Keiki Rkp-62069 Gas Monitor Lot Of 2
Riken Keiki Rkp-62069 Gas Monitor Lot Of 2
 100 07    
Jst Dt921e Switch Panel
Jst Dt921e Switch Panel
 99 95    
Chjtjt2000kekt-644579gr / Heater Starflow / Watlow
Chjtjt2000kekt-644579gr / Heater Starflow / Watlow
 2,73     
Fuji Electric Circuit Protector / CP33VM/15N
Fuji Electric Circuit Protector / CP33VM/15N
 15     
RECIF Technologies DISDH0132A Interface Board PCB PCB0132A Used Working
RECIF Technologies DISDH0132A Interface Board PCB PCB0132A Used Working
 255 17    
Futurestar 176-018 Pathfinder Flow Meter
Futurestar 176-018 Pathfinder Flow Meter
 5     
Futurestar 149-01000 Pathfinder Flow Meter
Futurestar 149-01000 Pathfinder Flow Meter
 5     
Amat 0820-00154 Sensor, Liquid Level, Entegris Es-sp-113
Amat 0820-00154 Sensor, Liquid Level, Entegris Es-sp-113
 5     
Part 81176 Clamp Bracket (Pack of 3)
Part 81176 Clamp Bracket (Pack of 3)
 52 61  
Wallac Sensor Board RXA 1055 5110 A
Wallac Sensor Board RXA 1055 5110 A
 92 68    
Kondoh Kohsya SP3-PCB(KG) NAND Gate Interface Board PCB Used Working
Kondoh Kohsya SP3-PCB(KG) NAND Gate Interface Board PCB Used Working
 203 18    
Schilfknecht Elektronik Teaching Pendant 4372 07042/841061 Version RS232 Used
Schilfknecht Elektronik Teaching Pendant 4372 07042/841061 Version RS232 Used
 69   
Kondoh Kohsya MA3-PCB(KG) Adjustable Amplifier Interface Board PCB Used Working
Kondoh Kohsya MA3-PCB(KG) Adjustable Amplifier Interface Board PCB Used Working
 303 18    
Contactor; 74-303570-4; "USED"
Contactor; 74-303570-4; "USED"
 16     
6MBI30F-060, Fuji Electric, 30A 600V IGBT MODULE
6MBI30F-060, Fuji Electric, 30A 600V IGBT MODULE
 210     
Kondoh Kohsya PU3-PCB(KG) Power Supply Board PCB Cosel ZUW62412 Used Working
Kondoh Kohsya PU3-PCB(KG) Power Supply Board PCB Cosel ZUW62412 Used Working
 203 18    
Alphasem AG AS267-1-01 Power Interface Board PCB AS267-1 Used Working
Alphasem AG AS267-1-01 Power Interface Board PCB AS267-1 Used Working
 254 17    
Amat 0010-03290 Cu Drawer Lower Assembly
Amat 0010-03290 Cu Drawer Lower Assembly
 4,5     
Disco / Lintec Cold Mirror B, P/n Lnmu-010013-00, Model S3b6349a, New Old Stock
Disco / Lintec Cold Mirror B, P/n Lnmu-010013-00, Model S3b6349a, New Old Stock
 13   
Fujikin Diaphragm Valve C No 026502
Fujikin Diaphragm Valve C No 026502
 9     
Kaijo 5-f4-72615 Chuck Pin,pvcf Lot Of 3 , New
Kaijo 5-f4-72615 Chuck Pin,pvcf Lot Of 3 , New
 15     
Blue M F892 FLOW METER
Blue M F892 FLOW METER
 5     
Ltx Credence 865-2289-00 Pca Sms Digital Wippmc750 Board (ict) *look* (ref : 1g)
Ltx Credence 865-2289-00 Pca Sms Digital Wippmc750 Board (ict) *look* (ref : 1g)
 399     
EMS10-200-2-0674C EMS Power Supply  (Not good Condition)
EMS10-200-2-0674C EMS Power Supply (Not good Condition)
 52     
Alphasem AG AS264-2-01 Relay Board PCB AS264-2 Used Working
Alphasem AG AS264-2-01 Relay Board PCB AS264-2 Used Working
 254 17    
Dainippon Screen Swcs-d10-1 Board 6vc0736801 Spin Box Controller
Dainippon Screen Swcs-d10-1 Board 6vc0736801 Spin Box Controller
 250 95    
NEW ASML 4022 454 71022 Connecting Tube BP Assy FREE SHIPPING B
NEW ASML 4022 454 71022 Connecting Tube BP Assy FREE SHIPPING B
 55   467 50    
*PREOWNED* GE Panametrics MTS5-351-10 Moisture Target Meter Series 5 + Warranty!
*PREOWNED* GE Panametrics MTS5-351-10 Moisture Target Meter Series 5 + Warranty!
 75     
Lam Research 715-153067-002 Rev D,853-169779-211 B,1034325-20-11-0002,US^7551
Lam Research 715-153067-002 Rev D,853-169779-211 B,1034325-20-11-0002,US^7551
 359     
Justek Jted-1000 Unipolator, Used
Justek Jted-1000 Unipolator, Used
 2     
ASML 4022 668 73011 Cable
ASML 4022 668 73011 Cable
 25     
Fusion UV Curing Irradiator Model I 300B (200185) [#12]
Fusion UV Curing Irradiator Model I 300B (200185) [#12]
 199   
DryTek 2800391E Dual Driver Board
Top-Rated Plus Seller DryTek 2800391E Dual Driver Board
 189 98    
Perkin-Elmer 851-8242-006 Processor PCB Card Rev  J SVG ASML 90S Used Working
Perkin-Elmer 851-8242-006 Processor PCB Card Rev  J SVG ASML 90S Used Working
 410 18    
Litrex 80  L IIJ Piezo micro deposition printer
Litrex 80  L IIJ Piezo micro deposition printer
 23,488     
FSI 905143-025 Rev C Cable - FSI Polaris
FSI 905143-025 Rev C Cable - FSI Polaris
 52 50  26 25    
99-43012-01 / Assy Arm Random 7in z / Svg
99-43012-01 / Assy Arm Random 7in z / Svg
 1,450 98    
Ushio Pe-250u5sv2 Interface
Ushio Pe-250u5sv2 Interface
 6     
Elco PMC30 Switching Regulator
Elco PMC30 Switching Regulator
    
RECIF Technologies PCOFH2500B STD Processor Board PCB STDH2500A Used Working
RECIF Technologies PCOFH2500B STD Processor Board PCB STDH2500A Used Working
 355 17    
1505504 Control, MiControl / mcDSA-E6-Modul / 3232836 / miControl
1505504 Control, MiControl / mcDSA-E6-Modul / 3232836 / miControl
 52     
Cosel PAA50F-5-N 5V10A Power Supply
Cosel PAA50F-5-N 5V10A Power Supply
 22     
Pemstar Gas Line - 0050-41415 w/Swaglok VCR Cap
Pemstar Gas Line - 0050-41415 w/Swaglok VCR Cap
 85   
Dns Dinippon Screen Quartz Bath
Dns Dinippon Screen Quartz Bath
 2,22     
New Parker Veriflo 945AOPLPNCSFSFF Diaphragm Valve P/N 45300219
New Parker Veriflo 945AOPLPNCSFSFF Diaphragm Valve P/N 45300219
 575     
RECIF Technologies STDAH0130C Interface Board PCB Nikon NSR System Used Working
RECIF Technologies STDAH0130C Interface Board PCB Nikon NSR System Used Working
 308 18    
HP Hewlett-Packard 10740-60001 Backplane Coupler Board PCB Used Working
HP Hewlett-Packard 10740-60001 Backplane Coupler Board PCB Used Working
 207 18    
SVG Silicon Valley Group 99-80323-01 Vacuum Sensor Board PCB Rev  C Used Working
SVG Silicon Valley Group 99-80323-01 Vacuum Sensor Board PCB Rev  C Used Working
 152 19    
Micro-aide Pcb 80-0023 Rev B
Micro-aide Pcb 80-0023 Rev B
 9     
Horibastec Sec-4600r Mass Flow Controller 100 Lm
Horibastec Sec-4600r Mass Flow Controller 100 Lm
 220 07    
RECIF Technologies STDAH0347C Interface Board PCB PCB0347B Nikon NSR Working
RECIF Technologies STDAH0347C Interface Board PCB PCB0347B Nikon NSR Working
 308 18    
SCREEN SL-2210-FC-Z NETWORK MODULES lot of 3
SCREEN SL-2210-FC-Z NETWORK MODULES lot of 3
 199 95    
Scp Global Thechnology Mcs 6 Cable Box 3270251a Lot Of 2
Scp Global Thechnology Mcs 6 Cable Box 3270251a Lot Of 2
 100 95    
Thermocarbon Dicemaster 4 6BA-30S Flange
Thermocarbon Dicemaster 4 6BA-30S Flange
 15     
23SOBPCB702 Board, 23SOB0702 / SUB~PCB
23SOBPCB702 Board, 23SOB0702 / SUB~PCB
 65     
Svg T/c Amplifier Board 600059-06 6 Input
Svg T/c Amplifier Board 600059-06 6 Input
 22   
AMAT 0020-22584 Resistivity Meter Dot Two
AMAT 0020-22584 Resistivity Meter Dot Two
 225     
NEW Cosense/Measurement Specialties 091-20201 Transmitter/Controller??
NEW Cosense/Measurement Specialties 091-20201 Transmitter/Controller??
 34   
G167227 Anelva H11-10417 Rack-Mount Process Controller
G167227 Anelva H11-10417 Rack-Mount Process Controller
 3     
[Used] DeviceNet / 1-800-544-7769 / Hub with Voltage Monitor, 1pcs
[Used] DeviceNet / 1-800-544-7769 / Hub with Voltage Monitor, 1pcs
 198     
AMAT 1040-00073 FLOW METER 5-75cc/min FUTURESTAR 118-00075
AMAT 1040-00073 FLOW METER 5-75cc/min FUTURESTAR 118-00075
 35     
PA Scale 57018-2 Display Board PCB 570182
PA Scale 57018-2 Display Board PCB 570182
 256 33  
Air Products CRSD1244 Backplane Board PCB Card CRSD 1244 Used Working
Air Products CRSD1244 Backplane Board PCB Card CRSD 1244 Used Working
 154 17    
Canon Bh8-3269-01, Bm1-2465
Canon Bh8-3269-01, Bm1-2465
 25   
NOS QualiTau Oven Model O-250
NOS QualiTau Oven Model O-250
 4,9     
99400-00 / Case Canon Specific Multi Retic / Microntome
99400-00 / Case Canon Specific Multi Retic / Microntome
 3,550 82    
Eeja Cp-006 Middle Ring Cup E393-0714t New
Eeja Cp-006 Middle Ring Cup E393-0714t New
 44     
SMC ITV0010-2UN E/P Regulator
Top-Rated Plus Seller SMC ITV0010-2UN E/P Regulator
 12   
Tokyo Electron Tel 3281-000035-19 Tvb3001-1 Sti Pcb Board Card
Tokyo Electron Tel 3281-000035-19 Tvb3001-1 Sti Pcb Board Card
 1,299     
MKS BARATRON PRESSURE SWITCH 51A52TCA1BA150 500Torr/150Torr
MKS BARATRON PRESSURE SWITCH 51A52TCA1BA150 500Torr/150Torr
 18     
Fujikin N c Gas Valve 0 34~0 49mpa
Fujikin N c Gas Valve 0 34~0 49mpa
 1     
Yaskawa Electric Sgmcs-8zrca-eb11 Ac Servo Motor E189792, Working
Yaskawa Electric Sgmcs-8zrca-eb11 Ac Servo Motor E189792, Working
 7,     
+ Burling 3240-k5-2-0003 Supply Volts 24 V Ac Type K
+ Burling 3240-k5-2-0003 Supply Volts 24 V Ac Type K
 44   255 20    
AMAT Applied Materials Analog Signal Conditioner 0100-00049
AMAT Applied Materials Analog Signal Conditioner 0100-00049
 52     
SVG Silicon Valley Group 851-8220-011 Processor PCB Card Rev  H ASML 90S Used
SVG Silicon Valley Group 851-8220-011 Processor PCB Card Rev  H ASML 90S Used
 309 18    
421-0202// Sanyo Denki 103h5505-80e1 Denki Motor [used/fast]
421-0202// Sanyo Denki 103h5505-80e1 Denki Motor [used/fast]
 2   
Omron #0176 Interface Board PCB Used Working
Omron #0176 Interface Board PCB Used Working
 310 18    
LSA Anodic 04-712094-02 Shield AY, L/L Ram Novellus 0471209402
LSA Anodic 04-712094-02 Shield AY, L/L Ram Novellus 0471209402
 95   
Tokyo Electron 3z10-200556-v1 Gas Inlet-wp Block
Tokyo Electron 3z10-200556-v1 Gas Inlet-wp Block
 150 95    
Dsd Electronics Dsd-30f Parts Feeder Controller, Used
Dsd Electronics Dsd-30f Parts Feeder Controller, Used
 3     
HWASUNG Lead Cutter & Dipping M/C HSL 15000A
HWASUNG Lead Cutter & Dipping M/C HSL 15000A
 1,2     
Orbotech camera w/ Lens Schneider-Kreuznach
Orbotech camera w/ Lens Schneider-Kreuznach
 189     
Shinko HASSYC806402 Recovery Board PCB M174-1 OHT-CAP2 Single Module Used
Shinko HASSYC806402 Recovery Board PCB M174-1 OHT-CAP2 Single Module Used
 312 18    
Adept Technologies SmartDIO 30356-30000 Rev F with 14 day warranty
Adept Technologies SmartDIO 30356-30000 Rev F with 14 day warranty
 495   
114-0401// Amat Applied 0020-32290 Panel Exp Rs232/delta Match In [new]
114-0401// Amat Applied 0020-32290 Panel Exp Rs232/delta Match In [new]
 43   
Amat 0010-19312 Gear Assembly (missing Seal Cover & Pulley)
Amat 0010-19312 Gear Assembly (missing Seal Cover & Pulley)
 2,9     
Asymtek D-553
Asymtek D-553
 16,999     
813-59-003 / Screw Pushrod Assy / Metron Technology
813-59-003 / Screw Pushrod Assy / Metron Technology
 1,328 23    
Liebert 415541G1 Interface Relay Board PCB Rev  0 Used Working
Liebert 415541G1 Interface Relay Board PCB Rev  0 Used Working
 303 19    
TEL Tokyo Electron 1B80-002393-12 CMC Add On Board (80/80) PCB Used Working
TEL Tokyo Electron 1B80-002393-12 CMC Add On Board (80/80) PCB Used Working
 302 19    
Parker Veriflo SQ60502PVCRMMPM 45200237EV Pressure Regulator
Parker Veriflo SQ60502PVCRMMPM 45200237EV Pressure Regulator
 11     
Lot Of 17 Tube Fittings
Lot Of 17 Tube Fittings
 28 45  26 17    
Lam 810-000667-001 Tcg Interface
Lam 810-000667-001 Tcg Interface
 275     
SPAN LR-050 Digital Indicator, NEW
SPAN LR-050 Digital Indicator, NEW
 89     
Vexta C5335-9212m 2-phase Stepping Motor
Vexta C5335-9212m 2-phase Stepping Motor
 55     
Wgfg40hp1 / Tel: Ct024-012470-1 F-40 Waferguard 0 2micron 2-015 Nose / Millpore
Wgfg40hp1 / Tel: Ct024-012470-1 F-40 Waferguard 0 2micron 2-015 Nose / Millpore
 742     
Fujikura Bf Cylinder, Model Fcd-50-16, New Old Stock
Fujikura Bf Cylinder, Model Fcd-50-16, New Old Stock
 185     
Swagelok Tube Fitting Connector,  SS-8-VCR-A1-810
Swagelok Tube Fitting Connector, SS-8-VCR-A1-810
 25   
Magpowr Digital Tension Readout Dtr
Magpowr Digital Tension Readout Dtr
 199 90  
Ultratech Stepper 03-15-04253 Power Driver Backplane Board PCB Used Working
Ultratech Stepper 03-15-04253 Power Driver Backplane Board PCB Used Working
 260 18    
Smc Dmk6p-23 Fitting Quick Connect Plug Side 6 Port
Smc Dmk6p-23 Fitting Quick Connect Plug Side 6 Port
 4     
Cable, Assy, 0140-11614, With Pigtail, 0140-11622
Cable, Assy, 0140-11614, With Pigtail, 0140-11622
 15     
HP / Agilent E5515- 60221-3947-01-200014-81073 PCB Board Made in USA
HP / Agilent E5515- 60221-3947-01-200014-81073 PCB Board Made in USA
 96 19    
Ct5085-412118-12 / Fork, (200) Assy Cha / Tokyo Electron Tel
Ct5085-412118-12 / Fork, (200) Assy Cha / Tokyo Electron Tel
 4,400 82    
Bruce Technologies 3161351V04 Video Display Processor PCB Card 3161350 BTU Used
Bruce Technologies 3161351V04 Video Display Processor PCB Card 3161350 BTU Used
 402 19    
Patlite MES-A & MES-02A Signal Towers 5 5” Lot of 2
Patlite MES-A & MES-02A Signal Towers 5 5” Lot of 2
 75   
CA345-32120 Carriage Fi Mechanism W/P
CA345-32120 Carriage Fi Mechanism W/P
 25   
RECIF Technologies DISAH0482 A Interface Board PCB PCB0482 D Used Working
RECIF Technologies DISAH0482 A Interface Board PCB PCB0482 D Used Working
 405 17    
Adept Technologies T1 Pendant 10m 05215-010 Rev A with 14 day warranty
Adept Technologies T1 Pendant 10m 05215-010 Rev A with 14 day warranty
 1,25   
Pisco PML 1/4 , ELBOW
Pisco PML 1/4 , ELBOW
 45     
Balzers BG 541 037 T Transport Control PCB Board BG 542 485A Used Working
Balzers BG 541 037 T Transport Control PCB Board BG 542 485A Used Working
 407 16    
Applied Materials AMAT 0020-34840 Rev A Manifold Out Chamber New
Top-Rated Plus Seller Applied Materials AMAT 0020-34840 Rev A Manifold Out Chamber New
 299 98    
Wonik Quartz Injector  1105-200269-14 Rev A 50"
Wonik Quartz Injector 1105-200269-14 Rev A 50"
 6     
ELEKTRA-LINDAU Elektrische Heizsysteme Heating System
ELEKTRA-LINDAU Elektrische Heizsysteme Heating System
 175   
Schumacher 1000000463-001 Rev B Cabinet Controller Board
Schumacher 1000000463-001 Rev B Cabinet Controller Board
 300 95    
STI 51552-0100 SSLE10 Single w/ STD STI-SSLE55 Prosafe, 452674
STI 51552-0100 SSLE10 Single w/ STD STI-SSLE55 Prosafe, 452674
 395   
Parker Gsc-6-p-st High Purity Fitting Union, Tube, Bulkhead, Pfa
Parker Gsc-6-p-st High Purity Fitting Union, Tube, Bulkhead, Pfa
 4     
0100-77038 / Assy, Interlock #2 / Applied Materials Amat
0100-77038 / Assy, Interlock #2 / Applied Materials Amat
 1,678 43    
M and W Systems 017-018-0241 10" x 2 7/8" Deionizing Filter
M and W Systems 017-018-0241 10" x 2 7/8" Deionizing Filter
 199   
Pillar P-pmmcw8-8a Fitting Tubing Connector Bulkhead 1" T X 1" Npt Super 300 Pfa
Pillar P-pmmcw8-8a Fitting Tubing Connector Bulkhead 1" T X 1" Npt Super 300 Pfa
 4     
OCEAN OPTICS SMA 905 termination cable A1S2B6#1L0
OCEAN OPTICS SMA 905 termination cable A1S2B6#1L0
 2     
Edwards Active Gauge D14545000 High Vacuum Int
Edwards Active Gauge D14545000 High Vacuum Int
 1     
Amat 0010-19001 300mm Input Ldm
Amat 0010-19001 300mm Input Ldm
 3,8     
AMAT 0020-34173 Cover Shield Side Hinge R2
AMAT 0020-34173 Cover Shield Side Hinge R2
 75     
Alphasem AG AS485-0-02 Interface Board PCB AS485-0 Used Working
Alphasem AG AS485-0-02 Interface Board PCB AS485-0 Used Working
 154 17    
ASML 4022 668 66161 Cable
ASML 4022 668 66161 Cable
 25     
Fusion UV Curing Irradiator Model I 300B (200185) [#4]
Fusion UV Curing Irradiator Model I 300B (200185) [#4]
 199   
Perkin-Elmer 851-8242-006 Processor PCB Card Rev  L SVG ASML 90S Used Working
Perkin-Elmer 851-8242-006 Processor PCB Card Rev  L SVG ASML 90S Used Working
 410 18    
A-PRO APP-1373V3 variable power supply, USED
A-PRO APP-1373V3 variable power supply, USED
 4     
Amat Applied Materials vacuun fitting 0050-45479 new
Amat Applied Materials vacuun fitting 0050-45479 new
 36     
Scp 5300974a-00 Lid Assy R-l-r For Quartz Dome Hinged Long Side Double 8" New
Scp 5300974a-00 Lid Assy R-l-r For Quartz Dome Hinged Long Side Double 8" New
 25     
Amat Applied Materials Vacuum Fitting 0050-18680 New
Amat Applied Materials Vacuum Fitting 0050-18680 New
 26     
Amat Applied Materials 0050-41050 Rev 00 Vacuum Fitting New
Amat Applied Materials 0050-41050 Rev 00 Vacuum Fitting New
 35     
Amat Applied Materials Vacuum Fitting 0050-42135 New
Amat Applied Materials Vacuum Fitting 0050-42135 New
 24     
TEL - Tokyo Electron Ltd  3M80-001490-11  SW300B/DIFF  P  BOARD
TEL - Tokyo Electron Ltd  3M80-001490-11 SW300B/DIFF  P  BOARD
 11     
Altera Cyclone P1GBV06-0094 Card, USED
Altera Cyclone P1GBV06-0094 Card, USED
 5     
MOELLER Contact DIL M250 110-250V DC Universal, #2100-256-0550-01
MOELLER Contact DIL M250 110-250V DC Universal, #2100-256-0550-01
 11     
RECIF Technologies INTAH0251 Lighting Interface Board PCB PCB0251 Used Working
RECIF Technologies INTAH0251 Lighting Interface Board PCB PCB0251 Used Working
 155 17    
Perkin-Elmer 851-8552-004 Processor PCB Card Rev  F SVG ASML 90S Used Working
Perkin-Elmer 851-8552-004 Processor PCB Card Rev  F SVG ASML 90S Used Working
 310 18    
Telemark Cryogenics Interface Board Rev B For Water Vapor Cryotrap New
Telemark Cryogenics Interface Board Rev B For Water Vapor Cryotrap New
 212     
JETTER D32 PNP Remote Expansion Module, USED
JETTER D32 PNP Remote Expansion Module, USED
 18     
Approx 120 Molex 99024-0018 pieces new
Top-Rated Plus Seller Approx 120 Molex 99024-0018 pieces new
 60 88  
Hp Indigo Ca254-02702 Ca256-00186 Scr Module
Hp Indigo Ca254-02702 Ca256-00186 Scr Module
 4     
Tokyo Electron Le1a86-101362-11 Cable Unit
Tokyo Electron Le1a86-101362-11 Cable Unit
 45     
Tokyo Electron Le1a86-101361-11 Cable Unit
Tokyo Electron Le1a86-101361-11 Cable Unit
 45     
323-0302// Amat Applied 1120-00254 Optical Housing Assembly,vertical- Right Used
323-0302// Amat Applied 1120-00254 Optical Housing Assembly,vertical- Right Used
 75   
DNS Dainippon Screen HLS-MC1A PC-97040A CONTROL BOARD
DNS Dainippon Screen HLS-MC1A PC-97040A CONTROL BOARD
 16   127 49    
346-0302// Amat Applied 0021-20535 Adapter, Cassette Nest Smif Used
346-0302// Amat Applied 0021-20535 Adapter, Cassette Nest Smif Used
 22   
142-0203// Amat Applied 0226-40120 Cable,amat-1 Heat-ex,3rd Or 4th,centura Used
142-0203// Amat Applied 0226-40120 Cable,amat-1 Heat-ex,3rd Or 4th,centura Used
 15   
FutureStar Flow Meter FX221-005-100  New 221005100 FSI
FutureStar Flow Meter FX221-005-100 New 221005100 FSI
 375     
AMAT 0020-10122 PLATE PERF 125MM Oxide
AMAT 0020-10122 PLATE PERF 125MM Oxide
 98     
Nordson 7013402 Controller Valvemate 7744 4ch 1pc
Nordson 7013402 Controller Valvemate 7744 4ch 1pc
 1,9     
Sunx Dp-y28 Uspp, Used
Sunx Dp-y28 Uspp, Used
 6     
Nitric Etcher Iv 05-026-00 0502600 B & G Enterprises Free Shipping!
Nitric Etcher Iv 05-026-00 0502600 B & G Enterprises Free Shipping!
 295   250 75    
128-0803// Amat Applied 3700-02683 Oring Id 17 5 Csd 210 Cheraz Sc513 80 [new]
128-0803// Amat Applied 3700-02683 Oring Id 17 5 Csd 210 Cheraz Sc513 80 [new]
 39   
Disco / Lintec Cold Mirror A, P/n Lnmu-010012-00, Model S3b6348a, Set Of 2
Disco / Lintec Cold Mirror A, P/n Lnmu-010012-00, Model S3b6348a, Set Of 2
 18   144   
Axcelis Technologies 531061 E84 Interface Board PCB Nikon NSR System Used
Axcelis Technologies 531061 E84 Interface Board PCB Nikon NSR System Used
 308 18    
352-0402// Amat Applied 0020-31467 Screw,6-32x3/8lg alum Vented,a New
352-0402// Amat Applied 0020-31467 Screw,6-32x3/8lg alum Vented,a New
 1   
Crossing Automation 3200-4489-01 Interface Board
Crossing Automation 3200-4489-01 Interface Board
 32     
327-0401// Amat Applied 0020-10098 Plate Cover 1cb [new]
327-0401// Amat Applied 0020-10098 Plate Cover 1cb [new]
 1   
AMAT Applied Materials 0100-02420 Opto Isolation PCB Board Rev  002 Working
AMAT Applied Materials 0100-02420 Opto Isolation PCB Board Rev  002 Working
 201 19    
3001107 / Lamp Field Top Complete White De / Mattson
3001107 / Lamp Field Top Complete White De / Mattson
 7,500 82    
Millipore Filter, Type LS, New
Top-Rated Plus Seller Millipore Filter, Type LS, New
 38     
K-TEC WKE-95 Current Transformer APPLIED MATERIALS
K-TEC WKE-95 Current Transformer APPLIED MATERIALS
 52     
Mtt Dsp8067a Vme Board Nikon Nsr Board
Mtt Dsp8067a Vme Board Nikon Nsr Board
 1,799     
Xl160-3405 / Power Supply 110-220vac / Artesyn Technologies
Xl160-3405 / Power Supply 110-220vac / Artesyn Technologies
 750     
Molybdenum Aluminum Mo/Al 99 1/0 9 wt%  99 95% 4“ diameter x 1/4“ thick
Molybdenum Aluminum Mo/Al 99 1/0 9 wt% 99 95% 4“ diameter x 1/4“ thick
 7     
Sanyo Denki P80C18050BXS22 BL Super AC Servo Motor AS IS
Sanyo Denki P80C18050BXS22 BL Super AC Servo Motor AS IS
 74     
Applied Materials 0020-43505 Gimbal Rod 002043505 AMAT Nib New
Top-Rated Plus Seller Applied Materials 0020-43505 Gimbal Rod 002043505 AMAT Nib New
 399     
MTS T-2033 VM2033 Circuit Board Parts/Repair
MTS T-2033 VM2033 Circuit Board Parts/Repair
 2   
4022 456 01541 Nipple
4022 456 01541 Nipple
 2     
Entegris Gauge Prot  217-6-4 , 1/4" FNPT , 60PSI , NEW
Entegris Gauge Prot 217-6-4 , 1/4" FNPT , 60PSI , NEW
 118     
CK-NN60-20 Motor Servo, CK-NN60-20 With Precision Gearbox / RATIO: 20:1 / 80MPD5
CK-NN60-20 Motor Servo, CK-NN60-20 With Precision Gearbox / RATIO: 20:1 / 80MPD5
 52     
SVG Silicon Valley Group 859-8027-004 Processor PCB Card Rev  G ASML 90S Used
SVG Silicon Valley Group 859-8027-004 Processor PCB Card Rev  G ASML 90S Used
 310 18    
130-0203// Amat Applied 0100-09137 0020-70139 Encoder Interface Used
130-0203// Amat Applied 0100-09137 0020-70139 Encoder Interface Used
 25   
GENUS PCA INTERLOK BD PHASE 2 PCB 25806-00, pca 25804-00, mp 25807-00
GENUS PCA INTERLOK BD PHASE 2 PCB 25806-00, pca 25804-00, mp 25807-00
 1,2     
Applied Materials 0041-04653 Support Plate 300mm Titan N Zone Amat - New Surplus
Applied Materials 0041-04653 Support Plate 300mm Titan N Zone Amat - New Surplus
 299     
PTI Technologies 30-10310-006-4 0 6 micron filter
PTI Technologies 30-10310-006-4 0 6 micron filter
 75     
Nor-cal Products 22-2843 Rev B Adaptive Pressure Controller
Nor-cal Products 22-2843 Rev B Adaptive Pressure Controller
 400 95    
Omron #0135 Interface Board PCB Used Working
Omron #0135 Interface Board PCB Used Working
 310 18    
4 AMAT 3880-01215 Washer Flat  375OD X  161ID X  062THK, 453048
4 AMAT 3880-01215 Washer Flat  375OD X  161ID X  062THK, 453048
 4   
FutureStar Flow Meter FX122-060  New 122060 FSI
FutureStar Flow Meter FX122-060 New 122060 FSI
 375     
Yaskawa Electric Servopack Sgds-02a01a
Yaskawa Electric Servopack Sgds-02a01a
 25     
ASML 4022 639 93004 CT WVACEIM Unit NXT Used Working
ASML 4022 639 93004 CT WVACEIM Unit NXT Used Working
 2,004 15    
Mykrolis QCDVACXTH  Quickchange  ATX UCM CL I 10"  0 1um
Mykrolis QCDVACXTH Quickchange ATX UCM CL I 10" 0 1um
 1,999   
NOVELLU CAP 8-32 x 1 AL p/n:21-262831-00 (10per pack)
NOVELLU CAP 8-32 x 1 AL p/n:21-262831-00 (10per pack)
 7     
Applied Materials 0140-02017 Chamber Harness IIEW!
Applied Materials 0140-02017 Chamber Harness IIEW!
 85     
ASM DUAL STEP Z-ELEV 03-28377 Eagle60
ASM DUAL STEP Z-ELEV 03-28377 Eagle60
 418     
Ebmpapst Axial Fan A20250-aa06-84
Ebmpapst Axial Fan A20250-aa06-84
 3     
Perkin-Elmer 851-8618-004 Processor PCB Card A5167 Rev  B SVG ASML 90S Used
Perkin-Elmer 851-8618-004 Processor PCB Card A5167 Rev  B SVG ASML 90S Used
 310 11    
ASML Used 4022 480 62681 YSTEM VACUUM SENSOR SEM-I-744=9G21
ASML Used 4022 480 62681 YSTEM VACUUM SENSOR SEM-I-744=9G21
 149 90  
AMAT 0720-04614 Plug Connector Shorting UHF Male with 36” Chain
AMAT 0720-04614 Plug Connector Shorting UHF Male with 36” Chain
 165     
Unit Celerity UFC-1660 HBr 300 Sccm P/N: 1660-100099 Mass Flow Controller
Unit Celerity UFC-1660 HBr 300 Sccm P/N: 1660-100099 Mass Flow Controller
 299   
SSEC - Solid State Equipment Corp 67165017 NOZZLE TIP HPC FAN 65DEG (PKG 5)
SSEC - Solid State Equipment Corp 67165017 NOZZLE TIP HPC FAN 65DEG (PKG 5)
 5     
Amat Applied Materials 0050-76927 Vacuum Fitting New
Amat Applied Materials 0050-76927 Vacuum Fitting New
 224     
0620-30084 Rev 001 1 Pin Feedthru 0 093 Cylinder Iso Quick Flange Kf 40 New
0620-30084 Rev 001 1 Pin Feedthru 0 093 Cylinder Iso Quick Flange Kf 40 New
 8     
Semiconductor Circuits 44A717152 Semiconductor  USED
Semiconductor Circuits 44A717152 Semiconductor USED
 5     
Ckd Opp3-1g Cc-link Ver  1 10 Dc24v, Used
Ckd Opp3-1g Cc-link Ver  1 10 Dc24v, Used
 16     
Emitech K1250x Cryo Preparation Unit
Emitech K1250x Cryo Preparation Unit
 3,9   
4s019-126 / Wsdrvx4 Pcb / Nikon
4s019-126 / Wsdrvx4 Pcb / Nikon
 1,500 82    
Amat Ep 50312441000 Ui Switch Board
Amat Ep 50312441000 Ui Switch Board
 2     
Ltx - Credence 865-1624-00 Sm Crate Ctl Board (ict) ****look**** (ref : G)
Ltx - Credence 865-1624-00 Sm Crate Ctl Board (ict) ****look**** (ref : G)
 399     
28 Tyco 03P MRII, 3 position locking Plug Housing red
28 Tyco 03P MRII, 3 position locking Plug Housing red
 2   
Heraeus Quartzglass Boat for Semiconductor/Solar 90149039
Heraeus Quartzglass Boat for Semiconductor/Solar 90149039
 35 11  
347-0403// Amat Applied 0040-09033 Applied Matrials Components Used
347-0403// Amat Applied 0040-09033 Applied Matrials Components Used
 2   
Novellus 15-119741-01 Cap Heated DFE Quartz GM Quartz 1649-119741 8 1/2" OD
Novellus 15-119741-01 Cap Heated DFE Quartz GM Quartz 1649-119741 8 1/2" OD
 25   
Novellus Type 15-00494-00 Motor Base Housing Bertolin Engineering Corp
Novellus Type 15-00494-00 Motor Base Housing Bertolin Engineering Corp
 145   
Gai / Lantek Liu-s Circuit Board Gl170 Rev A
Gai / Lantek Liu-s Circuit Board Gl170 Rev A
 14   134     
Ulvac Flange Adapter with Rectangular Inner Core Model# UFE152
Ulvac Flange Adapter with Rectangular Inner Core Model# UFE152
 223 59    
PDC-150-031 (LTX 876-1384-00) Rev  C PCB(Printed circuit board)
PDC-150-031 (LTX 876-1384-00) Rev  C PCB(Printed circuit board)
 179 95  
Optimised Control Adept KCC9824 Input Output Board Circuit Board #14Z23
Optimised Control Adept KCC9824 Input Output Board Circuit Board #14Z23
 4     
Amat 3970-00030 Gear Box W/ Mount, Pulley, Flange
Amat 3970-00030 Gear Box W/ Mount, Pulley, Flange
 3,5     
Komatsu 120-03-1160 Cooling & Heating Unit W/ Gr-63-ul
Komatsu 120-03-1160 Cooling & Heating Unit W/ Gr-63-ul
 2,9     
Proteus Industries Liquid Flow Meter 98008PN12P1 5 0VDC = 12 GPM
Proteus Industries Liquid Flow Meter 98008PN12P1 5 0VDC = 12 GPM
 99   
Hitachi 569-5504 Stage Control Panel STPANEL
Hitachi 569-5504 Stage Control Panel STPANEL
 67     
Flow Thru Cooler Ftc 350a made by neslab , white , dimensions 18 x8x10
Flow Thru Cooler Ftc 350a made by neslab , white , dimensions 18 x8x10
 18     
Tokyo Keiso Sfc-m Flow Meter Signal Converter
Tokyo Keiso Sfc-m Flow Meter Signal Converter
 299 95    
ATG PGL 90-20 Reducer
ATG PGL 90-20 Reducer
 313 50    
Regloplas 90s Temperature Control Unit
Regloplas 90s Temperature Control Unit
 1,599     
130-0401// Amat Applied 0100-09099 Assy Chbr Interconn Used
130-0401// Amat Applied 0100-09099 Assy Chbr Interconn Used
 2   
SMC MHQJ2-20CS Air Gripper
SMC MHQJ2-20CS Air Gripper
 31   25 59  
Tokyo Electron TEL 2S85-304376-12 CUP (BRUSH) ASSY NEW
Tokyo Electron TEL 2S85-304376-12 CUP (BRUSH) ASSY NEW
 488     
Boc Edwards D37310000 Inim Network Unit
Boc Edwards D37310000 Inim Network Unit
 199 95    
Shinko Electric M223D Compact Servo Drive Board PCB PDS-D (PbF) Lot of 2 Used
Shinko Electric M223D Compact Servo Drive Board PCB PDS-D (PbF) Lot of 2 Used
 303 18    
Millipore Bed Support 3277614wb  422 8 x 4 75
Millipore Bed Support 3277614wb 422 8 x 4 75
 18 50  
ASML 4022 668 72951 Cable
ASML 4022 668 72951 Cable
 25     
Fusion UV Curing Irradiator Model I 300B (200185) [#6]
Fusion UV Curing Irradiator Model I 300B (200185) [#6]
 199   
Perkin-Elmer 851-8552-004 Processor PCB Card Rev  B SVG ASML 90S Used Working
Perkin-Elmer 851-8552-004 Processor PCB Card Rev  B SVG ASML 90S Used Working
 309 18    
Advantest Blj-029527 Pcb
Advantest Blj-029527 Pcb
 1     
AMAT 0200-09728 Reflector, 452785
AMAT 0200-09728 Reflector, 452785
 25   
1000+ Anti-Static Ceiling Tiles
1000+ Anti-Static Ceiling Tiles
 15   
Orbot Instruments WFALIGNER 1280087 RV3 PCB Card/Board
Top-Rated Plus Seller Orbot Instruments WFALIGNER 1280087 RV3 PCB Card/Board
 19 97    
Amat Slurry A Unit For Malema Controller
Amat Slurry A Unit For Malema Controller
 3,8     
Tel Tokyo Electron 3M-2503833-1 MIRROR MOUNT ASSEMBLY, New
Tel Tokyo Electron 3M-2503833-1 MIRROR MOUNT ASSEMBLY, New
 158   
Dek 181014 Pcb Cognex Vision Adaptor Issue V1
Dek 181014 Pcb Cognex Vision Adaptor Issue V1
 22     
Tokyo Electron 2l80-001716-11 Heater Controller Box
Tokyo Electron 2l80-001716-11 Heater Controller Box
 3,5     
Micro Memory MM-6490/12Y* REV B PCB Card
Micro Memory MM-6490/12Y* REV B PCB Card
 6   
OMRON ZX-LT010  /   Free Expedited Shipping
OMRON ZX-LT010 / Free Expedited Shipping
 299   
Honeywell Braukmann Rinseable Fine Filter Ff06
Honeywell Braukmann Rinseable Fine Filter Ff06
 77 51  72 86    
SMC FGDFA-04-BX127 Main Line FG Hydraulic Filter Housing & Head, USED
SMC FGDFA-04-BX127 Main Line FG Hydraulic Filter Housing & Head, USED
 1     
Asymtek 7226035 Rev E PCB
Asymtek 7226035 Rev E PCB
 899   
Wonik 1105-201191-31 Cap Quartz 13" OD 7-3/16" ID 1-3/16" Height 110520119131
Wonik 1105-201191-31 Cap Quartz 13" OD 7-3/16" ID 1-3/16" Height 110520119131
 475   
Motor Driver
Motor Driver
 36   
106882 / Pcb,ptg,megatest 106882,q2/62 / Zmisc
106882 / Pcb,ptg,megatest 106882,q2/62 / Zmisc
 1,7     
Densan DSB-516A (9254A) card
Densan DSB-516A (9254A) card
 309     
Plate-hot;ct5085-431396-13
Plate-hot;ct5085-431396-13
 5,76     
Chrom Tech Inc  CT-290142 - Chiral HSA, 10mm x 4 0mm,guard cartridge,2/pk
Chrom Tech Inc  CT-290142 - Chiral HSA, 10mm x 4 0mm,guard cartridge,2/pk
 1   
Nikon 4S013-484 Interface Connector Board STGX44B PCB Used Working
Nikon 4S013-484 Interface Connector Board STGX44B PCB Used Working
 355 17    
Amat 0020-26312-05-34 Upper Shield
Amat 0020-26312-05-34 Upper Shield
 35     
National Instruments NPB-536C PCB Board Reseller Lot of 2 Used Working
National Instruments NPB-536C PCB Board Reseller Lot of 2 Used Working
 208 15    
Leica CB3 TERMINAL BOARD
Leica CB3 TERMINAL BOARD
 65     
Amat 0010-32050 Rev002 Power Supply
Amat 0010-32050 Rev002 Power Supply
 2     
Gw Instek Psw 30-36 Multi-range Dc Power Supply 360w
Gw Instek Psw 30-36 Multi-range Dc Power Supply 360w
 699 90  
Comlabs Public Alert Controller system PC1501-01 W/ Power , Power Tested
Comlabs Public Alert Controller system PC1501-01 W/ Power , Power Tested
 324     
Comlabs Public Alert Controller system PC1501-01 W/ Power , Power Tested !
Comlabs Public Alert Controller system PC1501-01 W/ Power , Power Tested !
 324     
Comlabs Vmics Public Alert Controller System PC1501-01 Power Tested AS-IS
Comlabs Vmics Public Alert Controller System PC1501-01 Power Tested AS-IS
 27     
PBF PRA100M3 Power Supply
PBF PRA100M3 Power Supply
 1,   
3037838 / Iwaki, Fd-2 Driver , Pump Dc24v /  Iwaki
3037838 / Iwaki, Fd-2 Driver , Pump Dc24v / Iwaki
 1,450 82    
MILLIPORE  11" Filter Canister
MILLIPORE 11" Filter Canister
 307 18    
Kulicke & Soffa 00797-4315-01 Board
Top-Rated Plus Seller Kulicke & Soffa 00797-4315-01 Board
 129 98    
Disco Fbpcb-0276 Pcb Board
Disco Fbpcb-0276 Pcb Board
 74     
Axcelis 17143040 Tube Outer Sleeve Rev B 6003540 092611
Axcelis 17143040 Tube Outer Sleeve Rev B 6003540 092611
 325   
Eurotherm Mini 8 TM Controller
Eurotherm Mini 8 TM Controller
 9     
MEIKIKOU Live Motor 3Ph    Loc 1A
MEIKIKOU Live Motor 3Ph Loc 1A
 3   27     
Matrix DSC-5K-SVGL Interface PCB Card 7911/DSC 851-8963-001D ASML SVG 90S Used
Matrix DSC-5K-SVGL Interface PCB Card 7911/DSC 851-8963-001D ASML SVG 90S Used
 310 18    
Shinko Electric HASSYC806402 Recovery Board PCB M174-2 OHT-CAP2 Dual Module Used
Shinko Electric HASSYC806402 Recovery Board PCB M174-2 OHT-CAP2 Dual Module Used
 312 18    
CHINO ES600 ES680-03 Chart Recorder  /  Free Expedited Shipping
CHINO ES600 ES680-03 Chart Recorder / Free Expedited Shipping
 3   
Nordiko Technical Services D00022 Amplifier PCB Card TLTD-2/425 Plugs Used
Nordiko Technical Services D00022 Amplifier PCB Card TLTD-2/425 Plugs Used
 406 18    
UP-KJA3 Board, From 50817-1220R05 / Hand Held Controller / Kawasaki
UP-KJA3 Board, From 50817-1220R05 / Hand Held Controller / Kawasaki
 52     
Shinko HASSYC806402 Recovery Board PCB M174-2 OHT-CAP2 Single Module Used
Shinko HASSYC806402 Recovery Board PCB M174-2 OHT-CAP2 Single Module Used
 312 18    
Tel Tokyo Electron 3d05-150050-v1 Shield Depo Inner-qz
Tel Tokyo Electron 3d05-150050-v1 Shield Depo Inner-qz
 35     
Applied Materials AMAT -- 0050-41308 -- New
Applied Materials AMAT -- 0050-41308 -- New
 195     
Entegris Es-sp-111 Down Tube, 3/8″ Mnpt 2 9 4m
Entegris Es-sp-111 Down Tube, 3/8″ Mnpt 2 9 4m
 55     
Bruce Technologies International Process Control Unit 7351c
Bruce Technologies International Process Control Unit 7351c
 8     
Ametek 5-7004 AMETEK-RTP Fan Control PCB Assembly 5-7006 Used Working
Ametek 5-7004 AMETEK-RTP Fan Control PCB Assembly 5-7006 Used Working
 253 17    
Bpp8 / Assembly, Batch Transfer Replcmnt, Recif Sa 50-60hz 110-220v / Recif Inc
Bpp8 / Assembly, Batch Transfer Replcmnt, Recif Sa 50-60hz 110-220v / Recif Inc
 7,546     
Proteus Industries 98004SN1P1 5VDC=1 0 GPM Flow Meter
Proteus Industries 98004SN1P1 5VDC=1 0 GPM Flow Meter
 99   
ULVAC G-TRAN BPR2 Pirani Gauge Box Unit, Working
ULVAC G-TRAN BPR2 Pirani Gauge Box Unit, Working
 5     
COGNEX 200-0057-1 ZH 801-3403-01 G / Free Expedited Shipping
COGNEX 200-0057-1 ZH 801-3403-01 G / Free Expedited Shipping
 559   
Compact Automation Products QJM02-3738 w/Reed Switches
Compact Automation Products QJM02-3738 w/Reed Switches
 16   
Applied Materials NAP BOARD 0100-A0007 Rev B
Applied Materials NAP BOARD 0100-A0007 Rev B
 8     
AMAT 0720-01240 Conn HSG Shunt 2 PG 2 POS For  025 Post, 451823
AMAT 0720-01240 Conn HSG Shunt 2 PG 2 POS For  025 Post, 451823
 5   
Pentagon 233464115 SPU Shield CU MRC Material Research D117924 Used Working
Pentagon 233464115 SPU Shield CU MRC Material Research D117924 Used Working
 307 15    
204054 Bearing
204054 Bearing
 52     
Matheson Gas Filter -- 6134-T6FF -- New
Matheson Gas Filter -- 6134-T6FF -- New
 225     
(v6-3) Meech 970 Pulsed Dc Controller
(v6-3) Meech 970 Pulsed Dc Controller
 17   161     
Eastek P1228-PWR-208 Power Supply PCB 36-0428 Used Working
Eastek P1228-PWR-208 Power Supply PCB 36-0428 Used Working
 157 15    
LTX 879-897-01/A, 877-660-01 REV  8910, 101  Module
LTX 879-897-01/A, 877-660-01 REV  8910, 101  Module
 175 95  
Nsk Linear Guide Rail Ls300440clc2b01p61
Nsk Linear Guide Rail Ls300440clc2b01p61
 52 72  49 03    
Air Liquide Replacement Board, 62963364
Air Liquide Replacement Board, 62963364
 215     
Yamamoto Electric Manostar Type Ms61l Adjustable Pressure Switch, Lot Of 2
Yamamoto Electric Manostar Type Ms61l Adjustable Pressure Switch, Lot Of 2
 5   
Unit Instruments UCAL-1000 Mass Flow Transfer Calibrator
Unit Instruments UCAL-1000 Mass Flow Transfer Calibrator
 998     
Amat 0010-77774 Cda Regulator Assy, Uc Vac Control
Amat 0010-77774 Cda Regulator Assy, Uc Vac Control
 1,     
Ultratech Stepper 03-20-01130 Transition Stage Motor Driver PCB Card Left X
Ultratech Stepper 03-20-01130 Transition Stage Motor Driver PCB Card Left X
 406 18    
Amat 0040-77367 Mount Plate, Loadcup
Amat 0040-77367 Mount Plate, Loadcup
 5     
Nordiko Technical Services N930022SA Amplifier PCB Card TLTD-1/425 9550 Used
Nordiko Technical Services N930022SA Amplifier PCB Card TLTD-1/425 9550 Used
 408 18    
Ina Ball Screw Assy Drs35110 Nnb
Ina Ball Screw Assy Drs35110 Nnb
 185 63    
Amat Applied Materials 0050-25830 Vacuum Fitting New
Amat Applied Materials 0050-25830 Vacuum Fitting New
 164     
SCP Global Technology 7892261A, RTD Probe, 100 Ohms, *NEW*
SCP Global Technology 7892261A, RTD Probe, 100 Ohms, *NEW*
 129     
Matrix Ring Chuck Insulator P/n 0992-60427, Nos
Top-Rated Plus Seller Matrix Ring Chuck Insulator P/n 0992-60427, Nos
 1,2   96     
Millipore 13" Filter Canister
Millipore 13" Filter Canister
 307 18    
RECIF Technologies STDAH0543 A LED Interface Board PCB PCB0543 A Used Working
RECIF Technologies STDAH0543 A LED Interface Board PCB PCB0543 A Used Working
 150 17    
Valve-air; Aov Dasdv-07017
Valve-air; Aov Dasdv-07017
 3     
Hitachi HT94222A Circuit Board PCB Used Working
Hitachi HT94222A Circuit Board PCB Used Working
 202 16    
Hitachi BBS210-2 Circuit Board PCB Used Working
Hitachi BBS210-2 Circuit Board PCB Used Working
 202 16    
AMAT, Line N2 Check Valve and Filter ICP, 0050-00740, Used
AMAT, Line N2 Check Valve and Filter ICP, 0050-00740, Used
 25     
AMAT, Right Angle Gas Line, 0050-44411, Used
AMAT, Right Angle Gas Line, 0050-44411, Used
 25     
AMAT, GASLINE MIX BYPASS UPPER, 0050-75360, Used
AMAT, GASLINE MIX BYPASS UPPER, 0050-75360, Used
 25     
AMAT,  Gas Line Weldment with Manual Valve, 0050-02389, Used
AMAT, Gas Line Weldment with Manual Valve, 0050-02389, Used
 25     
Profort PVME-501 IAE-21949  / Free Expedited Shipping
Profort PVME-501 IAE-21949 / Free Expedited Shipping
 629   
General Micro Systems, Inc  Pwb: Gmssrpx-01-b & Gmsv36-01-e
General Micro Systems, Inc  Pwb: Gmssrpx-01-b & Gmsv36-01-e
 699   
AMAT Applied Materials Manifold Left Right 0041-04405 Rev  02
AMAT Applied Materials Manifold Left Right 0041-04405 Rev  02
 99     
Edwards Vacuum - TPU Noz Clean S/R Actuator Kit - Y04210005 (UK) ATLAS TPU
Edwards Vacuum - TPU Noz Clean S/R Actuator Kit - Y04210005 (UK) ATLAS TPU
 182 38    
MKS 003-9248-340 REV 1 MKS RF Power MODULE 000-9282-340 REV:3 ENI-C
MKS 003-9248-340 REV 1 MKS RF Power MODULE 000-9282-340 REV:3 ENI-C
 399     
25fc10 / F7750 Emi Filter 25 A 120/250 V 50-60 Hz  / Corcom
25fc10 / F7750 Emi Filter 25 A 120/250 V 50-60 Hz / Corcom
 99     
Amat 0010-13593 Insulator Ar, Mixed, New
Amat 0010-13593 Insulator Ar, Mixed, New
 2,7     
Panasonic MSMD022S1S AC SERVO MOTOR
Panasonic MSMD022S1S AC SERVO MOTOR
 2     
TOYO Corporation INTERFACE BOARD A040-0277-10 Rev  B  / Free Expedited Shipping
TOYO Corporation INTERFACE BOARD A040-0277-10 Rev  B / Free Expedited Shipping
 899   
TOYO Corporation MAIN BOARD A040-0278-10 Rev  B  / Free Expedited Shipping
TOYO Corporation MAIN BOARD A040-0278-10 Rev  B / Free Expedited Shipping
 999   
Applied Materials AMAT Kalrez Centering Ring 3700-03904
Applied Materials AMAT Kalrez Centering Ring 3700-03904
 325     
Texas Instruments A/W 6458818A PWA Bench Evaluation Board SRC4194
Texas Instruments A/W 6458818A PWA Bench Evaluation Board SRC4194
 262 49  
Acromag 822A-0200 2 units (1 lot) /  Free Expedited Shipping
Acromag 822A-0200 2 units (1 lot) / Free Expedited Shipping
 169   
Optimised Control D571 Processor Board Card PCB D573-2-JED Used Working
Optimised Control D571 Processor Board Card PCB D573-2-JED Used Working
 354 18    
Hitachi 3-847033-01 O-ring
Hitachi 3-847033-01 O-ring
 4   
ASML 4022 668 66441 Cable
ASML 4022 668 66441 Cable
 25     
Fusion UV Curing Irradiator Model I 300B (200185) [#14]
Fusion UV Curing Irradiator Model I 300B (200185) [#14]
 199   
Lot of 6 Pulleys, Drive Belts 1/4" Shaft
Lot of 6 Pulleys, Drive Belts 1/4" Shaft
 75   
Yashibi HCU-3 Isolation Amplifier PCB Board IP-308A 90 2 Used Working
Yashibi HCU-3 Isolation Amplifier PCB Board IP-308A 90 2 Used Working
 360 16    
Advanced Vehicle Tech , PCB-CAVT-716-0
Advanced Vehicle Tech , PCB-CAVT-716-0
 236     
Small Pneumatic Gripper for Semiconductor Electronics Industry - USED Qty 1
Small Pneumatic Gripper for Semiconductor Electronics Industry - USED Qty 1
 55     
AMAT Applied Materials Sync Detect PWB, 0100-00132
Top-Rated Plus Seller AMAT Applied Materials Sync Detect PWB, 0100-00132
 185   148     
Amat Applied Materials vacuum fitting 0050-40967 new
Amat Applied Materials vacuum fitting 0050-40967 new
 26     
Asml Used 4022 451 8773 1 ,4022 635 25015 Sem-i-451=2m24
Asml Used 4022 451 8773 1 ,4022 635 25015 Sem-i-451=2m24
 199 90  
Sbs Cp782210nq3c Vme Cpu Board, Used
Sbs Cp782210nq3c Vme Cpu Board, Used
 7     
Amat 3310-01012 Gauge
Amat 3310-01012 Gauge
 299   
Eurotherm DeviceNet Mini8  /  Free Expedited Shipping
Eurotherm DeviceNet Mini8 / Free Expedited Shipping
 299   
2506416-21 / Asm Power Supply Pcb Card / Asm America Inc
2506416-21 / Asm Power Supply Pcb Card / Asm America Inc
 352 85    
Novellus 15-110445-00 Pin For Moer Ring , New
Novellus 15-110445-00 Pin For Moer Ring , New
 1     
Feedthrrough, E17058160 Pfg(+)
Feedthrrough, E17058160 Pfg(+)
 12     
Gems 183431 F-380 Flow Switch, Used
Gems 183431 F-380 Flow Switch, Used
 15     
Amat 0042-14649 Cooling Hub , Sa Lift Br New
Amat 0042-14649 Cooling Hub , Sa Lift Br New
 688     
Ngk Sh-303 Oxygen Analyzer , Used
Ngk Sh-303 Oxygen Analyzer , Used
 2,5     
E11072881  /  Mass Slit Controller  /  Varian
E11072881 / Mass Slit Controller / Varian
 3,500 82    
Optimised Control D311 Drive Demands Servo Interface Board PCB Used Working
Optimised Control D311 Drive Demands Servo Interface Board PCB Used Working
 203 18    
Perkin-Elmer 851-8242-006 Processor PCB Card Rev  D SVG ASML 90S Used Working
Perkin-Elmer 851-8242-006 Processor PCB Card Rev  D SVG ASML 90S Used Working
 410 18    
Novellus Systems 06-2568 Centering Ring 200mm Platen Wall Isolation
Novellus Systems 06-2568 Centering Ring 200mm Platen Wall Isolation
 407 18    
Advantest BLL-029622 Over Current PCB Circuit Board M4542AD Used Working
Advantest BLL-029622 Over Current PCB Circuit Board M4542AD Used Working
 356 16    
Al24cs-001 / Temperature Controller / Fenwal
Al24cs-001 / Temperature Controller / Fenwal
 42     
Ibt Industrial Solutions As252 O-ring, 5 Pack 252n70 - New
Ibt Industrial Solutions As252 O-ring, 5 Pack 252n70 - New
      
One Stop Systems Power Supply Card Pci 174-1022-47
One Stop Systems Power Supply Card Pci 174-1022-47
 7   
Cosel 7P033-1 Power Supply 7P0331
Cosel 7P033-1 Power Supply 7P0331
 45 33  
Novellus Systems 90-2735 Interlock BD A2000 PCB Rev A & Rev B Lot of 4 Working
Novellus Systems 90-2735 Interlock BD A2000 PCB Rev A & Rev B Lot of 4 Working
 251 15    
Applied Materials 200mm Susceptor Assy  0010-01398
Applied Materials 200mm Susceptor Assy  0010-01398
 2,9     
AMAT 0200-40208, BAFFLE, Si
AMAT 0200-40208, BAFFLE, Si
 419     
Tokyo Electron TA3003-800217-01 Actuator Assy, FRU  15-801191 Rev  2
Tokyo Electron TA3003-800217-01 Actuator Assy, FRU 15-801191 Rev  2
 225     
Amat 0021-77932 Bracket, E-chain Mnt, Follower
Amat 0021-77932 Bracket, E-chain Mnt, Follower
 35     
Genus 34340-01 REV 2 PCA, HTR Current Rate of Rise
Top-Rated Plus Seller Genus 34340-01 REV 2 PCA, HTR Current Rate of Rise
 1     
Asml 4022 455 11372 Lock Up Ring Window Bs Sem-i-515=2m24
Asml 4022 455 11372 Lock Up Ring Window Bs Sem-i-515=2m24
 119 90  
421-0501// Sanyo Denki Pmm-ba-5601 Controller [used/fast]
421-0501// Sanyo Denki Pmm-ba-5601 Controller [used/fast]
 4   
Mks 273 / 273-2 Temperature Controller 3-channel Setpoint 150° Max
Mks 273 / 273-2 Temperature Controller 3-channel Setpoint 150° Max
 47 34  
Arlya Scales AR143009X9E Hazardous Environment Scale 300lbs Used Working
Arlya Scales AR143009X9E Hazardous Environment Scale 300lbs Used Working
 354 15    
2 1/8'' Diameter -227 Chemical-Resistant High-Temp O-Rings (5 EA per Pack)
2 1/8'' Diameter -227 Chemical-Resistant High-Temp O-Rings (5 EA per Pack)
 9 35  
1110c0053 / Check Muffer / Trebor
1110c0053 / Check Muffer / Trebor
 30 68    
Komatsu 3001216A0 KE-2007 Interface Board PCB Used Working
Komatsu 3001216A0 KE-2007 Interface Board PCB Used Working
 210 17    
A to Z Electronics 70512360100 SDT Module Used Working
A to Z Electronics 70512360100 SDT Module Used Working
 302 17    
WOPS 05-00224-00 Used WOPS Kit For 150mm OAR 15-01161-02
WOPS 05-00224-00 Used WOPS Kit For 150mm OAR 15-01161-02
 95   
ASML 854-8307-001F Circuit Board PCB A5402 Used Working
ASML 854-8307-001F Circuit Board PCB A5402 Used Working
 400 14    
Balzers BG 290 348 AU Measuring Amplifier PCB Card BG290348AU Used Working
Balzers BG 290 348 AU Measuring Amplifier PCB Card BG290348AU Used Working
 357 16    
ULVAC GP-2A  /  Free expedited Shipping
ULVAC GP-2A / Free expedited Shipping
 229   
Ehwa Diamond Industrial Co  Grinding Wheel, 411939235-010, New
Ehwa Diamond Industrial Co  Grinding Wheel, 411939235-010, New
 28     
Amat 0010-17535 Fast Dateeway Assembly
Amat 0010-17535 Fast Dateeway Assembly
 3,9     
Tlc Phoenix-600 Model 13-0148-00
Tlc Phoenix-600 Model 13-0148-00
 899     
151070-001 / Universal Firing Card / Thermco
151070-001 / Universal Firing Card / Thermco
 305 70    
Brooks 6256S  1SLPM smart mass flow  (USED)
Brooks 6256S 1SLPM smart mass flow (USED)
 6     
Perkin-Elmer 851-8618-004 Processor PCB Card A5167 Rev  J SVG ASML 90S Used
Perkin-Elmer 851-8618-004 Processor PCB Card A5167 Rev  J SVG ASML 90S Used
 310 18    
124-0502// Amat Applied 0020-31627 (#1) Flange,base, Esc Used
124-0502// Amat Applied 0020-31627 (#1) Flange,base, Esc Used
 38   
Ulvac DC-7017SI II DC Power Supply
Ulvac DC-7017SI II DC Power Supply
 2,498 98    
Axcelis Shield Exit Outer - Graphite VIG Version 17122890 REV A (99466V)
Axcelis Shield Exit Outer - Graphite VIG Version 17122890 REV A (99466V)
 3     
Mks Type 288 Ieee Interface (rst39)
Top-Rated Plus Seller Mks Type 288 Ieee Interface (rst39)
 9   67 50    
Axcelis Implanter Filament Strap 17033170B New Other
Axcelis Implanter Filament Strap 17033170B New Other
 25   
Aurora Biosciences 00006911 6K Breakout Board PCB Rev  A Used Working
Aurora Biosciences 00006911 6K Breakout Board PCB Rev  A Used Working
 101 19    
Semifusion 140 Stage Motor Amplifier PCB Board Ultratech 1000 Used Working
Semifusion 140 Stage Motor Amplifier PCB Board Ultratech 1000 Used Working
 359 16    
Optronics LX-450Z Remote Controlled Camera Controller
Optronics LX-450Z Remote Controlled Camera Controller
 307 18    
TEL Tokyo Electron 3281-000008-14 PCB Pincette Inter Connect 2 Circuit Board *us
TEL Tokyo Electron 3281-000008-14 PCB Pincette Inter Connect 2 Circuit Board *us
 3   21     
Pall Resolute Auto Noz Ass'y KNV4000-175 Batch Number M3129651M
Pall Resolute Auto Noz Ass'y KNV4000-175 Batch Number M3129651M
 45     
Air Products DD 1151 Processor Board PCB Card DD1001 Used Working
Air Products DD 1151 Processor Board PCB Card DD1001 Used Working
 203 17    
SCI Solid Controls 428-628 Fuse Board PCB Card VSE 8024-0130 Used Working
SCI Solid Controls 428-628 Fuse Board PCB Card VSE 8024-0130 Used Working
 356 18    
ASML 4022 471 7711 System Backplane Board PCB Used Working
ASML 4022 471 7711 System Backplane Board PCB Used Working
 260 17    
Hitachi E-1391-1 Relay Board PCB D-1830 Rev  F Used Working
Hitachi E-1391-1 Relay Board PCB D-1830 Rev  F Used Working
 204 17    
AMAT, Gas Line Weldment, Helium, 0050-30513, Used
AMAT, Gas Line Weldment, Helium, 0050-30513, Used
 75     
AMAT, 0050-39399, Used
AMAT, 0050-39399, Used
 75     
AMAT, WELDMENT, 90DEG BULKHEAD FITTING, BESC D, 0050-43258, Used
AMAT, WELDMENT, 90DEG BULKHEAD FITTING, BESC D, 0050-43258, Used
 225     
TECHNICAL & TRY M-7000 Controller, M-7312-C-D-X
TECHNICAL & TRY M-7000 Controller, M-7312-C-D-X
 13     
Thermco Analock Remote Setpoint Assembly, Used
Thermco Analock Remote Setpoint Assembly, Used
 15     
AMAT, FTG Flange To Male VCR Adpt 1 2" Tube 1 57" Flng, 3300-03837, Used
AMAT, FTG Flange To Male VCR Adpt 1 2" Tube 1 57" Flng, 3300-03837, Used
 75     
AMAT, 0050-LE178, Used
AMAT, 0050-LE178, Used
 75     
AMAT, Weldment, Gas Feed, 1 82L, RPSC, 0050-01082, Used
AMAT, Weldment, Gas Feed, 1 82L, RPSC, 0050-01082, Used
 75     
Amat 0020-79005 Shaft W/ 0020-79002 Arm X3
Amat 0020-79005 Shaft W/ 0020-79002 Arm X3
 1,3     
201d-co /     Integrated Dispense System, 201d-com / Integrated Design Engineering
201d-co / Integrated Dispense System, 201d-com / Integrated Design Engineering
 510 82    
Yashibi IP-252 Connector Interface PCB Board Reseller Lot of 9 Used Working
Yashibi IP-252 Connector Interface PCB Board Reseller Lot of 9 Used Working
 210 16    
Air Products CRSD1538 Display Processor Board PCB Card CRSD 1538 Used Working
Air Products CRSD1538 Display Processor Board PCB Card CRSD 1538 Used Working
 203 17    
Edwards NRY0RH402 Vacuum Pump Interface Cable Reseller Lot of 6 Used Working
Edwards NRY0RH402 Vacuum Pump Interface Cable Reseller Lot of 6 Used Working
 208 17    
TEL Tokyo Electron U1650B10 Backplane Interface Board PCB Used Working
TEL Tokyo Electron U1650B10 Backplane Interface Board PCB Used Working
 258 17    
45-1066 / Pump, Wilden Unitec Uu2 / Tencarva Machinery
45-1066 / Pump, Wilden Unitec Uu2 / Tencarva Machinery
 3,200 82    
ASML Used 4022 636 58071 HIGH VACUUM OUTPUT SENSOR SEM-I-741=9G21
ASML Used 4022 636 58071 HIGH VACUUM OUTPUT SENSOR SEM-I-741=9G21
 149 90  
ASML Used 4022 481 05767 SEM-I-758=9G21
ASML Used 4022 481 05767 SEM-I-758=9G21
 149 90  
Bellows;1917660,plunger Bellows
Bellows;1917660,plunger Bellows
 1,827     
MCSI P/N: 66703 66700901 rev B
MCSI P/N: 66703 66700901 rev B
 349     
Applied Materials 0022-40044 Ring, Deposition, Inner Amat *unused*
Applied Materials 0022-40044 Ring, Deposition, Inner Amat *unused*
 2,199     
Semifusion 311 Motor Driver PCB Card Ultratech UltraStep 1000 Used Working
Semifusion 311 Motor Driver PCB Card Ultratech UltraStep 1000 Used Working
 209 16    
Leica CBI TERMINAL BOARD
Leica CBI TERMINAL BOARD
 65     
Genus 13056-50 Analog Input Chamber Board New
Top-Rated Plus Seller Genus 13056-50 Analog Input Chamber Board New
 998 98    
Shinko Electric HASSYC806402 Recovery Board PCB M174-1 OHT-CAP2 Dual Module Used
Shinko Electric HASSYC806402 Recovery Board PCB M174-1 OHT-CAP2 Dual Module Used
 312 18    
Axcelis Technologies RF-4 RF Detector
Axcelis Technologies RF-4 RF Detector
 169 95    
1003137-04 / Pcb Cpu 8024 / Intel
1003137-04 / Pcb Cpu 8024 / Intel
 690 62    
Yaskawa Servopack Sgdh-01ae
Yaskawa Servopack Sgdh-01ae
 25     
Driver Board 113N1681C Fuji CR Image Processor
Driver Board 113N1681C Fuji CR Image Processor
 174     
Amat Applied Materials Vacuum Fitting New
Amat Applied Materials Vacuum Fitting New
 1     
APPLIED MATERIALS 0050-06116 AMAT Weldment Chamber Position A Ultima
APPLIED MATERIALS 0050-06116 AMAT Weldment Chamber Position A Ultima
 155   
Ultratech Stepper Alignment Controller Board 0553-626200 Rev  N & 626000 626100
Ultratech Stepper Alignment Controller Board 0553-626200 Rev  N & 626000 626100
 1,4   74     
Ultratech Stepper Amp Driver Board V013-001 REV  X4
Ultratech Stepper Amp Driver Board V013-001 REV  X4
 1,4   74     
Ultratech Stepper Alignment Controller Board 0553-626200 Rev  F
Ultratech Stepper Alignment Controller Board 0553-626200 Rev  F
 1,4   74     
Ultratech Stepper Stage Driver Board 03-06-00038 Rev  B
Ultratech Stepper Stage Driver Board 03-06-00038 Rev  B
 1,4   74     
ASML 4022 668 66241 Cable
ASML 4022 668 66241 Cable
 25     
Ultratech Stepper Alignment Controller Board 0553-626200 Rev  C
Ultratech Stepper Alignment Controller Board 0553-626200 Rev  C
 1,4   74     
Hine Design Robot Prealinger
Hine Design Robot Prealinger
 2,5     
240068 Amplifier, Terminal Panel (ATP)
240068 Amplifier, Terminal Panel (ATP)
 52     
Applied Materials AMAT Flow Restirctor, 3510-00244
Applied Materials AMAT Flow Restirctor, 3510-00244
 195     
MKS BARATRON PRESSURE SWITCH 51A52TCA1BA150 500Torr/150Torr
MKS BARATRON PRESSURE SWITCH 51A52TCA1BA150 500Torr/150Torr
 15     
ASML 4022 437 10233 Board AD
ASML 4022 437 10233 Board AD
 47   
AR10400-022AC Furon O-Ring
AR10400-022AC Furon O-Ring
 29 97    
129-0202// AMAT APPLIED 03-70056-00 w PCB, UNIVERSAL ADDRESS NEW
129-0202// AMAT APPLIED 03-70056-00 w PCB, UNIVERSAL ADDRESS NEW
 25   
Hitachi MBN27-2 Backplane Board PCB M-511E Used Working
Hitachi MBN27-2 Backplane Board PCB M-511E Used Working
 203 18    
90-1020-01 Rev N or P PCB
90-1020-01 Rev N or P PCB
 1     
setra 2671025LD2DG1HD  /  Free Expedited Shipping
setra 2671025LD2DG1HD / Free Expedited Shipping
 179   
Semy Engineering MYP821800B Alarms Process Auxiliary Display Panel Used Working
Semy Engineering MYP821800B Alarms Process Auxiliary Display Panel Used Working
 201 17    
AMAT Applied Materials ISOLATION AMPLIFIER 0100-00156
AMAT Applied Materials ISOLATION AMPLIFIER 0100-00156
 55     
KULICKE SOFFE 00775-9120-000 MAIN LOGIC qty 5 boards
KULICKE SOFFE 00775-9120-000 MAIN LOGIC qty 5 boards
 45   
Celerity Fc-2979mep5-wm  1 Slpm Mfc
Celerity Fc-2979mep5-wm 1 Slpm Mfc
 149 95    
Air Liquide Em 5000 Md Monitor /  Annunciator
Air Liquide Em 5000 Md Monitor / Annunciator
 15     
Express IV NT 90067390 Power Supply Board
Express IV NT 90067390 Power Supply Board
 95     
Mosaids Systems M349011 Memory Test Systems
Mosaids Systems M349011 Memory Test Systems
 2,499 95    
Newport -  INFPT-030 -  Timer Panel Mount, 115VAC 10W MAX 4 Relays
Newport - INFPT-030 - Timer Panel Mount, 115VAC 10W MAX 4 Relays
 1     
Granville Phillips Micro-ion Module 354001-ye-m T13-d14
Granville Phillips Micro-ion Module 354001-ye-m T13-d14
 18   162     
Delta Design 1900769-501 Vacuum Sensor X8 Board PCB Rev  G Used Working
Delta Design 1900769-501 Vacuum Sensor X8 Board PCB Rev  G Used Working
 154 17    
Ulvac Sensor Head Wp-01
Ulvac Sensor Head Wp-01
 23     
07930860 Rev  J
07930860 Rev  J
 7     
Parker 33-18304-002-5-e 5in Filter 0 2 Micron
Parker 33-18304-002-5-e 5in Filter 0 2 Micron
 75     
Jel C6551s-00728 Robot Controller
Jel C6551s-00728 Robot Controller
 1,999 90  
Perkin-Elmer 677-7099-001 D Circuit Board PCB Card A5
Perkin-Elmer 677-7099-001 D Circuit Board PCB Card A5
 112 49  
Leitz Leica 301-305 188 301-305 202 301-365 052 301-365 065 301-365 054 Chassis
Leitz Leica 301-305 188 301-305 202 301-365 052 301-365 065 301-365 054 Chassis
 2,   1,4     
Edstrom  6124-010 Rev B   R o  Controller Board
Edstrom 6124-010 Rev B R o  Controller Board
 254     
SynOptics 920-368-A DC/DC PCB 480-335-A 75W Used Working
SynOptics 920-368-A DC/DC PCB 480-335-A 75W Used Working
 205 17    
WINCHESTER ELECTRONICS E7123-61659 Advantest Cable Assembly
WINCHESTER ELECTRONICS E7123-61659 Advantest Cable Assembly
    70     
023 02 26 / Pcb Board, 501 01 44, Myp9300005 / Semy Engineering
023 02 26 / Pcb Board, 501 01 44, Myp9300005 / Semy Engineering
 495 82    
Kondoh Kohsya RY2-PCB(KG) Power Supply Board PCB Cosel ZUS101212 Used Working
Kondoh Kohsya RY2-PCB(KG) Power Supply Board PCB Cosel ZUS101212 Used Working
 153 18    
96-3073, Cable Assy Probe to Lamp Control, New
96-3073, Cable Assy Probe to Lamp Control, New
 15     
Norton Polish Wheel 3-17-xl073- 155 New
Norton Polish Wheel 3-17-xl073- 155 New
 414   
O-RING 3700-02443 (lot of 13)
O-RING 3700-02443 (lot of 13)
 54     
PRI Y Power In Cable (Part Number: 70046808)
PRI Y Power In Cable (Part Number: 70046808)
 12     
Amat Applied Materials Vacuum Fitting 0050-70112 New
Amat Applied Materials Vacuum Fitting 0050-70112 New
 26     
Amat Applied Materials Vacuum Fitting 0050-36463 New
Amat Applied Materials Vacuum Fitting 0050-36463 New
 34     
Applied Materials AMAT Flow Restirctor, 3510-00274
Applied Materials AMAT Flow Restirctor, 3510-00274
 195     
Balzers BG 542 481 T/A Thermal Control PCB Board BG 542 491 B Used Working
Balzers BG 542 481 T/A Thermal Control PCB Board BG 542 491 B Used Working
 307 16    
Millipore Controller 233-1549-26 -- WCDS000F4 -- Used
Millipore Controller 233-1549-26 -- WCDS000F4 -- Used
 5   
TEL Tokyo Electron T-3044SS PATLITE SIGNAL TOWER
TEL Tokyo Electron T-3044SS PATLITE SIGNAL TOWER
 14     
Acrosser Technologies AR-MB11 Backplane Board PCB VER:2 1 Used Working
Acrosser Technologies AR-MB11 Backplane Board PCB VER:2 1 Used Working
 208 17    
COHERENT OPTICAL MODULE 680-1100nm
COHERENT OPTICAL MODULE 680-1100nm
 496     
Lucas Labs PDC Power Unit
Lucas Labs PDC Power Unit
 149 95    
Chrom Tech Inc  P/N CT-290122 - Chiral-HSA, 2 0 x 10mm, 1/PK
Chrom Tech Inc  P/N CT-290122 - Chiral-HSA, 2 0 x 10mm, 1/PK
 5   
Applied Materials AMAT VCR Weldment, 0050-32172
Applied Materials AMAT VCR Weldment, 0050-32172
 195     
TEL Tokyo Electron 1B80-002392-11 Interface Board PCB TMC CN Used Working
TEL Tokyo Electron 1B80-002392-11 Interface Board PCB TMC CN Used Working
 203 18    
ASML Used 4022 656 52013 SEM-I-752=9G21
ASML Used 4022 656 52013 SEM-I-752=9G21
 299 90    
Ltx - Credence 865-2739-00 Pm Clock Distribution Board (ict) **look** (ref : G)
Ltx - Credence 865-2739-00 Pm Clock Distribution Board (ict) **look** (ref : G)
 399     
AMAT, 002200550610, CU Gasket 6" Silver Plated, New
AMAT, 002200550610, CU Gasket 6" Silver Plated, New
 25     
2s701-089 / Pcb, 2s005-167- / Nikon
2s701-089 / Pcb, 2s005-167- / Nikon
 350 68    
Grundfos SPK4-5 ANA BQQV 95623438701 with 30 day warranty
Grundfos SPK4-5 ANA BQQV 95623438701 with 30 day warranty
 9   
Rigaku Optics
Rigaku Optics
 2     
TEL Tokyo Electron 3208-000040-14 PCB Inker Driver Circuit Board *used working
TEL Tokyo Electron 3208-000040-14 PCB Inker Driver Circuit Board *used working
 5   35     
TEL Tokyo Electron 3281-000029-12 PCB Power Connector *used working
TEL Tokyo Electron 3281-000029-12 PCB Power Connector *used working
 5   35     
TEL Tokyo Electron 3281-000029-12 PCB Power Connector *used working*
TEL Tokyo Electron 3281-000029-12 PCB Power Connector *used working*
 5   35     
Blm-023252x03 / Ctl 6541 Pcb / Advantest
Blm-023252x03 / Ctl 6541 Pcb / Advantest
 1,2     
Stuart Scientific Roller Mixer Srt2
Stuart Scientific Roller Mixer Srt2
 65     
TEL Tokyo Electron 3281-000004-1 PCB Indexer Inter Connect 2 Circuit Board *used
TEL Tokyo Electron 3281-000004-1 PCB Indexer Inter Connect 2 Circuit Board *used
 3   21     
TEL Tokyo Electron 3281-000003-14 PCB Indexer Interconnect 1 Circuit Board *used
TEL Tokyo Electron 3281-000003-14 PCB Indexer Interconnect 1 Circuit Board *used
 3   21     
TEL Tokyo Electron 3281-000006-14 PCB Y Inter Connect 2 Circuit Board *used
TEL Tokyo Electron 3281-000006-14 PCB Y Inter Connect 2 Circuit Board *used
 3   21     
39-680-003 / Elctd Cone Head Vented 903 / Oem Group
39-680-003 / Elctd Cone Head Vented 903 / Oem Group
 250 68    
Komatsu 300818200 KE-2018 Interface Board PCB Used Working
Komatsu 300818200 KE-2018 Interface Board PCB Used Working
 160 17    
142-0703// Amat Applied 0226-97025 Applied Matrials Components New
142-0703// Amat Applied 0226-97025 Applied Matrials Components New
 1   
327-0401// Amat Applied 0020-80753 Screw,m4x8, Graphite Replaces [new]
327-0401// Amat Applied 0020-80753 Screw,m4x8, Graphite Replaces [new]
 1   
324-0203// Amat Applied 0020-79313 Gear, Rotation [used]
324-0203// Amat Applied 0020-79313 Gear, Rotation [used]
 22   
409-0102// Tel 3281-000173-14 3208-000173-13 Board [used/fast]
409-0102// Tel 3281-000173-14 3208-000173-13 Board [used/fast]
 2   
Control Concept 1014A-05 SCR Power Controller *used working
Control Concept 1014A-05 SCR Power Controller *used working
 4   28     
Smc Vv5q11-11c6sv-dkn Pneumatic Valve Manifold
Smc Vv5q11-11c6sv-dkn Pneumatic Valve Manifold
 65     
Kollmorgen 1000-0110-03 Brushless Servo Amplifier Magnedyne Used Working
Kollmorgen 1000-0110-03 Brushless Servo Amplifier Magnedyne Used Working
 256 15    
Bruce BDF 41 firing card, PCB, 4756525 120 Volt Module, Used
Bruce BDF 41 firing card, PCB, 4756525 120 Volt Module, Used
 1     
TEL Model-121 Cover Plate
TEL Model-121 Cover Plate
 1     
Genus 13052-00A PCB
Genus 13052-00A PCB
 25     
Adept Tech VIS 10332-00600 card
Adept Tech VIS 10332-00600 card
 299     
323-0403// Amat Applied 0090-16022 Assy Sensor Source 0220 New
323-0403// Amat Applied 0090-16022 Assy Sensor Source 0220 New
 25   
Sieger Limited 05700-A-0652 Gas Detection Assy w/ 4 RAD-10 Modules
Sieger Limited 05700-A-0652 Gas Detection Assy w/ 4 RAD-10 Modules
 425     
Applied Materials AMAT Kalrez Centering Ring 3700-03390
Applied Materials AMAT Kalrez Centering Ring 3700-03390
 225     
Rorze Rc-234 Generate Master, Used
Rorze Rc-234 Generate Master, Used
 15     
IP-Square IP57709A-NWC Video Processor Board IPS7709A-NWE Used Working
IP-Square IP57709A-NWC Video Processor Board IPS7709A-NWE Used Working
 203 17    
Fast Z Controller 001003 Rev A Ultrapointe Corp
Fast Z Controller 001003 Rev A Ultrapointe Corp
 25     
Fuji Electric BRRIIN-01S Earth Leakage Relay Used Working
Fuji Electric BRRIIN-01S Earth Leakage Relay Used Working
 158 15    
NEW MKS TECHNOLOGIES 492015-1028 HEATER JACKET- 28” Long 1 5” Diameter 120volts
NEW MKS TECHNOLOGIES 492015-1028 HEATER JACKET- 28” Long 1 5” Diameter 120volts
 42     
Bailey Multipointer Gas Outlet Da121w-pb100w
Bailey Multipointer Gas Outlet Da121w-pb100w
 231 50    
2521008-01 / Assy Touchscreen / Asm America Inc
2521008-01 / Assy Touchscreen / Asm America Inc
 1,300 68    
Leybold Pb 111 A
Leybold Pb 111 A
 8   
Ultratech Stepper 03-20-01130 Transition Stage Motor Driver PCB Card Right X
Ultratech Stepper 03-20-01130 Transition Stage Motor Driver PCB Card Right X
 406 18    
NEW ASM PN: 01-17431 "B" Rosemount Transducer Holder Assembly
NEW ASM PN: 01-17431 "B" Rosemount Transducer Holder Assembly
 24   
104-0401// Amat Applied 0660-00102 Card Pc Mip Crt & Video Graphic Controll New
104-0401// Amat Applied 0660-00102 Card Pc Mip Crt & Video Graphic Controll New
 42   
ESI CKT 42419K module
ESI CKT 42419K module
 199     
1 3/8'' Diameter -028 Chemical-Resistant High-Temp  O-Rings (25 EA per Pack)
1 3/8'' Diameter -028 Chemical-Resistant High-Temp  O-Rings (25 EA per Pack)
 10 13  
Delta Design 1906876-501 Output Driver PCA Board PCB Rev  C Used Working
Delta Design 1906876-501 Output Driver PCA Board PCB Rev  C Used Working
 154 17    
Parker Fams-612 High Purity Flare Adapter Male Straight Fitting
Parker Fams-612 High Purity Flare Adapter Male Straight Fitting
 18     
SSEC - Solid State Equipment Corp 65431206 SEAL ROTARY WHITE CHAMBER (PKG 2)
SSEC - Solid State Equipment Corp 65431206 SEAL ROTARY WHITE CHAMBER (PKG 2)
 5     
RECIF Technologies ZS0000705-8Q ChemGuard Tool Interface Board PCB AP1555 Used
RECIF Technologies ZS0000705-8Q ChemGuard Tool Interface Board PCB AP1555 Used
 155 17    
MFCDNET2-CN/A0 D2E01503 Kokusai PCB - 30 Day Warranty ??
MFCDNET2-CN/A0 D2E01503 Kokusai PCB - 30 Day Warranty ??
 34     
Mti- Model 51029c Circuit Board - New
Mti- Model 51029c Circuit Board - New
 1     
AMAT, Weldment, He Line, IHC To Dump 5RA, POS B, 0050-00450, Used
AMAT, Weldment, He Line, IHC To Dump 5RA, POS B, 0050-00450, Used
 25     
MURR ELEKTRONIK FF-75-0374 Line Filter Art  No  10216
MURR ELEKTRONIK FF-75-0374 Line Filter Art  No  10216
 55     
Modus Instruments DA-1-09E-0-RFRR Display Alarm Lot of 2 Used Working
Modus Instruments DA-1-09E-0-RFRR Display Alarm Lot of 2 Used Working
 155 17    
ASML 4022 668 66491 Cable
ASML 4022 668 66491 Cable
 25     
Delta Design 1900769-501 Vacuum Sensor X8 Board PCB Rev  B Used Working
Delta Design 1900769-501 Vacuum Sensor X8 Board PCB Rev  B Used Working
 154 17    
Delta Design 1909502-501 Dual Stepper Controller Board PCB Rev  G Used Working
Delta Design 1909502-501 Dual Stepper Controller Board PCB Rev  G Used Working
 154 17    
318-0103// Jtbs-57-e634 Amat Turck Devicenet [used]
318-0103// Jtbs-57-e634 Amat Turck Devicenet [used]
 3   
Fei 22253-A 18098 Rev  A Vacuum Interlock
Fei 22253-A 18098 Rev  A Vacuum Interlock
 234     
Asyst 1000-0702-01 Rev  A Fixture Stand Front/Base
Asyst 1000-0702-01 Rev  A Fixture Stand Front/Base
 28     
SMC Cylinder CDQ2WKB25-20DC CDQ2WKB2520DC Used
SMC Cylinder CDQ2WKB25-20DC CDQ2WKB2520DC Used
 36 60  
Toray Ld-450-c Oxygen Analyzer Power On Tested
Toray Ld-450-c Oxygen Analyzer Power On Tested
 44   
3COM, Ethernet Adapter, 10/100BASE-TX, Used
3COM, Ethernet Adapter, 10/100BASE-TX, Used
 95     
Fujikin 1/2 Turn Shut Off Valve, 6LVV-P-MDB7 MicroFit, w/ Clamp, Used
Fujikin 1/2 Turn Shut Off Valve, 6LVV-P-MDB7 MicroFit, w/ Clamp, Used
 75     
130-0303// AMAT APPLIED 0100-09146 wPCBA CENTERFINDER 5,6,8 USED
130-0303// AMAT APPLIED 0100-09146 wPCBA CENTERFINDER 5,6,8 USED
 4   
ESI 164300 REV  A for ESI 9850 system  /  Free Expedited Shipping
ESI 164300 REV  A for ESI 9850 system / Free Expedited Shipping
 89   
Semi-gas Multi Purge Controller Auto Purge M
Semi-gas Multi Purge Controller Auto Purge M
 1,0     
Thales Rack Control Rack Flash Supply Box 8801128d
Thales Rack Control Rack Flash Supply Box 8801128d
 35     
Ceramaseal Ceramtec 19-pin Receptacle 18900-01-kf H80084 New 1890001kf
Ceramaseal Ceramtec 19-pin Receptacle 18900-01-kf H80084 New 1890001kf
 295     
Lam Research 853-800085-025 Rev: B Vendor Code : 1023220, USED
Lam Research 853-800085-025 Rev: B Vendor Code : 1023220, USED
 2,3     
Melec EAUA-087800 SP-382-6 Control Board Fast Shipping!
Melec EAUA-087800 SP-382-6 Control Board Fast Shipping!
 51     
Nikon 4S013-211 Interface Board PCB NSR-S202A Used Working
Nikon 4S013-211 Interface Board PCB NSR-S202A Used Working
 406 18    
Power Divider Spd-3000n, Used
Power Divider Spd-3000n, Used
 4     
Applied Materials AMAT TC Cable, 0140-01813
Applied Materials AMAT TC Cable, 0140-01813
 315     
Dainippon Screen 2vc45347 Power Box
Dainippon Screen 2vc45347 Power Box
 449 95    
Nikon 4S013-380 Power Interface Board PCB NSR System Used Working
Nikon 4S013-380 Power Interface Board PCB NSR System Used Working
 502 19    
New Scp Global Technology Auxiliary Relay Unit Pn 18633-01
New Scp Global Technology Auxiliary Relay Unit Pn 18633-01
 8     
Jenoptik 013501-093-17i4 Control Board
Jenoptik 013501-093-17i4 Control Board
 399 95    
Balzers BG 542 233 T Shutter Control Display PCB Board BG 542 236 Used Working
Balzers BG 542 233 T Shutter Control Display PCB Board BG 542 236 Used Working
 157 16    
NOS QualiTau Oven
NOS QualiTau Oven
 2,9     
125-0104// Amat Applied 0010-10528 0040-09957 0040-09961 0020-32963 Shell Asis
125-0104// Amat Applied 0010-10528 0040-09957 0040-09961 0020-32963 Shell Asis
 1,5   
LOT OF 3 USED Tokyo Flow Meters FF-MOA80 Fin Flow Assembly FREE SHIPPING
LOT OF 3 USED Tokyo Flow Meters FF-MOA80 Fin Flow Assembly FREE SHIPPING
 17   152     
Ati Technologies 102-31601-00 503151
Ati Technologies 102-31601-00 503151
 6     
Rietschle Thomas 2688VEF22-A01 Pneumatic Pump Used Working
Rietschle Thomas 2688VEF22-A01 Pneumatic Pump Used Working
 307 16    
258125373-04 Cable, To B+R Acopos Powewr / With Plug KGG-PC 4/4
258125373-04 Cable, To B+R Acopos Powewr / With Plug KGG-PC 4/4
 52     
Disco FBPCB-0174 8-DO(COMM)_PCB
Disco FBPCB-0174 8-DO(COMM)_PCB
 169   
ASML 865-8156-003 PO isolator control A1902 / A2902
ASML 865-8156-003 PO isolator control A1902 / A2902
 1,64     
142-0703// Amat Applied 0226-32285 Cable, Press, Exha, Intrconnct New
142-0703// Amat Applied 0226-32285 Cable, Press, Exha, Intrconnct New
 1   
324-0201// Amat Applied 0020-23110 Washer, A l l  Cassette Sensor 200mm New
324-0201// Amat Applied 0020-23110 Washer, A l l  Cassette Sensor 200mm New
 1   
Tel 3d10-100596-13 Shield Depo-ued Df40
Tel 3d10-100596-13 Shield Depo-ued Df40
 1,9     
AMAT, 0050-18569, Gas Line Assy, Used
AMAT, 0050-18569, Gas Line Assy, Used
 25     
Gun Anode Insulator, Used
Gun Anode Insulator, Used
 2     
USED Stainless Flask Vessel Semiconductor Assembly 0 635 MM FREE SHIPPING
USED Stainless Flask Vessel Semiconductor Assembly 0 635 MM FREE SHIPPING
 1   16     
TEL Tokyo Electron 909-031-PLC4A DEV2 Nozzle Driver Usunh *used working
TEL Tokyo Electron 909-031-PLC4A DEV2 Nozzle Driver Usunh *used working
 5   35     
TEL Tokyo Electron 909-031-PLC4A DEV1 Nozzle Driver Usunh *used working
TEL Tokyo Electron 909-031-PLC4A DEV1 Nozzle Driver Usunh *used working
 5   35     
Alkeria USB Camera CELERA One CO2K-M
Alkeria USB Camera CELERA One CO2K-M
 1,2     
Keyence Ap-31 Digital Pressure Sensor
Keyence Ap-31 Digital Pressure Sensor
 99     
Ultratech 086128G  PCB REV A ASSEMBLY (used on Ultratech 085178G-02 PCB STEPPER)
Ultratech 086128G PCB REV A ASSEMBLY (used on Ultratech 085178G-02 PCB STEPPER)
 25     
TEL - Tokyo Electron Ltd  3M80-001582-14 PCB BOARD
TEL - Tokyo Electron Ltd  3M80-001582-14 PCB BOARD
 35     
TEL - Tokyo Electron Ltd  3M80-001605-11 PCB
TEL - Tokyo Electron Ltd  3M80-001605-11 PCB
 35     
MKS 288 IEEE Interface
Top-Rated Plus Seller MKS 288 IEEE Interface
 1     
Kitz Sct / Type D a  Scv Bellows Valve Fkm-seat / Scv
Kitz Sct / Type D a  Scv Bellows Valve Fkm-seat / Scv
 550 82    
99-181-004 Rev E EPD-4 PCB
99-181-004 Rev E EPD-4 PCB
 2     
SEMY Engineering Process Status Display Box w/ MYP821800 PCB, Used
SEMY Engineering Process Status Display Box w/ MYP821800 PCB, Used
 2     
SEMY Engineering 501 01 32 Extended Front Board MYP9200002 Rev  2 1
SEMY Engineering 501 01 32 Extended Front Board MYP9200002 Rev  2 1
 15     
LTX Trillium Test System EBM Fan System 853-2575-02 10 Fans Used
LTX Trillium Test System EBM Fan System 853-2575-02 10 Fans Used
 3   
Adaptabeacon 104FINHA-G1 24v  77Amps
Adaptabeacon 104FINHA-G1 24v  77Amps
 2   
Multiple Stainless Steel sample holder- 17", 3 5" Height, 4" samples  A-2
Multiple Stainless Steel sample holder- 17", 3 5" Height, 4" samples A-2
 125     
Drytek/Lam 384T Door Control Interface, P/N 2800464B, Assy # 2800465
Top-Rated Plus Seller Drytek/Lam 384T Door Control Interface, P/N 2800464B, Assy # 2800465
 175     
Hitachi 571-7115 PS Amp 1 Board PCB Assembly 25717110 Used Working
Hitachi 571-7115 PS Amp 1 Board PCB Assembly 25717110 Used Working
 252 17    
Oxford 1128-372 rev K SSCAN RAM Board
Oxford 1128-372 rev K SSCAN RAM Board
 499     
FEI Company 4022 296 0109 Backplane Board PCB 29501092 CLM-3D Used Working
FEI Company 4022 296 0109 Backplane Board PCB 29501092 CLM-3D Used Working
 208 17    
Control Technology Corporation Pcb 50-1042
Control Technology Corporation Pcb 50-1042
 6     
FutureStar Flow Meter FX-226-0080  New
FutureStar Flow Meter FX-226-0080 New
 4     
Target Heater Stand
Target Heater Stand
 12   
Ct5010-599673-11 / Fork (0 8- Bsc) Cra & Cp / Tokyo Electron Tel
Ct5010-599673-11 / Fork (0 8- Bsc) Cra & Cp / Tokyo Electron Tel
 1,     
Amat 0021-11057 Sst Seal Clamp, Head Assy, Pad Condition
Amat 0021-11057 Sst Seal Clamp, Head Assy, Pad Condition
 25     
344-0501// Amat Applied 0021-15291 Applied Matrials Components New
344-0501// Amat Applied 0021-15291 Applied Matrials Components New
 1   
Tempress PCB Assy Boat Loader Intcon, 37571-01, Used
Tempress PCB Assy Boat Loader Intcon, 37571-01, Used
 25     
Phasetronics P1050-x2-60 Power Control
Phasetronics P1050-x2-60 Power Control
 129 95    
AMAT, Tube Bend Coolings Tube LTBESC, 0021-08843, Used
AMAT, Tube Bend Coolings Tube LTBESC, 0021-08843, Used
 45     
60018-1-0173 Controller, 60018-1-0173 / Fan Controller / 208~277 VAC ~50~60Hz /
60018-1-0173 Controller, 60018-1-0173 / Fan Controller / 208~277 VAC ~50~60Hz /
 25     
SKBD200110 Frequency AC Drive Commander SK, SKBD200110 / 220~240V / 5,2A / 1,1KW
SKBD200110 Frequency AC Drive Commander SK, SKBD200110 / 220~240V / 5,2A / 1,1KW
 3     
(12) Quartz Stepped Pins ASM 16-187152B01 NOS
(12) Quartz Stepped Pins ASM 16-187152B01 NOS
 125   
MRC Materials Research D127146-062S DARK SPACE SHIELD
Top-Rated Plus Seller MRC Materials Research D127146-062S DARK SPACE SHIELD
 65   52     
Matrix Lower Pump Ring P/n 099-60426, Nos
Top-Rated Plus Seller Matrix Lower Pump Ring P/n 099-60426, Nos
 1,04   832     
ASM Advanced Semiconductor Materials 2421534-21 Distribution PCB Used Working
ASM Advanced Semiconductor Materials 2421534-21 Distribution PCB Used Working
 354 17    
Westinghouse An33a Thermal Overload Relay 3-p
Westinghouse An33a Thermal Overload Relay 3-p
 24     
Irvine Optical UG LDF ELV Connection Board PCB Alphanetics Lot of 4 Used
Irvine Optical UG LDF ELV Connection Board PCB Alphanetics Lot of 4 Used
 152 17    
Advanced Semiconductor Materials ASM 10134 E101640-1 Automatic Probe System
Advanced Semiconductor Materials ASM 10134 E101640-1 Automatic Probe System
 45     
Cambridge Absolute Filter 2T-39516093-Z285-0
Cambridge Absolute Filter 2T-39516093-Z285-0
 185     
Gasonics Quartz Collar 16337-02
Gasonics Quartz Collar 16337-02
 327     
Axcelis Technologies 531061 E84 Interface Board Backplane PCB 531051 Used
Axcelis Technologies 531061 E84 Interface Board Backplane PCB 531051 Used
 201 17    
Xynetics Electroglas D3030 disk drive Model 2001CX D3030
Top-Rated Plus Seller Xynetics Electroglas D3030 disk drive Model 2001CX D3030
    
USED Veeco ID3501-V2 Ion Beam Source Supply 8260-751-00 U1
USED Veeco ID3501-V2 Ion Beam Source Supply 8260-751-00 U1
 999 95    
Irvine Optical Company BLDST1 RVC Connection Board PCB Used Working
Irvine Optical Company BLDST1 RVC Connection Board PCB Used Working
 252 17    
Prolog 0400065 Memory Board PCB Card Used Working
Prolog 0400065 Memory Board PCB Card Used Working
 309 12    
AMAT, Gas Line Spool 3 80" M/F, 0050-44250, Used
AMAT, Gas Line Spool 3 80" M/F, 0050-44250, Used
 15     
AMAT, Line ICP CDA Restrictor, 0050-07913, Used
AMAT, Line ICP CDA Restrictor, 0050-07913, Used
 15     
AMAT, Weldment, Ampule Adaptor, 0050-04940, Used
AMAT, Weldment, Ampule Adaptor, 0050-04940, Used
 15     
AMAT, Gas Line Connector, 0050-21791, Used
AMAT, Gas Line Connector, 0050-21791, Used
 15     
AMAT, Tubing Coolant Line, 0050-37815, Used, Lot of 2
AMAT, Tubing Coolant Line, 0050-37815, Used, Lot of 2
 15     
BRUCE TECHNOLOGIES 9017138V01 Temperature Microcontroller Module
BRUCE TECHNOLOGIES 9017138V01 Temperature Microcontroller Module
 35     
CKD FJ-L10 Mori Seiki Joint, USED
CKD FJ-L10 Mori Seiki Joint, USED
 1     
Applied Materials AMAT Kalrez Centering Ring 3700-03391
Applied Materials AMAT Kalrez Centering Ring 3700-03391
 185     
Applied Materials AMAT KEYENCE Photoelectric Sensor, PS-49, 1400-01256
Applied Materials AMAT KEYENCE Photoelectric Sensor, PS-49, 1400-01256
 185     
Orbot Instruments 710-62101-DD WFSCSI PCB Connector Board Lot of 2 Used Working
Orbot Instruments 710-62101-DD WFSCSI PCB Connector Board Lot of 2 Used Working
 158 16    
Yokogawa Printer 43006 Industrial Recorder
Top-Rated Plus Seller Yokogawa Printer 43006 Industrial Recorder
 17     
Cambridge 2T-80110G-NDHB-2 Filter ABSOLUTE Nikon NSR-S204B Used Working
Cambridge 2T-80110G-NDHB-2 Filter ABSOLUTE Nikon NSR-S204B Used Working
 407 18    
Kitz SCT TM-0003A Assy-Precursor Bottle ASM 1046-253-01 Power AC 200V
Top-Rated Plus Seller Kitz SCT TM-0003A Assy-Precursor Bottle ASM 1046-253-01 Power AC 200V
 1,298 98    
KITZ SCT TM-0003A  ASM Assy Precursor Bottle AC200V 50/60hz
KITZ SCT TM-0003A ASM Assy Precursor Bottle AC200V 50/60hz
 5   1     
Leica 301-364-025 BOARD ASSEMBLY
Leica 301-364-025 BOARD ASSEMBLY
 65     
Nordson 210734-6 Rev E
Top-Rated Plus Seller Nordson 210734-6 Rev E
 25     
ASML 4022 668 66141 Cable
ASML 4022 668 66141 Cable
 25     
3020-580 / Gas Valve With Dual Pressure Gauges / Matheson
3020-580 / Gas Valve With Dual Pressure Gauges / Matheson
 153 30    
TEL Tokyo Electron 3281-000069-14 / 3208-000069-12 PCB SIO BARCODE
TEL Tokyo Electron 3281-000069-14 / 3208-000069-12 PCB SIO BARCODE
 145 65    
Nikon Ceramic Load X TT Plate NSR System Used Working
Nikon Ceramic Load X TT Plate NSR System Used Working
 308 18    
Amat 0040-08918 Cover Top Pan, Meg Hvm W/ 0040-08917
Amat 0040-08918 Cover Top Pan, Meg Hvm W/ 0040-08917
 2,5     
Royonic  Model Pl  Nos W/ Manual
Royonic Model Pl Nos W/ Manual
 125     
Amat 0020-79036 Main Plate W/ 0020-78992
Amat 0020-79036 Main Plate W/ 0020-78992
 1,2     
Sieger 05704-a-a0122 Relay Card
Sieger 05704-a-a0122 Relay Card
 200 95    
Applied Materials 0040-32042 Base Weldment  AMAT Etch
Applied Materials 0040-32042 Base Weldment AMAT Etch
 7,995     
Pearl Kogyo F-2000-0 1 Filter
Pearl Kogyo F-2000-0 1 Filter
 399 95    
Semifusion 160 Comparator Connector PCB Lot of 4 Ultratech 1000 Used Working
Semifusion 160 Comparator Connector PCB Lot of 4 Ultratech 1000 Used Working
 159 16    
KIKUSHI PMC250-0 25A / Free International Shipping
KIKUSHI PMC250-0 25A / Free International Shipping
 399   
Did Sprinting Die
Did Sprinting Die
 17 96    
Nikon 4S017-054 AFMTH Interface Board PCB Used Working
Nikon 4S017-054 AFMTH Interface Board PCB Used Working
 204 17    
Kensington 4000-60002 Rev S Axis PCB Circuit Board *used working
Kensington 4000-60002 Rev S Axis PCB Circuit Board *used working
 675   472 50    
Dymax Zip Shutter Curtain Assembly 38071
Dymax Zip Shutter Curtain Assembly 38071
 299     
ASML Used 4022 639 81451 SEM-I-513=2M24
ASML Used 4022 639 81451 SEM-I-513=2M24
 149 90  
ASML Used 4022 456 20541 WINDOW HOLDER BS SUBASSY SEM-I-514=2M24
ASML Used 4022 456 20541 WINDOW HOLDER BS SUBASSY SEM-I-514=2M24
 99 90  
0010-43023,CRESCENT ASSY, UD,DESICA, 300mm
0010-43023,CRESCENT ASSY, UD,DESICA, 300mm
 10,     
Fuji Transistor Inverter FVRO 75E11S-2
Fuji Transistor Inverter FVRO 75E11S-2
 2     
Fuji Transistor Inverter FVR1 5E11S-2
Fuji Transistor Inverter FVR1 5E11S-2
 2     
Nikon 4S019-235 Interface PCB Card C/D_I/F NSR-307E Used Working
Nikon 4S019-235 Interface PCB Card C/D_I/F NSR-307E Used Working
 254 17    
Comtrol Rocket 94900-8 ROCKET 8J
Comtrol Rocket 94900-8 ROCKET 8J
 99 90  
SCRW,SKT HEX 10-32 x 1 Nickle Vented (6 per pk )
SCRW,SKT HEX 10-32 x 1 Nickle Vented (6 per pk )
 11     
MRC Materials Research 884-99-000 Relay PCB Board Eclipse Star Used Working
MRC Materials Research 884-99-000 Relay PCB Board Eclipse Star Used Working
 150 16    
AMAT, Gasline, 0050-00136, Used
AMAT, Gasline, 0050-00136, Used
 25     
AMAT Window, Small Viewport , 001-05553-303 , 300mm
AMAT Window, Small Viewport , 001-05553-303 , 300mm
 12     
Tokyo Electron BOARD IO SPIN-G #1 TKB7243
Tokyo Electron BOARD IO SPIN-G #1 TKB7243
 98     
Fujikin 1/4 Turn Shut Off Valve, TEL 0120-064661, 1/4" Swagelok - LOT of 2
Fujikin 1/4 Turn Shut Off Valve, TEL 0120-064661, 1/4" Swagelok - LOT of 2
 7     
Kokusai Electric D94013A 2/2 Interface Board PCB Used Working
Kokusai Electric D94013A 2/2 Interface Board PCB Used Working
 309 18    
Balzers BB 246 608X Bellow
Balzers BB 246 608X Bellow
 98     
Novellus 16-029857-00 Evaporator Top, Swagelok 316LV Micro-Fit, 1/2" VCR, 452272
Novellus 16-029857-00 Evaporator Top, Swagelok 316LV Micro-Fit, 1/2" VCR, 452272
 15   
Veriflo 3-Way Diaphragm Valve, 452679
Veriflo 3-Way Diaphragm Valve, 452679
 15   
Ham-let HMB1-4VKLC-GM4GF4GF4-2 35 Diaphragm Valve, N/C, 60-90 PSI, 452459
Ham-let HMB1-4VKLC-GM4GF4GF4-2 35 Diaphragm Valve, N/C, 60-90 PSI, 452459
 15   
Gas Valve Assy, Parker Veriflo 43800528, APtech AP3625NS, SPANS Gauge, 452687
Gas Valve Assy, Parker Veriflo 43800528, APtech AP3625NS, SPANS Gauge, 452687
 15   
AMAT 0020-22170 SST Cover Shield w/ Spacer, 416594
AMAT 0020-22170 SST Cover Shield w/ Spacer, 416594
 15   
AC Power Supply 0-15 VOLTS, 453276
AC Power Supply 0-15 VOLTS, 453276
 15   
FUJI ELECTRIC TR-ON/3 Thermal Overload Relay, USED
FUJI ELECTRIC TR-ON/3 Thermal Overload Relay, USED
 2     
CPI Technologies PCI-P54-1
CPI Technologies PCI-P54-1
 2   
Leitz 301-365-052 2PM15 PCB
Leitz 301-365-052 2PM15 PCB
 355     
Heraeus 63338 Quartz Cap Cover PROCACCEF/HP 1105-300683-12 Ser# HC-565636-04
Heraeus 63338 Quartz Cap Cover PROCACCEF/HP 1105-300683-12 Ser# HC-565636-04
 659 20  
ANCOSYS T 027 0011 SPE CARTRIGE C 0814-C/6 MLl T 027 2011
ANCOSYS T 027 0011 SPE CARTRIGE C 0814-C/6 MLl T 027 2011
 5     
Ancosys T 051 0003 Capillaries Stainless Steel 1/16inch  025mm Foot (pkg 3)
Ancosys T 051 0003 Capillaries Stainless Steel 1/16inch  025mm Foot (pkg 3)
 5     
Ancosys T 051 0029 Peek Capillary 1/16 Inch 0 25mm Foot
Ancosys T 051 0029 Peek Capillary 1/16 Inch 0 25mm Foot
 5     
Amat 9901-76102 Valve Plug 1/4fnpt X 1/4mnpt Brs W/hdl
Amat 9901-76102 Valve Plug 1/4fnpt X 1/4mnpt Brs W/hdl
 19     
Amat 0090-77160 Diw Movable Shield Front Sw
Amat 0090-77160 Diw Movable Shield Front Sw
 35     
Amat 0090-77165 Diw Movable Shield Back Sw
Amat 0090-77165 Diw Movable Shield Back Sw
 35     
VICOR, 10-040831-03, Power Supply
VICOR, 10-040831-03, Power Supply
 6     
Lot of 1pc ADC 4695 LTX SM_TH_ANALOG_PIN_2 Tester Circuit Board
Lot of 1pc ADC 4695 LTX SM_TH_ANALOG_PIN_2 Tester Circuit Board
 125     
Hitachi Tank5 Nitric Clean Controller MU-712E Used Working
Hitachi Tank5 Nitric Clean Controller MU-712E Used Working
 506 13    
KAWASAKI CONTROL BOARD P/N 50999-1600R10, Free shipping
KAWASAKI CONTROL BOARD P/N 50999-1600R10, Free shipping
 349 90  
Simco Ceiling Emitter  5511 Room Ionizer  Itw Company 5520/5580
Simco Ceiling Emitter 5511 Room Ionizer Itw Company 5520/5580
 14     
DAINIPPON Screen SWCS-MNC-4 UC6SWCSMNC1Y1
DAINIPPON Screen SWCS-MNC-4 UC6SWCSMNC1Y1
 499     
Ultratech Stepper 22576-1 Rs232 Rbt Control / Switchbox C Cable
Ultratech Stepper 22576-1 Rs232 Rbt Control / Switchbox C Cable
 2     
Dainippon Screen Dns 2afj1342 2ajj2828 Rhp Assy 2ajj07931 2afj1344 Bake Heater
Dainippon Screen Dns 2afj1342 2ajj2828 Rhp Assy 2ajj07931 2afj1344 Bake Heater
 9,999     
D-108632001 / Horiz X Scan Pcb Board / Varian
D-108632001 / Horiz X Scan Pcb Board / Varian
 1,580 82    
AE Advanced Energy STARBURST 3152335-004
AE Advanced Energy STARBURST 3152335-004
 2,2   
NEW MKS HPS Products AMAT 161-0040K Roughing Valve FREE SHIPPING
NEW MKS HPS Products AMAT 161-0040K Roughing Valve FREE SHIPPING
 35   305     
AMAT Applied Materials 0100-90708 Vacuum Control Interlock PCB Card Used Working
AMAT Applied Materials 0100-90708 Vacuum Control Interlock PCB Card Used Working
 309 16    
Applied Materials AMAT Opal Flow Indicator, 230880330
Applied Materials AMAT Opal Flow Indicator, 230880330
 345     
VEM Te Target 52S0003  % Pure
VEM Te Target 52S0003  % Pure
 46   414     
New Assembleon 5322 466 83072 Centering Block For Fcm-mf Fast Component Mounter
New Assembleon 5322 466 83072 Centering Block For Fcm-mf Fast Component Mounter
 1   
Fujikin FCST1050LC-4J2-F50L-AR-040 Mass Flow Controller NIB
Fujikin FCST1050LC-4J2-F50L-AR-040 Mass Flow Controller NIB
 299     
Komatsu 300090801 KE-2003 Display Panel Board PCB Used Working
Komatsu 300090801 KE-2003 Display Panel Board PCB Used Working
 260 17    
Lot of 36 NEW 7411-PC 8433 Semiconductor Circuits
Top-Rated Plus Seller Lot of 36 NEW 7411-PC 8433 Semiconductor Circuits
 32     
0020-84649 / Liner Lower Yttria Coated Hart 3 / Amat
0020-84649 / Liner Lower Yttria Coated Hart 3 / Amat
 3,640 97    
NSK E010ZZIF1-014-A Interface Relay Board PCB Z-I/F Used Working
NSK E010ZZIF1-014-A Interface Relay Board PCB Z-I/F Used Working
 303 18    
FSM DC911212 Rev  3 AIODIO Assy board
FSM DC911212 Rev  3 AIODIO Assy board
 95   
Injector Nozzle
Injector Nozzle
 16   
6426-xe52-1100 / Gate Valve / Vat
6426-xe52-1100 / Gate Valve / Vat
 2,200 82    
SSEC - Solid State Equipment Corp 5099099321 PEDESAL MASK CHUCK-TEFLON (PKG 72)
SSEC - Solid State Equipment Corp 5099099321 PEDESAL MASK CHUCK-TEFLON (PKG 72)
 5     
Grid Assy
Grid Assy
 7   
Ishii Tool & Engineering MAX 03 ISM-MAINX Circuit Board *used working
Ishii Tool & Engineering MAX 03 ISM-MAINX Circuit Board *used working
 5   35     
Ishii Tool & Engineering UAX 03 ISM-UNITX Circuit Board *used working
Ishii Tool & Engineering UAX 03 ISM-UNITX Circuit Board *used working
 5   35     
Echotek Corporation ADIQ CAGE CODE OBDGI REV A
Echotek Corporation ADIQ CAGE CODE OBDGI REV A
 1,5     
PBF 4022-369 7216 3 TRANSFORMER    for Philips  PW 2400
PBF 4022-369 7216 3 TRANSFORMER for Philips PW 2400
 2     
103-0601// Amat Applied 3620-00159 Controller,tmp,exc120 [new]
103-0601// Amat Applied 3620-00159 Controller,tmp,exc120 [new]
 2,34   
AMAT, 0050-21455 WELDMENT GAS LINE N2 COH TIN, Used
AMAT, 0050-21455 WELDMENT GAS LINE N2 COH TIN, Used
 25     
Brooks 101905
Brooks 101905
 7   
NGK CB-573 Valve Assembly
NGK CB-573 Valve Assembly
 999 95    
Wentworth Fasprober Manual Wafer Prober (MP-1300)
Wentworth Fasprober Manual Wafer Prober (MP-1300)
 2,199 90    
NEW MKS TECHNOLOGIES 492030-1021 HEATER JACKET- 21” Long 3” Diameter 120 VAC
NEW MKS TECHNOLOGIES 492030-1021 HEATER JACKET- 21” Long 3” Diameter 120 VAC
 427 50    
Lambda LUS-8A-12, Power Supply, 12 volt 1 3 A, 85-132VAC or 110-165VDC, Used
Lambda LUS-8A-12, Power Supply, 12 volt 1 3 A, 85-132VAC or 110-165VDC, Used
 3     
342-0403// Amat Applied 0040-32677 Assy,roller Catch,clamp Lid New
342-0403// Amat Applied 0040-32677 Assy,roller Catch,clamp Lid New
 2   
Advu-25-355-p-a-sa / Pneumatic / Festo
Advu-25-355-p-a-sa / Pneumatic / Festo
 148 68    
Ultrafryer Rtrft, Kit Mech Cntctr Rthrm 143-000014-001-C - Free Shipping +
Ultrafryer Rtrft, Kit Mech Cntctr Rthrm 143-000014-001-C - Free Shipping +
 1,698   1,273 50  
HEIDENHAIN LIDA 48 Linear Encoder 346 243 05 , USED
HEIDENHAIN LIDA 48 Linear Encoder 346 243 05 , USED
 149     
ASML 4022 668 66221 Cable
ASML 4022 668 66221 Cable
 25     
Novellus 16-029857-00 Evaporator Top, Swagelok 316LV Micro-Fit, 1/2" VCR, 452276
Novellus 16-029857-00 Evaporator Top, Swagelok 316LV Micro-Fit, 1/2" VCR, 452276
 15   
Anorad 672474 V-SERV Backplane PCB Board D55398 AMAT Orbot WF 736 DUO Used
Anorad 672474 V-SERV Backplane PCB Board D55398 AMAT Orbot WF 736 DUO Used
 258 16    
GENERAL MICRO SYSTEM GMSSRPX-01-B / GMSV36-01-D Card Module - Broken Tab
Top-Rated Plus Seller GENERAL MICRO SYSTEM GMSSRPX-01-B / GMSV36-01-D Card Module - Broken Tab
      
Honeywell 5n Grade Endura Large Bonded Target Assembly 0953750
Honeywell 5n Grade Endura Large Bonded Target Assembly 0953750
 299     
Futurestar 122-00125 Inline Flow Meter With Pathfinder 3/8 PFA5-125C
Futurestar 122-00125 Inline Flow Meter With Pathfinder 3/8 PFA5-125C
 36   
Applied Materials Mainframe Pump Iq Interface Module 3620-01425
Applied Materials Mainframe Pump Iq Interface Module 3620-01425
 1,2     
56f5190 (lot Of 2) / Crank Asm Q / Btu Engineering - Bruce
56f5190 (lot Of 2) / Crank Asm Q / Btu Engineering - Bruce
 350 68    
000-0000// Amat Applied 0040-20652 Applied Matrials Components [asis]
000-0000// Amat Applied 0040-20652 Applied Matrials Components [asis]
 3,5   
Axcelis 17099471 Exit Pole Lower
Axcelis 17099471 Exit Pole Lower
 75   
Static Control Systems Tipo 480V 60HZ 120V 26071008 #51E2PR6
Static Control Systems Tipo 480V 60HZ 120V 26071008 #51E2PR6
 3     
ASM Lithography  LEVEL SLAVE ACTUATOR ASSY SERV 502 28165
ASM Lithography LEVEL SLAVE ACTUATOR ASSY SERV 502 28165
 2,9     
Fujikin 1/2 Turn Shut Off Valve, TEL 0120-064661, 1/2" Swagelok
Fujikin 1/2 Turn Shut Off Valve, TEL 0120-064661, 1/2" Swagelok
 4     
Brooks Instrument Pcb Bm23475l06r
Brooks Instrument Pcb Bm23475l06r
 6     
Kulicke & Soffa Fell Probe Head Tip Matl  TC, Rad  4"
Top-Rated Plus Seller Kulicke & Soffa Fell Probe Head Tip Matl  TC, Rad  4"
 992 98    
Matheson Inline Gas Filter 6164-V4MM, 1/4" Male VCR, Used
Matheson Inline Gas Filter 6164-V4MM, 1/4" Male VCR, Used
 5     
Cb4 Fpk-n8  Board Assembly
Cb4 Fpk-n8 Board Assembly
 45     
Tylan MFC FC-2950, HCL 500Sccm, Refurbished with Certifications
Tylan MFC FC-2950, HCL 500Sccm, Refurbished with Certifications
 3   
Cosel LDA15F-24 Compact Power Supply PCB LDA15F Used Working
Cosel LDA15F-24 Compact Power Supply PCB LDA15F Used Working
 156 16    
Ingersoll-Rand, Electronic Screwdriver, EL0510BC-SS-ESD, Used
Ingersoll-Rand, Electronic Screwdriver, EL0510BC-SS-ESD, Used
 15     
Inficon 923-722-g1 Cpm Diaphragm Kit
Inficon 923-722-g1 Cpm Diaphragm Kit
 289     
Nikon 4S018-063- WL3I02 board
Nikon 4S018-063- WL3I02 board
 849     
NEW Mykrolis Microgard Minichem DPR LONG 0 05µm CWUZ3L0P1 FREE SHIPPING
NEW Mykrolis Microgard Minichem DPR LONG 0 05µm CWUZ3L0P1 FREE SHIPPING
 22   
Amat 0040-47028 & 0040-01618 & 0040-00796, Working
Amat 0040-47028 & 0040-01618 & 0040-00796, Working
 5,     
MKS T3BIB-32871 High-Speed Exhaust Throttle Valves -Fair
MKS T3BIB-32871 High-Speed Exhaust Throttle Valves -Fair
 85     
Ibt Industrial Solutions O-ring, 42 Pack Or-340n70 - New
Ibt Industrial Solutions O-ring, 42 Pack Or-340n70 - New
 1     
CVD Window 0200-10348 Applied Materials? New
Top-Rated Plus Seller CVD Window 0200-10348 Applied Materials? New
 959 98    
Tenta HDFD-0850 Board AS00850
Tenta HDFD-0850 Board AS00850
 65     
Entegris 704-28 Valve, 2w Stack, 3/8or,1/2ft , Used
Entegris 704-28 Valve, 2w Stack, 3/8or,1/2ft , Used
 5     
5012-000062-11, Amc-d Amc-d-x1 / Pcb With Exchange / Tel
5012-000062-11, Amc-d Amc-d-x1 / Pcb With Exchange / Tel
 2,200 98    
ESI Synergy SVME-VYFE-0806 902102-005 REV- J  / Free Expedited Shipping
ESI Synergy SVME-VYFE-0806 902102-005 REV- J / Free Expedited Shipping
 799   
ESI Synergy SVME-VYFE-0806 902102-005 REV-K  /  Free Expedited Shipping
ESI Synergy SVME-VYFE-0806 902102-005 REV-K / Free Expedited Shipping
 799   
AMAT, Gas Line Assy, 0227-33380, Used
AMAT, Gas Line Assy, 0227-33380, Used
 75     
Harness Assy, Turbo Pump, 93-1211-01, 451808
Harness Assy, Turbo Pump, 93-1211-01, 451808
 295   
Harness Assy, Turbo Pump, 93-1315-01, 451809
Harness Assy, Turbo Pump, 93-1315-01, 451809
 295   
Harness Assy, Turbo Pump, 93-1214-001, 451807
Harness Assy, Turbo Pump, 93-1214-001, 451807
 295   
Amat 0100-77034 Assy, Pcb Head Pneumatic
Amat 0100-77034 Assy, Pcb Head Pneumatic
 55     
Omron S8VS-03005 DIN Rail Power Supply Reseller Lot of 4 Used Working
Omron S8VS-03005 DIN Rail Power Supply Reseller Lot of 4 Used Working
 160 16    
FUJIKIN FBSDAL-6 35UGF-1B3-DVD VALVES BLOCK C  No  084124 , USED
FUJIKIN FBSDAL-6 35UGF-1B3-DVD VALVES BLOCK C  No  084124 , USED
 1     
Eye Uv Curing Power Supply Ubx0311-00
Eye Uv Curing Power Supply Ubx0311-00
 785 36  596 87    
MICROTEMP C1115A Series Process Controller  (#3602)
MICROTEMP C1115A Series Process Controller (#3602)
 5   375     
SCI Solid Controls 428-957 Transformer Board PCB Card VSE 0428-9570 Used Working
SCI Solid Controls 428-957 Transformer Board PCB Card VSE 0428-9570 Used Working
 456 18    
AMAT, WB, PRESSURE GUAGE ADPTR, CAJON, 0050-76319, New
AMAT, WB, PRESSURE GUAGE ADPTR, CAJON, 0050-76319, New
 25     
HS Aluminium Housed Resistors
HS Aluminium Housed Resistors
 5     
Drytek / Lam Quad RF Dual Overcurrent Assy 2600452
Top-Rated Plus Seller Drytek / Lam Quad RF Dual Overcurrent Assy 2600452
 45   36     
LPCVD Indicator Lamp Assy, Used
LPCVD Indicator Lamp Assy, Used
 35     
SMC PFM711S-02L-D-M Digital Flow Switch X711 Used Working
SMC PFM711S-02L-D-M Digital Flow Switch X711 Used Working
 253 18    
3/8-16 X 1 3/4 18-8 Stainless Steel Hex Head Cap Screw Bolt Lot 20 NEW Open Box
3/8-16 X 1 3/4 18-8 Stainless Steel Hex Head Cap Screw Bolt Lot 20 NEW Open Box
 1   
SMC SY7240-5DZ Solenoid Valve Base Mount W/ SY7240-5DZ (5),USED
SMC SY7240-5DZ Solenoid Valve Base Mount W/ SY7240-5DZ (5),USED
 4     
Applied Materials 0040-77835-P1 Retaining Ring Composite 004077835P1 AMAT New
Top-Rated Plus Seller Applied Materials 0040-77835-P1 Retaining Ring Composite 004077835P1 AMAT New
 299     
Insulating Flange
Insulating Flange
 525     
TDK TAS-IN12 Interface Board PCB TAS300 F1 Used Working
TDK TAS-IN12 Interface Board PCB TAS300 F1 Used Working
 101 19    
Xa22304091 / Boc Edwards Filter Element For Cannister Mode / Edwards
Xa22304091 / Boc Edwards Filter Element For Cannister Mode / Edwards
 130 68    
Amat 0020-78706 Motor Mount W/ 0020-78705 0020-77223
Amat 0020-78706 Motor Mount W/ 0020-78705 0020-77223
 99     
Celerity LR250 LR250-4-1-1-24 Display Controller
Celerity LR250 LR250-4-1-1-24 Display Controller
 85     
136-0501// Amat Applied 0010-09331 (#3) Ampule/chamber Assy, Teos Used
136-0501// Amat Applied 0010-09331 (#3) Ampule/chamber Assy, Teos Used
 2,   
Mts 2m Ram 498 40  Module   3c
Mts 2m Ram 498 40 Module  3c
 125   112 50    
New Tenaka Denshi Kogyo Q4472632-c Clr-1a Air Brake Free Shipping
New Tenaka Denshi Kogyo Q4472632-c Clr-1a Air Brake Free Shipping
 11   101     
Used SCREEN Interface Board PC-97013B, HLS-MC2 (wrs)
Used SCREEN Interface Board PC-97013B, HLS-MC2 (wrs)
 1     
Axiomtek AX61222WB PC, USED
Axiomtek AX61222WB PC, USED
 5     
TDK TAS-RIN16 Backplane Interface Board PCB Reseller Lot of 4 TAS300 Used
TDK TAS-RIN16 Backplane Interface Board PCB Reseller Lot of 4 TAS300 Used
 204 17    
Bm12125l03rg 9914pri Tm / Pcb Hi Eff Mtr Drvr 0 8a / Pri
Bm12125l03rg 9914pri Tm / Pcb Hi Eff Mtr Drvr 0 8a / Pri
 950 82    
Oakton 12501-10 StableTemp *used working
Oakton 12501-10 StableTemp *used working
 4   28     
FABCO-AIR FPS-1064/SVG#203-122 Pneumatic Cylinder The Pancake Line Used Working
FABCO-AIR FPS-1064/SVG#203-122 Pneumatic Cylinder The Pancake Line Used Working
 155 15    
Metso  Systems  253243-1  Cable Assy
Metso Systems 253243-1 Cable Assy
 124 95    
Pz2-51p / Sensor-dial Indexed / Keyence Corporation Of America
Pz2-51p / Sensor-dial Indexed / Keyence Corporation Of America
 178 90    
Agilent 4070Series E3199A Test Head E3127-66527 Board
Agilent 4070Series E3199A Test Head E3127-66527 Board
 269 90  
Agilent 4070Series E3199A Test Head E3125-66503 Board
Agilent 4070Series E3199A Test Head E3125-66503 Board
 299 90  
Agilent 4070Series E3199A Test Head E3125-66502 Board
Agilent 4070Series E3199A Test Head E3125-66502 Board
 299 90  
Nikon 4S013-364 Interface Board PCB BLPWX4 NSR-S205C BMU Used Working
Nikon 4S013-364 Interface Board PCB BLPWX4 NSR-S205C BMU Used Working
 504 18    
Amat 0020-34171, Plate Perf 100-150mm Nitride Non Anodize
Amat 0020-34171, Plate Perf 100-150mm Nitride Non Anodize
 2,992     
G147008 Lot (2) Omega Engineering OE FMA-5DV 5-Channel Mass Flow Meters
G147008 Lot (2) Omega Engineering OE FMA-5DV 5-Channel Mass Flow Meters
 15     
Advantest PLJ-429620AA Controller PCB Assembly BLJ-029620 Advantest M454AD Used
Advantest PLJ-429620AA Controller PCB Assembly BLJ-029620 Advantest M454AD Used
 306 16    
Nikon 4S013-189 LINK-RZ Interface Board PCB NSR System Used Working
Nikon 4S013-189 LINK-RZ Interface Board PCB NSR System Used Working
 503 18    
Tokyo Electron 1110-206236-11 Flange Water Cooling Under DS1110-206236-11
Tokyo Electron 1110-206236-11 Flange Water Cooling Under DS1110-206236-11
 1,95   
Tokyo Electron 2187-055522-18 HSDL VLV I/F Housing & PCB Assembly
Tokyo Electron 2187-055522-18 HSDL VLV I/F Housing & PCB Assembly
 2,15   
SC Technology DES 310 Endpoint Detection
SC Technology DES 310 Endpoint Detection
 1,45     
AMAT 1040-00073 5-75 cc/min PTFE Flow Meter 7 not in boxes ln factory seal, NEW
AMAT 1040-00073 5-75 cc/min PTFE Flow Meter 7 not in boxes ln factory seal, NEW
 4     
Nova 510-20000-03 XE Illumination Powers on no bulb or fiber cables
Top-Rated Plus Seller Nova 510-20000-03 XE Illumination Powers on no bulb or fiber cables
 14     
Dage Microtester Load Cell Pull Test 10kg BT22-LC32
Dage Microtester Load Cell Pull Test 10kg BT22-LC32
 25     
DS 510019 Power Supply *Used Working, 90 Day Warranty*
DS 510019 Power Supply *Used Working, 90 Day Warranty*
 2   14     
EG & G TC5 Power Supply (Used Working, 90 Day Warranty)
EG & G TC5 Power Supply (Used Working, 90 Day Warranty)
 3   21     
SCI Solid Controls 428-957 Transformer Board PCB Card 0428-9560 Used Working
SCI Solid Controls 428-957 Transformer Board PCB Card 0428-9560 Used Working
 456 18    
KULICKE & SOFFA 01482-4003-000 Circuit Board (01482-4003-001 & 01482-4016-000)
KULICKE & SOFFA 01482-4003-000 Circuit Board (01482-4003-001 & 01482-4016-000)
 25     
GENERAL MICRO SYSTEM GMSSRPX-01-B / GMSV36-01-D Card Module
Top-Rated Plus Seller GENERAL MICRO SYSTEM GMSSRPX-01-B / GMSV36-01-D Card Module
 14     
Cybor 2-113-002 Photoresist Power Supply Module 512
Cybor 2-113-002 Photoresist Power Supply Module 512
 95     
Accel Implanter Control Panel AXDRC/21D
Accel Implanter Control Panel AXDRC/21D
 256 15    
Wedgewood Technology model 600 pH monitor
Top-Rated Plus Seller Wedgewood Technology model 600 pH monitor
 2     
APTech ap4650s 2pw tw8 mv8
APTech ap4650s 2pw tw8 mv8
 1     
Applied Materials Quartz Insolator 0200-20054
Applied Materials Quartz Insolator 0200-20054
 9     
Smcm-6ai / Analog Input Driver Module / Servoland
Smcm-6ai / Analog Input Driver Module / Servoland
 195 30    
Hitachi 1B19227 ZVL897 OFV-DTCT PCB Card Working
Hitachi 1B19227 ZVL897 OFV-DTCT PCB Card Working
 408 13    
AMAT, WELDMENT,MANIFOLD,SINGLE VALVE, 4 LINE, 0050-09419, New
AMAT, WELDMENT,MANIFOLD,SINGLE VALVE, 4 LINE, 0050-09419, New
 25     
Axcelis 17099470 Exit Pole Upper
Axcelis 17099470 Exit Pole Upper
 75   
Leybold AG 200 81 080 Temp-Box Controller, 451967
Leybold AG 200 81 080 Temp-Box Controller, 451967
 15   
Leybold AG 200 81 080 Temp-Box Controller, 451968
Leybold AG 200 81 080 Temp-Box Controller, 451968
 15   
Leybold AG 200 81 080 Temp-Box Controller, 451970
Leybold AG 200 81 080 Temp-Box Controller, 451970
 15   
Leybold AG 200 81 080 Temp-Box Controller, 451973
Leybold AG 200 81 080 Temp-Box Controller, 451973
 15   
Leybold AG 200 81 080 Temp-Box Controller, 451974
Leybold AG 200 81 080 Temp-Box Controller, 451974
 15   
Leybold AG 200 81 080 Temp-Box Controller, 451976
Leybold AG 200 81 080 Temp-Box Controller, 451976
 15   
Leybold AG 200 81 080 Temp-Box Controller, 451978
Leybold AG 200 81 080 Temp-Box Controller, 451978
 15   
Leybold AG 200 81 080 Temp-Box Controller, 451979
Leybold AG 200 81 080 Temp-Box Controller, 451979
 15   
ASML 4022 668 66271 Cable
ASML 4022 668 66271 Cable
 25     
Novellus 16-029857-00 Evaporator Top, Swagelok 316LV Micro-Fit, 1/2" VCR, 452265
Novellus 16-029857-00 Evaporator Top, Swagelok 316LV Micro-Fit, 1/2" VCR, 452265
 15   
Leybold AG 200 81 080 Temp-Box Controller, 451980
Leybold AG 200 81 080 Temp-Box Controller, 451980
 15   
Leybold AG 200 81 080 Temp-Box Controller, 451982
Leybold AG 200 81 080 Temp-Box Controller, 451982
 15   
Leybold AG 200 81 080 Temp-Box Controller, 451983
Leybold AG 200 81 080 Temp-Box Controller, 451983
 15   
Leybold AG 200 81 080 Temp-Box Controller, 451986
Leybold AG 200 81 080 Temp-Box Controller, 451986
 15   
Leybold AG 200 81 080 Temp-Box Controller, 452002
Leybold AG 200 81 080 Temp-Box Controller, 452002
 15   
Sensotec 060-3147-02 Digital Pressure Transducer, 451639
Sensotec 060-3147-02 Digital Pressure Transducer, 451639
 15   
Leybold AG 200 81 080 Temp-Box Controller, 451969
Leybold AG 200 81 080 Temp-Box Controller, 451969
 15   
Leybold AG 200 81 080 Temp-Box Controller, 451971
Leybold AG 200 81 080 Temp-Box Controller, 451971
 15   
Leybold AG 200 81 080 Temp-Box Controller, 451985
Leybold AG 200 81 080 Temp-Box Controller, 451985
 15   
Leybold AG 200 81 080 Temp-Box Controller, 451998
Leybold AG 200 81 080 Temp-Box Controller, 451998
 15   
Leybold AG 200 81 080 Temp-Box Controller, 451999
Leybold AG 200 81 080 Temp-Box Controller, 451999
 15   
Leybold AG 200 81 080 Temp-Box Controller, 452001
Leybold AG 200 81 080 Temp-Box Controller, 452001
 15   
Leybold AG 200 81 080 Temp-Box Controller, 452005
Leybold AG 200 81 080 Temp-Box Controller, 452005
 15   
Leybold AG 200 81 080 Temp-Box Controller, 452006
Leybold AG 200 81 080 Temp-Box Controller, 452006
 15   
Leybold AG 200 81 080 Temp-Box Controller, 452007
Leybold AG 200 81 080 Temp-Box Controller, 452007
 15   
Leybold AG 200 81 080 Temp-Box Controller, 452029
Leybold AG 200 81 080 Temp-Box Controller, 452029
 15   
Nos Meton 450267 Bellows Ckd Valve Part 125250-1 Free Shipping
Nos Meton 450267 Bellows Ckd Valve Part 125250-1 Free Shipping
 2   254     
Varian Control Panel JK9658 G *used working
Varian Control Panel JK9658 G *used working
 5   35     
DIAVACLIMIED TRP-20 Gauge, 24(12-30)V,1 6W
DIAVACLIMIED TRP-20 Gauge, 24(12-30)V,1 6W
 26     
Benchtop Chemical Bath with Lid
Benchtop Chemical Bath with Lid
 41     
Amat 1350-00047 Xducr Press 60psig 1/4 Flare W/ptfe Bod
Amat 1350-00047 Xducr Press 60psig 1/4 Flare W/ptfe Bod
 1,     
Rorze Densei Bcv-5050 Power Supply
Rorze Densei Bcv-5050 Power Supply
 125     
Qty Lot (9) Tokyo Electron O-ring VA01 O-RING Viton G-45 Black (Notch Alignment)
Qty Lot (9) Tokyo Electron O-ring VA01 O-RING Viton G-45 Black (Notch Alignment)
 99 95    
0150-00099 / Systems I-o Interconn  Countercable / Applied Materials Amat
0150-00099 / Systems I-o Interconn  Countercable / Applied Materials Amat
 229 55    
Amat 0010-77651 Rinse Arm Extend W/nozzle
Amat 0010-77651 Rinse Arm Extend W/nozzle
 4,9     
Axcelis PCB 1426890 rev C assy 15S0869 rev A Air interface D I  PCB
Axcelis PCB 1426890 rev C assy 15S0869 rev A Air interface D I  PCB
 649     
AMAT, WELDMENT, Y-LINE, N2 PURGE, GAS LINE, PR, 0050-08521, New
AMAT, WELDMENT, Y-LINE, N2 PURGE, GAS LINE, PR, 0050-08521, New
 15     
AMAT, WELDMENT, WATER LINE, 0050-30514, New
AMAT, WELDMENT, WATER LINE, 0050-30514, New
 15     
AMAT, GAS LINE, WELDMENT, 0050-27331, New
AMAT, GAS LINE, WELDMENT, 0050-27331, New
 75     
AMAT, 0050-45497, New
AMAT, 0050-45497, New
 15     
AMAT, 0015-20279, LINE,VALVE APTECH, New
AMAT, 0015-20279, LINE,VALVE APTECH, New
 15     
AMAT, GAS LINE, 0050-41038, New
AMAT, GAS LINE, 0050-41038, New
 15     
AMAT, 0050-00023, New
AMAT, 0050-00023, New
 15     
AMAT, GAS LINE, N2 PURGE, 0050-30757, New
AMAT, GAS LINE, N2 PURGE, 0050-30757, New
 15     
Western Digital, AD Amplifier Interface, 2961-001060, New
Western Digital, AD Amplifier Interface, 2961-001060, New
 75     
AMAT, FTG TBG Tee 1/4 OD SST, 3300-02162, New
AMAT, FTG TBG Tee 1/4 OD SST, 3300-02162, New
 75     
AMAT, Gas Line N2 Purge, 0050-30757, New
AMAT, Gas Line N2 Purge, 0050-30757, New
 1     
AMAT, 0050-32005, New
AMAT, 0050-32005, New
 15     
AMAT, GAS LINE, 0050-10126, New
AMAT, GAS LINE, 0050-10126, New
 125     
AMAT, INLET FITTING, AFC, VCO, 0040-00139, New
AMAT, INLET FITTING, AFC, VCO, 0040-00139, New
 125     
AMAT, 0227-43336, New
AMAT, 0227-43336, New
 125     
AMAT, 0050-75362, New
AMAT, 0050-75362, New
 15     
AMAT, 0050-27744, New
AMAT, 0050-27744, New
 15     
AMAT, 0050-75502, New
AMAT, 0050-75502, New
 15     
AMAT, 0050-00031, New
AMAT, 0050-00031, New
 15     
AMAT, GAS LINE, 0050-04418, New
AMAT, GAS LINE, 0050-04418, New
 15     
AMAT, WELDMENT, 0050-30005, New
AMAT, WELDMENT, 0050-30005, New
 125     
AMAT, WELDMENT ELBOW, 0050-75502, New
AMAT, WELDMENT ELBOW, 0050-75502, New
 125     
AMAT, Connector Line, 0050-50788, New
AMAT, Connector Line, 0050-50788, New
 5     
(6) Heraues 2101 Buckets
(6) Heraues 2101 Buckets
 1   
Leybold TW 701 800051V0025 Turbo Pump
Leybold TW 701 800051V0025 Turbo Pump
 5,999     
Bir-027916 / Pps Board (5593) Pcb / Advantest
Bir-027916 / Pps Board (5593) Pcb / Advantest
 1,200 62    
Amat 1270-03143 Digital Water Flow Swtich Panel Assy
Amat 1270-03143 Digital Water Flow Swtich Panel Assy
 3,8     
Tokyo Electron Le1a86-106441-31 Cable Unit Tmp Emo2
Tokyo Electron Le1a86-106441-31 Cable Unit Tmp Emo2
 55     
Lot of 2 NEW (Other) AE Advanced Energy 3152189-010 B Lmk Load Match
Lot of 2 NEW (Other) AE Advanced Energy 3152189-010 B Lmk Load Match
 4,495     
Yz07z-11 / Dns, Pcb Connector Block / Meiden
Yz07z-11 / Dns, Pcb Connector Block / Meiden
 406 68    
135-0101// Amat Applied 0010-12447 0021-08475 0021-08476 0021-08478 [asis]
135-0101// Amat Applied 0010-12447 0021-08475 0021-08476 0021-08478 [asis]
 15,   
Mattson Blower Input Module - Schaffer  17000110
Mattson Blower Input Module - Schaffer 17000110
 25     
USED MKS Type 121 Signal Conditioner 121A-14303 FREE SHIPPING
USED MKS Type 121 Signal Conditioner 121A-14303 FREE SHIPPING
 85   72 25    
Comark P/I-P2BVLL SBC Single Board Computer
Comark P/I-P2BVLL SBC Single Board Computer
 7   36     
CB CB1  PCB from Rudolph WaferView 210 Macro Inspection System
CB CB1 PCB from Rudolph WaferView 210 Macro Inspection System
 15     
AMAT, 0050-44587, New
AMAT, 0050-44587, New
 25     
AMAT, 0050-20347, New
AMAT, 0050-20347, New
 25     
AMAT, 0227-29297, New
AMAT, 0227-29297, New
 25     
MKS Type 254 Controller
MKS Type 254 Controller
 22     
Yaskawa Electric SGDH-CB01AA-T Servo Drive Power/Interface PCB DF9203769-A0 Used
Yaskawa Electric SGDH-CB01AA-T Servo Drive Power/Interface PCB DF9203769-A0 Used
 159 18    
LVSI 010-0026 4 Site RF Characterization Board Port Up PCB Circuit Board *Works*
LVSI 010-0026 4 Site RF Characterization Board Port Up PCB Circuit Board *Works*
 5   35     
GTAT Corporation 1023162 rev  0D assy mount IRCON PYRO top viewpoint new
GTAT Corporation 1023162 rev  0D assy mount IRCON PYRO top viewpoint new
 54     
Advanced Controls bf9 100431 BF9 10-120VAC
Advanced Controls bf9 100431 BF9 10-120VAC
 71 66  43     
AMAT GO Regulator, PR5-2A11D5C111, 844993
AMAT GO Regulator, PR5-2A11D5C111, 844993
 21     
Plasma Applicator Tube
Plasma Applicator Tube
 25     
Proteus Industries 98004SN2P1 Flow Meter 5VDC= 2 5GPM NEW Plastic
Proteus Industries 98004SN2P1 Flow Meter 5VDC= 2 5GPM NEW Plastic
 99   
National Electronics MH6 OLAM-AT2 Microwave
National Electronics MH6 OLAM-AT2 Microwave
 1,27     
Beam Scanning Control Scan Monitor Unit 300 #0342-0810-Rev
Beam Scanning Control Scan Monitor Unit 300 #0342-0810-Rev
 1,295     
Gamma High Voltage Power Supply- Model Rr50-6n/bp/rcp50/m160 (yd10)
Gamma High Voltage Power Supply- Model Rr50-6n/bp/rcp50/m160 (yd10)
 25   187 50    
Pressure Regulating Valves With Gas Canister
Pressure Regulating Valves With Gas Canister
 16   
L-Com 223912  CONTROL CABLE 37 PIN
L-Com 223912 CONTROL CABLE 37 PIN
 2     
Vat 0520-00131, Vat Motor, Dps  Actr Stepper Motor For Vat Series
Vat 0520-00131, Vat Motor, Dps Actr Stepper Motor For Vat Series
 6,48     
TLA Technology Servo Interface PCB Rev  A Used Working
TLA Technology Servo Interface PCB Rev  A Used Working
 360 11    
New Agem Ue10932 Liner Set Part 1 H1101080 B Free Shipping
New Agem Ue10932 Liner Set Part 1 H1101080 B Free Shipping
 13   118     
New Axcelis Technologies 17323350 Gdm Pump Housing Liner Free Shipping
New Axcelis Technologies 17323350 Gdm Pump Housing Liner Free Shipping
 1   16     
170-0401// Dicon Gp700 Dicon General Purpose Riberoptic Switch [asis]
170-0401// Dicon Gp700 Dicon General Purpose Riberoptic Switch [asis]
 5   
ASML 4022 668 66191 Cable
ASML 4022 668 66191 Cable
 25     
Novellus 16-029857-00 Evaporator Top, Swagelok 316LV Micro-Fit, 1/2" VCR, 452266
Novellus 16-029857-00 Evaporator Top, Swagelok 316LV Micro-Fit, 1/2" VCR, 452266
 15   
Leybold AG 200 81 080 Temp-Box Controller, 451984
Leybold AG 200 81 080 Temp-Box Controller, 451984
 15   
Leybold AG 200 81 080 Temp-Box Controller, 452008
Leybold AG 200 81 080 Temp-Box Controller, 452008
 15   
023-001448-1 / Xfr Sys Br940121 8" Fork(model 4) / Tokyo Electron Tel
023-001448-1 / Xfr Sys Br940121 8" Fork(model 4) / Tokyo Electron Tel
 1,212 91    
SMC PSE100-A PSE100A Pressure Sensor Controller
SMC PSE100-A PSE100A Pressure Sensor Controller
 105   73 50    
Edwards B27158143 ISO 250 Trapped "O" Ring Viton
Edwards B27158143 ISO 250 Trapped "O" Ring Viton
 85     
Cwmfw-4-79 8
Cwmfw-4-79 8
 579 50    
343-0101// Amat Applied 3220-01061 Cplg  Shaft  75lg  50 Od 125 I New
343-0101// Amat Applied 3220-01061 Cplg Shaft  75lg  50 Od 125 I New
 1   
142-0403// Amat Applied 0140-76222 Harness Assy, Interconnect Ch  W/b Used
142-0403// Amat Applied 0140-76222 Harness Assy, Interconnect Ch  W/b Used
 6   
AMAT, GAS LINE, 0050-00474, New
AMAT, GAS LINE, 0050-00474, New
 25     
AMAT, PLUMBING,WELDMENT ADAPTER UNIVERSL GAS P, 0050-31384, New
AMAT, PLUMBING,WELDMENT ADAPTER UNIVERSL GAS P, 0050-31384, New
 25     
AMAT, GAS LINE, 0050-43711, New
AMAT, GAS LINE, 0050-43711, New
 25     
AMAT, GAS LINE, 0050-09667, New
AMAT, GAS LINE, 0050-09667, New
 25     
Xenon 4 2 Lamp Housing
Xenon 4 2 Lamp Housing
 648     
Tel Tokyo Electron U1650B10 Backplane Interface Board
Tel Tokyo Electron U1650B10 Backplane Interface Board
 26 85  
It2031-n32b / Ep Regulator In  0~10 Sup  0 54~0 7mpa Out   5~0 5mpa / Smc
It2031-n32b / Ep Regulator In  0~10 Sup  0 54~0 7mpa Out   5~0 5mpa / Smc
 265     
Applied Materials AMAT VCR K1S Weldment, 0050-52719
Applied Materials AMAT VCR K1S Weldment, 0050-52719
 145     
NATIONAL INSTRUMENTS NI 8262 Cabled PCIe x4 Board, Free shipping
NATIONAL INSTRUMENTS NI 8262 Cabled PCIe x4 Board, Free shipping
 449 90  
Engelhardt CPU Nr  600586 202 Control Board Module
Top-Rated Plus Seller Engelhardt CPU Nr  600586 202 Control Board Module
 199 98    
Kawasaki Mf6y22 1lf-51,1lf-11,1lf-41 1lf-61 Robot Controller Board
Kawasaki Mf6y22 1lf-51,1lf-11,1lf-41 1lf-61 Robot Controller Board
 28   
Keyence Light Curtain Base and Extensions PJ-V20T PJ-V21T Used Working
Keyence Light Curtain Base and Extensions PJ-V20T PJ-V21T Used Working
 305 12    
Yz07z-11 / Dns, Pcb Connector Block / Meiden
Yz07z-11 / Dns, Pcb Connector Block / Meiden
 406 68    
Dainippon Screen 53900754 Pin
Dainippon Screen 53900754 Pin
 5     
Applied Materials AMAT Transducer Meter, 1040-01218
Applied Materials AMAT Transducer Meter, 1040-01218
 425     
FHT Future Hardware Technology 02-196604-01 Reactor Heater Cable New
FHT Future Hardware Technology 02-196604-01 Reactor Heater Cable New
    
Nsph-55c3, Nisso Tool Chemical Pump Nse Haruna
Nsph-55c3, Nisso Tool Chemical Pump Nse Haruna
 2,000 97    
AMAT Applied Materials Small Quartz Plate Reseller Lot of 6 New
AMAT Applied Materials Small Quartz Plate Reseller Lot of 6 New
 309 18    
Nemic-Lambda NNS15-5 Linear Power Supply Used Working
Nemic-Lambda NNS15-5 Linear Power Supply Used Working
 156 16    
Applied Materials AMAT Kalrez O-Ring, 3700-01807
Applied Materials AMAT Kalrez O-Ring, 3700-01807
 155     
Dasla Ds-23-01m40 Industrial Machine Camera With Iko Stage
Dasla Ds-23-01m40 Industrial Machine Camera With Iko Stage
 15   
TDK TAS-IN6 Backplane Interface Board PCB Rev  1 20 TAS300 Load Port Used
TDK TAS-IN6 Backplane Interface Board PCB Rev  1 20 TAS300 Load Port Used
 105 17    
Amat 0100-35079 H2 Detector Sensor Assy / 0010-19579 / 0090-36543
Amat 0100-35079 H2 Detector Sensor Assy / 0010-19579 / 0090-36543
 2,5     
Amat 0040-09893 Rev Mii-215, Used
Amat 0040-09893 Rev Mii-215, Used
 6,     
Unbranded 0 Board REV-14 - Assy  Control P C B  1015980
Unbranded 0 Board REV-14 - Assy  Control P C B  1015980
 136 50  61 95    
Model 1252 / Throttle Valve Controller / Mks
Model 1252 / Throttle Valve Controller / Mks
 330 68    
Meiden JZ91Z-11 Isolated DC/DC Converter PCB SU18A30191 Used Working
Meiden JZ91Z-11 Isolated DC/DC Converter PCB SU18A30191 Used Working
 502 19    
Amat 0090-77084 Rr Pressure Transducer
Amat 0090-77084 Rr Pressure Transducer
 4     
Axcelis Type 1906850 Bellows LD/UNLD
Axcelis Type 1906850 Bellows LD/UNLD
 65   
1499420 / Quartz Base Plate / Tosoh
1499420 / Quartz Base Plate / Tosoh
 1,485 68    
Vps230-110 Power Transformer Chassis Mount
Vps230-110 Power Transformer Chassis Mount
 53     
Stratitec Time IPS152T Intelegent Personnel System Accessories New
Stratitec Time IPS152T Intelegent Personnel System Accessories New
 12   
Applied Materials AMAT 0041-28285 "Cleaned" Inner Shield Ring
Applied Materials AMAT 0041-28285 "Cleaned" Inner Shield Ring
 199     
Croyden Rinse Controller 24 Volts Series 960-2
Croyden Rinse Controller 24 Volts Series 960-2
 105   48 93  
Advantech A60732  Pcb Board Ver C
Advantech A60732 Pcb Board Ver C
 35     
Tegal 40-046-001 Quartz Window Rev  D - Lot of 2
Tegal 40-046-001 Quartz Window Rev  D - Lot of 2
 6   
Compix SDI-OVL-170P D_GVGA Rev 4 0 2003 05 02 Tested
Compix SDI-OVL-170P D_GVGA Rev 4 0 2003 05 02 Tested
 1,379     
Millipore CPOKN3489A Pendant Controller Used working
Millipore CPOKN3489A Pendant Controller Used working
 504 12    
Applied Materials  0041-10505 100000039
Applied Materials 0041-10505 100000039
    
Adcs 969 Low Level Monitor
Adcs 969 Low Level Monitor
 1     
MRC Materials Research D124569-A Dark Space Shield 300mm TEL New
MRC Materials Research D124569-A Dark Space Shield 300mm TEL New
 406 15    
Liebert Inverter Base Drive ASSY 02-792214
Top-Rated Plus Seller Liebert Inverter Base Drive ASSY 02-792214
 74   56 24    
+ Furon 9028 plastic division fluid handling
+ Furon 9028 plastic division fluid handling
 1   58     
ASM 2602792-21 PWBA Dual Motor Drive
ASM 2602792-21 PWBA Dual Motor Drive
 407 18    
Engelhardt GmbH SNMB-1 Module Power Supply MSNMB203 990101293
Top-Rated Plus Seller Engelhardt GmbH SNMB-1 Module Power Supply MSNMB203 990101293
 199 98    
Melec SP-378-7 EAUA-023600 New
Melec SP-378-7 EAUA-023600 New
 55   
Wallac Interface Board DIC 1055 3760 C
Wallac Interface Board DIC 1055 3760 C
 14     
Amat 0100-09224 Pcb Assy Expanded Rs232 Interconnect , Used
Amat 0100-09224 Pcb Assy Expanded Rs232 Interconnect , Used
 55     
200mm Silicon Top Ring Super E
200mm Silicon Top Ring Super E
 825     
Leybold AG 200 81 080 Temp-Box Controller, 451977
Leybold AG 200 81 080 Temp-Box Controller, 451977
 15   
Leybold AG 200 81 080 Temp-Box Controller, 452004
Leybold AG 200 81 080 Temp-Box Controller, 452004
 15   
Matheson Gas Filter -- 6164-V4MM -- New
Matheson Gas Filter -- 6164-V4MM -- New
 1     
Lot Of 7 Used APTech Regulator Valves FREE SHIPPING
Lot Of 7 Used APTech Regulator Valves FREE SHIPPING
 375   318 75    
Kaijo 5-f3-41361 Peek, Chuck Pin, Fixed , New
Kaijo 5-f3-41361 Peek, Chuck Pin, Fixed , New
 1     
AMAT Applied Materials EMAX SLIT LINER EMAX KIT Manufacturer Refurbished
AMAT Applied Materials EMAX SLIT LINER EMAX KIT Manufacturer Refurbished
 408 18    
MKS Series 902 Piezo Transducer 902-1213
MKS Series 902 Piezo Transducer 902-1213
    
Matrix Grabber Module Board 1610031-b 1610031b Mv-pci-srgb Sr027427715 Rev 3 01
Matrix Grabber Module Board 1610031-b 1610031b Mv-pci-srgb Sr027427715 Rev 3 01
 2   
Daifuku AGH8AA10 Hand Held Controller RM/TFE/COV  MAINT  UNIT Used Working
Daifuku AGH8AA10 Hand Held Controller RM/TFE/COV  MAINT  UNIT Used Working
 362 11    
103-0601// Amat Applied 0040-20068 Bellows Lifter Pvd [new]
103-0601// Amat Applied 0040-20068 Bellows Lifter Pvd [new]
 1,08   
Svg Tc Amplifier Pcb 600059-05
Svg Tc Amplifier Pcb 600059-05
 6     
AMI Applied Materials 91114 Vendor Interface A MAG Board
AMI Applied Materials 91114 Vendor Interface A MAG Board
 4   
USED Bosch 24-110 Electronic Load Relay Control Board
USED Bosch 24-110 Electronic Load Relay Control Board
 75     
BENCHMARK THERMAL BFUA7476-4 Straight Molded Insulator
BENCHMARK THERMAL BFUA7476-4 Straight Molded Insulator
 34 81    
Thermalogic Corp  Power Board K121-180 REV C working 718-562
Thermalogic Corp  Power Board K121-180 REV C working 718-562
 301 13    
NEW MKS TECHNOLOGIES 492015-1066 HEATER JACKET- 1 5” Diameter ELBOW 120 VAC
NEW MKS TECHNOLOGIES 492015-1066 HEATER JACKET- 1 5” Diameter ELBOW 120 VAC
 25     
Edwards Vacuum - XS AIR PRESS TRANS OPT UPGRADE - Y12202009 (UK)
Edwards Vacuum - XS AIR PRESS TRANS OPT UPGRADE - Y12202009 (UK)
 691 83    
AMAT, GASLINE MNL VLV TO BLK VLV GPLIS III PRO, 0050-28965, New
AMAT, GASLINE MNL VLV TO BLK VLV GPLIS III PRO, 0050-28965, New
 15     
AMAT, WELDMENT,TEOS EXT,CH  LIQ  INJ, 0050-30223, New
AMAT, WELDMENT,TEOS EXT,CH  LIQ  INJ, 0050-30223, New
 75     
AMAT Applied Materials 0050-00023 Solid Transition Gasline X-P Chamber, Used
AMAT Applied Materials 0050-00023 Solid Transition Gasline X-P Chamber, Used
 6     
2856972-11 / Asm Dpc Controller / Asm America Inc
2856972-11 / Asm Dpc Controller / Asm America Inc
 1,310     
Bgk-023130 / Pcb Bd, Dcap, Bgk-023130 / Advantest
Bgk-023130 / Pcb Bd, Dcap, Bgk-023130 / Advantest
 350 68    
Nikon J2744BB Lens Assembly Used Working
Nikon J2744BB Lens Assembly Used Working
 355 12    
Hitachi ILC4-1 Control Board Used Working
Hitachi ILC4-1 Control Board Used Working
 407 12    
Hokuyo Automatic Co Sensor Dmw-gfr-01
Hokuyo Automatic Co Sensor Dmw-gfr-01
 1     
Yaskawa Electric BM9420423 Interface Board PCB Nikon NSR System Used Working
Yaskawa Electric BM9420423 Interface Board PCB Nikon NSR System Used Working
 509 18    
347-0203// Amat Applied 0040-31844 Assy, Bellows, Lift Pin [new]
347-0203// Amat Applied 0040-31844 Assy, Bellows, Lift Pin [new]
 59   
Phasetronics P1050-50 Power Control System
Phasetronics P1050-50 Power Control System
 24   
Artesyn 494000711 2-Slot CBVVI VME Interface Card PCB Used Working
Artesyn 494000711 2-Slot CBVVI VME Interface Card PCB Used Working
 410 13    
Contec Digital Input Card PI-64 Lot of 2 Used Working
Contec Digital Input Card PI-64 Lot of 2 Used Working
 405 12    
Mitsubishi FX1N-24MR-ESC/0L PLC Analog I/O Block Used Working
Mitsubishi FX1N-24MR-ESC/0L PLC Analog I/O Block Used Working
 356 12    
Air Products CRSD 1243 Pneumatic Distribution Board PCB CRSD1243 Used Working
Air Products CRSD 1243 Pneumatic Distribution Board PCB CRSD1243 Used Working
 504 17    
Metron 16-193953-01 L-Inner Refelector New
Metron 16-193953-01 L-Inner Refelector New
 308 18    
Danaher Motion Iv1165h 1165-62a Unmp
Danaher Motion Iv1165h 1165-62a Unmp
 329     
Pao-cw-1202s4 Actuator Promation Engineering
Pao-cw-1202s4 Actuator Promation Engineering
 5,9     
Pittman 9234E318-R4 Servomotor, 9700-6191-01, 451989
Pittman 9234E318-R4 Servomotor, 9700-6191-01, 451989
 175   
CKD USG3-X0693 Valve, 451675
CKD USG3-X0693 Valve, 451675
 195   
BTU Engineering 3161524 Video Interface Board PCB Card EPROM V1 1 Used Working
BTU Engineering 3161524 Video Interface Board PCB Card EPROM V1 1 Used Working
 410 11    
BTU Engineering 3161524 Video Interface Board PCB Card EPROM V2 1 Used Working
BTU Engineering 3161524 Video Interface Board PCB Card EPROM V2 1 Used Working
 410 11    
Hitachi ILD-02 Interface Board PCB Used Working
Hitachi ILD-02 Interface Board PCB Used Working
 308 13    
Hitachi BBDS-11 Connector Board PCB Lot of 2 Used Working
Hitachi BBDS-11 Connector Board PCB Lot of 2 Used Working
 308 13    
OC Oerlikon 102080772 CHUCK CONTR  1xgas without RF 4500520417H004
OC Oerlikon 102080772 CHUCK CONTR  1xgas without RF 4500520417H004
 2,     
General Micro System GMSSRPX-01-B / GMSV36-01-F Controller Card
Top-Rated Plus Seller General Micro System GMSSRPX-01-B / GMSV36-01-F Controller Card
 14     
ASML 4022 668 66251 Cable
ASML 4022 668 66251 Cable
 25     
Novellus 16-029857-00 Evaporator Top, Swagelok 316LV Micro-Fit, 1/2" VCR, 452268
Novellus 16-029857-00 Evaporator Top, Swagelok 316LV Micro-Fit, 1/2" VCR, 452268
 15   
Edwards B27158143 ISO 250 Trapped "O" Ring Viton
Edwards B27158143 ISO 250 Trapped "O" Ring Viton
 95     
Pittman 9234E318-R4 Servomotor, 9700-6191-01, 451990
Pittman 9234E318-R4 Servomotor, 9700-6191-01, 451990
 175   
Tokyo Electron Type 2105-320663-61 Quartz Plate HC-574692-01 210532066361
Tokyo Electron Type 2105-320663-61 Quartz Plate HC-574692-01 210532066361
 725   
Hamamatsu Deuterium Lamp Type L2196 - Used
Hamamatsu Deuterium Lamp Type L2196 - Used
 55     
WinSystems 400-0167-000 COM4 Interface PCB Card LPM/MCM-COM4 2003495-001 Used
WinSystems 400-0167-000 COM4 Interface PCB Card LPM/MCM-COM4 2003495-001 Used
 405 18    
Oriental Motor Ltd  Csd5814n-p
Oriental Motor Ltd  Csd5814n-p
 125     
443-0501// Oriental Motor Bl425gn-24h-e1 Brushless Dc Motor [new]
443-0501// Oriental Motor Bl425gn-24h-e1 Brushless Dc Motor [new]
 1   
Proteus Industries 98004SN2P1 Flow Meter 5VDC= 2 5GPM NEW Metal
Proteus Industries 98004SN2P1 Flow Meter 5VDC= 2 5GPM NEW Metal
 125   
Toyota T1200  Pump Controller
Toyota T1200 Pump Controller
 15     
Allen-Bradley 140-MN-0250 Manual Motor Starter, Circuit Breaker, 452718
Allen-Bradley 140-MN-0250 Manual Motor Starter, Circuit Breaker, 452718
 15   
Buckley Systems 17133424 Electrode RE 11 0 CM QD33424 New Surplus
Buckley Systems 17133424 Electrode RE 11 0 CM QD33424 New Surplus
 306 18    
Lighthouse Worldwide Solutions Dpa-10025285 Differential Presure Sensor
Lighthouse Worldwide Solutions Dpa-10025285 Differential Presure Sensor
 89 95    
H-square Wt6has-001
H-square Wt6has-001
 688     
MKS TL5827 IP Stepper Test Box
Top-Rated Plus Seller MKS TL5827 IP Stepper Test Box
 24     
VCOM UCM45401B QAM Modulator Working Surplus
VCOM UCM45401B QAM Modulator Working Surplus
 307 18    
Proteus Industries 98012SN30P1 5 VDC= 30 GPM NEW
Proteus Industries 98012SN30P1 5 VDC= 30 GPM NEW
 69   
177-0103// Amat Applied 0050-43024 Tee, 300mm Dps Ii Chamber [asis]
177-0103// Amat Applied 0050-43024 Tee, 300mm Dps Ii Chamber [asis]
 3   
CFM Technologies 22024-02 Relay PCB Card B11/2 B11/3 Lot of 2 Used Working
CFM Technologies 22024-02 Relay PCB Card B11/2 B11/3 Lot of 2 Used Working
 308 13    
CFM Technologies 22024-02 Relay PCB Card B13/0 B13/1 Lot of 2 Used Working
CFM Technologies 22024-02 Relay PCB Card B13/0 B13/1 Lot of 2 Used Working
 308 13    
Fpr-nhd-71-9 52-2-pa#a
Fpr-nhd-71-9 52-2-pa#a
 554     
Kensington 4000-60002 Rev W1 Axis PCB Circuit Board *used working
Kensington 4000-60002 Rev W1 Axis PCB Circuit Board *used working
 675   472 50    
SCP-Technologies 746-234-1D Interconnect PCB 171-511-1B Used Working
SCP-Technologies 746-234-1D Interconnect PCB 171-511-1B Used Working
 310 13    
MKS Serial Gateway AS01366-10 Rev  01
MKS Serial Gateway AS01366-10 Rev  01
 199 90    
AMAT, Weldment, 0050-10145, New
AMAT, Weldment, 0050-10145, New
 15     
AMAT, WELDMENT, 0050-75672, New
AMAT, WELDMENT, 0050-75672, New
 15     
AMAT, ELBOW SAE TO 1/2 MALE VCR, 0050-10308, New
AMAT, ELBOW SAE TO 1/2 MALE VCR, 0050-10308, New
 15     
AMAT, GAS LINE, TOP VENT W/FLOW RSTR, 1/4 SUPP, 0050-76481, New
AMAT, GAS LINE, TOP VENT W/FLOW RSTR, 1/4 SUPP, 0050-76481, New
 15     
AMAT, LINE,VALVE APTECH, 0015-20279, New
AMAT, LINE,VALVE APTECH, 0015-20279, New
 15     
AMAT, LINE,OVER PRESSURE MOUNT, 0050-06447, New
AMAT, LINE,OVER PRESSURE MOUNT, 0050-06447, New
 15     
AMAT, Weldment, Spool, Tantalum, 0050-06920, New
AMAT, Weldment, Spool, Tantalum, 0050-06920, New
 115     
AMAT, WELDMENT,TEOS EXT,CH  LIQ  INJ, 0050-30223, New
AMAT, WELDMENT,TEOS EXT,CH  LIQ  INJ, 0050-30223, New
 75     
AMAT, UNION, GAS, FEED, MXP+,5200 A-D, 0040-31966, New
AMAT, UNION, GAS, FEED, MXP+,5200 A-D, 0040-31966, New
 75     
AMAT, Gas Line 0050, New
AMAT, Gas Line 0050, New
 15     
AMAT, GAS LINE, HIGH FLOW ELBOW, 0050-28179, New
AMAT, GAS LINE, HIGH FLOW ELBOW, 0050-28179, New
 15     
AMAT, 0050-75936, New
AMAT, 0050-75936, New
 125     
Alcatel 5401 CP Turbo Pump (5401 CP / 70261 24)
Alcatel 5401 CP Turbo Pump (5401 CP / 70261 24)
 1,9     
Schumacher 1730-3006 Pneumatic EMO Control PCB Card J0404125-1 Used Working
Schumacher 1730-3006 Pneumatic EMO Control PCB Card J0404125-1 Used Working
 255 17    
07100900539 / Parker Motor / Parker
07100900539 / Parker Motor / Parker
 165 68    
1446-01-02 / Box,dce,valve,1446-01-02 / Zmisc
1446-01-02 / Box,dce,valve,1446-01-02 / Zmisc
 177 27    
ASML 4022 437 3013 Shutter Control Interface Card PCB Used Working
ASML 4022 437 3013 Shutter Control Interface Card PCB Used Working
 403 17    
INTERNIX Profort820 PF820-ASEHT RS-232C Interface Controller Teach Pendant
INTERNIX Profort820 PF820-ASEHT RS-232C Interface Controller Teach Pendant
 175   
Amat 0090-00962 Cable Assy, Press Transducer -14 7-15, Z, Used
Amat 0090-00962 Cable Assy, Press Transducer -14 7-15, Z, Used
 36     
STRATASYS 39B19850  Pb-Free PWBA MCB 8545P08721E
STRATASYS 39B19850 Pb-Free PWBA MCB 8545P08721E
 189     
ASM 02-146554-01 Gas Module Dolly New
ASM 02-146554-01 Gas Module Dolly New
 407 18    
Sti MC4216-AC1 Light Curtain Controller Used Working
Sti MC4216-AC1 Light Curtain Controller Used Working
 402 12    
Pearl kogyo -916L2C-P Tuner Controller
Pearl kogyo -916L2C-P Tuner Controller
 600 95    
Ludi Electronic 097593 Lep Daio 73000600 Module Card 6000017 1f
Top-Rated Plus Seller Ludi Electronic 097593 Lep Daio 73000600 Module Card 6000017 1f
 229 98    
Motor 2 Power Connector Transducer 35'
Motor 2 Power Connector Transducer 35'
 87     
Orbot Instruments 71051911-DD WFRCENTER Backplane PCB Board AMAT WF 720 Used
Orbot Instruments 71051911-DD WFRCENTER Backplane PCB Board AMAT WF 720 Used
 208 16    
LEP LUDI RS232 INT 73000400 Module Card
Top-Rated Plus Seller LEP LUDI RS232 INT 73000400 Module Card
 229 98    
LEP LUDI RS232 INT 73000400 Module Card
Top-Rated Plus Seller LEP LUDI RS232 INT 73000400 Module Card
 229 98    
CKD EHS-3000S-B-265-95-LF-NL540481 Brush Cylinder Assembly 3/6 Used Working
CKD EHS-3000S-B-265-95-LF-NL540481 Brush Cylinder Assembly 3/6 Used Working
 604 18    
Amat Applied Materials 0040-39755 Vacuum Fitting KF50 90 Degree NEW
Amat Applied Materials 0040-39755 Vacuum Fitting KF50 90 Degree NEW
 16     
Cincinnati Electrosystems Receiver Controller 9650-128 Used Working
Cincinnati Electrosystems Receiver Controller 9650-128 Used Working
 309 12    
TEL Tokyo Electron J3 Cable Assembly New Surplus
TEL Tokyo Electron J3 Cable Assembly New Surplus
 204 16    
Biochrom Libra S6 80-5000-10 Visible Spectrophotometer
Biochrom Libra S6 80-5000-10 Visible Spectrophotometer
 1,8     
CFM Technologies 22024-02 Relay Board B13/6 B13/7 Lot of 2 Used Working
CFM Technologies 22024-02 Relay Board B13/6 B13/7 Lot of 2 Used Working
 308 13    
CFM Technologies 22024-02 Relay Board B11/6 B11/5 Lot of 2 Used Working
CFM Technologies 22024-02 Relay Board B11/6 B11/5 Lot of 2 Used Working
 308 13    
Drytek / Lam Gas Interface Board, P/N 2800893 Rev 4
Top-Rated Plus Seller Drytek / Lam Gas Interface Board, P/N 2800893 Rev 4
 299   239 20    
Smc Zse40f-01-22 12-24vdc Nsnp
Smc Zse40f-01-22 12-24vdc Nsnp
 168     
AMAT, Gas Insert, 0050-30488, New
AMAT, Gas Insert, 0050-30488, New
 25     
Sc2fnnp-12 / Safety Snap Cap - Com / Acc Gas
Sc2fnnp-12 / Safety Snap Cap - Com / Acc Gas
 110 68    
SMC Cylinder CY2B25H
SMC Cylinder CY2B25H
 15     
Power-One ABC2001012G Power supply
Power-One ABC2001012G Power supply
 99     
ALKON AP61-P-6 3/8” Nut & Sleeve Assy Brass QTY 10
ALKON AP61-P-6 3/8” Nut & Sleeve Assy Brass QTY 10
 6   
CFM Technologies 22024-02 Relay PCB Card B11/4 Lot of 2 Used Working
CFM Technologies 22024-02 Relay PCB Card B11/4 Lot of 2 Used Working
 308 13    
Opto Micron FX-610 Motorized Stage
Opto Micron FX-610 Motorized Stage
 399   
Newport INFT-0000-KF Digital Temperature Display LED Lot of 2 Used Working
Newport INFT-0000-KF Digital Temperature Display LED Lot of 2 Used Working
 401 12    
NETMERCURY Fibre Assembly 200 UM - TQ852533 - NM0005-2381 (UK)
NETMERCURY Fibre Assembly 200 UM - TQ852533 - NM0005-2381 (UK)
 56 60    
Access Address ADA-8 Decoding Counter Timer PCB Card Used Working
Access Address ADA-8 Decoding Counter Timer PCB Card Used Working
 309 12    
Festo™ 5/2 Way Pneumatic Solenoid Valve - Spring Return (UK) MZH-5/2-1 5-L-LED
Festo™ 5/2 Way Pneumatic Solenoid Valve - Spring Return (UK) MZH-5/2-1 5-L-LED
 88 04    
Arbin Bt-2043 Battery Test Unit  (#3590)
Arbin Bt-2043 Battery Test Unit (#3590)
 2,   1,5     
Heraeus 63262 Quartz Cap 1105-201195-51 PROCACC EF/SP 110520119551
Heraeus 63262 Quartz Cap 1105-201195-51 PROCACC EF/SP 110520119551
 675   
Smc Cdu32-20d Cu Compact Cylinder
Smc Cdu32-20d Cu Compact Cylinder
 6   
View Engineering 2860294-501 Power Module Assembly 450-0077-004 Damaged Used
View Engineering 2860294-501 Power Module Assembly 450-0077-004 Damaged Used
 260 12    
170-0401// Dicon Gp700m Dicon Gp700 General Purpose Riberoptic Switch [asis]
170-0401// Dicon Gp700m Dicon Gp700 General Purpose Riberoptic Switch [asis]
 5   
CFM Technologies 22024-02 Relay PCB Card B13/2 B13/3 Lot of 2 Used Working
CFM Technologies 22024-02 Relay PCB Card B13/2 B13/3 Lot of 2 Used Working
 308 13    
New Trebor fiber Optic Lead Dp-c-1 Cycle
New Trebor fiber Optic Lead Dp-c-1 Cycle
 89   
CFM Technologies 22024-02 Relay PCB Card B11/14 B11/15 Lot of 2 Used Working
CFM Technologies 22024-02 Relay PCB Card B11/14 B11/15 Lot of 2 Used Working
 308 13    
AMAT Applied Materials 81-57228R Insulating Taper Flange Refurbished
AMAT Applied Materials 81-57228R Insulating Taper Flange Refurbished
 308 18    
Window, Quartz
Window, Quartz
 32   
ALKON AP61-P-4 ¼ Nut & Sleeve Assy Brass QTY 19
ALKON AP61-P-4 ¼ Nut & Sleeve Assy Brass QTY 19
 95   
ALKON AP61-P-4 ¼ Nut & Sleeve Assy Brass QTY 20
ALKON AP61-P-4 ¼ Nut & Sleeve Assy Brass QTY 20
 1   
KAIJO MAIN UNIT Teaching Pendant
KAIJO MAIN UNIT Teaching Pendant
 399 90  
Myp9001005 / Pcb,calibrated Tc Amp Board,15 Input Tc Amp Rev 4 1 10-92 Ll / Semy
Myp9001005 / Pcb,calibrated Tc Amp Board,15 Input Tc Amp Rev 4 1 10-92 Ll / Semy
 540 82    
PANASONIC MSM021A1E Servo Motor
PANASONIC MSM021A1E Servo Motor
 307 18    
TEL TOKYO Electron 3D86-050940-V1 AC POWER BOX CHIL 3PH CABLE
TEL TOKYO Electron 3D86-050940-V1 AC POWER BOX CHIL 3PH CABLE
 6     
AMAT Applied Materials 0041-44565 Rev 02 480mm Ring W/Seal 30 Day Warranty
AMAT Applied Materials 0041-44565 Rev 02 480mm Ring W/Seal 30 Day Warranty
 699     
ECI Systems 04-055583-00 Remote Module Kit TW Monitor Used Working
ECI Systems 04-055583-00 Remote Module Kit TW Monitor Used Working
 604 18    
Philips KV Resconator 5322 694 14858
Philips KV Resconator 5322 694 14858
 16     
AMAT, Tube, Adaptor 10RA, Non Reg Gas, 0050-76875, New
AMAT, Tube, Adaptor 10RA, Non Reg Gas, 0050-76875, New
 25     
AMAT, TUBE, GAS SUPPLY, SGD, 0050-30761, New
AMAT, TUBE, GAS SUPPLY, SGD, 0050-30761, New
 25     
AMAT, GAS LINE, 0050-43096, New
AMAT, GAS LINE, 0050-43096, New
 25     
141-0602// Amat Applied 0150-76818 Cable, Harness Mfc Chamber B Used
141-0602// Amat Applied 0150-76818 Cable, Harness Mfc Chamber B Used
 6   
CFM Technologies 22024-02 Relay PCB Card B11/12 Lot of 2 Used Working
CFM Technologies 22024-02 Relay PCB Card B11/12 Lot of 2 Used Working
 308 13    
Philips Analytical 4007 022 90042 CPU Board
Philips Analytical 4007 022 90042 CPU Board
 740 50    
3161560 (lot Of 2) / Vacuum Setpoint / Btu Engineering - Bruce
3161560 (lot Of 2) / Vacuum Setpoint / Btu Engineering - Bruce
 210 68    
Wafer Holdings 1080663 1000000001 Tank Sc1 Tank Only - Without Transducer
Wafer Holdings 1080663 1000000001 Tank Sc1 Tank Only - Without Transducer
 1,007 18    
ASML 4022 668 66201 Cable
ASML 4022 668 66201 Cable
 25     
Novellus 16-029857-00 Evaporator Top, Swagelok 316LV Micro-Fit, 1/2" VCR, 452270
Novellus 16-029857-00 Evaporator Top, Swagelok 316LV Micro-Fit, 1/2" VCR, 452270
 15   
Pittman 9234E318-R4 Servomotor, 9700-6191-01, 451988
Pittman 9234E318-R4 Servomotor, 9700-6191-01, 451988
 175   
ALKON AP61-P-6 3/8” Nut & Sleeve Assy Brass QTY 9
ALKON AP61-P-6 3/8” Nut & Sleeve Assy Brass QTY 9
 55   
AMAT, TUBE, GAS SUPPLY, SGD, 0050-30761, New
AMAT, TUBE, GAS SUPPLY, SGD, 0050-30761, New
 25     
Fujikin Diaphragm Valve, Manual Shut-off,  Kpsk3001
Fujikin Diaphragm Valve, Manual Shut-off, Kpsk3001
 8     
NOS QualiTau Oven Current Module
NOS QualiTau Oven Current Module
 1,9     
Furon 1108013 Valve Manifold 80 Psig
Furon 1108013 Valve Manifold 80 Psig
 8     
Square D 15A Circuit Breaker FAL220151127 Lot of 7 Used Working
Square D 15A Circuit Breaker FAL220151127 Lot of 7 Used Working
 408 12    
NOS QualiTau Oven Component Module
NOS QualiTau Oven Component Module
 4     
Leybold AG 200 81 080 Temp-Box Controller, 451981
Leybold AG 200 81 080 Temp-Box Controller, 451981
 15   
Amat 0020-87594 Bracket
Amat 0020-87594 Bracket
 2     
Amat 0020-87595 Bracket
Amat 0020-87595 Bracket
 2     
Halstrup Walcher REG 21 Differential Pressure Transmitter
Halstrup Walcher REG 21 Differential Pressure Transmitter
 100 07    
Anelva 832-3094-05A Bellows Cylinder ø80 Lot of 2 Used Working
Anelva 832-3094-05A Bellows Cylinder ø80 Lot of 2 Used Working
 410 10    
03-900478d01 / Pcb Analog I O Card / Asm America Inc
03-900478d01 / Pcb Analog I O Card / Asm America Inc
 555 62    
ASM 1051-131-01 RA Reduce Pressure Input Assy Board,940007 210PROTO,unused,&7941
ASM 1051-131-01 RA Reduce Pressure Input Assy Board,940007 210PROTO,unused,&7941
 239     
Lambda 703-1047-01 Power Supply Used Working
Lambda 703-1047-01 Power Supply Used Working
 179 09    
NEW MKS HPS 100006128 Internal Assembly Bellows Kit with Seal Set FREE SHIPPING
NEW MKS HPS 100006128 Internal Assembly Bellows Kit with Seal Set FREE SHIPPING
 33   288     
HANDLER CHANGE KIT FOR 19x19 HSBGA dual side
HANDLER CHANGE KIT FOR 19x19 HSBGA dual side
 1,5     
Parker Veriflo 955A0PLPNCSVCRFF2 78 Diaphragm Valve, 451731
Parker Veriflo 955A0PLPNCSVCRFF2 78 Diaphragm Valve, 451731
 195   
Parker Veriflo 955A0PLPNCSVCRFF2 78 Diaphragm Valve, 45100583, 451732
Parker Veriflo 955A0PLPNCSVCRFF2 78 Diaphragm Valve, 45100583, 451732
 195   
Parker Veriflo 955A0PLPNCSVCRFF2 78 Diaphragm Valve, 45100583, 451735
Parker Veriflo 955A0PLPNCSVCRFF2 78 Diaphragm Valve, 45100583, 451735
 195   
Parker Veriflo 955A0PLPNCSVCRFF2 78 Diaphragm Valve, 45100583, 451734
Parker Veriflo 955A0PLPNCSVCRFF2 78 Diaphragm Valve, 45100583, 451734
 195   
Parker Veriflo 955A0PLPNCSVCRFF2 78 Diaphragm Valve, 45100583, 451738
Parker Veriflo 955A0PLPNCSVCRFF2 78 Diaphragm Valve, 45100583, 451738
 195   
Parker Veriflo 955A0PLPNCSVCRFF2 78 Diaphragm Valve, 45100583, 451739
Parker Veriflo 955A0PLPNCSVCRFF2 78 Diaphragm Valve, 45100583, 451739
 195   
Parker Veriflo 955A0PLPNCSVCRFF2 78 Diaphragm Valve, 45100583, 451740
Parker Veriflo 955A0PLPNCSVCRFF2 78 Diaphragm Valve, 45100583, 451740
 195   
Advantest corporation  BLB-025841 Circuit Board PCB PLB-420972BB2
Advantest corporation BLB-025841 Circuit Board PCB PLB-420972BB2
 99 90    
Advantest Corporation BGD-024401  board
Advantest Corporation BGD-024401 board
 99     
Hitachi AI0-02N Analog Input Board M-712E Used Working
Hitachi AI0-02N Analog Input Board M-712E Used Working
 307 12    
03-900687d04 / Pcb Aux Memory / Asm America Inc
03-900687d04 / Pcb Aux Memory / Asm America Inc
 725 62    
AMAT Applied Materials 0010-36476 RING 8" Refurbished
AMAT Applied Materials 0010-36476 RING 8" Refurbished
 306 18    
Parker Hi-Purity Cleaning, 4-4 V1HLZ-SSR, New
Parker Hi-Purity Cleaning, 4-4 V1HLZ-SSR, New
 1     
Amat 0150-07299 Cable Assy, Rs232, Rbt 1, New
Amat 0150-07299 Cable Assy, Rs232, Rbt 1, New
 16     
Cq2b32-20dc(lot Of 2) / Smc Cylinder With Attachment / Smc
Cq2b32-20dc(lot Of 2) / Smc Cylinder With Attachment / Smc
 25     
Lumonics Wafermark II
Lumonics Wafermark II
 27,8     
Mission Power Solutions 700-0034-01 Power Supply 97923225
Mission Power Solutions 700-0034-01 Power Supply 97923225
 35     
SVG Silicon Valley Group 600967-01 Cassette Status Panel PCB Thermco Used
SVG Silicon Valley Group 600967-01 Cassette Status Panel PCB Thermco Used
 454 18    
Tokyo Weld Twa-v90bc3
Tokyo Weld Twa-v90bc3
 199 90  
Kuhnke 657 442 02 D/A E973202121 71 657 442 01
Top-Rated Plus Seller Kuhnke 657 442 02 D/A E973202121 71 657 442 01
 149 98    
Applied Materials AMAT VCR K1S Weldment, 0050-52717
Applied Materials AMAT VCR K1S Weldment, 0050-52717
 145     
Tokyo Keiso SFC-450 Ultrasonic Flowmeter Used Working
Tokyo Keiso SFC-450 Ultrasonic Flowmeter Used Working
 155 15    
344-0401// Amat Applied 0021-35822 Clamp Asp [new]
344-0401// Amat Applied 0021-35822 Clamp Asp [new]
 36   
Lot of 7pcs Universal Instruments 45304101 CONN Breakout 9 Board
Lot of 7pcs Universal Instruments 45304101 CONN Breakout 9 Board
 65     
Hitachi BBET-11 Backplane Interconnect PCB Board Used Working
Hitachi BBET-11 Backplane Interconnect PCB Board Used Working
 208 13    
Kuhnke 657 442 02 D/A 71 657 442 01
Top-Rated Plus Seller Kuhnke 657 442 02 D/A 71 657 442 01
 149 98    
AMAT Applied Materials 805-016-AG-62-017 8" SHIELD Refurbished
AMAT Applied Materials 805-016-AG-62-017 8" SHIELD Refurbished
 306 18    
GS Micro Automation Model 2064 High Pressure Clean Station
GS Micro Automation Model 2064 High Pressure Clean Station
 1,930 45    
ASML Used 4022 456 35831 Vacuum Sensor SEM-I-802=9G21
ASML Used 4022 456 35831 Vacuum Sensor SEM-I-802=9G21
 149 90  
Opal SRA3 Board
Opal SRA3 Board
 488     
101-0501// Amat Applied 0010-75081 Obs, Assy,ttw Vga Monitor W/li Used
101-0501// Amat Applied 0010-75081 Obs, Assy,ttw Vga Monitor W/li Used
 4   
Tylan General CMLA-21 Baratron Capacitance Gauge Lam 853-017643-003-D Used
Tylan General CMLA-21 Baratron Capacitance Gauge Lam 853-017643-003-D Used
 302 12    
Asm 16-333699b01 Support-ext Arm-90 Deg Rot-rh
Asm 16-333699b01 Support-ext Arm-90 Deg Rot-rh
 307 18    
Murr Electronik MCS-B 5-110-240/24 Switch Mode Power Supply *used working
Murr Electronik MCS-B 5-110-240/24 Switch Mode Power Supply *used working
 1   7     
001-4450-01,pn 11951 Rev C /multi Tran Card Rev C24 Part Of 001-7600-02/ Brooks
001-4450-01,pn 11951 Rev C /multi Tran Card Rev C24 Part Of 001-7600-02/ Brooks
 1,1     
Applied Materials AMAT VCR Weldment, 0050-45870
Applied Materials AMAT VCR Weldment, 0050-45870
 145     
3161671 (lot Of 3) /communication Interface Processor Pcb/ Btu Engineering-bruce
3161671 (lot Of 3) /communication Interface Processor Pcb/ Btu Engineering-bruce
 385 82    
AMAT, TUBE, GAS SUPPLY, 0050-34789, New
AMAT, TUBE, GAS SUPPLY, 0050-34789, New
 75     
AMAT, ELBOW SAE TO 1/2 MALE VCR, 0050-10308, New
AMAT, ELBOW SAE TO 1/2 MALE VCR, 0050-10308, New
 15     
AMAT, 0050-28970, New
AMAT, 0050-28970, New
 15     
AMAT, GASLINE 5RA SPOOL M/F 3 72 PRODUCER, 0050-00721, New
AMAT, GASLINE 5RA SPOOL M/F 3 72 PRODUCER, 0050-00721, New
 125     
Packing Gasket P/N 1041
Packing Gasket P/N 1041
 25     
Fbnhsdl-6 35ugc-2b3-pa-dvd
Fbnhsdl-6 35ugc-2b3-pa-dvd
 1,584     
Horiba CS-151F1-0105-AA-QU-P-5M Chemical Solution Concentration Monitor CS-100
Top-Rated Plus Seller Horiba CS-151F1-0105-AA-QU-P-5M Chemical Solution Concentration Monitor CS-100
 899 97    
Tokyo Electron 1110-205313-12 Water Flange Cooling Upper DS1110-205313-12
Tokyo Electron 1110-205313-12 Water Flange Cooling Upper DS1110-205313-12
 1,85   
Allen-Bradley 1492-IFM40F-3 PCB
Allen-Bradley 1492-IFM40F-3 PCB
 12   116     
Perception 926-0324R /G E-Bridge - Used
Perception 926-0324R /G E-Bridge - Used
 128 40    
318-0103// Amat Applied 0020-63713 Applied Matrials 0020-63714 0020-19150 [used]
318-0103// Amat Applied 0020-63713 Applied Matrials 0020-63714 0020-19150 [used]
 2   
Nikon PCB 4S020-023A Control 2 (4S020-023-A or 4S020-023 A)
Nikon PCB 4S020-023A Control 2 (4S020-023-A or 4S020-023 A)
 2,06     
3 X NASCLEAN BF-1 125U-30-M Metal Gas Filter
3 X NASCLEAN BF-1 125U-30-M Metal Gas Filter
 75     
MKS TECHNOLOGIES 492040-2064 HEATER JACKET-  4” Diameter Elbow 240VAC Brand New
MKS TECHNOLOGIES 492040-2064 HEATER JACKET- 4” Diameter Elbow 240VAC Brand New
 32     
NEW MKS TECHNOLOGIES 492015-1016 HEATER JACKET- 16” Long 1 5” Diameter 120volts
NEW MKS TECHNOLOGIES 492015-1016 HEATER JACKET- 16” Long 1 5” Diameter 120volts
 32     
Kuhnke 657 442 02 D/A E971100576 71 657 442 01
Top-Rated Plus Seller Kuhnke 657 442 02 D/A E971100576 71 657 442 01
 149 98    
LPV1-40 VITON, LPV1-40 / SQR ViTON Internal Valve / MKSSTD3 / MKS
LPV1-40 VITON, LPV1-40 / SQR ViTON Internal Valve / MKSSTD3 / MKS
 155     
Seiwa Vsw-42
Seiwa Vsw-42
 1,5   
0010-19517 Chemical Delution Assy, Megasonics Module 300mm
0010-19517 Chemical Delution Assy, Megasonics Module 300mm
 1,9     
37-135-01 / Pcb Remote Power Supply Assy 3491-03 / Rvsi
37-135-01 / Pcb Remote Power Supply Assy 3491-03 / Rvsi
 38     
Alcatel 967-1609-008 Capacity Key AQ Receiver Card UD-36AQ Used Working
Alcatel 967-1609-008 Capacity Key AQ Receiver Card UD-36AQ Used Working
 362 13    
Nagano Keiki Digital Indicator GC84-ID9 Lot of 14 Used Working
Nagano Keiki Digital Indicator GC84-ID9 Lot of 14 Used Working
 308 12    
Nemic-Lambda HK50A-12/A Power Supply Reseller Lot of 4 Used Working
Nemic-Lambda HK50A-12/A Power Supply Reseller Lot of 4 Used Working
 152 16    
Matsushita NAIS ANE103 XDEMO-LIGHTPIX AE10 Vision Sensor Kit ANE11 ANE12 420234
Matsushita NAIS ANE103 XDEMO-LIGHTPIX AE10 Vision Sensor Kit ANE11 ANE12 420234
 1     
PolyPhaser IS-48VDC-30A-FG
PolyPhaser IS-48VDC-30A-FG
 60 50    
MKS Serial Gateway AS01366-10 Rev  01
MKS Serial Gateway AS01366-10 Rev  01
 189     
VAT Valve 12" Opening
VAT Valve 12" Opening
 995   
Ss082-3z-d5 / Solid State Contactor / Fuji Electric Co Ltd
Ss082-3z-d5 / Solid State Contactor / Fuji Electric Co Ltd
 175     
Harness Assy, 005661-00, 451806
Harness Assy, 005661-00, 451806
 45   
527-34457-05 / Pcb Operator Ccu / Excelteq Inc
527-34457-05 / Pcb Operator Ccu / Excelteq Inc
 600 82    
Fujikin, Turn Shut Off Valve, Butt Weld, Used
Fujikin, Turn Shut Off Valve, Butt Weld, Used
 55     
EECL123 P/N 768-5024 Board
EECL123 P/N 768-5024 Board
 399 90  
RECIF Technologies MOBJH0131D Motherboard PCB PCB0131 Nikon NSR Working Spare
RECIF Technologies MOBJH0131D Motherboard PCB PCB0131 Nikon NSR Working Spare
 608 18    
Silicon Carbide Liners
Silicon Carbide Liners
 1,65     
Sun Electronic Systems PC100 Controller
Sun Electronic Systems PC100 Controller
 3     
LAM Rainbow Display Interface *used working
LAM Rainbow Display Interface *used working
 1,25   875     
TEL Tokyo Electron 3D81-000020-V3 Power Supply PCB Card TYB514-1/IO48 Used
TEL Tokyo Electron 3D81-000020-V3 Power Supply PCB Card TYB514-1/IO48 Used
 359 13    
Kensignton 4000-60002 Rev U Axis PCB Circuit Board *used working
Kensignton 4000-60002 Rev U Axis PCB Circuit Board *used working
 675   472 50    
IC200MDL940C Relay, Output 2A Iso from A 16PT
IC200MDL940C Relay, Output 2A Iso from A 16PT
 52     
Digital Extender Unit UF-EXX01-TEL01
Digital Extender Unit UF-EXX01-TEL01
      
Keithley Kpxi-con-pm-1 8g+
Keithley Kpxi-con-pm-1 8g+
 75     
Komatsu CADK00360 Processor Board PCB 300832000 Used Working
Komatsu CADK00360 Processor Board PCB 300832000 Used Working
 510 17    
NSK Ltd  Resistor Assembly Type EXTK02, No  962002
NSK Ltd  Resistor Assembly Type EXTK02, No  962002
 6     
Tokin Lf-260n Noise Filter , Used
Tokin Lf-260n Noise Filter , Used
 8     
Fuji Electric Cp32fm/1 Cp32f-m001 1a 220vac Unmp
Fuji Electric Cp32fm/1 Cp32f-m001 1a 220vac Unmp
 28     
ASML 4022 668 66111 Cable
ASML 4022 668 66111 Cable
 25     
Novellus 16-029857-00 Evaporator Top, Swagelok 316LV Micro-Fit, 1/2" VCR, 452271
Novellus 16-029857-00 Evaporator Top, Swagelok 316LV Micro-Fit, 1/2" VCR, 452271
 15   
AMAT, TUBE, GAS SUPPLY, SGD, 0050-30761, New
AMAT, TUBE, GAS SUPPLY, SGD, 0050-30761, New
 25     
Tylan General CMLA-21 Baratron Capacitance Gauge 853-017643-003-D-C222 Used
Tylan General CMLA-21 Baratron Capacitance Gauge 853-017643-003-D-C222 Used
 302 12    
AMAT 3360-90020 Grommet RND 11 1 ID x 1 6 PNLTH, 451821
AMAT 3360-90020 Grommet RND 11 1 ID x 1 6 PNLTH, 451821
 1   
Shimpo-nidec Able Reducer Vraf-s9b
Shimpo-nidec Able Reducer Vraf-s9b
 1     
Anelva PP985568 vacuum controller H11-15103 rev04
Anelva PP985568 vacuum controller H11-15103 rev04
 4     
Heraeus 73285 Quartz Pedestal Outer Fin Tokyo Electron 1105-300887-12
Heraeus 73285 Quartz Pedestal Outer Fin Tokyo Electron 1105-300887-12
 695   
on board 8112444g001 junction box
on board 8112444g001 junction box
 12   
Ancosys T 090 1020r Mc Doseing Device 25 Ml
Ancosys T 090 1020r Mc Doseing Device 25 Ml
 1,05     
Hitachi 564-5538 Circuit Board PCB PE HV Hitachi S-9380 Main Body Used Working
Hitachi 564-5538 Circuit Board PCB PE HV Hitachi S-9380 Main Body Used Working
 307 14    
323-0102// Amat Applied 3690-01125 Scr Mach Pan Hd 6-32 X 1/4l Phil Sst New
323-0102// Amat Applied 3690-01125 Scr Mach Pan Hd 6-32 X 1/4l Phil Sst New
 2   
323-0102// Amat Applied 3690-01872 Scr Cap Skt Hd 4-40 X 9/16l Vented Sst S New
323-0102// Amat Applied 3690-01872 Scr Cap Skt Hd 4-40 X 9/16l Vented Sst S New
 2   
323-0102// Amat Applied 3060-51013 Miniature Bealing New
323-0102// Amat Applied 3060-51013 Miniature Bealing New
 2   
323-0102// Amat Applied 3690-04521 Scr Thumb Knrl 6-32 X 1/2 3/8d-hd Alum New
323-0102// Amat Applied 3690-04521 Scr Thumb Knrl 6-32 X 1/2 3/8d-hd Alum New
 2   
323-0102// Amat Applied 3790-01176 Stdf  M/f 3/8 Hex X 3/8lg Sst 8-32 Thd New
323-0102// Amat Applied 3790-01176 Stdf M/f 3/8 Hex X 3/8lg Sst 8-32 Thd New
 2   
OEM GROUP 18926 Cath 7" w/o Copper H2O Jacket Assembly 18926V For SFI Sput
OEM GROUP 18926 Cath 7" w/o Copper H2O Jacket Assembly 18926V For SFI Sput
 1,25   
TOSOH 4073H-13-209-502 Cover Aluminum Alloy 16 220" OD X  630 to ID 14 173"
TOSOH 4073H-13-209-502 Cover Aluminum Alloy 16 220" OD X  630 to ID 14 173"
 995   
Pmb Laser Power Supply Rofin Coherent Hpc 813-1
Pmb Laser Power Supply Rofin Coherent Hpc 813-1
 2,4   1,25     
ASML 4022 436 6373 Relay Card DSPVV V2 1 IDE 11/98 mh PCB Used Working
ASML 4022 436 6373 Relay Card DSPVV V2 1 IDE 11/98 mh PCB Used Working
 407 12    
142-0502// Amat Applied 0140-20361 Harness Assy, Water Leak Detec New
142-0502// Amat Applied 0140-20361 Harness Assy, Water Leak Detec New
 2   
Asm 16-323877c01 Refl-s-dam-light-rear
Asm 16-323877c01 Refl-s-dam-light-rear
 307 18    
PSE Electronik Modular Board - SMP3200 B w/Warranty
Top-Rated Plus Seller PSE Electronik Modular Board - SMP3200 B w/Warranty
 2     
Fujikin 316L Diaphragm Valve, APWYM000, 454751, 1/4" VCR, 452086
Fujikin 316L Diaphragm Valve, APWYM000, 454751, 1/4" VCR, 452086
 195   
Fujikin 316L Diaphragm Valve AQ8MB000 429504 1/4" VCR, KR5HY000 100499, 452083
Fujikin 316L Diaphragm Valve AQ8MB000 429504 1/4" VCR, KR5HY000 100499, 452083
 195   
Fujikin 316L Diaphragm Valve AT0UM000 429504, 1/4" VCR, KU6PK000 100499, 452085
Fujikin 316L Diaphragm Valve AT0UM000 429504, 1/4" VCR, KU6PK000 100499, 452085
 195   
TEL Tokyo Electron LP Short SS Pod Shield New
TEL Tokyo Electron LP Short SS Pod Shield New
 304 18    
Z-World 175-0257 Processor Board PCB 175-0264 Untested AS-IS
Z-World 175-0257 Processor Board PCB 175-0264 Untested AS-IS
 262 18    
New Microset/electronic Card/pcb Us-036
New Microset/electronic Card/pcb Us-036
 75   
ALKON AP64-P-4 ¼ Union Tee Brass QTY 10
ALKON AP64-P-4 ¼ Union Tee Brass QTY 10
 7   
ALKON AP64-P-4 ¼ Union Tee Brass QTY 9
ALKON AP64-P-4 ¼ Union Tee Brass QTY 9
 65   
Tokyo Keiso Sfc-780/j Flowmeter
Tokyo Keiso Sfc-780/j Flowmeter
 99 95    
142-0601// Amat Applied 0227-11124 Emc Comp, Cable Adapter Neslab New
142-0601// Amat Applied 0227-11124 Emc Comp, Cable Adapter Neslab New
 12   
Fru 600-02300
Fru 600-02300
 6   
Calweld 839-068732-002 Wldmt,he,input Sem-i-138=2m24
Calweld 839-068732-002 Wldmt,he,input Sem-i-138=2m24
 299 95    
Kuhnke 657 442 02 D/A E983001395 71 657 442 01
Top-Rated Plus Seller Kuhnke 657 442 02 D/A E983001395 71 657 442 01
 149 98    
Kuhnke 657 442 02 D/A E983001394 71 657 442 01
Top-Rated Plus Seller Kuhnke 657 442 02 D/A E983001394 71 657 442 01
 149 98    
MRC Materials Research D127725-200S HOLDER WAFER SHIELD
Top-Rated Plus Seller MRC Materials Research D127725-200S HOLDER WAFER SHIELD
 1,25   1,     
Infotrend 9270CmSASCab3 Cable for Raid System, 452339
Infotrend 9270CmSASCab3 Cable for Raid System, 452339
 9   
AMAT 0020-22170 SST Cover Shield, 416532
AMAT 0020-22170 SST Cover Shield, 416532
 125   
Koganei 299-4E2 Solenoid Valve, AC100V, 452437
Koganei 299-4E2 Solenoid Valve, AC100V, 452437
 75   
Furon Pd075-128fl Fluid Handling Product
Furon Pd075-128fl Fluid Handling Product
 1,     
SVG Silicon Valley Group 851-9947-004 DMC Booster Amplifier PCB Card Rev  R Used
SVG Silicon Valley Group 851-9947-004 DMC Booster Amplifier PCB Card Rev  R Used
 310 18    
TEL Tokyo Electron 3D81-00004-15 PCB TYB622-1/GAS2 T-3044SS Used Working
TEL Tokyo Electron 3D81-00004-15 PCB TYB622-1/GAS2 T-3044SS Used Working
 504 16    
Serpar Ross J3573a5888
Serpar Ross J3573a5888
 1,     
SemiGas Systems Manual Control Unit - Auto Purge M
SemiGas Systems Manual Control Unit - Auto Purge M
 299     
Koganei Slim Air Cylinder Pneumatic DABL 25X40-4
Koganei Slim Air Cylinder Pneumatic DABL 25X40-4
 44 68    
AMAT, 0270-09299 TOOL,ASSY ALIGNMENT,SIMPLE CATHODE, New
AMAT, 0270-09299 TOOL,ASSY ALIGNMENT,SIMPLE CATHODE, New
 215     
Oxford 51-KT-01DRT Controller Modules 1128-419 1128-371 1420-069 with warranty
Oxford 51-KT-01DRT Controller Modules 1128-419 1128-371 1420-069 with warranty
 2,9     
TEL Tokyo Electron Faraday Shield WZ10-102693-11 X1
TEL Tokyo Electron Faraday Shield WZ10-102693-11 X1
 351 09    
Used SCREEN Control Board PC-97040A, HLS-MC1A (wrs)
Used SCREEN Control Board PC-97040A, HLS-MC1A (wrs)
 1     
Thermco ProBoCon Controller - 14 Day Right of Return
Thermco ProBoCon Controller - 14 Day Right of Return
 15     
Moeller Electric Faz2b13 Used
Moeller Electric Faz2b13 Used
 22 73    
Brewer Science CEE 100 Spinner
Brewer Science CEE 100 Spinner
 19,765     
Tokyo Electron 3887-211246-11 Formula Assy, Htr Pwr_ctrl, Used
Tokyo Electron 3887-211246-11 Formula Assy, Htr Pwr_ctrl, Used
 3,5     
Leybold AG 200 81 080 Temp-Box Controller, 451975
Leybold AG 200 81 080 Temp-Box Controller, 451975
 15   
Glitch Master 230 Short-Duration Uninterruptible Power Supply, 450475
Glitch Master 230 Short-Duration Uninterruptible Power Supply, 450475
 15   
Stratasys Objet30 Container Control 2 control board BRD-14020
Stratasys Objet30 Container Control 2 control board BRD-14020
 125     
JEL Jusung REA100970000 Vacuum Process Interface Board PCB Untested AS-IS
JEL Jusung REA100970000 Vacuum Process Interface Board PCB Untested AS-IS
 260 18    
JEL Jusung REA100990000 Vacuum Process Interface Board PCB Untested AS-IS
JEL Jusung REA100990000 Vacuum Process Interface Board PCB Untested AS-IS
 310 18    
Philips Analytical DacQ PCB
Philips Analytical DacQ PCB
 65     
Schumacher 1730-3013 Operator Interface Control Panel Assembly Rev  F Used
Schumacher 1730-3013 Operator Interface Control Panel Assembly Rev  F Used
 604 17    
AMAT, 0050-41472, New
AMAT, 0050-41472, New
 15     
Siemens 6ES7 432-IHF00-0AB0 Analog Output Module SIMATIC S7 Used Working
Siemens 6ES7 432-IHF00-0AB0 Analog Output Module SIMATIC S7 Used Working
 160 18    
Black Box KV6104A-R2 ServSwitch Duo-R2 Switch Used Working
Black Box KV6104A-R2 ServSwitch Duo-R2 Switch Used Working
 159 12    
Applied Materials AMAT Gas Line -- 0050-41415 -- New
Applied Materials AMAT Gas Line -- 0050-41415 -- New
 95     
Amat Applied Materials Atn Exh Cham 0050-33704 New
Amat Applied Materials Atn Exh Cham 0050-33704 New
 4     
AVAL DATA FDC/SCSI AVME-322A BOARD, Free shipping
AVAL DATA FDC/SCSI AVME-322A BOARD, Free shipping
 179 90  
MKS TECHNOLOGIES 492015-1030 HEATER JACKET- NEW 30” Long 1 5” Diameter 120volts
MKS TECHNOLOGIES 492015-1030 HEATER JACKET- NEW 30” Long 1 5” Diameter 120volts
 437 50    
MKS TECHNOLOGIES 492015-1020 HEATER JACKET- NEW 20” Long 1 5” Diameter 120volts
MKS TECHNOLOGIES 492015-1020 HEATER JACKET- NEW 20” Long 1 5” Diameter 120volts
 35     
Nidek Im12 - Mb1 Crt Controller Board Rev  A
Nidek Im12 - Mb1 Crt Controller Board Rev  A
 4   
RKC HBA-21 heater alarm 110V
RKC HBA-21 heater alarm 110V
 27 80    
ASM 4074515-0001 CPU Processor PCB Card MZ001E Untested AS-IS
ASM 4074515-0001 CPU Processor PCB Card MZ001E Untested AS-IS
 309 18    
VAT 07512-VA24-AAZ1/0039 A-274100 Rectangular Door L-VAT
VAT 07512-VA24-AAZ1/0039 A-274100 Rectangular Door L-VAT
 51     
156-0402// Amat Applied 0240-31300 0190-09329 0190-09330 Spare Kit [new]
156-0402// Amat Applied 0240-31300 0190-09329 0190-09330 Spare Kit [new]
 45   
177-0203// 54015604 Amat Nupro 930 316l Vesp 125 Psi Max Valve [used]
177-0203// 54015604 Amat Nupro 930 316l Vesp 125 Psi Max Valve [used]
 3   
177-0404// Amat Applied 0051-01471 Applied Matrials Components [used]
177-0404// Amat Applied 0051-01471 Applied Matrials Components [used]
 3   
177-0404// Amat Applied 0050-88508 Applied Matrials Components [used]
177-0404// Amat Applied 0050-88508 Applied Matrials Components [used]
 3   
ASM 16-141264-01 Panel Side 300mm Split Flow Chamber New
ASM 16-141264-01 Panel Side 300mm Split Flow Chamber New
 406 18    
National Instruments 5542 8-SISO 155600A-01L Module Assembly NI 5542 8 Port SISO
National Instruments 5542 8-SISO 155600A-01L Module Assembly NI 5542 8 Port SISO
 1,5   1,05     
Socapel 024 7040 Servo Drive EXT PCB Used Working
Socapel 024 7040 Servo Drive EXT PCB Used Working
 407 12    
Kitz SCT TM-0003A Assy-Precursor Bottle Power AC 200V
Top-Rated Plus Seller Kitz SCT TM-0003A Assy-Precursor Bottle Power AC 200V
 1,298 98    
Kitz SCT TM-0003A Precursor Bottle Assembly
Top-Rated Plus Seller Kitz SCT TM-0003A Precursor Bottle Assembly
 1,168 98    
LINER,GSD, 3 HOLES, MOLYBDENUM, 2 96 LONG New Other
LINER,GSD, 3 HOLES, MOLYBDENUM, 2 96 LONG New Other
 12   
103047(lot Of 8) / Advancair Pre 11in X 15 5in X 4in / Farr 3030
103047(lot Of 8) / Advancair Pre 11in X 15 5in X 4in / Farr 3030
 256 68    
Hitachi BBS319-1 Interface Board PCB Used Working
Hitachi BBS319-1 Interface Board PCB Used Working
 409 12    
Universal Instruments PCA VRM-MC PCB 50814202
Universal Instruments PCA VRM-MC PCB 50814202
 299 90  
Sonix Kk Japan Smc870 Kp1265-1
Sonix Kk Japan Smc870 Kp1265-1
 399 90  
Jenoptik 812100019 Interface Board PCB 083-25 INFAB Used Working
Jenoptik 812100019 Interface Board PCB 083-25 INFAB Used Working
 203 18    
SMC CDM2UZ25-D9034 Cylinder, Lift Assy, 450456
SMC CDM2UZ25-D9034 Cylinder, Lift Assy, 450456
 15   
2 Moeller FAZ-2-C2 Circuit Breaker Assy w/ 3 DI2 ER-40-G Contactor Relay, 452409
2 Moeller FAZ-2-C2 Circuit Breaker Assy w/ 3 DI2 ER-40-G Contactor Relay, 452409
 195   
Kokusai Electric D2E01309A Processor CPU Board PCB MCPU3 Verton III Used Working
Kokusai Electric D2E01309A Processor CPU Board PCB MCPU3 Verton III Used Working
 502 19    
Smc Ise30-01-65 Nsmp
Smc Ise30-01-65 Nsmp
 101     
Nikon 4S018-908-1 Interface PCB Card PNLRESET NSR-307E Used Working
Nikon 4S018-908-1 Interface PCB Card PNLRESET NSR-307E Used Working
 254 17    
062e1 3-11-20-35 / Pneumatic Directional Valve 0-125psi 24vdc 6 7w / Humphrey
062e1 3-11-20-35 / Pneumatic Directional Valve 0-125psi 24vdc 6 7w / Humphrey
 23     
Fujitsu Component NC14003-T752 SERVIS-Splitter PCB SF-310-5076-X751/01 Used
Fujitsu Component NC14003-T752 SERVIS-Splitter PCB SF-310-5076-X751/01 Used
 309 13    
K~SINE P/N: 6795 Rev A
K~SINE P/N: 6795 Rev A
 429     
MAXON DC MOTOR 2260 889-54 216-200 & 2260 815-50 216-208 for  philips PW2400
MAXON DC MOTOR 2260 889-54 216-200 & 2260 815-50 216-208 for philips PW2400
 3     
FEK PhysikTechnik OPTOVIB Optoelectronic Displacement Measurement Set NEW
FEK PhysikTechnik OPTOVIB Optoelectronic Displacement Measurement Set NEW
 1,088     
Vicor  St3-1323 Mini Starkpac
Vicor St3-1323 Mini Starkpac
 24   187 49    
DS Techno Quartz Disc S3L30963 MINT in Box
Top-Rated Plus Seller DS Techno Quartz Disc S3L30963 MINT in Box
 1,     
Qac 081025g38 Rev A 001010 Rap Id Mfg Grounding Strap
Qac 081025g38 Rev A 001010 Rap Id Mfg Grounding Strap
 54 18    
ASML 4022 668 66311 Cable
ASML 4022 668 66311 Cable
 25     
Novellus 16-029857-00 Evaporator Top, Swagelok 316LV Micro-Fit, 1/2" VCR, 452273
Novellus 16-029857-00 Evaporator Top, Swagelok 316LV Micro-Fit, 1/2" VCR, 452273
 15   
Tylan General CMLA-21 Baratron Capacitance Gauge 853-017643-003-G-1135 Used
Tylan General CMLA-21 Baratron Capacitance Gauge 853-017643-003-G-1135 Used
 302 12    
STI Hexa Sprint Tape and Reel System
STI Hexa Sprint Tape and Reel System
 24,999     
AMAT, DBL Cont Assy VCR FTG, 0010-02868, New
AMAT, DBL Cont Assy VCR FTG, 0010-02868, New
 45     
Brand new yaskawa linear motor SGLTW 80A400B
Brand new yaskawa linear motor SGLTW 80A400B
 2,5     
Philips 4022 332 76161/86161 module
Philips 4022 332 76161/86161 module
 45     
Kokusai Vertron D1E01291 Interface PCB DIOA A/0 Working
Kokusai Vertron D1E01291 Interface PCB DIOA A/0 Working
 200 09    
Fujitsu Component NC14003-T752 SERVIS-Splitter PCB SF310-5076-X751/02 Used
Fujitsu Component NC14003-T752 SERVIS-Splitter PCB SF310-5076-X751/02 Used
 306 15    
Balzers BG 542 225 BT Shutter Control Button PCB Board BG 542 228D Used Working
Balzers BG 542 225 BT Shutter Control Button PCB Board BG 542 228D Used Working
 457 16    
Hitachi IOTU-02N Relay Interface Board PCB Used Working
Hitachi IOTU-02N Relay Interface Board PCB Used Working
 603 18    
Inficon LTSP-600 8-Channel Power Supply LTSP-75W LSTP-03W LinearTec Used Working
Inficon LTSP-600 8-Channel Power Supply LTSP-75W LSTP-03W LinearTec Used Working
 1,010 14    
Dalsa TA-C1-04K30-50E Video Controller  Used Working
Dalsa TA-C1-04K30-50E Video Controller Used Working
 501 17    
Electroglas 100012 Setup & Auto SEQ Control PCB Card 100011C Used Working
Electroglas 100012 Setup & Auto SEQ Control PCB Card 100011C Used Working
 302 17    
Lam 715-031752-207 Cap, Lower Electrode 6''
Lam 715-031752-207 Cap, Lower Electrode 6''
 1,6     
ASM 4074515-0001 CPU Processor PCB Card 1068396 5 MZ002F Untested AS-IS
ASM 4074515-0001 CPU Processor PCB Card 1068396 5 MZ002F Untested AS-IS
 359 18    
AMAT, ADAPTER,VCR,VALVE, MANOMETER PORT, 0050-10754, New
AMAT, ADAPTER,VCR,VALVE, MANOMETER PORT, 0050-10754, New
 15     
Allen-Bradley 140-MN-0250 Manual Motor Starter, Circuit Breaker, 452717
Allen-Bradley 140-MN-0250 Manual Motor Starter, Circuit Breaker, 452717
 15   
Siemens 5SY42 MCB C6 2 Pole Circuit Breaker, 452115
Siemens 5SY42 MCB C6 2 Pole Circuit Breaker, 452115
 15   
FOUR AXIS Motion Controller Board P9680-R Rev A  4001-01 Rev B, Free shipping
FOUR AXIS Motion Controller Board P9680-R Rev A 4001-01 Rev B, Free shipping
 219 90  
Hitachi Tank2 Rinse Controller MU-712E Used Working
Hitachi Tank2 Rinse Controller MU-712E Used Working
 506 13    
Axcelis Technologies 544621 8-Port Serial Interface PCB Card 544611 Used Working
Axcelis Technologies 544621 8-Port Serial Interface PCB Card 544611 Used Working
 153 18    
AMAT 0020-42316 Screw Vented Perf Plate
AMAT 0020-42316 Screw Vented Perf Plate
 36     
2506769-21 / Pcb, In And Output Interface / Asm
2506769-21 / Pcb, In And Output Interface / Asm
 618 61    
ASM Advanced Semiconductor Materials 01-18100 HiPEC Motion Solution Rack Used
ASM Advanced Semiconductor Materials 01-18100 HiPEC Motion Solution Rack Used
 305 17    
Abb Eh270 Contactor Amat  B-33
Abb Eh270 Contactor Amat B-33
 175     
Silicon Thermal Powercool LB300 Thermal Controller, Scalable Up to 500 Watts
Top-Rated Plus Seller Silicon Thermal Powercool LB300 Thermal Controller, Scalable Up to 500 Watts
 17     
Ims - Intelligent Motion Systems, Inc Wv210-0009  Ctrl-tim-202 Board
Ims - Intelligent Motion Systems, Inc Wv210-0009 Ctrl-tim-202 Board
 45     
Leybold AG 200 81 080 Temp-Box Controller, 452000
Leybold AG 200 81 080 Temp-Box Controller, 452000
 15   
Leybold AG 200 81 080 Temp-Box Controller, 452028
Leybold AG 200 81 080 Temp-Box Controller, 452028
 15   
343-0402// Amat Applied 0020-10464 Nut, Feed Thru New
343-0402// Amat Applied 0020-10464 Nut, Feed Thru New
 1   
Varian 04-712161-01 Belly Shield, Conmag II, 102577
Varian 04-712161-01 Belly Shield, Conmag II, 102577
 35   
402-0202// Toyo Sokki Dls-5025a Controller [used/fast]
402-0202// Toyo Sokki Dls-5025a Controller [used/fast]
 3   
341-0401// Amat Applied 0040-20161 Manifold, Tee [2nd New Source]
341-0401// Amat Applied 0040-20161 Manifold, Tee [2nd New Source]
 15   
NASclean Nippon Seisen BF-1 125U-60-M IGS Gas Filter
NASclean Nippon Seisen BF-1 125U-60-M IGS Gas Filter
 149   
Amat 3860-01596 Tbg Flex  25id X 50ft Norprene, New
Amat 3860-01596 Tbg Flex  25id X 50ft Norprene, New
 1     
BTU Engineering 3181180 Video Interface Board PCB Card EPROM V2 1 Used Working
BTU Engineering 3181180 Video Interface Board PCB Card EPROM V2 1 Used Working
 410 11    
Gsi Cbeqc-ml3 229 07  Rev c Layer8 Board
Gsi Cbeqc-ml3 229 07  Rev c Layer8 Board
 299 90  
NASclean all metal gas filter M-5 1/8 VCR L35 5P BRAND NEW *SEALED*
NASclean all metal gas filter M-5 1/8 VCR L35 5P BRAND NEW *SEALED*
 1     
WATLOW DC10-23C0-S000 Solid State Power Controller
WATLOW DC10-23C0-S000 Solid State Power Controller
 307 18    
Power Supply 215KD048K, 450469
Power Supply 215KD048K, 450469
 15   
Outset 0002712 Rev 2 Heater Circuit Board *used working
Outset 0002712 Rev 2 Heater Circuit Board *used working
 5   35     
Electroglas Gold Plated Chuck Assy, 6” Inch ((Light Used Working))
Electroglas Gold Plated Chuck Assy, 6” Inch ((Light Used Working))
 3   21     
64547 4241853-1 assy board
64547 4241853-1 assy board
 2     
FEI Company 4022 192 9656 Backplane Board PCB 4022 192 8656 CLM-3D Used Working
FEI Company 4022 192 9656 Backplane Board PCB 4022 192 8656 CLM-3D Used Working
 208 16    
Air Products Diverter Disk for Spray Post Head Assembly (UK) PFE-01-008412
Air Products Diverter Disk for Spray Post Head Assembly (UK) PFE-01-008412
 62 88    
Balzers BG 542 272 T Indicator Display IU 201 PCB Card BG 542 263 T Used Working
Balzers BG 542 272 T Indicator Display IU 201 PCB Card BG 542 263 T Used Working
 458 16    
Veriflo F10SZ0010 Valve, 452069
Veriflo F10SZ0010 Valve, 452069
 95   
Kickstart Develomeny Board Str912-sk Iar Systems
Kickstart Develomeny Board Str912-sk Iar Systems
 249     
TEL Tokyo Electron 3D81-000010-V2 Backplane PCB TYB614-1/RFPD
TEL Tokyo Electron 3D81-000010-V2 Backplane PCB TYB614-1/RFPD
 23     
321-0103// Idc Pt-app001 Idc Liner Motor [asis]
321-0103// Idc Pt-app001 Idc Liner Motor [asis]
 4   
Lot of 7pcs Universal Instruments 45304401 CONN Breakout 37 Board
Lot of 7pcs Universal Instruments 45304401 CONN Breakout 37 Board
 7     
7 01 129 / Cpu Processor Board Dpc / Tempress - General Signal
7 01 129 / Cpu Processor Board Dpc / Tempress - General Signal
 350 68    
Keller Druckmesstechnik Pr-35lx Pressure Transmitter
Keller Druckmesstechnik Pr-35lx Pressure Transmitter
 15   
TEL Tokyo Electron 1B80-001529-11 Module Board PCB 3482944-0A-A Used Working
TEL Tokyo Electron 1B80-001529-11 Module Board PCB 3482944-0A-A Used Working
 503 18    
131-0701// Amat Applied 0021-09008 Cover, Uni-lid, Dsgd, Mxp Used
131-0701// Amat Applied 0021-09008 Cover, Uni-lid, Dsgd, Mxp Used
 1,   
Intevac Inc 0083443400-01 Fiber Cable , Glass , Vacuum
Intevac Inc 0083443400-01 Fiber Cable , Glass , Vacuum
 118     
JEL Jusung REA1001000000 Vacuum Process Interface Board PCB Untested AS-IS
JEL Jusung REA1001000000 Vacuum Process Interface Board PCB Untested AS-IS
 310 18    
EATON NOVELLUS 372-45020-1 FLOATING DRIVE 200mm NEW
EATON NOVELLUS 372-45020-1 FLOATING DRIVE 200mm NEW
 18     
Amat 0620-02000 Cable Assy  10ft Cbrors Contrl To Valve Stick
Amat 0620-02000 Cable Assy  10ft Cbrors Contrl To Valve Stick
 55     
Micrion Model 150-1110 Rev A2  Board
Micrion Model 150-1110 Rev A2 Board
 12   
Micrion Model 150-1090  Rev C5 Board
Micrion Model 150-1090 Rev C5 Board
 12   
323-0102// Amat Applied 3690-01686 Scr   Mach Btn Hd 4-40 X 1/2l Hex Skt Ve New
323-0102// Amat Applied 3690-01686 Scr Mach Btn Hd 4-40 X 1/2l Hex Skt Ve New
 2   
323-0102// Amat Applied 3690-02697 Scr   Mach Btn Hd 6-32 X 3/8l Hex Skt Ss New
323-0102// Amat Applied 3690-02697 Scr Mach Btn Hd 6-32 X 3/8l Hex Skt Ss New
 2   
Axcelis 17099461 Center Pole Lower 23893
Axcelis 17099461 Center Pole Lower 23893
 775   
Micrion Model 150-892 Board Rev D4
Micrion Model 150-892 Board Rev D4
 12   
NEW MKS TECHNOLOGIES 492015-1014 HEATER JACKET- 14” Long 1 5” Diameter 120volts
NEW MKS TECHNOLOGIES 492015-1014 HEATER JACKET- 14” Long 1 5” Diameter 120volts
 3     
26-2910-2122A008 TESCOM 450/150 BACK PRESSURE REGULATOR; DOME LOADED, w/ER1210
Top-Rated Plus Seller 26-2910-2122A008 TESCOM 450/150 BACK PRESSURE REGULATOR; DOME LOADED, w/ER1210
 4     
Ultratech Stepper 03-15-02066 6-Axis Laser Transition YT-Axis PCB Card 4700 Used
Ultratech Stepper 03-15-02066 6-Axis Laser Transition YT-Axis PCB Card 4700 Used
 457 18    
Matrix 1010-0017 Process Interface Board
Matrix 1010-0017 Process Interface Board
 1,     
Applied Materials 0021-41125 REV 03 Gas Distribution Plate, 133 Holes
Applied Materials 0021-41125 REV 03 Gas Distribution Plate, 133 Holes
 633   
Ferraz Shawmut Z330026 Protistor FUSE, 660-690V AC, 160A, LOT 2, USED
Ferraz Shawmut Z330026 Protistor FUSE, 660-690V AC, 160A, LOT 2, USED
 8     
Hytron 2 Port Metal MFC Adaptor Sandwich 3 Count lot Parker Mass Flow Part
Hytron 2 Port Metal MFC Adaptor Sandwich 3 Count lot Parker Mass Flow Part
    
Omron E5ES-QHKJ Temperature Controller, 452170
Omron E5ES-QHKJ Temperature Controller, 452170
 5   
S&a 0000416-03
S&a 0000416-03
 249   
322-0501// Amat Applied 0020-20521 Insulator 2nd Source New
322-0501// Amat Applied 0020-20521 Insulator 2nd Source New
 6   
IEE PDK-004A-0WG Display Used Working
IEE PDK-004A-0WG Display Used Working
 211 11    
Get FAI05101 PCB, 411619, USED
Get FAI05101 PCB, 411619, USED
 2     
NEW ASM PN: 2312158-01 Retro/Retrofit FOUP Clamps/Clamp Foupclamps
NEW ASM PN: 2312158-01 Retro/Retrofit FOUP Clamps/Clamp Foupclamps
 3,4     
Tokyo Electron 1110-205314-11 Flange Water Cooling Under DS1110-205314-11
Tokyo Electron 1110-205314-11 Flange Water Cooling Under DS1110-205314-11
 1,5   
AMAT, WELDMENT, 0050-75298, New
AMAT, WELDMENT, 0050-75298, New
 6     
Mykrolis WG3D3LBW2, CHAMBERGARD
Mykrolis WG3D3LBW2, CHAMBERGARD
 99 90  
AMAT , Applied Materials , 应用材料  , 0010-70345 , 0042-01927
AMAT , Applied Materials , 应用材料 , 0010-70345 , 0042-01927
 2,222     
Fbsdal-6 35ugf-3b4-dtp#b
Fbsdal-6 35ugf-3b4-dtp#b
 611 90    
Fusdm-21m-6 35ugf-s-apd
Fusdm-21m-6 35ugf-s-apd
 588     
RVSI 68410 rev C  71010 rev  E
RVSI 68410 rev C 71010 rev  E
 209   
136-0501// Amat Applied 0010-10420 (#1) 5000 Platform Version Iv Ampule Asis
136-0501// Amat Applied 0010-10420 (#1) 5000 Platform Version Iv Ampule Asis
 1,   
ASML 4022 668 66091 Cable
ASML 4022 668 66091 Cable
 25     
Novellus 16-029857-00 Evaporator Top, Swagelok 316LV Micro-Fit, 1/2" VCR, 452274
Novellus 16-029857-00 Evaporator Top, Swagelok 316LV Micro-Fit, 1/2" VCR, 452274
 15   
Allen-Bradley 140-MN-0250 Manual Motor Starter, Circuit Breaker, 452716
Allen-Bradley 140-MN-0250 Manual Motor Starter, Circuit Breaker, 452716
 15   
Hitachi Tank6 Rinse Controller MU-712E Used Working
Hitachi Tank6 Rinse Controller MU-712E Used Working
 506 13    
Ultratech Stepper 03-15-02066 6-Axis Laser Transition Y-Axis PCB Card 4700 Used
Ultratech Stepper 03-15-02066 6-Axis Laser Transition Y-Axis PCB Card 4700 Used
 456 18    
Anorad 66571 Controller Backplane PCB Card AMAT Orbot WF 720 Used Working
Anorad 66571 Controller Backplane PCB Card AMAT Orbot WF 720 Used Working
 208 16    
Shinko Electric 3ASSYC807903 Processor Board PCB M-COM2A M-157 Used Working
Shinko Electric 3ASSYC807903 Processor Board PCB M-COM2A M-157 Used Working
 512 18    
Amat 0200-01521 Ceramic Blade, 300mm Low Shoe, New
Amat 0200-01521 Ceramic Blade, 300mm Low Shoe, New
 1,8     
Dayton 2E462A SPDT Cooling/Heating Appliance Switch, 451661
Dayton 2E462A SPDT Cooling/Heating Appliance Switch, 451661
 3   
Varian 04-712161-01 Belly Shield, Conmag II, 102574
Varian 04-712161-01 Belly Shield, Conmag II, 102574
 35   
Nemic-Lambda RWS30A-5 Power Supply PCB Card YM-95-774 Used Working
Nemic-Lambda RWS30A-5 Power Supply PCB Card YM-95-774 Used Working
 361 11    
AGGREGATE LINK INTERFACE 4331c EQUALIZATION INTRAOFFICE ELECTRONIC PCB BOARD
AGGREGATE LINK INTERFACE 4331c EQUALIZATION INTRAOFFICE ELECTRONIC PCB BOARD
 178 22    
Varian 108423-001 Source Analyzer Control Module
Varian 108423-001 Source Analyzer Control Module
 25     
0021-37562 / Plate Bulkhead, 300mm / Applied Materials Amat
0021-37562 / Plate Bulkhead, 300mm / Applied Materials Amat
 395 96    
ESI CKT 83108 REV C 9300 Z VAC INTERLOCK BOARD From ESI 9275 Laser Repair System
ESI CKT 83108 REV C 9300 Z VAC INTERLOCK BOARD From ESI 9275 Laser Repair System
 333     
2PCS DC 3v-6v to 400kV 400000V Boost Step-up Power Module High-voltage Generator
Top-Rated Plus Seller 2PCS DC 3v-6v to 400kV 400000V Boost Step-up Power Module High-voltage Generator
 4 98  4 68    
Novellus 15-108174-00 Aluminum Screw Cover Cup 15-00951-00 SEALED 74-106348-00
Top-Rated Plus Seller Novellus 15-108174-00 Aluminum Screw Cover Cup 15-00951-00 SEALED 74-106348-00
 11 50    
Lot of 2 Nordiko N600600EE PCB Module Board
Lot of 2 Nordiko N600600EE PCB Module Board
 18   
AMAT, 0050-76085, Exhaust Line, New
AMAT, 0050-76085, Exhaust Line, New
 15     
AMAT, 0050-10309, ADAPTER WITH KF25 FLANGE, New, Lot of 2
AMAT, 0050-10309, ADAPTER WITH KF25 FLANGE, New, Lot of 2
 15     
AMAT, 0050-61506, Vacuum Fitting, New
AMAT, 0050-61506, Vacuum Fitting, New
 15     
AMAT, 30FC-503, Exhaust Line, New
AMAT, 30FC-503, Exhaust Line, New
 15     
AMAT, 0050-71471, Vacuum Fitting, New
AMAT, 0050-71471, Vacuum Fitting, New
 15     
Amat 0020-79190 Frame,harmonic Drive
Amat 0020-79190 Frame,harmonic Drive
 25     
ESI HR/VX Vision System Fully Functional
ESI HR/VX Vision System Fully Functional
 1,999     
Rietschle Thomas A2688VEF22 Pneumatic Pump Used Working
Rietschle Thomas A2688VEF22 Pneumatic Pump Used Working
 307 16    
DELTA TAU 3U 24 IN/24 OUT OPTO Interface Board ASSY 603307-101
DELTA TAU 3U 24 IN/24 OUT OPTO Interface Board ASSY 603307-101
 199 90  
Densan Dve-sh7604 (9271) Vme Board
Densan Dve-sh7604 (9271) Vme Board
 2,8     
New Microset/electronic Card/pcb Us-256
New Microset/electronic Card/pcb Us-256
 245   
Automotion - 4009-14-r3e/w, Controller Motor Elbow/wrist Tuned R3e/wwip
Automotion - 4009-14-r3e/w, Controller Motor Elbow/wrist Tuned R3e/wwip
 95     
Fpr-nhsd-20-6 35ugc-pa-apy#a
Fpr-nhsd-20-6 35ugc-pa-apy#a
 697     
20f8586(lot Of 2) / Mx383,llcc Grad Gate 3 Muffin Fan Assmy / Comair
20f8586(lot Of 2) / Mx383,llcc Grad Gate 3 Muffin Fan Assmy / Comair
 95 82    
Reticle Case CBNSS 6" Clear Bottom
Reticle Case CBNSS 6" Clear Bottom
 74     
Amat 0015-77128 Fltr  9oz 3x1/4fnpt 120psig W/ 0021-77275
Amat 0015-77128 Fltr  9oz 3x1/4fnpt 120psig W/ 0021-77275
 45     
Vodmb13cr1be / Vapor On Demand Module / Mks
Vodmb13cr1be / Vapor On Demand Module / Mks
 4,500 68    
? ASML COB Mat No  4022 636 28543 Connector Board ASML COB??Fast DHL Shipping???
? ASML COB Mat No  4022 636 28543 Connector Board ASML COB??Fast DHL Shipping???
 599     
ASM Advanced Semiconductor Materials 02-330608D01 Auto Doors Power Supply New
ASM Advanced Semiconductor Materials 02-330608D01 Auto Doors Power Supply New
 407 18    
Hokuyo Automation Dmsgb1z27 Variable Sensor
Hokuyo Automation Dmsgb1z27 Variable Sensor
 69 98    
APPLIED MATERIALS 0090-00457 Lower Exhaust Sensor NEW
APPLIED MATERIALS 0090-00457 Lower Exhaust Sensor NEW
 388     
AMAT Applied Materials 0020-99521-005 Al Alloy Shield Inner eSIP 300mm Used
AMAT Applied Materials 0020-99521-005 Al Alloy Shield Inner eSIP 300mm Used
 3,005 14    
Leica 301-384 046 Board
Leica 301-384 046 Board
 8     
ASM 232720091 11 D/A Connector Board, PCB, 632720091 , Farmon ID 408868
ASM 232720091 11 D/A Connector Board, PCB, 632720091 , Farmon ID 408868
 35   
Amat 0200-10491 Insulator,pwr Side,alumina,dpa , 2nd New
Amat 0200-10491 Insulator,pwr Side,alumina,dpa , 2nd New
 55     
RECIF Technologies MOBBH0131D Motherboard PCB PCB0131 Nikon NSR Working Spare
RECIF Technologies MOBBH0131D Motherboard PCB PCB0131 Nikon NSR Working Spare
 608 18    
Performance Technologies PT-SBS 915 BOARD
Performance Technologies PT-SBS 915 BOARD
 199 90  
Tektronix Handler Interface Board 671-4033-01 Rev D 671-4033-9930013L
Tektronix Handler Interface Board 671-4033-01 Rev D 671-4033-9930013L
 199 90  
Komatsu 7859-01-2610 Board Ks-2309
Komatsu 7859-01-2610 Board Ks-2309
 199 90  
Motorola Vme 340b Board 7801c Fab Rev A
Motorola Vme 340b Board 7801c Fab Rev A
 199 90  
SBS Technologies CPU Board 85421146 Rev A, Free shipping
SBS Technologies CPU Board 85421146 Rev A, Free shipping
 219 90  
Mykrolis  YY5611280 Chemlock PFA 11" Bowl 1/2" Flaretek drain
Mykrolis YY5611280 Chemlock PFA 11" Bowl 1/2" Flaretek drain
 999     
Enoch Engineering 2900-11443 CollarL-Collar
Enoch Engineering 2900-11443 CollarL-Collar
 285     
Agilent Z4201-20002 PCB Z4401 PC RPIU Used Working
Agilent Z4201-20002 PCB Z4401 PC RPIU Used Working
 459 16    
Pittman 9434K350 Servomotor, 6400-0018-01, 451921
Pittman 9434K350 Servomotor, 6400-0018-01, 451921
 95   
 

2020 版权所有 厦门纪扬科技有限公司所有
首页 | 产品中心 | 服务中心 | 关于我们 | 新闻中心 | 联系我们
-->