< 返回产品中心
备件清单688
产品图片:
型号:1
品牌:进口
应用:工业设备
单价:¥1
产品图片:

产品描述:

KEYENCE FS-V21RP avec Prise
Top-Rated Seller KEYENCE FS-V21RP avec Prise
 19,15 3d 5h
Legrand Kabelmarkierung 38315 " P " 150 Pièces
Top-Rated Seller Legrand Kabelmarkierung 38315 " P " 150 Pièces
 7,04 4d 4h
Legrand Kabelmarkierung 38351 " V " 150 Pièces
Top-Rated Seller Legrand Kabelmarkierung 38351 " V " 150 Pièces
 8,05 6d 9h
Legrand Kabelmarkierung 38337 " H " 170 Pièces
Top-Rated Seller Legrand Kabelmarkierung 38337 " H " 170 Pièces
 7,04 6d 9h
Legrand Kabelmarkierung 38296 " " 275 Pièces
Top-Rated Seller Legrand Kabelmarkierung 38296 " " 275 Pièces
 9,06 8d 13h
Legrand Kabelmarkierung 38347 " R " 175 Pièces
Top-Rated Seller Legrand Kabelmarkierung 38347 " R " 175 Pièces
 8,05 8d 13h
Siemens Simatic 6ES5430-4UA13
Top-Rated Seller Siemens Simatic 6ES5430-4UA13
 7,04  8d 13h
Legrand Kabelmarkierung 38270 " / " 230 Pièces
Top-Rated Seller Legrand Kabelmarkierung 38270 " / " 230 Pièces
 8,05 8d 13h
Schmersal Ifl 4-120-10zpk
Top-Rated Seller Schmersal Ifl 4-120-10zpk
 12,10  10d 11h
Siemens 6ES5 375-1LA21 E-Stand : 01
Top-Rated Seller Siemens 6ES5 375-1LA21 E-Stand : 01
 13,61  12d 9h
Legrand Kabelmarkierung 38323 " X " 260 Pièces
Top-Rated Seller Legrand Kabelmarkierung 38323 " X " 260 Pièces
 9,06 12d 9h
Siemens 6ES7 193-4CA50-0AA0
Top-Rated Seller Siemens 6ES7 193-4CA50-0AA0
 5,95  12d 9h
Pepperl & Fuchs Compt-Totalisat KCM-51-C 24 Vdc
Top-Rated Seller Pepperl & Fuchs Compt-Totalisat KCM-51-C 24 Vdc
 20,16  13d 4h
Legrand Kabelmarkierung 38348 " S " 100 Pièces
Top-Rated Seller Legrand Kabelmarkierung 38348 " S " 100 Pièces
 7,04 14d 4h
Legrand Kabelmarkierung 38316 " Q " 275 Pièces
Top-Rated Seller Legrand Kabelmarkierung 38316 " Q " 275 Pièces
 9,06 5d 1h
Legrand Kabelmarkierung 38296 " " 145 Pièces
Top-Rated Seller Legrand Kabelmarkierung 38296 " " 145 Pièces
 7,04 24d 5h
Legrand Kabelmarkierung 38146 " + " 250 Pièces
Top-Rated Seller Legrand Kabelmarkierung 38146 " + " 250 Pièces
 9,06 29d 4h
Electromatic EO3002PNOP
Top-Rated Seller Electromatic EO3002PNOP
 19,15  4h 45m
Legrand Kabelmarkierung 38346 " Q " 200 Pièces
Top-Rated Seller Legrand Kabelmarkierung 38346 " Q " 200 Pièces
 8,05 2d 5h
Syrelec
Top-Rated Seller Syrelec
 6,96  2d 5h
Legrand Kabelmarkierung 38360 " A " 260 Pièces
Top-Rated Seller Legrand Kabelmarkierung 38360 " A " 260 Pièces
 8,05 3d 5h
PT1000 Capt de Discussion 1/8 Pouces Accessoire H-Tronic TS1000, TSM1000 U.
Top-Rated Seller PT1000 Capt de Discussion 1/8 Pouces Accessoire H-Tronic TS1000, TSM1000 U.
 25,33  11d 5h
Siemens 6ES5376-0AA21 32 KB Eprom
Top-Rated Seller Siemens 6ES5376-0AA21 32 KB Eprom
 9,56  8d 13h
Câble de Capt 2 Pièce Rsmv3-rkt4-3-224/2
Top-Rated Seller Câble de Capt 2 Pièce Rsmv3-rkt4-3-224/2
 19,15 9d 14h
Siemens 6ES7 193-4CD30-0AA0
Top-Rated Seller Siemens 6ES7 193-4CD30-0AA0
 5,95  14d 4h
Induktiver Sensor If5514 Ifk3002-bpog/V4a / US
Top-Rated Seller Induktiver Sensor If5514 Ifk3002-bpog/V4a / US
 12,10  17d 17h
Legrand Kabelmarkierung 38303 " D " 189 Pièces
Top-Rated Seller Legrand Kabelmarkierung 38303 " D " 189 Pièces
 8,05 17d 17h
Siemens Simatic S7 6ES7 132-4BB31-0AB0
Top-Rated Seller Siemens Simatic S7 6ES7 132-4BB31-0AB0
 7,55  1d 2h
Legrand Kabelmarkierung 38335 " Pour " 100 Pièces
Top-Rated Seller Legrand Kabelmarkierung 38335 " Pour " 100 Pièces
 6,04 1d 2h
Wellendichtring 9 Stück Simmerring NAK MS 10415 SC 50 6810
Top-Rated Seller Wellendichtring 9 Stück Simmerring NAK MS 10415 SC 50 6810
 18,16  5d 1h
Legrand Kabelmarkierung 38331 " B " 135 Pièces
Top-Rated Seller Legrand Kabelmarkierung 38331 " B " 135 Pièces
 7,04 5d 1h
Siemens Simatic S5 6ES5 464-8ME11 E-Stand: 5
Top-Rated Seller Siemens Simatic S5 6ES5 464-8ME11 E-Stand: 5
 20,16 5d 4h
Moeller DILM7-01 Contactor 3 pole  schutz  NFP
Top-Rated Seller Moeller DILM7-01 Contactor 3 pole schutz  NFP
 15,70 18d 0h
Bernstein Sensoplus
Top-Rated Seller Bernstein Sensoplus
 29,24 13d 3h
Scame 590.ya 163r Ac21a 30a 690v
Top-Rated Seller Scame 590.ya 163r Ac21a 30a 690v
 28,22 16d 12h
Courroies Trapézoïdales Xpz 837 Lw = Avx 10 x 850 La
Top-Rated Seller Courroies Trapézoïdales Xpz 837 Lw = Avx 10 x 850 La
 6,24  3d 23h
Elsterwerdaer System Technik GmbH E 0.08 Ls / E0.08LS, Transformat
Top-Rated Seller Elsterwerdaer System Technik GmbH E 0.08 Ls / E0.08LS, Transformat
 41,29 7d 13h
Bs2d G, Servomot à Volets D'Aération - comme Neuf
Top-Rated Seller Bs2d G, Servomot à Volets D'Aération - comme Neuf
 41,24 7d 13h
IFM IN5244,In-2003-arkg / Up / 6m / Overp , Capt Inductif - Neuf
Top-Rated Seller IFM IN5244,In-2003-arkg / Up / 6m / Overp , Capt Inductif - Neuf
 42,25 12d 5h
Epa 5003K007,NF-K-7 / Nf K 7/NFK7, Filtre Réseau
Top-Rated Seller Epa 5003K007,NF-K-7 / Nf K 7/NFK7, Filtre Réseau
 35,34 6d 15h
AEG  T 59800 filtro
AEG T 59800 filtro
 11,00 2d 6h
10 PCS Hall Sensor Module for Arduino Module
Top-Rated Seller 10 PCS Hall Sensor Module for Arduino Module
 7,33 26d 17h
TUBE LAITON  -  Ø 37 X 35 mm  -  TOURNAGE / FRAISAGE
Top-Rated Seller TUBE LAITON - Ø 37 X 35 mm - TOURNAGE / FRAISAGE
 3,00  6d 9h
06b-1/11 Pignon de Roue Chaîne 06B-1 11 Dents (3/8 " x7/32) avec Moyeu DIN8187
Top-Rated Seller 06b-1/11 Pignon de Roue Chaîne 06B-1 11 Dents (3/8 " x7/32) avec Moyeu DIN8187
 2,78  21d 9h
Siemens 6ES5430-4UA13 Simatic S5 6ES5 430-4UA13 E :0 4
Top-Rated Seller Siemens 6ES5430-4UA13 Simatic S5 6ES5 430-4UA13 E :0 4
 29,73 17d 22h
Siemens Simatic S5 Sortie Numérique 6ES5 454-7LA11 E-Stand 04
Top-Rated Seller Siemens Simatic S5 Sortie Numérique 6ES5 454-7LA11 E-Stand 04
 20,57 20d 6h
DIY Glass Tubing Cutter Scientific Plastic Pipe Beer Wine Bottle Cutting ToYRDE
Top-Rated Seller DIY Glass Tubing Cutter Scientific Plastic Pipe Beer Wine Bottle Cutting ToYRDE
 4,77 2d 2h
Fusible FERRAZ FR22UD690V100 690AC 100A / # D O1T 1737
Top-Rated Seller Fusible FERRAZ FR22UD690V100 690AC 100A / # D O1T 1737
 12,30  16d 11h
Bande abrasive 250x3020 P100 VSM ALLEMAGNE / # 4 ASA 4769
Top-Rated Seller Bande abrasive 250x3020 P100 VSM ALLEMAGNE / # 4 ASA 4769
 10,76  17d 5h
Bande abrasive 250x3020 P100 VSM ALLEMAGNE / # 4 ASA 5052
Top-Rated Seller Bande abrasive 250x3020 P100 VSM ALLEMAGNE / # 4 ASA 5052
 13,60  17d 5h
Robinet P16 HSS / # G 4XX 8891
Top-Rated Seller Robinet P16 HSS / # G 4XX 8891
 10,79  18d 13h
EMBOUT DISQUE NFTf 100x14 / # G 4XX 3103
Top-Rated Seller EMBOUT DISQUE NFTf 100x14 / # G 4XX 3103
 13,55  18d 13h
Extract Extract / # D M6l 2154
Top-Rated Seller Extract Extract / # D M6l 2154
 12,27  3d 11h
Manchon Levier Scania 1317752 / # 6 M6l 1419
Top-Rated Seller Manchon Levier Scania 1317752 / # 6 M6l 1419
 11,44  6d 13h
Remplissage Caoutchouc Mercedes 0009874865 / # 6 M6l 4931
Top-Rated Seller Remplissage Caoutchouc Mercedes 0009874865 / # 6 M6l 4931
 10,79  6d 13h
Coussin Collection Caoutchouc Land Rover / # 6 M6l 9723
Top-Rated Seller Coussin Collection Caoutchouc Land Rover / # 6 M6l 9723
 10,79  6d 13h
Lot de 100 connects rapides - 3 voies
Top-Rated Seller Lot de 100 connects rapides - 3 voies
 9,60  5d 6h
Legrand Kabelmarkierung 38270 " / " 300 Pièces
Top-Rated Seller Legrand Kabelmarkierung 38270 " / " 300 Pièces
 11,09 1d 2h
MERLIN GERIN Multi 9 C60N
Top-Rated Seller MERLIN GERIN Multi 9 C60N
 10,07 8d 13h
Klöcken MOELLER Interrupt Principal P1-25
Top-Rated Seller Klöcken MOELLER Interrupt Principal P1-25
 15,12 9d 14h
Legrand Kabelmarkierung 38122 " M " 300 Pièces
Top-Rated Seller Legrand Kabelmarkierung 38122 " M " 300 Pièces
 11,09 1d 2h
BTM Scandinavia AB  Schlag punsch TL 3 8 S 25 010PTR 033993
BTM Scandinavia AB Schlag punsch TL 3 8 S 25 010PTR 033993
 8,00 11d 23h
Tucker M 152 052 21/2 ( old stock)
Tucker M 152 052 21/2 ( old stock)
 15,00 27d 0h
Woodville Rubber W1 Nsn 5340 99 523 8696 / Nsn 5935 99 520 7608 ( Old Stock)
Woodville Rubber W1 Nsn 5340 99 523 8696 / Nsn 5935 99 520 7608 ( Old Stock)
 20,00 21d 3h
Vanne Voss / # K M6l 7617
Top-Rated Seller Vanne Voss / # K M6l 7617
 11,85  17d 0h
Connect en T à douille 4 mm / # 8 OTT 2579
Top-Rated Seller Connect en T à douille 4 mm / # 8 OTT 2579
 3,60  28d 2h
bouchon de réservoir / # G 4XX 1828
Top-Rated Seller bouchon de réservoir / # G 4XX 1828
 4,32  17d 11h
Pince 1610 / # 8 4XX 9133
Top-Rated Seller Pince 1610 / # 8 4XX 9133
 10,16  17d 13h
Balai de charbon KZ91P Akapp / # D 4XX 4238
Top-Rated Seller Balai de charbon KZ91P Akapp / # D 4XX 4238
 14,39  18d 14h
La chaîne de transmission BS 10b-2 2 m / # D 4XX 3658
Top-Rated Seller La chaîne de transmission BS 10b-2 2 m / # D 4XX 3658
 24,56  18d 14h
Dissipat L100 TYO 62,2 / # D 4XX 5998
Top-Rated Seller Dissipat L100 TYO 62,2 / # D 4XX 5998
 25,10  18d 14h
DOUILLE DE RETENUE ø12 / 18 L = 19,8 DIN 172 / # 6 ASA 2348
Top-Rated Seller DOUILLE DE RETENUE ø12 / 18 L = 19,8 DIN 172 / # 6 ASA 2348
 15,80  19d 2h
POINÇON COUPE TETE CONIQUE 2,0x70 type D (B) / # 8 ASA 7297
Top-Rated Seller POINÇON COUPE TETE CONIQUE 2,0x70 type D (B) / # 8 ASA 7297
 8,59  20d 4h
Ecrou Inox M6 Din934 A4 Arvid Nilsson 100 / # 4 Asa 1848
Top-Rated Seller Ecrou Inox M6 Din934 A4 Arvid Nilsson 100 / # 4 Asa 1848
 10,78  1d 13h
Matrice Carre Thurmer M7 0.75 Made In Usa / # D M6l 4106
Top-Rated Seller Matrice Carre Thurmer M7 0.75 Made In Usa / # D M6l 4106
 12,34  7d 1h
CAPUCHON PROFIL NOIR 120x120mm 2pcs / # D ASA 2175
Top-Rated Seller CAPUCHON PROFIL NOIR 120x120mm 2pcs / # D ASA 2175
 8,27  8d 11h
Goupille dentée Cat J460 système 8E0468 SkanCraft / # D U54 9797
Top-Rated Seller Goupille dentée Cat J460 système 8E0468 SkanCraft / # D U54 9797
 10,66  8d 15h
Adaptat de dent BOFORS 4046816 SkanCraft / # D U54 3274
Top-Rated Seller Adaptat de dent BOFORS 4046816 SkanCraft / # D U54 3274
 14,08  8d 15h
Manchon Conique Optibelt 2012 1" Bush Conique / # 8 X2x 4345
Top-Rated Seller Manchon Conique Optibelt 2012 1" Bush Conique / # 8 X2x 4345
 10,79  12d 7h
Telemecanique Schneider Lb1lb03p03 Integral 18
Telemecanique Schneider Lb1lb03p03 Integral 18
 10,00 11d 2h
Telemecanique Schneider Lb1lb03p04 Integral 18
Telemecanique Schneider Lb1lb03p04 Integral 18
 10,00 11d 2h
Ifm E21103  NFP
Top-Rated Seller Ifm E21103  NFP
 20,95 15d 1h
Siemens 6ES7 193-4CC20-0AA0 Terminal Module Scellé
Top-Rated Seller Siemens 6ES7 193-4CC20-0AA0 Terminal Module Scellé
 19,16 13d 14h
Siemens 6ES7 193-4CD20-0AA0 Terminal Module Scellé
Top-Rated Seller Siemens 6ES7 193-4CD20-0AA0 Terminal Module Scellé
 19,16 13d 14h
Siemens 6ES5 490-8MB11 Enlevez
Top-Rated Seller Siemens 6ES5 490-8MB11 Enlevez
 20,16 14d 5h
Siemens 6ES5 700-8MA11 Bus Module
Top-Rated Seller Siemens 6ES5 700-8MA11 Bus Module
 20,16 15d 12h
Siemens 6ES7 193-1FL60-0XA0 Borne Supplémentaire
Top-Rated Seller Siemens 6ES7 193-1FL60-0XA0 Borne Supplémentaire
 20,16 19d 5h
Gec Marconi 5935 99 787 3484 Nwe11b 2072 5935997873484 ( Old Stock)
Gec Marconi 5935 99 787 3484 Nwe11b 2072 5935997873484 ( Old Stock)
 2,00 29d 3h
BIMEX Ø5 M6 L=12 CPK Cylindrical stick Zylindrischer Stab price for 6 psc.
BIMEX Ø5 M6 L=12 CPK Cylindrical stick Zylindrischer Stab price for 6 psc.
 2,00 18d 22h
SANKYO SOBF 2015 121LAW 17514 Guide Bush ( old stock)
SANKYO SOBF 2015 121LAW 17514 Guide Bush ( old stock)
 5,00 3d 2h
Rexroth 368 28 100 96W34 368 28 1000 1 Cylinder bracket Zylinderhalterung
Rexroth 368 28 100 96W34 368 28 1000 1 Cylinder bracket Zylinderhalterung
 17,00 4d 5h
Eaton NZM3 4 XKSA Cover 4 poles for screw terminal
Eaton NZM3 4 XKSA Cover 4 poles for screw terminal
 8,00 14d 5h
Gas Valve With Lever (Yellow) 22mm PN16 MOP5 0415
Gas Valve With Lever (Yellow) 22mm PN16 MOP5 0415
 5,00 6d 5h
SA Equipment SLAM HORNET MAGNETIC BRACKET SHF100 PRC 2499
SA Equipment SLAM HORNET MAGNETIC BRACKET SHF100 PRC 2499
 9,00 20d 4h
95240029627 pad Polster dyna ( old stock)
95240029627 pad Polster dyna ( old stock)
 4,00 27d 2h
Parker 39282 4 4B Swivel DKR DN06 9508379 8520125 ( old stock)
Parker 39282 4 4B Swivel DKR DN06 9508379 8520125 ( old stock)
 4,00 12d 4h
PAINTON 0568 5935 99 911 6425 CONNECTOR ( old stock)
PAINTON 0568 5935 99 911 6425 CONNECTOR ( old stock)
 4,00 19d 4h
PYE MRAC 34 P CONNECTOR FIXED ELECTRIC Rectangular 34 way ( old stock)
PYE MRAC 34 P CONNECTOR FIXED ELECTRIC Rectangular 34 way ( old stock)
 5,00 4d 3h
PYE MRAC 34 P CONNECTOR BODY Rectangular 34 way MRAC 34P JTC2 HD ( old stock)
PYE MRAC 34 P CONNECTOR BODY Rectangular 34 way MRAC 34P JTC2 HD ( old stock)
 5,00 5d 0h
PAINTON 5935 99 580 2874 CONNECTOR ( old stock)
PAINTON 5935 99 580 2874 CONNECTOR ( old stock)
 4,00 5d 2h
PAINTON 0568 5935 99 911 6425 CONNECTOR ( old stock)
PAINTON 0568 5935 99 911 6425 CONNECTOR ( old stock)
 4,00 5d 3h
ZEN 4205 2RS GL Ball Bearing Kugellager
ZEN 4205 2RS GL Ball Bearing Kugellager
 4,00 11d 4h
06324 Glenair 660 003M18 0444 protective cover
06324 Glenair 660 003M18 0444 protective cover
 5,00 4d 23h
Abtus Nsn 0581 523 8010 Asy3249 8 ( Old Stock)
Abtus Nsn 0581 523 8010 Asy3249 8 ( Old Stock)
 25,00 17d 4h
Amphenol 5935 99 654 6344 3918250 nsn6546344 9507 PCL 88 17 PCL8817
Amphenol 5935 99 654 6344 3918250 nsn6546344 9507 PCL 88 17 PCL8817
 4,00 18d 3h
Amphenol 5935 99 654 6382 PCL 88 36 PCL8836 CONNECTOR 508137457390
Amphenol 5935 99 654 6382 PCL 88 36 PCL8836 CONNECTOR 508137457390
 4,00 18d 4h
Interrupt à cames 0-1 4P 16A dans boîtier / # 8 AU1 9454
Top-Rated Seller Interrupt à cames 0-1 4P 16A dans boîtier / # 8 AU1 9454
 15,10  13d 8h
Siemens 6ES5491-0LB11  NFP
Top-Rated Seller Siemens 6ES5491-0LB11  NFP
 10,45 15d 0h
PARKER 9126 3443 61 sensor détect
PARKER 9126 3443 61 sensor détect
 8,00 11d 2h
PARKER 9126 3443 45 sensor détect
PARKER 9126 3443 45 sensor détect
 8,00 11d 2h
Levier D-1 pour interrupt WK 5Dm / # 4 KXK 5240
Top-Rated Seller Levier D-1 pour interrupt WK 5Dm / # 4 KXK 5240
 12,89  3d 3h
BALLUFF BES516-543-S4-H sensor
BALLUFF BES516-543-S4-H sensor
 8,00 11d 2h
Pilz Pze 9 24VDC 8n/O 1n/C
Top-Rated Seller Pilz Pze 9 24VDC 8n/O 1n/C
 154,29 2d 7h
D3 System 21158 Valve
D3 System 21158 Valve
 20,00 9d 0h
Festo STA 50 25 PA SA 184831 SERIE F308 10BAR CYLINDER STOPPER ( OLD STOCK)
Festo STA 50 25 PA SA 184831 SERIE F308 10BAR CYLINDER STOPPER ( OLD STOCK)
 25,00 2d 0h
Rexroth 523 400 342 2 CYLINDER BRACKET Zylinderhalterung ( old stock)
Rexroth 523 400 342 2 CYLINDER BRACKET Zylinderhalterung ( old stock)
 15,00 4d 3h
Tucker M078 416 bellows Balg ( old stock)
Tucker M078 416 bellows Balg ( old stock)
 15,00 27d 3h
Jung MAA1122 USB 2.0  with supporting frame screw fixing only IVORY
Jung MAA1122 USB 2.0 with supporting frame screw fixing only IVORY
 20,80 7d 0h
Woodville Rubber Nsn 5340 99 520 7607 Nsn 5340 99 523 8696 W1  ( Old Stock)
Woodville Rubber Nsn 5340 99 520 7607 Nsn 5340 99 523 8696 W1 ( Old Stock)
 20,00 22d 4h
AB connectors ABM9246 0151342 C2562 16 04FCC0 SOCKET MK18 BS 9522 F0020
AB connectors ABM9246 0151342 C2562 16 04FCC0 SOCKET MK18 BS 9522 F0020
 25,00 5d 0h
PT1000 Capt de Discussion 1/8Zoll Modèles de Rechange, Accessoire H-Tronic Ts
Top-Rated Seller PT1000 Capt de Discussion 1/8Zoll Modèles de Rechange, Accessoire H-Tronic Ts
 25,33  3d 6h
Ocme suction cup 677134 ( old sock)
Ocme suction cup 677134 ( old sock)
 9,00 17d 1h
WANDRES 40 78 010 part
WANDRES 40 78 010 part
 5,00 21d 4h
AMPHENOL 07418 M85049 39 9W 0104 Cable Clamp
AMPHENOL 07418 M85049 39 9W 0104 Cable Clamp
 4,00 3d 4h
Transradio connector 8849TRL93637B ( old stock)
Transradio connector 8849TRL93637B ( old stock)
 3,00 2d 22h
SANKYO SOB 203016 Guide Bush 52LA 14389 ( old stock)
SANKYO SOB 203016 Guide Bush 52LA 14389 ( old stock)
 5,00 3d 2h
Rexroth 368 28 100 96W34 368 28 1000 1 Cylinder bracket Zylinderhalterung
Rexroth 368 28 100 96W34 368 28 1000 1 Cylinder bracket Zylinderhalterung
 17,00 4d 5h
Rexroth 368 130 400 0 03W23 SHAFT BATCH 13/40
Rexroth 368 130 400 0 03W23 SHAFT BATCH 13/40
 5,00 8d 0h
SANKYO Guide bush SOB 162260 ( old stock)
SANKYO Guide bush SOB 162260 ( old stock)
 5,00 9d 0h
SKL CAP301UN 330VAC 40-50µ -40/+65°C 50/60HZ
SKL CAP301UN 330VAC 40-50µ -40/+65°C 50/60HZ
 4,00 5d 5h
Ance Nom 117
Ance Nom 117
 5,00 3d 1h
SCANIA INDUSTRIAL MAINTENANCE AB VR 432067 D 15,8 L 28 ( old stock)
SCANIA INDUSTRIAL MAINTENANCE AB VR 432067 D 15,8 L 28 ( old stock)
 5,00 8d 0h
308226 001 295x89x10mm EPDM SILICONE flat packing rubber 6mm 84161
308226 001 295x89x10mm EPDM SILICONE flat packing rubber 6mm 84161
 5,00 3d 0h
Dürr E09520003 E523 5POL 90° MALE Connection cable 2M E0906005 ( old stock)
Dürr E09520003 E523 5POL 90° MALE Connection cable 2M E0906005 ( old stock)
 8,00 15d 4h
TAWI 30701 RUBBER SEAL ( old stock)
TAWI 30701 RUBBER SEAL ( old stock)
 5,00 28d 0h
PAINTON 5935 99 072 8228 CONNECTOR ( old stock)
PAINTON 5935 99 072 8228 CONNECTOR ( old stock)
 5,00 4d 4h
Amphenol UG 646/U 74868 49192 Connector Adapter Anschlussadapter
Amphenol UG 646/U 74868 49192 Connector Adapter Anschlussadapter
 5,00 6d 0h
GREENPAR 954 4765 CONNECTOR 5935 99 954 4765 1.42p. 92-20
GREENPAR 954 4765 CONNECTOR 5935 99 954 4765 1.42p. 92-20
 5,00 4d 22h
AMPHENOL 57 40240 8427 Connector
AMPHENOL 57 40240 8427 Connector
 4,00 5d 0h
PAINTON 5935 99 911 6425 CONNECTOR ( old stock)
PAINTON 5935 99 911 6425 CONNECTOR ( old stock)
 4,00 5d 1h
Bv928 Connector 0568 5417214 ( Old Stock)
Bv928 Connector 0568 5417214 ( Old Stock)
 5,00 6d 0h
PAINTON 5935 99 972 8234 CONNECTOR ( old stock)
PAINTON 5935 99 972 8234 CONNECTOR ( old stock)
 4,00 1h 27m
Alco Mte 206n On/on 5a 125v 3a 250v 8707
Alco Mte 206n On/on 5a 125v 3a 250v 8707
 4,00 24d 4h
Amphenol 97 60 20P COVER ELECTRICAL PLUG ( OLD STOCK)
Amphenol 97 60 20P COVER ELECTRICAL PLUG ( OLD STOCK)
 5,00 25d 22h
PYE MRAC 50 P CONNECTOR BODY Rectangular 50 way MRAC 50P J2 IA00 ( old stock)
PYE MRAC 50 P CONNECTOR BODY Rectangular 50 way MRAC 50P J2 IA00 ( old stock)
 4,00 2d 4h
Itt Cannon Gold Inlay 0568 5935 99 194 5534 Socket Electrical 100335 9000 031
Itt Cannon Gold Inlay 0568 5935 99 194 5534 Socket Electrical 100335 9000 031
 4,00 3d 3h
SUPO BCAH wheel Rad 122kg ( old stock)
SUPO BCAH wheel Rad 122kg ( old stock)
 7,00 7d 0h
Schmersal Actuator AZ 17 170 B5 101122895
Schmersal Actuator AZ 17 170 B5 101122895
 4,00 3d 0h
Kuterlite Pro 1254 2 35mm ( Old Stock)
Kuterlite Pro 1254 2 35mm ( Old Stock)
 9,00 12d 3h
Kuterlite Pro 1254 2 42mm ( Old Stock)
Kuterlite Pro 1254 2 42mm ( Old Stock)
 9,00 12d 3h
EATON M22 D Y 216598 Pushbutton YELLOW  OLD STOCK
EATON M22 D Y 216598 Pushbutton YELLOW  OLD STOCK
 4,00 22d 23h
Flowlex En 1254 2 42mm ( Old Stock)
Flowlex En 1254 2 42mm ( Old Stock)
 9,00 27d 4h
Mueller Refrigeration / Vanne # K R8B 9783
Top-Rated Seller Mueller Refrigeration / Vanne # K R8B 9783
 16,50  17d 1h
2x disjonct Rittal SZ 2586 & Fanal PS 05 / # 9 MC1 3168
Top-Rated Seller 2x disjonct Rittal SZ 2586 & Fanal PS 05 / # 9 MC1 3168
 16,46  16d 14h
Automatisation des fluides 05-213S-01017 / # 11 L7B 9325
Top-Rated Seller Automatisation des fluides 05-213S-01017 / # 11 L7B 9325
 17,95  29d 0h
Solénoïde 33690092 / # 11 L7B 2030
Top-Rated Seller Solénoïde 33690092 / # 11 L7B 2030
 18,64  29d 3h
Servomot pneumatique BOSCH 0822010022 / # 9 RT1 1381
Top-Rated Seller Servomot pneumatique BOSCH 0822010022 / # 9 RT1 1381
 12,23  12d 13h
Bras de cylindre à rouleaux Festo 4936 AR-01 / # 8 OTT 9453
Top-Rated Seller Bras de cylindre à rouleaux Festo 4936 AR-01 / # 8 OTT 9453
 12,95  19d 10h
Vanne Festo P-3-M5-s / # 8 4XX 7131
Top-Rated Seller Vanne Festo P-3-M5-s / # 8 4XX 7131
 12,89  23d 13h
Bobine FESTO MSFG-24 / # 8 4XX 1813
Top-Rated Seller Bobine FESTO MSFG-24 / # 8 4XX 1813
 12,95  23d 13h
Vanne Festo VS-3-1 / 8 / # 8 4XX 1270
Top-Rated Seller Vanne Festo VS-3-1 / 8 / # 8 4XX 1270
 12,91  23d 14h
Capt Photoélectrique Datalogique S3-r-a2.5 / # D K9k 7860
Top-Rated Seller Capt Photoélectrique Datalogique S3-r-a2.5 / # D K9k 7860
 18,61  27d 3h
Vanne Martonair M637 / # 4 4XX 2777
Top-Rated Seller Vanne Martonair M637 / # 4 4XX 2777
 18,67  27d 6h
Vanne de frein à main Wabco / # 4 4XX 0600
Top-Rated Seller Vanne de frein à main Wabco / # 4 4XX 0600
 19,46  27d 6h
Vanne Martonair M / 20134/122 / # 4 4xx 6416
Top-Rated Seller Vanne Martonair M / 20134/122 / # 4 4xx 6416
 16,55  27d 6h
Vanne Martonair M / 1702/63 / # 4 4xx 6114
Top-Rated Seller Vanne Martonair M / 1702/63 / # 4 4xx 6114
 16,94  27d 6h
Capts inductifs Omron E2EL-X4MF1 / # 4 PX3 9925
Top-Rated Seller Capts inductifs Omron E2EL-X4MF1 / # 4 PX3 9925
 12,95  27d 14h
Capt Vp11vah3anz / # 6 K9k 2389
Top-Rated Seller Capt Vp11vah3anz / # 6 K9k 2389
 19,41  27d 14h
CAPT électronique INHE 5214 / # 6 K9K 6803
Top-Rated Seller CAPT électronique INHE 5214 / # 6 K9K 6803
 20,09  27d 14h
Thermostat JO ELEKTRONIK ETC-53-1959 -39,9- + 99,9 / # 8 PX3 7044
Top-Rated Seller Thermostat JO ELEKTRONIK ETC-53-1959 -39,9- + 99,9 / # 8 PX3 7044
 12,92  7d 12h
CONNECT HD fiø12mm INDUSTRIEL G3 / 8 LAITON / # D ASA 7594
Top-Rated Seller CONNECT HD fiø12mm INDUSTRIEL G3 / 8 LAITON / # D ASA 7594
 12,21  11d 4h
TUBE OSRAM DULUX-T GX24d-2 18W 840 / # 4 ASA 7609
Top-Rated Seller TUBE OSRAM DULUX-T GX24d-2 18W 840 / # 4 ASA 7609
 6,45  11d 7h
Tête de fin de course ZC2JE09 / # 4 4XX 1217
Top-Rated Seller Tête de fin de course ZC2JE09 / # 4 4XX 1217
 12,71  11d 10h
Coude 90° Raccord Inoxydable Pour Tuyau 3/8 / # 6 Asa 0273
Top-Rated Seller Coude 90° Raccord Inoxydable Pour Tuyau 3/8 / # 6 Asa 0273
 18,58  17d 2h
POINÇON DE COUPE 3,5x71 AVEC CONTRE-TETE type D (H) / # 8 ASA 6661
Top-Rated Seller POINÇON DE COUPE 3,5x71 AVEC CONTRE-TETE type D (H) / # 8 ASA 6661
 11,51  20d 4h
Bouton rouge fi 30 Polonais 2.5A / # 4 L_S 9753
Top-Rated Seller Bouton rouge fi 30 Polonais 2.5A / # 4 L_S 9753
 12,22  29d 9h
Robinet Vanne Vanne Klh / # G M6l 5405
Top-Rated Seller Robinet Vanne Vanne Klh / # G M6l 5405
 12,92  8h 23m
Filtre D'habitacle Lion Citaro Axor Urbino 84779100002 / # 4 M6l 4472
Top-Rated Seller Filtre D'habitacle Lion Citaro Axor Urbino 84779100002 / # 4 M6l 4472
 16,50  1d 6h
Bouclier En Verre En Verre En Verre Boussole / # 4 M6l 6920
Top-Rated Seller Bouclier En Verre En Verre En Verre Boussole / # 4 M6l 6920
 5,75  1d 7h
Filtre Pompe Norgern 4344-01 F74c / # 4 M6l 8138
Top-Rated Seller Filtre Pompe Norgern 4344-01 F74c / # 4 M6l 8138
 19,47  1d 7h
Element Filtre A Essence Peugeot 1906.50 Oem / # 4 M6l 6289
Top-Rated Seller Element Filtre A Essence Peugeot 1906.50 Oem / # 4 M6l 6289
 6,47  1d 8h
Harnais Harnais Ceinture De Sécurité / # 1 M6l 6288
Top-Rated Seller Harnais Harnais Ceinture De Sécurité / # 1 M6l 6288
 16,53  3d 1h
Support Pour Pare-chocs Vw T4 Golf Polo Passat Oem / # G M6l 2654
Top-Rated Seller Support Pour Pare-chocs Vw T4 Golf Polo Passat Oem / # G M6l 2654
 6,47  2d 22h
Amortisss Métal Et Caoutchouc 4 Pcs / # 1 W2d 3871
Top-Rated Seller Amortisss Métal Et Caoutchouc 4 Pcs / # 1 W2d 3871
 6,49  2d 22h
Tuyau Condens, Climatis 711947 Manitou 10160l / # G M6l 5278
Top-Rated Seller Tuyau Condens, Climatis 711947 Manitou 10160l / # G M6l 5278
 16,45  3d 4h
Bougie D'allumage Beru Ultra 0001335707 14-7cu / # 4 M6l 9531
Top-Rated Seller Bougie D'allumage Beru Ultra 0001335707 14-7cu / # 4 M6l 9531
 6,43  6d 4h
Jauge à baïonnette PEUGEOT 306 406 11740 / # 6 M6L 4092
Top-Rated Seller Jauge à baïonnette PEUGEOT 306 406 11740 / # 6 M6L 4092
 17,26  7d 15h
Borne à anneau isolée KOI 120/10 / # 1 AU1 7179
Top-Rated Seller Borne à anneau isolée KOI 120/10 / # 1 AU1 7179
 5,75  9d 3h
Vanne Festo / # 3 4XX 7789
Top-Rated Seller Vanne Festo / # 3 4XX 7789
 18,65  13d 11h
Circuit Breaker 3RV2011-0GA10 Siemens 0.45-0.63A 3RV20110GA10 **
Circuit Breaker 3RV2011-0GA10 Siemens 0.45-0.63A 3RV20110GA10 **
 29,19 10h 57m
Valise Outil Poitrine Boîte ressort Chargé Basculer Loquet Capture 110mm 2pcs
Top-Rated Seller Valise Outil Poitrine Boîte ressort Chargé Basculer Loquet Capture 110mm 2pcs
 8,99  1d 22h
Legrand Kabelmarkierung 38235 " 5 " 800 Pièces
Top-Rated Seller Legrand Kabelmarkierung 38235 " 5 " 800 Pièces
 29,23 8d 13h
Legrand Kabelmarkierung 38238 " 8 " 800 Pièces
Top-Rated Seller Legrand Kabelmarkierung 38238 " 8 " 800 Pièces
 29,23 4h 43m
Legrand Kabelmarkierung 38236 " 6 " 800 Pièces
Top-Rated Seller Legrand Kabelmarkierung 38236 " 6 " 800 Pièces
 29,23 3d 5h
Legrand Kabelmarkierung 38107 " 7 " 850 Pièces
Top-Rated Seller Legrand Kabelmarkierung 38107 " 7 " 850 Pièces
 27,22 10d 11h
Lampe à éclairs  15 joules IP54 AE&T AB
Top-Rated Seller Lampe à éclairs 15 joules IP54 AE&T AB
 40,00  3d 5h
D3 System 21158 Valve
D3 System 21158 Valve
 20,00 9d 2h
Tucker M156 917 CONNECTION PLATE
Tucker M156 917 CONNECTION PLATE
 20,00 17d 1h
AB connectors ABM1109 9720725 0150781 Connector fixed electric
AB connectors ABM1109 9720725 0150781 Connector fixed electric
 18,00 5d 23h
AB connectors ABM1109 9720725 0150781 Connector fixed electric
AB connectors ABM1109 9720725 0150781 Connector fixed electric
 18,00 6d 0h
AB connectors ABM1109 9720725 0150781 Connector fixed electric
AB connectors ABM1109 9720725 0150781 Connector fixed electric
 18,00 8d 3h
PT1000 Capt de Discussion 1/8 Pouces Que Accessoire H-Tronic TS1000, TSM1000
Top-Rated Seller PT1000 Capt de Discussion 1/8 Pouces Que Accessoire H-Tronic TS1000, TSM1000
 25,33  11d 5h
POINÇON DE COUPE 4.5x60 AVEC TETE CONIQUE type D (B) / # 8 ASA 9033
Top-Rated Seller POINÇON DE COUPE 4.5x60 AVEC TETE CONIQUE type D (B) / # 8 ASA 9033
 11,51  20d 5h
Rexroth 523 500 342 2 CYLINDER BRACKET Zylinderhalterung ( old stock)
Rexroth 523 500 342 2 CYLINDER BRACKET Zylinderhalterung ( old stock)
 15,00 4d 3h
Rexroth Mecman Pneumatik valve 581 253 000 0 00W50 typ 3 ( old stock)
Rexroth Mecman Pneumatik valve 581 253 000 0 00W50 typ 3 ( old stock)
 27,00 12d 3h
Shinjo 9690506051 M6 50MM HK6 50L ( old stock)
Shinjo 9690506051 M6 50MM HK6 50L ( old stock)
 24,00 29d 4h
Weald Electronics 5935991148039 Connector Plug Weq0607 Lmg/1/07225/225
Weald Electronics 5935991148039 Connector Plug Weq0607 Lmg/1/07225/225
 10,00 26d 1h
INA BK 2220 22x28x20 ( old stock)
INA BK 2220 22x28x20 ( old stock)
 15,00 29d 1h
BUNN Graphics overlay kit MHG Bunn part 39980 0000 0081044069  old stock
BUNN Graphics overlay kit MHG Bunn part 39980 0000 0081044069  old stock
 15,00 2d 4h
COMBISTOP 28 05 BRAKE PADS Bremsbeläge ( old stock)
COMBISTOP 28 05 BRAKE PADS Bremsbeläge ( old stock)
 15,00 8d 0h
EFTEC 6014339 135374 02 01 Control protocol cavity waxing nozzles  old stock
EFTEC 6014339 135374 02 01 Control protocol cavity waxing nozzles  old stock
 15,00 22d 3h
EFTEC 60143901 137404 02 02 Control protocol cavity waxing nozzles  old stock
EFTEC 60143901 137404 02 02 Control protocol cavity waxing nozzles  old stock
 15,00 24d 2h
EFTEC 60143901 135374 02 02 Control protocol cavity waxing nozzles  old stock
EFTEC 60143901 135374 02 02 Control protocol cavity waxing nozzles  old stock
 15,00 27d 4h
SKF LBCR 16 A 2LS Linear Ball Bearing 16X26X36MM
SKF LBCR 16 A 2LS Linear Ball Bearing 16X26X36MM
 17,00 6d 21h
Weald Electronics Weq 94 22 Connector Plug Lmf 1 40415 320 Lmf 3 1 Iss4
Weald Electronics Weq 94 22 Connector Plug Lmf 1 40415 320 Lmf 3 1 Iss4
 14,00 4d 1h
SMC JA40 12 125 Floating Joint M12X1.25 ( OLD STOCK)
SMC JA40 12 125 Floating Joint M12X1.25 ( OLD STOCK)
 25,00 11d 2h
Plessey 015 0999 PLS 74 23 CONNECTOR 5935 99 015 0999 PLS7423  OLD STOCK
Plessey 015 0999 PLS 74 23 CONNECTOR 5935 99 015 0999 PLS7423  OLD STOCK
 15,00 26d 1h
Plessey  5935 99 013 0915 PLS74 42 PLS7442 PLS 74 42  OLD STOCK
Plessey 5935 99 013 0915 PLS74 42 PLS7442 PLS 74 42  OLD STOCK
 15,00 26d 1h
Plessey 5935 99 013 0915 PLS 72 09 CONNECTOR PLS7209 PLS 72 09  OLD STOCK
Plessey 5935 99 013 0915 PLS 72 09 CONNECTOR PLS7209 PLS 72 09  OLD STOCK
 15,00 26d 1h
Plessey 5935 99 013 0595 PLS74 46 CONNECTOR PLS7446  OLD STOCK
Plessey 5935 99 013 0595 PLS74 46 CONNECTOR PLS7446  OLD STOCK
 15,00 26d 2h
Tucker M069 316 NOSDEL Mundstück M 069 316 9/5 090605 ( od stock)
Tucker M069 316 NOSDEL Mundstück M 069 316 9/5 090605 ( od stock)
 12,00 9d 2h
FESTO 177673 177674 KMPV SUB D 15 5 Plug Socket With Cable
FESTO 177673 177674 KMPV SUB D 15 5 Plug Socket With Cable
 28,00 7d 4h
Quick Response 5mm Anti-Interference Proximity Switch Proximity Sensor 3-Wire DC
Top-Rated Seller Quick Response 5mm Anti-Interference Proximity Switch Proximity Sensor 3-Wire DC
 5,56 11d 23h
Relais frein mot BI9023 MINISTOP  DOLD & SOEHNE
Top-Rated Seller Relais frein mot BI9023 MINISTOP DOLD & SOEHNE
 90,00  23d 0h
Optibelt Conique Verrouiller Bush 3030-70 Douille de Serrage Métrique Perçage
Top-Rated Seller Optibelt Conique Verrouiller Bush 3030-70 Douille de Serrage Métrique Perçage
 26,46  2h 49m
Siemens Simatic S5 Connexion 6ES5 306-7LA11 Connexion Dans 306 E-Stand
Top-Rated Seller Siemens Simatic S5 Connexion 6ES5 306-7LA11 Connexion Dans 306 E-Stand
 12,86 4d 9h
Murr Électronique Motorentstörmodul 23006 Varistor RC 575 V AC Suppression
Top-Rated Seller Murr Électronique Motorentstörmodul 23006 Varistor RC 575 V AC Suppression
 12,86 24d 6h
Siemens Simatic S5 Sortie Numérique 6ES5441-7LA11 Module E-Stand 7
Top-Rated Seller Siemens Simatic S5 Sortie Numérique 6ES5441-7LA11 Module E-Stand 7
 12,86 20d 6h
Solénoïde FESTO MFH-5- / 2-D-1-FR-C + bobine / #G O1T 4769
Top-Rated Seller Solénoïde FESTO MFH-5- / 2-D-1-FR-C + bobine / #G O1T 4769
 32,94  22d 3h
CLAPET ANTI-RETOUR CONTROLE PAR PILOTE REXROTH 3000L/min / # 4 ASA 9797
Top-Rated Seller CLAPET ANTI-RETOUR CONTROLE PAR PILOTE REXROTH 3000L/min / # 4 ASA 9797
 22,92  27d 8h
Interrupt Militaire Imperméable Astable / # 4 W2d 7126
Top-Rated Seller Interrupt Militaire Imperméable Astable / # 4 W2d 7126
 8,59  27d 6h
IFM IG5712 sensor
IFM IG5712 sensor
 8,00 16d 1h
IFM IO 9203 carré de 26*26 sensor détect
IFM IO 9203 carré de 26*26 sensor détect
 8,00 11d 2h
Legrand Kabelmarkierung 38339 " J " 200 Pièces
Top-Rated Seller Legrand Kabelmarkierung 38339 " J " 200 Pièces
 10,07 22d 12h
Klöckner Möller Déclench à Tension Basse U380V 50Hz-PKZM1
Top-Rated Seller Klöckner Möller Déclench à Tension Basse U380V 50Hz-PKZM1
 14,06 23d 9h
Escha 8008765 abfrageset 24V=82E50-071-2 Sicatron
Escha 8008765 abfrageset 24V=82E50-071-2 Sicatron
 10,00 19d 23h
AB connectors ABM0349 0150636 connector
AB connectors ABM0349 0150636 connector
 10,00 5d 23h
AB connectors ABM0349 0150636 connector
AB connectors ABM0349 0150636 connector
 10,00 7d 23h
Weald Electronics Weq0641 Lmg 1 07209 221 Socket Elec Free Male 5935 99 013 1543
Weald Electronics Weq0641 Lmg 1 07209 221 Socket Elec Free Male 5935 99 013 1543
 10,00 4d 22h
EATON M22 DDL WS 216704 Double pushbutton white black  OLD STOCK
EATON M22 DDL WS 216704 Double pushbutton white black  OLD STOCK
 8,00 22d 23h
IFM IO 5016 carré de 26*26 sensor détect
IFM IO 5016 carré de 26*26 sensor détect
 8,00 11d 2h
Belling Lee BLL0004 9003 AJCAON 9003 PANEL JACK 50OHM L 04 0003 BS 9210 N0004
Belling Lee BLL0004 9003 AJCAON 9003 PANEL JACK 50OHM L 04 0003 BS 9210 N0004
 3,00 9d 0h
Bulgin 0581 Light Indicator 6210 99 012 0904 ( Old Stock)
Bulgin 0581 Light Indicator 6210 99 012 0904 ( Old Stock)
 3,00 9d 4h
EML LTD MRAC 26 S CONNECTOR PLUG 5935 99 948 9867 ( old stock)
EML LTD MRAC 26 S CONNECTOR PLUG 5935 99 948 9867 ( old stock)
 3,00 17d 0h
UECL MCM9420 6P55660YY16D CONNECTOR PLUG 0564 5935 99 659 7579 ( old stock)
UECL MCM9420 6P55660YY16D CONNECTOR PLUG 0564 5935 99 659 7579 ( old stock)
 3,00 17d 1h
Greenpar 520 3242 Adaptor C 48 79 ( Old Stock)
Greenpar 520 3242 Adaptor C 48 79 ( Old Stock)
 3,00 19d 3h
Transradio 7721 TRL 50Ω connector C 4 5 CH B 15383 5935 99 999 6236 old stock
Transradio 7721 TRL 50Ω connector C 4 5 CH B 15383 5935 99 999 6236 old stock
 3,00 23d 22h
Anti-inverse Commande Numérique Pour Ordinat D'interface Contrôl Pas Lop
Top-Rated Seller Anti-inverse Commande Numérique Pour Ordinat D'interface Contrôl Pas Lop
 7,65 20d 22h
Siemens 6es5451-7la11 Simatic S5 451 Do, F. S5-115u/f Plc 32 Outputs, E: 06
Top-Rated Seller Siemens 6es5451-7la11 Simatic S5 451 Do, F. S5-115u/f Plc 32 Outputs, E: 06
 23,60 29d 5h
SIEMENS 6ES5430-7LA12 SIMATIC S5, DI430 Isolated for S5-115U/F 32 inputs, E: 04
Top-Rated Seller SIEMENS 6ES5430-7LA12 SIMATIC S5, DI430 Isolated for S5-115U/F 32 inputs, E: 04
 23,60 29d 5h
SMC SV2100-5FU Solenoid Valve, 5-Port, 0,15-0,7Mpa | ID0609 | PLC2DAY
Top-Rated Seller SMC SV2100-5FU Solenoid Valve, 5-Port, 0,15-0,7Mpa | ID0609 | PLC2DAY
 23,60 29d 7h
FESTO Steckdosenleitung Type Kmf-1-24dc-2,5-led 30935 Scellé, Neuf
FESTO Steckdosenleitung Type Kmf-1-24dc-2,5-led 30935 Scellé, Neuf
 10,90 25d 4h
WANDRES 5460351 Abdeckplatte mit Membran übrig Cover plate with membrane left
WANDRES 5460351 Abdeckplatte mit Membran übrig Cover plate with membrane left
 5,00 21d 22h
07418 Glenair M85049 69 15A 1015
07418 Glenair M85049 69 15A 1015
 6,00 8d 4h
Siemens 8WD4 300-1AD Dauerlichtelement
Top-Rated Seller Siemens 8WD4 300-1AD Dauerlichtelement
 8,05  6d 9h
OmRon E3S-5 LB41
Top-Rated Seller OmRon E3S-5 LB41
 18,65 3d 5h
Phoenix Contact IB IL 24 DO 2726256
Top-Rated Seller Phoenix Contact IB IL 24 DO 2726256
 8,05 17d 17h
MRC 306S Roulement Ouvert 306-S 6306 C3 6306C3 30x72x19 MM USA
Top-Rated Seller MRC 306S Roulement Ouvert 306-S 6306 C3 6306C3 30x72x19 MM USA
 18,10  26d 21h
Siemens 6ES5430-4UA14 Simatic S5 6ES5 430-4UA14 E :0 2
Top-Rated Seller Siemens 6ES5430-4UA14 Simatic S5 6ES5 430-4UA14 E :0 2
 27,45 27d 1h
Compensation de potentiel OBO 1808 / # G Y1Y 1645
Top-Rated Seller Compensation de potentiel OBO 1808 / # G Y1Y 1645
 19,35  11d 12h
COLLIER DE CÂBLE RAIL, BRANCHE, VIS 1x25 12x10 / # G ASA 1639
Top-Rated Seller COLLIER DE CÂBLE RAIL, BRANCHE, VIS 1x25 12x10 / # G ASA 1639
 25,18  17d 4h
Electrovanne Wabco 5727050220 / # K 4XX 8194
Top-Rated Seller Electrovanne Wabco 5727050220 / # K 4XX 8194
 25,18  22d 1h
ASA LP & S 45 10/16 Électronique Mot de Volets SW60
Top-Rated Seller ASA LP & S 45 10/16 Électronique Mot de Volets SW60
 105,07  15d 0h
12 Éléments Ensemble Siemens Simatic S7 6ES7 131-4BB01-0AA0 Module
12 Éléments Ensemble Siemens Simatic S7 6ES7 131-4BB01-0AA0 Module
 26,47  27d 11h
IFM IF5740 sensor
IFM IF5740 sensor
 8,00 11d 2h
IFM IF5711 sensor
IFM IF5711 sensor
 8,00 11d 2h
SPA732Lw Courroies Trapézoïdales Spa 732 Lw din 7753 / Iso 4184
Top-Rated Seller SPA732Lw Courroies Trapézoïdales Spa 732 Lw din 7753 / Iso 4184
 8,19  2d 22h
Dürr E09060047 Connection cable 1361975 9523499 40011 REGLER M12  old stock
Dürr E09060047 Connection cable 1361975 9523499 40011 REGLER M12  old stock
 6,00 27d 0h
Am0eth001v000 Carte Option Ethernet Servovariat Lexium 15
Am0eth001v000 Carte Option Ethernet Servovariat Lexium 15
 359,00 29d 13h
IPF MZ070172 Magnétique Zylindersensor Emballage D'Origine, Neuf
IPF MZ070172 Magnétique Zylindersensor Emballage D'Origine, Neuf
 25,01 27d 11h
Greenpar B35k85e99h
Greenpar B35k85e99h
 3,00 9d 0h
Greenpar B 72 25 Adaptor 519 9350 519 9820 519 9822 ( Old Stock)
Greenpar B 72 25 Adaptor 519 9350 519 9820 519 9822 ( Old Stock)
 3,00 9d 4h
Greenpar 519 9819 Adaptor 520 4453 ( Old Stock)
Greenpar 519 9819 Adaptor 520 4453 ( Old Stock)
 3,00 9d 4h
UECL 6P55670HD24 7728 5935 99 580 6276 ( old stock)
UECL 6P55670HD24 7728 5935 99 580 6276 ( old stock)
 3,00 9d 23h
UECL 6P55670HD24 8429 5935 99 580 6276 ( old stock)
UECL 6P55670HD24 8429 5935 99 580 6276 ( old stock)
 3,00 10d 0h
Greenpar 519 9819 Adaptor ( Old Stock)
Greenpar 519 9819 Adaptor ( Old Stock)
 3,00 10d 1h
GREENPAR 142 4701 adaptor ( old stock)
GREENPAR 142 4701 adaptor ( old stock)
 3,00 15d 0h
Greenpar 519 9820 Adaptor 519 9822 Ge704 70b H ( Old Stock)
Greenpar 519 9820 Adaptor 519 9822 Ge704 70b H ( Old Stock)
 3,00 15d 22h
UECL MCM9243 6P54600NN16P 3K CONNECTOR PLUG ( old stock)
UECL MCM9243 6P54600NN16P 3K CONNECTOR PLUG ( old stock)
 3,00 16d 2h
Greenpar 520 3242 Adaptor ( Old Stock)
Greenpar 520 3242 Adaptor ( Old Stock)
 3,00 16d 23h
Amphenol UG 176 U 83 168 5935 99 580 2416 Connectors
Amphenol UG 176 U 83 168 5935 99 580 2416 Connectors
 3,00 17d 0h
Belling Lee L I403 CS AU SL 376 5935 99 913 1832 SUB MINIATURE COAXIAL SOCKET
Belling Lee L I403 CS AU SL 376 5935 99 913 1832 SUB MINIATURE COAXIAL SOCKET
 3,00 17d 23h
Reg Design 927178 0568 5295183 ( Old Stock)
Reg Design 927178 0568 5295183 ( Old Stock)
 3,00 22d 2h
Greenpar 5935991429278
Greenpar 5935991429278
 3,00 22d 4h
Greenpar 637539c12 Adaptor ( Old Stock)
Greenpar 637539c12 Adaptor ( Old Stock)
 3,00 23d 2h
Transradio plug straight 5935 99 91 8077 Q 93000 W002382 BN 1 5 PG  old stock
Transradio plug straight 5935 99 91 8077 Q 93000 W002382 BN 1 5 PG  old stock
 3,00 25d 0h
SPRAYMATE J2FENV410 O ring 9524234 ( OLD STOCK)
SPRAYMATE J2FENV410 O ring 9524234 ( OLD STOCK)
 3,00 23h 23m
Transradio connector TRL7046 ( old stock)
Transradio connector TRL7046 ( old stock)
 3,00 7d 22h
Greenpar 520 8452 Adaptor ( Olsd Stock)
Greenpar 520 8452 Adaptor ( Olsd Stock)
 3,00 7d 23h
GREENPAR 972 6114 connector  old stock
GREENPAR 972 6114 connector  old stock
 3,00 7d 23h
Transradio 8005TRL96017  OLD STOCK
Transradio 8005TRL96017  OLD STOCK
 3,00 8d 1h
EATON 9617 5A 125VAC SCHALTER ( old stock)
EATON 9617 5A 125VAC SCHALTER ( old stock)
 3,00 8d 2h
PT1000 Capt de Discussion 1/8 Pouces Pièce, Pour H-Tronic TS1000, Tsm 1000
Top-Rated Seller PT1000 Capt de Discussion 1/8 Pouces Pièce, Pour H-Tronic TS1000, Tsm 1000
 25,33  11d 10h
Siemens Simatic S5 6ES5 700-8MA11 Busmodul 6ES5700-8MA11 6ES57008MA11
Top-Rated Seller Siemens Simatic S5 6ES5 700-8MA11 Busmodul 6ES5700-8MA11 6ES57008MA11
 4,54 6d 9h
Siemens Simatic S7 6ES7 131-4BD00-0AB0 Elektronikmodule 6ES7131-4BD00-0AB0
Top-Rated Seller Siemens Simatic S7 6ES7 131-4BD00-0AB0 Elektronikmodule 6ES7131-4BD00-0AB0
 8,06 6d 9h
KLÖCKNER MOELLER Dispositif Disjonct FAZN S1
Top-Rated Seller KLÖCKNER MOELLER Dispositif Disjonct FAZN S1
 28,21 29d 6h
Merten MEG2310-7219 Prise Schuko Avec Couvercle à Charnière, Bornes, 3 Pièce
Top-Rated Seller Merten MEG2310-7219 Prise Schuko Avec Couvercle à Charnière, Bornes, 3 Pièce
 26,21 14d 7h
SMITT Relais VM-4 3 Pièce
Top-Rated Seller SMITT Relais VM-4 3 Pièce
 26,21 14d 4h
FANAL Protection DSL5A3 VDE 0660
Top-Rated Seller FANAL Protection DSL5A3 VDE 0660
 27,22 14d 4h
Legrand Kabelmarkierung 38237 " 7 " 800 Pièces
Top-Rated Seller Legrand Kabelmarkierung 38237 " 7 " 800 Pièces
 28,22 5d 1h
Honeywell terminal block  621 9950 Klemmenblock  Price for 2 psc ( old stock)
Honeywell terminal block 621 9950 Klemmenblock Price for 2 psc ( old stock)
 5,00 29d 1h
06324 Glenair M85049 69 15AD 0721
06324 Glenair M85049 69 15AD 0721
 6,00 8d 4h
06324 Glenair M85049 39 15W 0033
06324 Glenair M85049 39 15W 0033
 6,00 9d 0h
ESCHA 8019750 53224 LifYY11Y 4X0,25 70A5A cable Kabel 5M 8EL 007 1  old stock
ESCHA 8019750 53224 LifYY11Y 4X0,25 70A5A cable Kabel 5M 8EL 007 1  old stock
 6,00 19d 4h
Cutler Hammer EATON 49 4926 1 91000T 49 4932 1
Cutler Hammer EATON 49 4926 1 91000T 49 4932 1
 6,00 17d 4h
Dürr Verbindungskabel M12 E09060068 9523504 connection cable MURR   old stock
Dürr Verbindungskabel M12 E09060068 9523504 connection cable MURR  old stock
 9,00 26d 4h
Amphenol 015 0941 military connector 0568 5935 99 015 0941 ( old stock)
Amphenol 015 0941 military connector 0568 5935 99 015 0941 ( old stock)
 10,00 29d 2h
Rademacher Adaptat Livraison 8-kant Welle 70mm Pour Rstm Rtbm Rtcm Store
Top-Rated Seller Rademacher Adaptat Livraison 8-kant Welle 70mm Pour Rstm Rtbm Rtcm Store
 10,78  11d 4h
Rademacher Adaptat Livraison 8-kant Welle 50mm Pour Rstm Rtbm Rtcm Store
Top-Rated Seller Rademacher Adaptat Livraison 8-kant Welle 50mm Pour Rstm Rtbm Rtcm Store
 10,78  11d 9h
Arcol Aluminum Ho Resistor, HS100E6/R0.1 +/- 5%
Arcol Aluminum Ho Resistor, HS100E6/R0.1 +/- 5%
 16,34  7d 8h
Shinjo 09110010 KW01 11 GS  ( old stock)
Shinjo 09110010 KW01 11 GS ( old stock)
 20,00 29d 4h
FRANSSONS MASKINBEARBETNING VR 392207 BLAD 6 extractor ( old stock)
FRANSSONS MASKINBEARBETNING VR 392207 BLAD 6 extractor ( old stock)
 10,00 28d 3h
I R 71HF60 9718 Rectifier Diode Stud Cathode with Lead blue
I R 71HF60 9718 Rectifier Diode Stud Cathode with Lead blue
 7,00 19d 22h
HUPPERTZ REF 1609PAX price for 25psc ( old stock)
HUPPERTZ REF 1609PAX price for 25psc ( old stock)
 10,00 10d 3h
Rademacher Roulement Mot 94401511 Rtbs Ccf Rtis Rtfs Rtss Rtbm Rtcm Rtim Rstm
Top-Rated Seller Rademacher Roulement Mot 94401511 Rtbs Ccf Rtis Rtfs Rtss Rtbm Rtcm Rtim Rstm
 10,78  11d 4h
Rademacher Roulement Mot 94401504 Rtbs Ccf Rtis Rtfs Rtss Rtbm Rtcm Rtim Rstm
Top-Rated Seller Rademacher Roulement Mot 94401504 Rtbs Ccf Rtis Rtfs Rtss Rtbm Rtcm Rtim Rstm
 10,78  11d 8h
Rademacher Roulement Mot 94401513 Rtbs Ccf Rtis Rtfs Rtss Rtbm Rtcm Rtim Rstm
Top-Rated Seller Rademacher Roulement Mot 94401513 Rtbs Ccf Rtis Rtfs Rtss Rtbm Rtcm Rtim Rstm
 10,78  11d 17h
Rademacher Roulement Mot 94401002 Rtbs Ccf Rtis Rtfs Rtss Rtbm Rtcm Rtim Rstm
Top-Rated Seller Rademacher Roulement Mot 94401002 Rtbs Ccf Rtis Rtfs Rtss Rtbm Rtcm Rtim Rstm
 10,78  11d 9h
iPf induktiver Sensor 10-13 Vdc , 200ma, Sn : 6
Top-Rated Seller iPf induktiver Sensor 10-13 Vdc , 200ma, Sn : 6
 12,10  22d 12h
Siemens Simatic S7 6ES7 138-4CA00-0AA0 Module de Puissance 6ES7138-4CA00-0AA0
Top-Rated Seller Siemens Simatic S7 6ES7 138-4CA00-0AA0 Module de Puissance 6ES7138-4CA00-0AA0
 8,98 22d 12h
Siemens Eprom 6ES5376-0AA21 32 KB
Top-Rated Seller Siemens Eprom 6ES5376-0AA21 32 KB
 9,56  22d 12h
Legrand Kabelmarkierung 38275 " P " 105 Pièces
Top-Rated Seller Legrand Kabelmarkierung 38275 " P " 105 Pièces
 5,03 22d 12h
Consolidated Clope 2209K Alignement Automatique Roulement 2209 K 45x85x23 MM
Top-Rated Seller Consolidated Clope 2209K Alignement Automatique Roulement 2209 K 45x85x23 MM
 45,26  15d 13h
MRC 1911S Roulement Ouvert 55x80x13 MM 6911-C3 1911 S USA
Top-Rated Seller MRC 1911S Roulement Ouvert 55x80x13 MM 6911-C3 1911 S USA
 31,68  15d 13h
Festo STA 50 25 PA SA 184831 SERIE F208 10BAR CYLINDER STOPPER ( OLD STOCK)
Festo STA 50 25 PA SA 184831 SERIE F208 10BAR CYLINDER STOPPER ( OLD STOCK)
 20,00 2d 0h
Esab 151214 880 V520 Betätigung Actuation ELKO ( OLD STOCK)
Esab 151214 880 V520 Betätigung Actuation ELKO ( OLD STOCK)
 15,00 2d 23h
Shinjo 9690506011 M6 STANS 10 TYP P HK6 10L ( old stock)
Shinjo 9690506011 M6 STANS 10 TYP P HK6 10L ( old stock)
 20,00 29d 3h
Shinjo 7930600010 M6 TYP P DET 10 SWPN6 ( old stock)
Shinjo 7930600010 M6 TYP P DET 10 SWPN6 ( old stock)
 9,00 29d 4h
I.C 010890/01 48076 RIF CL 5002 0004  CONDENSOR ( old stock)
I.C 010890/01 48076 RIF CL 5002 0004 CONDENSOR ( old stock)
 15,00 7d 2h
ETA 412 K14 FN2 115VAC 28VDC Circuit Breakers ( old stock)
ETA 412 K14 FN2 115VAC 28VDC Circuit Breakers ( old stock)
 10,00 27d 0h
EKS 13300X0833 24V=100% ED 29 13 ( old stock)
EKS 13300X0833 24V=100% ED 29 13 ( old stock)
 10,00 8d 5h
BENTONE B55 65  ENERTECH 0011839801 RSK gasket fan housing flange B55 65
BENTONE B55 65 ENERTECH 0011839801 RSK gasket fan housing flange B55 65
 10,00 5h 36m
Dürr M01130191 GD 0810 Terminal block Klemmenblock ( old stock)
Dürr M01130191 GD 0810 Terminal block Klemmenblock ( old stock)
 15,00 27d 1h
Westcode SW06PHN040 9824 Rectifier Diode Stud Cathode with Lead blue
Westcode SW06PHN040 9824 Rectifier Diode Stud Cathode with Lead blue
 7,00 4d 3h
Westcode 9824 SW06PHR040 Rectifier Diode Stud Cathode with Lead red
Westcode 9824 SW06PHR040 Rectifier Diode Stud Cathode with Lead red
 7,00 4d 3h
Aircraft Type X3 Valve DC471 NSN: 4820-99-220-3357
Aircraft Type X3 Valve DC471 NSN: 4820-99-220-3357
 10,00 17d 3h
I R 40HFR60 90 28 Rectifier Diode Stud Cathode with Lead RED
I R 40HFR60 90 28 Rectifier Diode Stud Cathode with Lead RED
 7,00 20d 1h
ILME CNE 24 FS 16A 380V Heavy duty connection insert BASE ( old stock)
ILME CNE 24 FS 16A 380V Heavy duty connection insert BASE ( old stock)
 10,00 20d 4h
MTE 0568 9248046 924 8046 socket electrical ( old stock)
MTE 0568 9248046 924 8046 socket electrical ( old stock)
 8,00 19d 2h
GRESHAM GPL 396 IN: 115VAC OUT: +-15V 500mA  OLD STOCK
GRESHAM GPL 396 IN: 115VAC OUT: +-15V 500mA  OLD STOCK
 20,00 29d 23h
AB connectors ABM9651 C2096 1405MNO connector FIXED PLUG 0568 5935 99 012 8939
AB connectors ABM9651 C2096 1405MNO connector FIXED PLUG 0568 5935 99 012 8939
 10,00 2d 3h
AB connectors ABM9210 0150949 connector fixed socket 10-2 MK18 OLD STOCK
AB connectors ABM9210 0150949 connector fixed socket 10-2 MK18 OLD STOCK
 10,00 16d 4h
AB connectors ABM 07 50 9534672 ABM0750 square flange receptacle size 16
AB connectors ABM 07 50 9534672 ABM0750 square flange receptacle size 16
 10,00 17d 0h
Schaffner FN2070-25-08
Schaffner FN2070-25-08
 24,00 7d 23h
Bilsing Automation 825SM-54075-P001 13-13-BA D=52mm G3/8
Bilsing Automation 825SM-54075-P001 13-13-BA D=52mm G3/8
 25,00 1d 5h
Legrand Kabelmarkierung 38234 " 4 " 800 Pièces
Top-Rated Seller Legrand Kabelmarkierung 38234 " 4 " 800 Pièces
 29,23 22d 7h
Caliper Life Sciences Twister II Microplate Manutentionnaire Lab
Caliper Life Sciences Twister II Microplate Manutentionnaire Lab
 2.100,24 27d 10h
DAQCN Électrique Super Timer ST3P A-A Dc 24V Type ST3 0,5s - 3min Multi-Range
Top-Rated Seller DAQCN Électrique Super Timer ST3P A-A Dc 24V Type ST3 0,5s - 3min Multi-Range
 20,57 26d 5h
1 Vpe  4 X Bettermann / OBO Erdungsschellen 5052092 3/4 " No. 952 / Z Flußstahl
Top-Rated Seller 1 Vpe  4 X Bettermann / OBO Erdungsschellen 5052092 3/4 " No. 952 / Z Flußstahl
 15,43 22d 1h
Otter V10 17057s ( Old Stock)
Otter V10 17057s ( Old Stock)
 1,50 19d 2h
SPRAYMATE spring Frühling 501138 9517630 ( old stock)
SPRAYMATE spring Frühling 501138 9517630 ( old stock)
 2,00 13d 0h
SPRAYMATE 160000007 SEAL O RING 9524266 Price for 6 psc  old stock
SPRAYMATE 160000007 SEAL O RING 9524266 Price for 6 psc  old stock
 2,00 14d 3h
SPRAYMATE J3STKL046 O RING Kalrez 9524255 Price for 7 psc  old stock
SPRAYMATE J3STKL046 O RING Kalrez 9524255 Price for 7 psc  old stock
 2,00 15d 3h
IT4106A 28-6P 28-6P ABB plug 52171066-2
IT4106A 28-6P 28-6P ABB plug 52171066-2
 15,00 25d 5h
Osram Dulux T 26w / 827 Tube Blanc Chaud / # 4 Asa 5686
Top-Rated Seller Osram Dulux T 26w / 827 Tube Blanc Chaud / # 4 Asa 5686
 5,73  11d 7h
Nouveau toner pour HP LaserJet 2410, 2400, 2420. 2430 / #G PX3 6072
Top-Rated Seller Nouveau toner pour HP LaserJet 2410, 2400, 2420. 2430 / #G PX3 6072
 19,46  1d 6h
CROSS SHAFT HARDY SPICER FABRIQUÉ EN ANGLETERRE, 24x62mm / # 1 M6L 4904
Top-Rated Seller CROSS SHAFT HARDY SPICER FABRIQUÉ EN ANGLETERRE, 24x62mm / # 1 M6L 4904
 16,56  3d 11h
Alsthom Parvex Rx130h Con Generatore Tachimetrico Cod. Tbn206
Alsthom Parvex Rx130h Con Generatore Tachimetrico Cod. Tbn206
 99,00 1d 10h
Roulement Khd Deutz 214 1736 / # 6 M6l 1421
Top-Rated Seller Roulement Khd Deutz 214 1736 / # 6 M6l 1421
 17,88  7d 15h
Flexibles hydrauliques / # D U2U 1319
Top-Rated Seller Flexibles hydrauliques / # D U2U 1319
 20,81  8d 11h
AB connectors 508 1 37456 040 A2578 14 CA BS9522 F0020 ISS 1
AB connectors 508 1 37456 040 A2578 14 CA BS9522 F0020 ISS 1
 4,00 4d 0h
Soupape De Frein Ebs 0486203009 Scania / # 4 4xx 0755
Top-Rated Seller Soupape De Frein Ebs 0486203009 Scania / # 4 4xx 0755
 33,03  27d 6h
Ton argent Angle 90°Raccords graissage bouchon anti-poussière voiture 5 set
Top-Rated Seller Ton argent Angle 90°Raccords graissage bouchon anti-poussière voiture 5 set
 3,49  26d 23h
laiton Filetage Angle 90° trousse Raccords graissage voiture
Top-Rated Seller laiton Filetage Angle 90° trousse Raccords graissage voiture
 3,49  26d 23h
SIEMENS 6ES5441-7LA12 E-Stand :0 1 Simatic S5 Sortie Numérique
SIEMENS 6ES5441-7LA12 E-Stand :0 1 Simatic S5 Sortie Numérique
 17,15 11d 16h
5300000186,1250W 240V 7.07 Chauffage Cartouche Céramique Tige Elément de Chauffe
5300000186,1250W 240V 7.07 Chauffage Cartouche Céramique Tige Elément de Chauffe
 21,32 14d 12h
Philips 9404 462 75321 Phoenix Umk Bloc Terminal Avec TC
Top-Rated Seller Philips 9404 462 75321 Phoenix Umk Bloc Terminal Avec TC
 154,29 16d 5h
EMA Richelin Indutec EK 18 Contrôle Carte EK18 Carte de Contrôle Plc Carte
Top-Rated Seller EMA Richelin Indutec EK 18 Contrôle Carte EK18 Carte de Contrôle Plc Carte
 154,29 18d 11h
Prise FCT082FM17W2S
Top-Rated Seller Prise FCT082FM17W2S
 23,86 10d 15h
SCHIELE ARN ; Type No.
Top-Rated Seller SCHIELE ARN ; Type No.
 20,16 16d 12h
FAG 22102RSTV Alignement Automatique Roulement Caoutchouc Scellé 2210 2RS TV
Top-Rated Seller FAG 22102RSTV Alignement Automatique Roulement Caoutchouc Scellé 2210 2RS TV
 33,49  15d 13h
PEER 60092RSNR Roulement Caoutchouc Scellé W / Arrêt Bague 6009 2RS Nr 6009-NR
Top-Rated Seller PEER 60092RSNR Roulement Caoutchouc Scellé W / Arrêt Bague 6009 2RS Nr 6009-NR
 15,39  15d 13h
SMC VT325-035DL-Q directional 3 port Poppet valve 24 vdc solenoid spring return
SMC VT325-035DL-Q directional 3 port Poppet valve 24 vdc solenoid spring return
 29,19 7d 9h
SICK VE18-2O4550 6011848 Photo-électrique Safety Interrupt
SICK VE18-2O4550 6011848 Photo-électrique Safety Interrupt
 16,32 7d 18h
Embout Avec Rouleau Pour Vanne Brvs3 115x145x12 / # 6 M6l 5902
Top-Rated Seller Embout Avec Rouleau Pour Vanne Brvs3 115x145x12 / # 6 M6l 5902
 7,19  6d 7h
Siemens | Epcos | B84143C0006R201 | 6SE6400-2FA00-6AD0
Siemens | Epcos | B84143C0006R201 | 6SE6400-2FA00-6AD0
 25,00 15d 9h
Repartit
Repartit
 10,00 11d 1h
FESTO VABM-L1-10AS-M5-5  Manifold ref 566525
FESTO VABM-L1-10AS-M5-5 Manifold ref 566525
 14,01  13d 13h
Legrand Kabelmarkierung 38335 " Pour " 260 Pièces
Top-Rated Seller Legrand Kabelmarkierung 38335 " Pour " 260 Pièces
 9,06 13d 3h
commande isomax dx2.611.70
commande isomax dx2.611.70
 25,00  24d 8h
Siemens 6ES7 193-4CC20-0AA0 Terminal Module
Top-Rated Seller Siemens 6ES7 193-4CC20-0AA0 Terminal Module
 19,16 17d 7h
Vpe 50x Weidmüller Connect Traversal Wqv 2.5/2 1053660000 2-polig
Top-Rated Seller Vpe 50x Weidmüller Connect Traversal Wqv 2.5/2 1053660000 2-polig
 25,71 19d 0h
27 00075 0851 07 3200 001 plastic part ( old stock)
27 00075 0851 07 3200 001 plastic part ( old stock)
 2,00 27d 0h
PARKER 1/2 Flared connector  EL3D AIDOTAIDOT
PARKER 1/2 Flared connector EL3D AIDOTAIDOT
 4,00 20d 4h
O Ring OR 97,79X5,33 O RING NITRIL 7808933 160415 8240410 ( OLD STOCK)
O Ring OR 97,79X5,33 O RING NITRIL 7808933 160415 8240410 ( OLD STOCK)
 3,00 23d 1h
PT1000 Capt de Discussion 1/8Zoll Que de Rechange, Accessoires Pour H-Tronic
Top-Rated Seller PT1000 Capt de Discussion 1/8Zoll Que de Rechange, Accessoires Pour H-Tronic
 25,33  11d 17h
SF fitting 4571 steel1.4408  ( OLD STOCK)
SF fitting 4571 steel1.4408 ( OLD STOCK)
 3,00 12d 3h
MASTER SPECIALTIES COSTA MESA 90K 600 ( old stock)
MASTER SPECIALTIES COSTA MESA 90K 600 ( old stock)
 3,00 5d 22h
NSF SCHALTER Switch BS 9572 F002 585 8037  OLD STOCK
NSF SCHALTER Switch BS 9572 F002 585 8037  OLD STOCK
 3,00 6d 0h
I R 87 48 70hg20 ( Old Stock)
I R 87 48 70hg20 ( Old Stock)
 3,00 23d 22h
UECL MCM9243 6P55601NN16 16 CONNECTOR PLUG ( old stock)
UECL MCM9243 6P55601NN16 16 CONNECTOR PLUG ( old stock)
 3,00 1d 5h
UECL MCM9243 6P54600NN16 16 CONNECTOR PLUG ( old stock)
UECL MCM9243 6P54600NN16 16 CONNECTOR PLUG ( old stock)
 3,00 2d 2h
Tucker M152 861 HOSE CONNECTION SCHLAUCHANSCHLUSS 12-L ( old stock)
Tucker M152 861 HOSE CONNECTION SCHLAUCHANSCHLUSS 12-L ( old stock)
 3,00 12d 1h
Belling Lee 0568 913 8250 SOCKET 3653  ( OLD STOCK)
Belling Lee 0568 913 8250 SOCKET 3653 ( OLD STOCK)
 3,00 3d 0h
Greenpar 0568 520 3242
Greenpar 0568 520 3242
 3,00 2d 22h
Itt Cannon Electric Db19977 2 M85049 50 3 019977 0002
Itt Cannon Electric Db19977 2 M85049 50 3 019977 0002
 3,00 2d 23h
480143 Joint De Flasque Echap. 160/250 Busch
Top-Rated Seller 480143 Joint De Flasque Echap. 160/250 Busch
 16,00  22d 5h
Rademacher Kit Adaptat Arbre Cannelé 63mm Rtim Rtfm Rsim Xlim Xlsm Rni Volets
Top-Rated Seller Rademacher Kit Adaptat Arbre Cannelé 63mm Rtim Rtfm Rsim Xlim Xlsm Rni Volets
 10,78  11d 8h
Rademacher Adaptat Livraison 8-kant Welle 70mm Pour Rtbl Roulant Neuf
Top-Rated Seller Rademacher Adaptat Livraison 8-kant Welle 70mm Pour Rtbl Roulant Neuf
 10,78  11d 8h
Festo 14962 WSR-32-J Mounting Kit
Festo 14962 WSR-32-J Mounting Kit
 11,67  16d 1h
SIEMENS Sicherheitspositionsschalter 3SE5 232- 0RV40
Top-Rated Seller SIEMENS Sicherheitspositionsschalter 3SE5 232- 0RV40
 16,13 8d 22h
Schrack ZG 78700 Prise de Relais 2 Pièce
Top-Rated Seller Schrack ZG 78700 Prise de Relais 2 Pièce
 20,16 7d 7h
Legrand Kabelmarkierung 38108 " 8 " 875 Pièces
Top-Rated Seller Legrand Kabelmarkierung 38108 " 8 " 875 Pièces
 25,20 12d 9h
Klöcken MOELLER Interrupt Principal P1-32
Top-Rated Seller Klöcken MOELLER Interrupt Principal P1-32
 20,16 12d 9h
Legrand Kabelmarkierung 38103 " 3 " 950 Pièces
Top-Rated Seller Legrand Kabelmarkierung 38103 " 3 " 950 Pièces
 25,20 15d 3h
Ensemble de lumière du ciel avec corps / bride support de montage / # D AU1...
Top-Rated Seller Ensemble de lumière du ciel avec corps / bride support de montage / # D AU1...
 24,04  15d 11h
Greenpar Ge504 504h 519 9819  ( Old Stock)
Greenpar Ge504 504h 519 9819 ( Old Stock)
 3,00 9d 4h
Rademacher Adaptat Livraison Siralwelle 50mm Pour Rstm Rtbm Rtcm Volets Store
Top-Rated Seller Rademacher Adaptat Livraison Siralwelle 50mm Pour Rstm Rtbm Rtcm Volets Store
 16,22  11d 3h
Rademacher Adaptat Livraison Certaines Ondes 50mm Pour Rtss Rtbs Ccf Store
Top-Rated Seller Rademacher Adaptat Livraison Certaines Ondes 50mm Pour Rtss Rtbs Ccf Store
 16,22  11d 4h
Verrine  bleu led diamètre 82   PATLITE PES-24-B  24V AC/DC
Top-Rated Seller Verrine bleu led diamètre 82 PATLITE PES-24-B 24V AC/DC
 30,00  17d 3h
100120 Courroie Trapézoïdale Poulie Spz 100 x 1 + Douille 1210 m.20mm Perçage
Top-Rated Seller 100120 Courroie Trapézoïdale Poulie Spz 100 x 1 + Douille 1210 m.20mm Perçage
 19,05  20d 1h
10PCS  BA3308 Rohm IC PREAMP AUDIO STER AB 9SIP   CK
Top-Rated Seller 10PCS  BA3308 Rohm IC PREAMP AUDIO STER AB 9SIP  CK
 5,29  27d 0h
Norgren PRA/182050/200 Pneumatic Profile Cylinder Profilzylinder  NFP Sealed
Top-Rated Seller Norgren PRA/182050/200 Pneumatic Profile Cylinder Profilzylinder  NFP Sealed
 52,45 17d 17h
Sick Sensor IME08-04NPSZT0S IME08-04NPSZTOS
Sick Sensor IME08-04NPSZT0S IME08-04NPSZTOS
 11,67 8d 5h
Câble Coupé ! Lauer PCS811 INTERBUS-S Module
Câble Coupé ! Lauer PCS811 INTERBUS-S Module
 211,76 6d 0h
SICK VTF18-4N5640 Photo-électrique Proximité Capt
SICK VTF18-4N5640 Photo-électrique Proximité Capt
 35,29 19d 6h
6596 valve key Ventilschlüssel
6596 valve key Ventilschlüssel
 3,00 19d 23h
WANDRES 40 70 504 MEMBRAN ( old stock)
WANDRES 40 70 504 MEMBRAN ( old stock)
 2,00 21d 4h
KONTIMA WB 8002030 GLEITLAGER PLAIN BEARING ( old stock)
KONTIMA WB 8002030 GLEITLAGER PLAIN BEARING ( old stock)
 3,00 10d 0h
Eaton NZM2 XKSA Shroud with screw terminal
Eaton NZM2 XKSA Shroud with screw terminal
 7,00 28d 6h
RENOLD KLR 40-1 5/16 X1/2 SIMPLEX 40 NR 12 ( old stock)
RENOLD KLR 40-1 5/16 X1/2 SIMPLEX 40 NR 12 ( old stock)
 3,00 2h 34m
AllMakes 4x4 SKE500060 Pad-pedal
AllMakes 4x4 SKE500060 Pad-pedal
 3,00 19d 1h
Valve Ball 1/4fpt X 1/4fpt Mx00204742
Valve Ball 1/4fpt X 1/4fpt Mx00204742
 3,00 21d 23h
STECE 32280 spring Frühling
STECE 32280 spring Frühling
 3,00 3d 1h
ARROW 9020 B81058 BS9575 F008 SERIES 3A 250V SCHALTER Switch  LD STOCK
ARROW 9020 B81058 BS9575 F008 SERIES 3A 250V SCHALTER Switch  LD STOCK
 3,00 10d 1h
ARROW 9027 2A 250Vac 5A 125Vac BS 9561 F0012 A12 A SCHALTER Switch  OLD STOCK
ARROW 9027 2A 250Vac 5A 125Vac BS 9561 F0012 A12 A SCHALTER Switch  OLD STOCK
 3,00 19d 23h
ARROW 9006 TS6BA SCHALTER Switch  OLD STOCK
ARROW 9006 TS6BA SCHALTER Switch  OLD STOCK
 3,00 20d 0h
NSF I6204 9920 SCHALTER Switch 0120 0020  OLD STOCK
NSF I6204 9920 SCHALTER Switch 0120 0020  OLD STOCK
 3,00 24d 23h
EATON M22 LED Contact Block 12-30V 5-14mA RED  OLD STOCK
EATON M22 LED Contact Block 12-30V 5-14mA RED  OLD STOCK
 3,00 29d 4h
Itt Cannon Electric Db20962 020962 0000 Itt9737 M85049/46 2 3f
Itt Cannon Electric Db20962 020962 0000 Itt9737 M85049/46 2 3f
 3,00 2d 1h
PYE MRAC 14 S  ( old stock)
PYE MRAC 14 S ( old stock)
 3,00 4d 2h
Itt Cannon Electric Db20962 Jc 20458 ( Old Stock)
Itt Cannon Electric Db20962 Jc 20458 ( Old Stock)
 3,00 5d 2h
NSF SCHALTER Switch 051 0585 XC  OLD STOCK
NSF SCHALTER Switch 051 0585 XC  OLD STOCK
 3,00 6d 0h
ALLEN BRADLEY 800T XD2 Contact block SER C 600V AC ( OLD STOCK)
ALLEN BRADLEY 800T XD2 Contact block SER C 600V AC ( OLD STOCK)
 3,00 3d 1h
BOGENSCUTZ VOLLSCHUTZ 8001 250705 NH1 250A 500V gL 120kA ( OLD STOCK)
BOGENSCUTZ VOLLSCHUTZ 8001 250705 NH1 250A 500V gL 120kA ( OLD STOCK)
 5,00 13d 4h
M.m Ltd Z 562504 Connector ( Old Stock)
M.m Ltd Z 562504 Connector ( Old Stock)
 3,00 14d 1h
Belling Lee L656 C3 5935 111786 COVER ( OLD STOCK)
Belling Lee L656 C3 5935 111786 COVER ( OLD STOCK)
 3,00 21d 1h
Belling Lee L656 C3 5935 99 911 1786 COVER ( OLD STOCK)
Belling Lee L656 C3 5935 99 911 1786 COVER ( OLD STOCK)
 3,00 22d 2h
FESTO MSFW 220 AC220V 50HZ 7,5/6VA IP65 Solenoid Coil
FESTO MSFW 220 AC220V 50HZ 7,5/6VA IP65 Solenoid Coil
 3,00 28d 2h
Festo Msfw 220v 50hz 7.5/6va Ip65 10 5/63810 Coil
Festo Msfw 220v 50hz 7.5/6va Ip65 10 5/63810 Coil
 3,00 28d 2h
I R 9519 Bk ( Old Stock)
I R 9519 Bk ( Old Stock)
 3,00 23d 22h
EML LTD MRAC 26 P CONNECTOR PLUG ( old stock)
EML LTD MRAC 26 P CONNECTOR PLUG ( old stock)
 3,00 2d 0h
EML LTD MRAC 26 P CONNECTOR PLUG ( old stock)
EML LTD MRAC 26 P CONNECTOR PLUG ( old stock)
 3,00 2d 1h
Belling Lee 913 8250 SL700 ( OLD STOCK)
Belling Lee 913 8250 SL700 ( OLD STOCK)
 3,00 2d 1h
UECL MCM9243 6P54600NN16P 3K CONNECTOR PLUG ( old stock)
UECL MCM9243 6P54600NN16P 3K CONNECTOR PLUG ( old stock)
 3,00 2d 2h
EML LTD MRAC 26 P CONNECTOR PLUG 5935 99 519 2768 ( old stock)
EML LTD MRAC 26 P CONNECTOR PLUG 5935 99 519 2768 ( old stock)
 3,00 2d 4h
Belling Lee 940 2258 SL700 MMLTD 2 ( OLD STOCK)
Belling Lee 940 2258 SL700 MMLTD 2 ( OLD STOCK)
 3,00 2d 5h
UECL MCM9421 6P55660YY16 16 CONNECTOR PLUG 0564 5935 99 689 7579 ( old stock)
UECL MCM9421 6P55660YY16 16 CONNECTOR PLUG 0564 5935 99 689 7579 ( old stock)
 3,00 2d 23h
UECL MCM9145 6P54600NN16P 3K CONNECTOR PLUG ( old stock)
UECL MCM9145 6P54600NN16P 3K CONNECTOR PLUG ( old stock)
 3,00 3d 0h
Belling Lee 195 0391 SL700 ( OLD STOCK)
Belling Lee 195 0391 SL700 ( OLD STOCK)
 3,00 3d 1h
GIGAMEDIA GGM MJ8P8C 10 FICHE MODULAR 8 VOIES price for 8 psc ( old stock)
GIGAMEDIA GGM MJ8P8C 10 FICHE MODULAR 8 VOIES price for 8 psc ( old stock)
 3,00 10d 4h
Eaton MOELLER SL LED230 Y YELLOW 110 230V AC ( OLD STOCK)
Eaton MOELLER SL LED230 Y YELLOW 110 230V AC ( OLD STOCK)
 3,50 7d 1h
Eaton MOELLER SL LED230 G GREEN 110 230V AC ( OLD STOCK)
Eaton MOELLER SL LED230 G GREEN 110 230V AC ( OLD STOCK)
 3,50 7d 1h
FESTO 175091 D113 Mounting Bracket
FESTO 175091 D113 Mounting Bracket
 2,50 20d 2h
Transradio connector 5935 99 580 2416 R 41338 Q 96039B ( old stock)
Transradio connector 5935 99 580 2416 R 41338 Q 96039B ( old stock)
 3,00 3d 3h
Greenpar A 18 78 Ge37570 C12
Greenpar A 18 78 Ge37570 C12
 3,00 3d 1h
Greenpar 17 78 Ge37570 C12
Greenpar 17 78 Ge37570 C12
 3,00 3d 1h
Greenpar A 75 34 948 7729
Greenpar A 75 34 948 7729
 3,00 3d 1h
Greenpar 74 20 Ge 37570 C12 948 7729
Greenpar 74 20 Ge 37570 C12 948 7729
 3,00 3d 3h
Itt Cannon Electric 50 Ohm Conhex B51 028 0259t90 0568 523 9904
Itt Cannon Electric 50 Ohm Conhex B51 028 0259t90 0568 523 9904
 3,00 4d 1h
Macom B65n30g999x99
Macom B65n30g999x99
 3,00 4d 3h
SKF 51110 Poussée Roulement 50x70x14 MM 51110J 3-PIECE USA
Top-Rated Seller SKF 51110 Poussée Roulement 50x70x14 MM 51110J 3-PIECE USA
 19,92  15d 13h
Turck BSS-CP40 6901318 Collier de Fixation
Top-Rated Seller Turck BSS-CP40 6901318 Collier de Fixation
 20,16 18d 22h
Siemens Simatic S7 6ES7 193-4CC30-0AA0 Terminal Module
Top-Rated Seller Siemens Simatic S7 6ES7 193-4CC30-0AA0 Terminal Module
 20,16 21d 23h
Verbindungskabel 810 13 485 0,15m
Top-Rated Seller Verbindungskabel 810 13 485 0,15m
 20,16 7d 6h
Telemecanique ZCY46 Capt Levier
Top-Rated Seller Telemecanique ZCY46 Capt Levier
 20,16 4d 10h
Festo F508 Pneumatik-Zylinder
Top-Rated Seller Festo F508 Pneumatik-Zylinder
 19,16 28d 6h
Set 3 Diamant C-3470CL-08-P Chaîne Connexion Lien, à Goupilles 3.2cm Épaiss
Top-Rated Seller Set 3 Diamant C-3470CL-08-P Chaîne Connexion Lien, à Goupilles 3.2cm Épaiss
 19,55 6d 3h
Murr 55611 Asi-Verteiler
Top-Rated Seller Murr 55611 Asi-Verteiler
 20,16 28d 4h
Siemens Simatic S5 6ES5430-7LA11
Top-Rated Seller Siemens Simatic S5 6ES5430-7LA11
 19,16 7d 6h
Câble Y-Distribut M12 810 08 384
Top-Rated Seller Câble Y-Distribut M12 810 08 384
 19,16 7d 6h
Siemens 6ES5 431-8MA11 Entrée Numérique
Top-Rated Seller Siemens 6ES5 431-8MA11 Entrée Numérique
 20,16 7d 6h
Festo DNS-16-10P 908 10 Espèces Cylindre Standard
Top-Rated Seller Festo DNS-16-10P 908 10 Espèces Cylindre Standard
 19,16 4d 10h
ARO piston inner 93441-1 STAINLESS STEEL
ARO piston inner 93441-1 STAINLESS STEEL
 19,00 15d 4h
Koden Industry, Laser Displace Sensor, Ams-90-bh
Koden Industry, Laser Displace Sensor, Ams-90-bh
 85,00 28d 0h
vanne EDPM / # K L7B 0403
Top-Rated Seller vanne EDPM / # K L7B 0403
 12,15  29d 3h
CIRCUIT DE BOBINE 7x7 215 PCz 10.7MHz 5PCS / # 8 W2D 4598
Top-Rated Seller CIRCUIT DE BOBINE 7x7 215 PCz 10.7MHz 5PCS / # 8 W2D 4598
 4,26  14d 13h
PT1000 Capt de Discussion 1/8Zoll Modèles Pièce, Accessoire H-Tronic TS1000,
Top-Rated Seller PT1000 Capt de Discussion 1/8Zoll Modèles Pièce, Accessoire H-Tronic TS1000,
 25,33  12d 23h
Soupape D'echappement Festo Grla-1/8-qs-4-rs-d 197580 / # 8 Rt1 5734
Top-Rated Seller Soupape D'echappement Festo Grla-1/8-qs-4-rs-d 197580 / # 8 Rt1 5734
 10,74  23d 9h
Bobine de vanne Festo MSFG-24 24V / # 8 4XX 4333
Top-Rated Seller Bobine de vanne Festo MSFG-24 24V / # 8 4XX 4333
 11,01  23d 14h
Vanne Sempress DSA 117 / # D 4XX 6367
Top-Rated Seller Vanne Sempress DSA 117 / # D 4XX 6367
 12,95  27d 4h
Détect de résistance shunt 99.02.8.230.07 / # K PX3 4653
Top-Rated Seller Détect de résistance shunt 99.02.8.230.07 / # K PX3 4653
 3,60  4d 6h
Riegler 6 / # 4 Mamelon Droit PX3 9229
Top-Rated Seller Riegler 6 / # 4 Mamelon Droit PX3 9229
 3,58  4d 8h
Coupl de cloison CDC 6 / # 4 PX3 0398
Top-Rated Seller Coupl de cloison CDC 6 / # 4 PX3 0398
 4,30  4d 10h
3X Eaton BK25 / 3-PKZ0 / # 8 Bornier X1X 4393
Top-Rated Seller 3X Eaton BK25 / 3-PKZ0 / # 8 Bornier X1X 4393
 4,31  4d 13h
CONNECT COUDE CAMOZZI 12 × 12 2 × ø12mm / # D ASA 1050
Top-Rated Seller CONNECT COUDE CAMOZZI 12 × 12 2 × ø12mm / # D ASA 1050
 8,20  11d 5h
PHILIPS TL-D 36W / 865 120cm / # 4 ASA 4674 TUBE LINÉAIRE
Top-Rated Seller PHILIPS TL-D 36W / 865 120cm / # 4 ASA 4674 TUBE LINÉAIRE
 4,30  11d 7h
TUBE LINEAIRE GE F18W / 830 60cm 26mm 1150Lm / # 4 ASA 5643
Top-Rated Seller TUBE LINEAIRE GE F18W / 830 60cm 26mm 1150Lm / # 4 ASA 5643
 4,29  11d 8h
CONNECT HD 8mm INDUSTRIEL G3 / 8 / # D ASA 9382
Top-Rated Seller CONNECT HD 8mm INDUSTRIEL G3 / 8 / # D ASA 9382
 10,74  12d 22h
CONNECT MÉTALLIQUE TRAVERSANT DROIT fi # 8mm / # D ASA 9657
Top-Rated Seller CONNECT MÉTALLIQUE TRAVERSANT DROIT fi # 8mm / # D ASA 9657
 7,41  12d 22h
CONNECT HD ø 6mm INDUSTRIEL G1 / 8 / # D ASA 5284
Top-Rated Seller CONNECT HD ø 6mm INDUSTRIEL G1 / 8 / # D ASA 5284
 7,37  12d 22h
Joint Danfoss 084Z4381 / # D 4XX 8318
Top-Rated Seller Joint Danfoss 084Z4381 / # D 4XX 8318
 4,10  15d 7h
DISQUE FIBRE SIA 180x22 P120 ACIER HAUTEMENT ALLIÉ / # D ASA 7333
Top-Rated Seller DISQUE FIBRE SIA 180x22 P120 ACIER HAUTEMENT ALLIÉ / # D ASA 7333
 4,17  18d 22h
CHEVILLE D'EXPANSION EN ACIER 14 M10x135 135 / M10 / # D ASA 8126
Top-Rated Seller CHEVILLE D'EXPANSION EN ACIER 14 M10x135 135 / M10 / # D ASA 8126
 4,08  18d 22h
DISQUE FIBRE SIA 180x22 P120 ACIER HAUTEMENT ALLIÉ / # D ASA 5731
Top-Rated Seller DISQUE FIBRE SIA 180x22 P120 ACIER HAUTEMENT ALLIÉ / # D ASA 5731
 4,17  18d 23h
POINÇON DE COUPE TETE CONIQUE 2,5x71 type DA (H) / # 8 ASA 9807
Top-Rated Seller POINÇON DE COUPE TETE CONIQUE 2,5x71 type DA (H) / # 8 ASA 9807
 10,02  20d 4h
POINÇON DE COUPE TETE CONIQUE 2,0x60 type DA (H) / # 8 ASA 5763
Top-Rated Seller POINÇON DE COUPE TETE CONIQUE 2,0x60 type DA (H) / # 8 ASA 5763
 8,62  20d 4h
POINÇON DE COUPE TETE CONIQUE 7,1x60 type D (B) / # 8 ASA 5312
Top-Rated Seller POINÇON DE COUPE TETE CONIQUE 7,1x60 type D (B) / # 8 ASA 5312
 10,79  20d 4h
Disjonct miniature FAEL S192 B16 2P / # 4 L_S 0206
Top-Rated Seller Disjonct miniature FAEL S192 B16 2P / # 4 L_S 0206
 3,60  26d 4h
Interrupt Abl Sursum Z 2a 1zu2 + H10u / # 4 L_s 6329
Top-Rated Seller Interrupt Abl Sursum Z 2a 1zu2 + H10u / # 4 L_s 6329
 3,60  26d 4h
Disjonct miniature ABB K8 S271 8A + S2-H / # 2 L_S 5442
Top-Rated Seller Disjonct miniature ABB K8 S271 8A + S2-H / # 2 L_S 5442
 5,03  26d 7h
Commutat De Ventilat De Radiat Vw Audi / # 4 W2d 2404
Top-Rated Seller Commutat De Ventilat De Radiat Vw Audi / # 4 W2d 2404
 5,01  27d 6h
Pince test Hirschmann Kleps 30 rouge / # G PX3 8184
Top-Rated Seller Pince test Hirschmann Kleps 30 rouge / # G PX3 8184
 7,62  1d 6h
Filtre Hydraulique De Transmission Zf 0750 131 032 / # 4 M6l 2952
Top-Rated Seller Filtre Hydraulique De Transmission Zf 0750 131 032 / # 4 M6l 2952
 13,67  1d 6h
KASTAS RING joint 30x40x8 k33 / # D L_S 2612
Top-Rated Seller KASTAS RING joint 30x40x8 k33 / # D L_S 2612
 3,70  2d 14h
Couvercle Flash Army Wolf / # 6 M6l 7453
Top-Rated Seller Couvercle Flash Army Wolf / # 6 M6l 7453
 14,38  6d 2h
Smc SRH4110-04, Contrôl Propre
Top-Rated Seller Smc SRH4110-04, Contrôl Propre
 176,71 5d 21h
4x Festo Prise MSSD-EB 151687 Connect Mâle J5 Plug Socket Solénoïde Valve
Top-Rated Seller 4x Festo Prise MSSD-EB 151687 Connect Mâle J5 Plug Socket Solénoïde Valve
 25,71 7d 11h
Indicat de position disjonct 24V AC / DC / # 4 AU1 8388
Top-Rated Seller Indicat de position disjonct 24V AC / DC / # 4 AU1 8388
 12,92  25d 5h
MIROIR EXTERI SCANIA 3 360x210mm / # 4 M6L 7838
Top-Rated Seller MIROIR EXTERI SCANIA 3 360x210mm / # 4 M6L 7838
 38,97  6d 4h
BARDEN L12 Linéaire Roulement 3/4x1-1/4x1-1.6cm L-12 L 12 USA
Top-Rated Seller BARDEN L12 Linéaire Roulement 3/4x1-1/4x1-1.6cm L-12 L 12 USA
 12,67  26d 21h
Klöckner Moeller Zsbe 1.5/25 S/S Pe Bloc des Bornes de Base
Top-Rated Seller Klöckner Moeller Zsbe 1.5/25 S/S Pe Bloc des Bornes de Base
 25,20  24d 5h
Klöckner Moeller Bloc des Bornes de Base Zsbe 1.5/25 S/S Pe
Top-Rated Seller Klöckner Moeller Bloc des Bornes de Base Zsbe 1.5/25 S/S Pe
 25,20  5d 3h
Siemens 3RG4011-0CC00
Top-Rated Seller Siemens 3RG4011-0CC00
 25,20  3d 5h
Bloc des Bornes de Base Klöckner Moeller Zsbe 1.5/25 S/S Pe
Top-Rated Seller Bloc des Bornes de Base Klöckner Moeller Zsbe 1.5/25 S/S Pe
 25,20  5d 3h
Connect Woertz 30843 / # D 4XX 8669
Top-Rated Seller Connect Woertz 30843 / # D 4XX 8669
 1,23  17d 1h
Mitsubishi MELSERVO MR-J3BAT 3.6V 2000mAh / # T L7B 4158
Top-Rated Seller Mitsubishi MELSERVO MR-J3BAT 3.6V 2000mAh / # T L7B 4158
 28,82  29d 2h
Optibelt Conique Verrouiller Bush 2517 Douille de Serrage Métrique Perçage
Top-Rated Seller Optibelt Conique Verrouiller Bush 2517 Douille de Serrage Métrique Perçage
 21,17  2h 48m
Fenner Conique Verrouiller Bush 3020-70 Douille de Serrage Métrique Perçage
Top-Rated Seller Fenner Conique Verrouiller Bush 3020-70 Douille de Serrage Métrique Perçage
 21,17  2h 48m
Smc AR20-02BE, Pneumatique Contrôl
Top-Rated Seller Smc AR20-02BE, Pneumatique Contrôl
 28,49 5d 12h
Opto 22 IDC5Q,5 Vdc Logique, Dc Entrée
Top-Rated Seller Opto 22 IDC5Q,5 Vdc Logique, Dc Entrée
 32,44 7d 5h
Pulsotronic 9863-5830/98635830, Capt Inductif - Neuf
Top-Rated Seller Pulsotronic 9863-5830/98635830, Capt Inductif - Neuf
 29,64 8d 3h
Festo 541082, MPA-FB-SP-V, Versorgungsplatte Rev.03
Top-Rated Seller Festo 541082, MPA-FB-SP-V, Versorgungsplatte Rev.03
 33,78 8d 20h
Festo 533372, VMPA1-FB-EPL-GU, Plaque D'Extrémité Rev.03
Top-Rated Seller Festo 533372, VMPA1-FB-EPL-GU, Plaque D'Extrémité Rev.03
 29,54 8d 20h
Gemü 0322, Vorsteuerventil Avec Murr Électronique Prise
Top-Rated Seller Gemü 0322, Vorsteuerventil Avec Murr Électronique Prise
 29,54 8d 20h
Jokab Safety JSS1, Relais de Sécurité
Top-Rated Seller Jokab Safety JSS1, Relais de Sécurité
 28,49 8d 20h
102341734 Chaud Piège Aluminium - D=206mm Avec Gewindeloch / Avec Threadhole
Top-Rated Seller 102341734 Chaud Piège Aluminium - D=206mm Avec Gewindeloch / Avec Threadhole
 29,02 8d 20h
Festo 533360, MPA1-FB-EMS-8, Module Électronique
Top-Rated Seller Festo 533360, MPA1-FB-EMS-8, Module Électronique
 28,49 8d 20h
Ifm IFC206,Ifb3004bbpkg / M/US , Capt Inductif
Top-Rated Seller Ifm IFC206,Ifb3004bbpkg / M/US , Capt Inductif
 29,54 12d 5h
Schmersal 1126794, Az 15/16-b1-2177, Kit D'Adaptation Actuat - Neuf
Top-Rated Seller Schmersal 1126794, Az 15/16-b1-2177, Kit D'Adaptation Actuat - Neuf
 28,49 12d 5h
Rittal 8612260, Ts Rail de Montage (1PE = 4Stk 210282 - Neuf
Top-Rated Seller Rittal 8612260, Ts Rail de Montage (1PE = 4Stk 210282 - Neuf
 33,78 12d 5h
Siemens 3RK2200-0CT30-0AA3, Module Compact
Top-Rated Seller Siemens 3RK2200-0CT30-0AA3, Module Compact
 29,03 13d 11h
Siemens Handwheel Pour Enseigner Pendentif 6FX2007-1AE04 Rechange Pièces
Top-Rated Seller Siemens Handwheel Pour Enseigner Pendentif 6FX2007-1AE04 Rechange Pièces
 21,06 23d 3h
Presse Plaque Serrage Fente en T Outil 16pcs Papillon Écrou Circulaire D Durable
Top-Rated Seller Presse Plaque Serrage Fente en T Outil 16pcs Papillon Écrou Circulaire D Durable
 18,85 27d 20h
Lot de 2 capts reed  SMC D-C73
Top-Rated Seller Lot de 2 capts reed SMC D-C73
 25,00  25d 4h
Lot de 2 capts reed  SMC D-A54
Top-Rated Seller Lot de 2 capts reed SMC D-A54
 25,00  25d 4h
120mmx40mm Rectangulaire Inoxidable Acier Encastré Roug Glissement poignée
Top-Rated Seller 120mmx40mm Rectangulaire Inoxidable Acier Encastré Roug Glissement poignée
 6,59  2d 9h
C61F-GP AC220V Level Relay Floatless Level Switch Level Controller with BaYRDE
Top-Rated Seller C61F-GP AC220V Level Relay Floatless Level Switch Level Controller with BaYRDE
 9,40 2d 2h
Embase  pour colonne lumineuse PATLITE LU7 LU7-02S+FB142
Top-Rated Seller Embase pour colonne lumineuse PATLITE LU7 LU7-02S+FB142
 30,00  6h 22m
Embase pour colonne lumineuse PATLITE LU7 LU7-02
Top-Rated Seller Embase pour colonne lumineuse PATLITE LU7 LU7-02
 30,00  6h 16m
Siemens Simatic Numérique Module de Sortie 6ES5450-6AA11 E-Stand 2
Top-Rated Seller Siemens Simatic Numérique Module de Sortie 6ES5450-6AA11 E-Stand 2
 25,71 1d 4h
Connect Raccord Rapide Connect Tekalan / # 6 4xx 5289
Top-Rated Seller Connect Raccord Rapide Connect Tekalan / # 6 4xx 5289
 9,34  17d 1h
COURROIE V-BELT DENTE Optibelt AVX 13x610 / # 4 PC2 1659
Top-Rated Seller COURROIE V-BELT DENTE Optibelt AVX 13x610 / # 4 PC2 1659
 6,45  17d 6h
Bouchon de purge d'air schafer H0162 / # G 4XX 6591
Top-Rated Seller Bouchon de purge d'air schafer H0162 / # G 4XX 6591
 2,15  17d 9h
Bouchon Fonte 1'' Bride Bouchon Bouchon Bouchon / # 8 Asa 2055
Top-Rated Seller Bouchon Fonte 1'' Bride Bouchon Bouchon Bouchon / # 8 Asa 2055
 2,87  18d 12h
Presse-étoupe en laiton Wiska EMSKV 32 / # G 4XX 7891
Top-Rated Seller Presse-étoupe en laiton Wiska EMSKV 32 / # G 4XX 7891
 8,63  18d 13h
Ecrou M20 / # 4 M6l 3622
Top-Rated Seller Ecrou M20 / # 4 M6l 3622
 3,39  1d 13h
Coupe Punktak Populaire No.8 Rawltool / # D M6l 0384
Top-Rated Seller Coupe Punktak Populaire No.8 Rawltool / # D M6l 0384
 8,23  7d 1h
Alésoir étagé / # 3 Q12 9875
Top-Rated Seller Alésoir étagé / # 3 Q12 9875
 7,90  8d 12h
Tend De Courroie A Rouleau Skf 068109243f / # 4 M6l 3545
Top-Rated Seller Tend De Courroie A Rouleau Skf 068109243f / # 4 M6l 3545
 20,86  3d 3h
CISAILLE COUPE-TUBES COUPE-TUBES 3 - 28 mm / # D M6L 2793
Top-Rated Seller CISAILLE COUPE-TUBES COUPE-TUBES 3 - 28 mm / # D M6L 2793
 18,70  3d 11h
Hubbell Limited 62303-1 UFS 32A1 3 Pole Fuseswitch
Hubbell Limited 62303-1 UFS 32A1 3 Pole Fuseswitch
 35,02 18d 8h
R STAHL Plug-in terminal Connectors for Analog & Digital Input/Output Modules
R STAHL Plug-in terminal Connectors for Analog & Digital Input/Output Modules
 17,51  1d 13h
Régulat de pression FESTO LR-D-MINI 230psi 16 bar / # 8 OTT 8875
Top-Rated Seller Régulat de pression FESTO LR-D-MINI 230psi 16 bar / # 8 OTT 8875
 32,90  19d 10h
WAGO 285 OPEN Bornier 2-gris 285-195 / # 4 KXK 2608
Top-Rated Seller WAGO 285 OPEN Bornier 2-gris 285-195 / # 4 KXK 2608
 22,97  4d 8h
EATON A-PKZ0 Déclench shunt 230V / # 8 KXK 3421
Top-Rated Seller EATON A-PKZ0 Déclench shunt 230V / # 8 KXK 3421
 20,82  4d 13h
Finiss De Machine / # 3 Asa 4839
Top-Rated Seller Finiss De Machine / # 3 Asa 4839
 19,38  8d 12h
JOINT TORIQUE SIMERING 91x111x9 FPM / # 8 X2X 3413
Top-Rated Seller JOINT TORIQUE SIMERING 91x111x9 FPM / # 8 X2X 3413
 17,26  12d 7h
ERGOM Embout de raccordement (manchon) AlCu LMAN 185/120 / # 4 KXK 1529
Top-Rated Seller ERGOM Embout de raccordement (manchon) AlCu LMAN 185/120 / # 4 KXK 1529
 15,24  11d 14h
Shinjo 9691206051 M6 50MM PICASSO DET 12 ( old stock)
Shinjo 9691206051 M6 50MM PICASSO DET 12 ( old stock)
 5,00 8d 4h
AB connectors CLAMP STRAIGHT STRAIN RELIEF ABM9826 A2583080 0568 5935990151629
AB connectors CLAMP STRAIGHT STRAIN RELIEF ABM9826 A2583080 0568 5935990151629
 4,00 3d 0h
Honeywell terminal block  621 9950 SET 16 PT Klemmenblock
Honeywell terminal block 621 9950 SET 16 PT Klemmenblock
 5,00 14d 21h
Scandria D0602100rm J9a Nit 8mm
Scandria D0602100rm J9a Nit 8mm
 3,00 19d 0h
Tucker M152 768 isolierbuchse D13 insulating bush
Tucker M152 768 isolierbuchse D13 insulating bush
 4,00 16d 5h
Tucker Emhart M067 740  insulating bush ISOLIERBUCHSE D11 5/D24
Tucker Emhart M067 740 insulating bush ISOLIERBUCHSE D11 5/D24
 4,00 17d 2h
06324 Glenair M85049 69 15A 1111
06324 Glenair M85049 69 15A 1111
 5,00 3d 0h
THORN EMI ELECTRICAL COMPONENTS TEE PT55SE 8 33P 149 8449 ( old stock)
THORN EMI ELECTRICAL COMPONENTS TEE PT55SE 8 33P 149 8449 ( old stock)
 5,00 2d 22h
Transradio connector 8849TRL93637B ( old stock)
Transradio connector 8849TRL93637B ( old stock)
 3,00 9d 0h
IMI NORGREN 36 0521 20 compression fitting straight stem adaptor ( old stock)
IMI NORGREN 36 0521 20 compression fitting straight stem adaptor ( old stock)
 5,00 4d 4h
Esab 0366397001 Insulating isolierend PRICE FOR 4 ( old stock)
Esab 0366397001 Insulating isolierend PRICE FOR 4 ( old stock)
 4,00 2m 30s
TRANE TRR01586 Basler Electric BE30742001 A06A 50/60HZ 24V old stock
TRANE TRR01586 Basler Electric BE30742001 A06A 50/60HZ 24V old stock
 15,00 16d 0h
TÜNKERS A12 TILL SPÄNNE V40.1 / V240.1 235443  A12 ( old stock)
TÜNKERS A12 TILL SPÄNNE V40.1 / V240.1 235443  A12 ( old stock)
 10,00 6d 0h
TÜNKERS A11 TILL SPÄNNE V40.1 / V240.1 235942  A11 ( old stock)
TÜNKERS A11 TILL SPÄNNE V40.1 / V240.1 235942  A11 ( old stock)
 10,00 6d 0h
TÜNKERS A12 TILL SPÄNNE V50.1 / V250.1 216890  A12 12080226 1 ( old stock)
TÜNKERS A12 TILL SPÄNNE V50.1 / V250.1 216890  A12 12080226 1 ( old stock)
 10,00 6d 0h
PT 1000 Capt de Discussion 1/8Zoll Que de Rechange, Accessoires Pour H-Tronic
Top-Rated Seller PT 1000 Capt de Discussion 1/8Zoll Que de Rechange, Accessoires Pour H-Tronic
 25,33  3d 6h
KAMLOK 633 FB Vaterteil Typ F mit 3/4 Außengewinde Edelstahl SST 130608 21
KAMLOK 633 FB Vaterteil Typ F mit 3/4 Außengewinde Edelstahl SST 130608 21
 5,00 16d 4h
EATON M22 DL W 216922 Pushbutton WHITE  OLD STOCK
EATON M22 DL W 216922 Pushbutton WHITE  OLD STOCK
 4,00 23d 0h
IFM Induktiver Nährungsschalter IV5001 IVE 3015-BPKG
IFM Induktiver Nährungsschalter IV5001 IVE 3015-BPKG
 12,00  26d 8h
Esab 367206880 steering nozzle Lenkdüse ( old stock)
Esab 367206880 steering nozzle Lenkdüse ( old stock)
 2,00 59m 34s
Rs Components  434 9595
Rs Components 434 9595
 2,00 11d 0h
PAINTON 5935 99 972 8183 ( old stock)
PAINTON 5935 99 972 8183 ( old stock)
 2,00 18d 23h
BUSH 3020 CLAMPING BUSH 0837 0588 TB 3020 45 9157982 LAM 11 076 102 old stock
BUSH 3020 CLAMPING BUSH 0837 0588 TB 3020 45 9157982 LAM 11 076 102 old stock
 12,00 21d 4h
BOGENSCUTZ VOLLSCHUTZ 8000 NH0 50A 500V gL 120kA ( OLD STOCK)
BOGENSCUTZ VOLLSCHUTZ 8000 NH0 50A 500V gL 120kA ( OLD STOCK)
 4,00 15d 4h
14509 spring Frühling ( OLD STOCK)
14509 spring Frühling ( OLD STOCK)
 2,00 18d 0h
Francis Switch Term Lead S/a Repair Kit C09366 01 ( Old Stock)
Francis Switch Term Lead S/a Repair Kit C09366 01 ( Old Stock)
 2,00 4d 22h
PYE MRAC 14 S connector body socket ( old stock)
PYE MRAC 14 S connector body socket ( old stock)
 2,00 2d 0h
EML LTD MRAC 14S connector body socket 5935 99 951 1076 ( old stock)
EML LTD MRAC 14S connector body socket 5935 99 951 1076 ( old stock)
 2,00 2d 0h
AB connectors ABM9628 OUTLET CABLE ACC KIT MK18 ABM 96 28 5935 99 015 1362
AB connectors ABM9628 OUTLET CABLE ACC KIT MK18 ABM 96 28 5935 99 015 1362
 2,00 16d 3h
AB connectors 508131954530 SIZE 10 ABM 98 13 ABM9813
AB connectors 508131954530 SIZE 10 ABM 98 13 ABM9813
 2,00 16d 4h
SBC SBG 45FLL C K1 Linear Bearing Block Linearlagerblock
SBC SBG 45FLL C K1 Linear Bearing Block Linearlagerblock
 23,00 19d 2h
SBC SBG 45FLL C K1 Linear Bearing Block Linearlagerblock
SBC SBG 45FLL C K1 Linear Bearing Block Linearlagerblock
 20,00 19d 2h
BIMEX Ø5 M6 L=30 CPK Cylindrical stick Zylindrischer Stab price for 5 psc.
BIMEX Ø5 M6 L=30 CPK Cylindrical stick Zylindrischer Stab price for 5 psc.
 2,00 28d 1h
Itt Cannon Electric Db19977 2 M85049 50 3 ( Old Stock)
Itt Cannon Electric Db19977 2 M85049 50 3 ( Old Stock)
 2,00 2d 23h
Otter V10 90057s 5a 250v Bs3955 ( Old Stock)
Otter V10 90057s 5a 250v Bs3955 ( Old Stock)
 1,50 2d 23h
Rademacher Kit Adaptat Vague Ronde 60mm Rtim Rtfm Rsim Xlim Xlsm Rsfm Volets
Top-Rated Seller Rademacher Kit Adaptat Vague Ronde 60mm Rtim Rtfm Rsim Xlim Xlsm Rsfm Volets
 10,78  11d 3h
TORRINGTON HJTT263520 Aiguille Roulement Rouleaux Hjtt 263520 4.1cm X 2-0.5cm
Top-Rated Seller TORRINGTON HJTT263520 Aiguille Roulement Rouleaux Hjtt 263520 4.1cm X 2-0.5cm
 13,58  26d 21h
NSK 09067 Effilés Roulement Rouleaux Cone 09067R 1.9cm Identité Japon
Top-Rated Seller NSK 09067 Effilés Roulement Rouleaux Cone 09067R 1.9cm Identité Japon
 13,58  26d 21h
EATON Isolierstoffgehäuse CI-PKZ0-GM
Top-Rated Seller EATON Isolierstoffgehäuse CI-PKZ0-GM
 20,16 26d 15h
KLÖCKNER MOELLER Relais de Surcharge Z00-4 2,4 -4 A
Top-Rated Seller KLÖCKNER MOELLER Relais de Surcharge Z00-4 2,4 -4 A
 24,19 3d 7h
PHOENIX CONTACT 2944216 Optocoupls EMG 10-OV- 12DC/24DC/1
Top-Rated Seller PHOENIX CONTACT 2944216 Optocoupls EMG 10-OV- 12DC/24DC/1
 20,16 5d 4h
Pilz Relais de Sécurité Pnoz 24VDC 3S 1Ö 474695
Top-Rated Seller Pilz Relais de Sécurité Pnoz 24VDC 3S 1Ö 474695
 20,16 7d 7h
Dörner + Helmer Chaînes Maillon 4 MM 9 Pièce
Top-Rated Seller Dörner + Helmer Chaînes Maillon 4 MM 9 Pièce
 23,18 17d 17h
SIEMENS V23009-A0007-A052 Relais 24 V
Top-Rated Seller SIEMENS V23009-A0007-A052 Relais 24 V
 18,14 2d 4h
Tretter Ouvert Unité de Stockage AE 37-520
Top-Rated Seller Tretter Ouvert Unité de Stockage AE 37-520
 20,12 3d 1h
Phoenix Contact Type UEGM
Top-Rated Seller Phoenix Contact Type UEGM
 23,15  3d 1h
Poste Moeller 31 Dil M Telemecanique LA1 Dn 40 2 Pièce
Top-Rated Seller Poste Moeller 31 Dil M Telemecanique LA1 Dn 40 2 Pièce
 23,18 21d 1h
Poste Neozed Assurance 24 Pièce
Top-Rated Seller Poste Neozed Assurance 24 Pièce
 18,14 24d 5h
Courroie Crantée
Top-Rated Seller Courroie Crantée
 20,16 4h 42m
Phoenix contact Flkm 40-PA-S95
Top-Rated Seller Phoenix contact Flkm 40-PA-S95
 25,20  12d 9h
IFM Efector 100 IN5129 IN-3004-BPKG
Top-Rated Seller IFM Efector 100 IN5129 IN-3004-BPKG
 24,19 13d 4h
Telemecanique Relais de Contrôle LA1 DN 11 2 Pièce
Top-Rated Seller Telemecanique Relais de Contrôle LA1 DN 11 2 Pièce
 17,14 14d 4h
Legrand 38490 10-16 MM 8 Pour 6 Awg
Top-Rated Seller Legrand 38490 10-16 MM 8 Pour 6 Awg
 18,14 5d 1h
b+m  surface systems 24709 Ex 2G c T6
b+m surface systems 24709 Ex 2G c T6
 20,00 19d 3h
Mönninghoff Arc Oflex coupling 31480-11001C 101514 ( old stock)
Mönninghoff Arc Oflex coupling 31480-11001C 101514 ( old stock)
 20,00 28d 3h
WALTHER-PRÄZISION 95274 4 XX002 3N 2 Z01 Verbindergehäuse connector housing
WALTHER-PRÄZISION 95274 4 XX002 3N 2 Z01 Verbindergehäuse connector housing
 10,00 13d 4h
Tucker M 062 364 9 3 270404 ( old stock)
Tucker M 062 364 9 3 270404 ( old stock)
 12,00 17d 3h
Weald ELECTRONICS LIMITED Mil-Spec Connector WEQ 11 45 LMG 1 07069 221
Weald ELECTRONICS LIMITED Mil-Spec Connector WEQ 11 45 LMG 1 07069 221
 14,00 7d 22h
Rexroth Mannesmann 04903 89 00 sealing kit  166 1600 125 98W7 ( old stock)
Rexroth Mannesmann 04903 89 00 sealing kit 166 1600 125 98W7 ( old stock)
 15,00 27d 3h
HYMO 66536 RIT 38256 1/2IN FLOW VALVE CONSTANT ( old stock)
HYMO 66536 RIT 38256 1/2IN FLOW VALVE CONSTANT ( old stock)
 24,00 28d 4h
HYMO 66536 RIT 38256 1/2IN FLOW VALVE CONSTANT ( old stock)
HYMO 66536 RIT 38256 1/2IN FLOW VALVE CONSTANT ( old stock)
 24,00 28d 4h
BENTONE 9919247701 B50 B80 3 PAR Ignition electrod (RSK 6488443)  old stock
BENTONE 9919247701 B50 B80 3 PAR Ignition electrod (RSK 6488443)  old stock
 15,00 3d 2h
LPA NIPHAN X4A 26/07 socket electrical  ( OLD STOCK)
LPA NIPHAN X4A 26/07 socket electrical ( OLD STOCK)
 20,00 24d 23h
Suhner 31 Bnc Mmcx 50 1 / 1 Ue 22645963
Suhner 31 Bnc Mmcx 50 1 / 1 Ue 22645963
 12,00 5d 23h
SUHNER 0568 5935 99 223 6565 adaptor
SUHNER 0568 5935 99 223 6565 adaptor
 12,00 22d 4h
Siemens 6ES7 441-1AA03-0AE0 Kopplungsbaugruppe
Top-Rated Seller Siemens 6ES7 441-1AA03-0AE0 Kopplungsbaugruppe
 29,24 29d 3h
Turck Ni2-ISI-0,055-BDS-2AP6X3-H1141/S34 ident. no 1650130
Turck Ni2-ISI-0,055-BDS-2AP6X3-H1141/S34 ident. no 1650130
 20,00 25d 0h
Legrand Kabelmarkierung 38236 " 6 " 700 Pièces
Top-Rated Seller Legrand Kabelmarkierung 38236 " 6 " 700 Pièces
 23,18 22d 7h
Siemens 3RV1021-1EA15, Interrupt de Puissance Taille S0
Top-Rated Seller Siemens 3RV1021-1EA15, Interrupt de Puissance Taille S0
 29,44  12d 5h
Rademacher Roulement Mot 94401514 Rtbs Ccf Rtis Rtfs Rtss Rtbm Rtcm Rtim Rstm
Top-Rated Seller Rademacher Roulement Mot 94401514 Rtbs Ccf Rtis Rtfs Rtss Rtbm Rtcm Rtim Rstm
 10,78  11d 3h
Rademacher Roulement Mot 94401005 Rtbs Ccf Rtis Rtfs Rtss Rtbm Rtcm Rtim Rstm
Top-Rated Seller Rademacher Roulement Mot 94401005 Rtbs Ccf Rtis Rtfs Rtss Rtbm Rtcm Rtim Rstm
 10,78  11d 17h
Rademacher Roulement Mot 94401512 Rtbs Ccf Rtis Rtfs Rtss Rtbm Rtcm Rtim Rstm
Top-Rated Seller Rademacher Roulement Mot 94401512 Rtbs Ccf Rtis Rtfs Rtss Rtbm Rtcm Rtim Rstm
 10,78  11d 4h
Rademacher Roulement Mot 94401003 Rtbs Ccf Rtis Rtfs Rtss Rtbm Rtcm Rtim Rstm
Top-Rated Seller Rademacher Roulement Mot 94401003 Rtbs Ccf Rtis Rtfs Rtss Rtbm Rtcm Rtim Rstm
 10,78  11d 9h
Murr Elektronik 7000-11081-6160350
Top-Rated Seller Murr Elektronik 7000-11081-6160350
 5,03  6d 9h
Glissement Tiroir Inoxidable Acier 40mmRond Encastré Roug Traction poigné2pcs
Top-Rated Seller Glissement Tiroir Inoxidable Acier 40mmRond Encastré Roug Traction poigné2pcs
 6,79  2d 9h
Capt Scanner Leuze Au 78-02; 50021455 / # 6 X1x 1045
Top-Rated Seller Capt Scanner Leuze Au 78-02; 50021455 / # 6 X1x 1045
 23,02  13d 3h
Papillon Papillon Mecman Rexroth 345/120 1/4'' / # 4 Asa 5506
Top-Rated Seller Papillon Papillon Mecman Rexroth 345/120 1/4'' / # 4 Asa 5506
 19,48  27d 9h
Alésoir à main 28 H7 FINISS / # D 4XX 3788
Top-Rated Seller Alésoir à main 28 H7 FINISS / # D 4XX 3788
 23,02  18d 17h
M8 90° Sensor-Aktuator Câble de Connexion Câble Femelle Act Câble 3-pin 4.5m
Top-Rated Seller M8 90° Sensor-Aktuator Câble de Connexion Câble Femelle Act Câble 3-pin 4.5m
 8,77  7d 2h
IFM IG5017 sensor
IFM IG5017 sensor
 8,00 11d 2h
Mönninghoff schraubensatz 314.80 105021 bauform 0.1-7.3 ( old stock)
Mönninghoff schraubensatz 314.80 105021 bauform 0.1-7.3 ( old stock)
 10,00 28d 3h
Legrand 03235 Prise De Courant 2p+t
Legrand 03235 Prise De Courant 2p+t
 6,00 11d 2h
Tête 81 050 42 Fin de course FAEL / # 1 K23 8846
Top-Rated Seller Tête 81 050 42 Fin de course FAEL / # 1 K23 8846
 10,02  13d 6h
Tête 81 050 46 Fin de course FAEL / # 1 K23 2892
Top-Rated Seller Tête 81 050 46 Fin de course FAEL / # 1 K23 2892
 10,06  13d 7h
Tête 81 05082 Fin de course FAEL / # 1 K23 4511
Top-Rated Seller Tête 81 05082 Fin de course FAEL / # 1 K23 4511
 10,06  13d 7h
Electrovanne FESTO MEBH-3 / 2-1 / 8-PB-230AC / # 9 RT1 4595
Top-Rated Seller Electrovanne FESTO MEBH-3 / 2-1 / 8-PB-230AC / # 9 RT1 4595
 28,80  12d 11h
Vanne SMC SY123-5LOU-PM3-Q 24VDC / # 9 RT1 6750
Top-Rated Seller Vanne SMC SY123-5LOU-PM3-Q 24VDC / # 9 RT1 6750
 25,03  13d 10h
vanne 5/2 FESTO JMFH-5-1 / 8 bobines 24VDC / # 9 RT1 0054
Top-Rated Seller vanne 5/2 FESTO JMFH-5-1 / 8 bobines 24VDC / # 9 RT1 0054
 28,69  13d 10h
Electrovanne 3/2 Nz 1/8 "waircom Ukc8 / 25 / U / # 8 Ott 6318
Top-Rated Seller Electrovanne 3/2 Nz 1/8 "waircom Ukc8 / 25 / U / # 8 Ott 6318
 32,90  19d 10h
Electrovanne PNEUMAX LGH 474.32.0.1.M2G 474 / 1.32.0 / # 8 OTT 6775
Top-Rated Seller Electrovanne PNEUMAX LGH 474.32.0.1.M2G 474 / 1.32.0 / # 8 OTT 6775
 25,18  19d 10h
Distribut manuel PISCO HV10-10 JAPAN fi 10 / # 8 OTT 5202
Top-Rated Seller Distribut manuel PISCO HV10-10 JAPAN fi 10 / # 8 OTT 5202
 16,54  19d 10h
Étalonnage Court-circuit Sma Radiall / # 8 W2d 1603
Top-Rated Seller Étalonnage Court-circuit Sma Radiall / # 8 W2d 1603
 30,94  19d 10h
Vanne logique FESTO OS-1/4 B 6682 / # 8 OTT 4650
Top-Rated Seller Vanne logique FESTO OS-1/4 B 6682 / # 8 OTT 4650
 20,87  19d 10h
Raccord de papillon FESTO GRLO 4mm / # 8 OTT 8153
Top-Rated Seller Raccord de papillon FESTO GRLO 4mm / # 8 OTT 8153
 10,18  19d 10h
Cosses de borne - Terminaux TGSPM8 / # 8 OTT 3656
Top-Rated Seller Cosses de borne - Terminaux TGSPM8 / # 8 OTT 3656
 25,19  28d 1h
Indicat digital BINDING UNION UWC-0158 / # J PX2 0333
Top-Rated Seller Indicat digital BINDING UNION UWC-0158 / # J PX2 0333
 25,15  2d 23h
APATOR 4616-54-U S5 Interrupt à came 20A 690V / # 4 KXK 1704
Top-Rated Seller APATOR 4616-54-U S5 Interrupt à came 20A 690V / # 4 KXK 1704
 28,76  3d 3h
Connect de vanne MURR MSUD 7000-18021-2261000 10m / # D R8B 4846
Top-Rated Seller Connect de vanne MURR MSUD 7000-18021-2261000 10m / # D R8B 4846
 20,80  4d 6h
Bloc contact temporisé STROMBERG OKZTY 0,1-30s / # 8 KXK 4799
Top-Rated Seller Bloc contact temporisé STROMBERG OKZTY 0,1-30s / # 8 KXK 4799
 25,13  4d 14h
Connect Fiche Massive Jonction T 12 × 12 × 12 / # D Asa 4408
Top-Rated Seller Connect Fiche Massive Jonction T 12 × 12 × 12 / # D Asa 4408
 20,83  11d 1h
DONALDSON P775569 Soupape à poussière / # 4 U54 0346
Top-Rated Seller DONALDSON P775569 Soupape à poussière / # 4 U54 0346
 13,62  13d 2h
MTPLA-21L050-D-25-BM Poulie Misumi aluminium / # 8 L7B 1771
Top-Rated Seller MTPLA-21L050-D-25-BM Poulie Misumi aluminium / # 8 L7B 1771
 20,87  14d 13h
CEJN 321 RACCORD RAPIDE POUR LIQUIDES 1/4 78L / min 35bar / # 8 ASA 9835
Top-Rated Seller CEJN 321 RACCORD RAPIDE POUR LIQUIDES 1/4 78L / min 35bar / # 8 ASA 9835
 27,11  15d 9h
Pressostat (1-0-2), PN-45M-2, 28V / 35A / # 4 WSW 7880
Top-Rated Seller Pressostat (1-0-2), PN-45M-2, 28V / 35A / # 4 WSW 7880
 25,13  19d 1h
Disjonct miniature 3P C 32A 6kA AC DE93C32 / # 4 AU1 2192
Top-Rated Seller Disjonct miniature 3P C 32A 6kA AC DE93C32 / # 4 AU1 2192
 21,45  25d 6h
Disjonct miniature 2P C 16A 6kA AC S302 TX3 / # 4 AU1 0283
Top-Rated Seller Disjonct miniature 2P C 16A 6kA AC S302 TX3 / # 4 AU1 0283
 25,16  25d 6h
Disque Pour Moulin Metal Titex Plus 50x2 5x13x32mm / # 4 M6l 5834
Top-Rated Seller Disque Pour Moulin Metal Titex Plus 50x2 5x13x32mm / # 4 M6l 5834
 25,17  1d 8h
COURROIE DE TRANSMISSION HABASIT FAB-12E 330x10cm / # G M6L 6464
Top-Rated Seller COURROIE DE TRANSMISSION HABASIT FAB-12E 330x10cm / # G M6L 6464
 29,61  1d 11h
Ceinture De Transport 10tonnes 10 Metres Carl Stahl / # G M6l 2700
Top-Rated Seller Ceinture De Transport 10tonnes 10 Metres Carl Stahl / # G M6l 2700
 33,07  3d 5h
Bouton champignon rouge avec clé / # G AU1 7480
Top-Rated Seller Bouton champignon rouge avec clé / # G AU1 7480
 26,29  5d 4h
Guide Soupape D'aspiration Ktm Exc 59036025101 / # 4 M6l 4628
Top-Rated Seller Guide Soupape D'aspiration Ktm Exc 59036025101 / # 4 M6l 4628
 20,86  6d 5h
Anneau De Manche Ah 320 X / # 8 X2x 3717
Top-Rated Seller Anneau De Manche Ah 320 X / # 8 X2x 3717
 23,00  11d 10h
JOINT TORIQUE SIMERING 105x130x12 FPM / # 8 X2X 7783
Top-Rated Seller JOINT TORIQUE SIMERING 105x130x12 FPM / # 8 X2X 7783
 20,82  12d 6h
JOINT TORIQUE SIMERING 105x130x13 FPM / # 8 X2X 8047
Top-Rated Seller JOINT TORIQUE SIMERING 105x130x13 FPM / # 8 X2X 8047
 21,56  12d 7h
PT 1000 Capt de Discussion 1/8 Pouces Pièce, Accessoire Pour H-Tronic TS1000
Top-Rated Seller PT 1000 Capt de Discussion 1/8 Pouces Pièce, Accessoire Pour H-Tronic TS1000
 25,33  3d 6h
EMBRAYAGE SIMPLE DIRECTION INA HFL 2026L564 20x26x26 / # 8 X2X 1363
Top-Rated Seller EMBRAYAGE SIMPLE DIRECTION INA HFL 2026L564 20x26x26 / # 8 X2X 1363
 20,80  12d 7h
Joint spi 18x28x7 NBR / # D 4XX 4286
Top-Rated Seller Joint spi 18x28x7 NBR / # D 4XX 4286
 1,65  16d 3h
BOUCHON D'ÉTANCHÉITÉ BOUCHON M10x1 / # D ASA 6248
Top-Rated Seller BOUCHON D'ÉTANCHÉITÉ BOUCHON M10x1 / # D ASA 6248
 1,43  16d 22h
Connect Woertz 3428 / # D 4XX 7841
Top-Rated Seller Connect Woertz 3428 / # D 4XX 7841
 2,07  17d 1h
COURROIE DOUBLE Roven AVX 13/610 AVX 13x610 / # 4 PC2 2335
Top-Rated Seller COURROIE DOUBLE Roven AVX 13/610 AVX 13x610 / # 4 PC2 2335
 2,88  17d 6h
Ecrou Pour Axe Knott / # G 4xx 1832
Top-Rated Seller Ecrou Pour Axe Knott / # G 4xx 1832
 4,30  17d 9h
Mousqueton 8x80 Inox Avec Fermeture / # G M6l 2114
Top-Rated Seller Mousqueton 8x80 Inox Avec Fermeture / # G M6l 2114
 1,44  3d 5h
SOUDAGE HAMBURG COUDE 42,4x2,6 / # D M6L 3949
Top-Rated Seller SOUDAGE HAMBURG COUDE 42,4x2,6 / # D M6L 3949
 1,44  3d 5h
Support Marteau De Sécurité De Fenêtre / # D M6l 6197
Top-Rated Seller Support Marteau De Sécurité De Fenêtre / # D M6l 6197
 2,06  3d 5h
Pince De Securite Extract De Pince Kpl / # D M6l 0875
Top-Rated Seller Pince De Securite Extract De Pince Kpl / # D M6l 0875
 4,10  3d 6h
Couteau Dent Aerat Wiedenmann Terra Spike 100mm / # D M6l 1663
Top-Rated Seller Couteau Dent Aerat Wiedenmann Terra Spike 100mm / # D M6l 1663
 4,10  3d 9h
1 spot lumineux orange a LED 24 volts.a l unité.
1 spot lumineux orange a LED 24 volts.a l unité.
 6,90  18d 1h
SIEMENS 6ES7421-1BL01-0AA0 SIMATIC S7, digital input SM421, 6ES74211BL010AA0
Top-Rated Seller SIEMENS 6ES7421-1BL01-0AA0 SIMATIC S7, digital input SM421, 6ES74211BL010AA0
 12,04 29d 5h
SIEMENS 6ES7492-1AL00-0AA0 SIMATIC S7, E-Stand: 02-XN-XO-WD, 6ES74921AL000AA0
Top-Rated Seller SIEMENS 6ES7492-1AL00-0AA0 SIMATIC S7, E-Stand: 02-XN-XO-WD, 6ES74921AL000AA0
 10,83 29d 5h
SIEMENS 6ES5451-7LA11 DO, for S5-115U/F 32 OUTPUTS, 6ES54517LA11, E-Stand: 06
Top-Rated Seller SIEMENS 6ES5451-7LA11 DO, for S5-115U/F 32 OUTPUTS, 6ES54517LA11, E-Stand: 06
 12,04 29d 5h
SIEMENS 6ES5451-7LA12 DO 451 Isolated 32 outputs, 6ES54517LA12, E-Stand: 06
Top-Rated Seller SIEMENS 6ES5451-7LA12 DO 451 Isolated 32 outputs, 6ES54517LA12, E-Stand: 06
 12,04 29d 5h
VYNCKIER M1174 Modular Socket , French Standard, 16 A, 250 Vac | ID0603
Top-Rated Seller VYNCKIER M1174 Modular Socket , French Standard, 16 A, 250 Vac | ID0603
 17,55 29d 7h
SIEMENS 6ES5981-0GA11 Simatic S5, 6ES59810GA11 | ID2602 | PLC2DAY
Top-Rated Seller SIEMENS 6ES5981-0GA11 Simatic S5, 6ES59810GA11 | ID2602 | PLC2DAY
 12,04 29d 7h
PHOENIX STTBS4, 3035056 Double-level spring-cage terminal block | ID0602
Top-Rated Seller PHOENIX STTBS4, 3035056 Double-level spring-cage terminal block | ID0602
 12,04 29d 7h
Bobine FESTO MSFW 24V 50Hz pour électrovanne / # 8 RT1 9240
Top-Rated Seller Bobine FESTO MSFW 24V 50Hz pour électrovanne / # 8 RT1 9240
 8,61  14d 13h
bobine FESTO MSFG-24 / 42-50 / 60 4527 DC24V AC42V / # 8 RT1 1498
Top-Rated Seller bobine FESTO MSFG-24 / 42-50 / 60 4527 DC24V AC42V / # 8 RT1 1498
 8,59  14d 13h
Fki Electricalcomponents 05 0114 20 41 Clamp Bs9522 F0017 Iss 3 9211 A2102 20 41
Fki Electricalcomponents 05 0114 20 41 Clamp Bs9522 F0017 Iss 3 9211 A2102 20 41
 3,00 17d 2h
SPRAYMATE 548 026 O ring 9506843 ( OLD STOCK)
SPRAYMATE 548 026 O ring 9506843 ( OLD STOCK)
 3,00 12d 3h
Pepperl+Fuchs FSU-2
Top-Rated Seller Pepperl+Fuchs FSU-2
 17,14  22d 12h
laiton Filetage Angle 45°Raccords graissage voiture trousse
Top-Rated Seller laiton Filetage Angle 45°Raccords graissage voiture trousse
 4,76  26d 23h
Fil laiton Angle 90 degrés Raccords graissage voiture set 10
Top-Rated Seller Fil laiton Angle 90 degrés Raccords graissage voiture set 10
 4,49  26d 23h
Coul Argenté  Filetage trousse Raccords graissage tout droit voiture
Top-Rated Seller Coul Argenté Filetage trousse Raccords graissage tout droit voiture
 4,49  26d 23h
Voiture Droit Fer 5mm Air Tuyau Compression Tube Raccord Adaptat 2pcs
Top-Rated Seller Voiture Droit Fer 5mm Air Tuyau Compression Tube Raccord Adaptat 2pcs
 3,99  29m 29s
5 Mètre 16.40pi Rouge Polyuréthane PU Air Tuyau 4mm OD 2.5mm ID pour Voiture
Top-Rated Seller 5 Mètre 16.40pi Rouge Polyuréthane PU Air Tuyau 4mm OD 2.5mm ID pour Voiture
 4,49  16d 13h
2pcs Voiture Pneumatique Fixation Rapide 10mm PH30 Mâle Air Adaptat Tuyau
Top-Rated Seller 2pcs Voiture Pneumatique Fixation Rapide 10mm PH30 Mâle Air Adaptat Tuyau
 3,99  17d 2h
trousse raccords graisss angle 90 degrés voiture
Top-Rated Seller trousse raccords graisss angle 90 degrés voiture
 4,76  26d 23h
1.08"x0.98" Auto Véhicule Laiton Rondelle Adaptat Connect Doré
Top-Rated Seller 1.08"x0.98" Auto Véhicule Laiton Rondelle Adaptat Connect Doré
 3,99  18d 1h
Auto Vehilce Acier Inoxydable Pression Rondelle Rapide Disque onnect Adaptat
Top-Rated Seller Auto Vehilce Acier Inoxydable Pression Rondelle Rapide Disque onnect Adaptat
 4,49  18d 1h
7294/1668037 connect en T industriel / # 8 L7B 0609
Top-Rated Seller 7294/1668037 connect en T industriel / # 8 L7B 0609
 10,79  23d 12h
Fin de course Pizzato FR 505 / # K PX3 2655
Top-Rated Seller Fin de course Pizzato FR 505 / # K PX3 2655
 14,32  2d 23h
Bouton rouge NEF fi 30 Polonais 2x no / nc / # 4 L_S 7366
Top-Rated Seller Bouton rouge NEF fi 30 Polonais 2x no / nc / # 4 L_S 7366
 11,86  29d 9h
Vpe 10x Pflitsch Ecrou de Blocage 263/5 M63x1,5 K18 Métrique Laiton Locknut
Top-Rated Seller Vpe 10x Pflitsch Ecrou de Blocage 263/5 M63x1,5 K18 Métrique Laiton Locknut
 20,57 4d 8h
6x Wöhner Support 01026 Pour Leerfeldabdeckung 01025 Monture Réserve Couverture
Top-Rated Seller 6x Wöhner Support 01026 Pour Leerfeldabdeckung 01025 Monture Réserve Couverture
 20,57 9d 7h
Ifm Montage Efector 200 E21142 O5 Protect.bracket Perform. Photo-électrique
Top-Rated Seller Ifm Montage Efector 200 E21142 O5 Protect.bracket Perform. Photo-électrique
 25,71 27d 6h
SIEMENS 6ES73325HB01-0AB0 Simatic S7 Analogique Sortie ver.8
SIEMENS 6ES73325HB01-0AB0 Simatic S7 Analogique Sortie ver.8
 143,04 7d 12h
Legrand Kabelmarkierung 38353 " X " 300 Pièces
Top-Rated Seller Legrand Kabelmarkierung 38353 " X " 300 Pièces
 11,09 13d 3h
Amphenol tuchel 0091A T 3261 001 250V 5A 35 96
Top-Rated Seller Amphenol tuchel 0091A T 3261 001 250V 5A 35 96
 10,07 14d 2h
POINÇON DE COUPE TETE CONIQUE 3,0x71 type DA (H) / # 8 ASA 2335
Top-Rated Seller POINÇON DE COUPE TETE CONIQUE 3,0x71 type DA (H) / # 8 ASA 2335
 13,57  20d 4h
Tête 81 050 02 FAEL / # 1 fin de course K23 9684
Top-Rated Seller Tête 81 050 02 FAEL / # 1 fin de course K23 9684
 11,89  13d 7h
Tête 81 050 62 Fin de course FAEL / # 1 K23 1843
Top-Rated Seller Tête 81 050 62 Fin de course FAEL / # 1 K23 1843
 11,87  13d 7h
Selve Sel - Plus 2/40 Tubulaire de Rouleau De Mot
Top-Rated Seller Selve Sel - Plus 2/40 Tubulaire de Rouleau De Mot
 167,67  15d 0h
MTS Capt Technologies Contrôle Module NT 294 Temposonics Rev.2 Uegm
Top-Rated Seller MTS Capt Technologies Contrôle Module NT 294 Temposonics Rev.2 Uegm
 123,43 12d 4h
Vanne Martonair x3044302 / # 4 4XX 5126
Top-Rated Seller Vanne Martonair x3044302 / # 4 4XX 5126
 24,60  27d 6h
Bussmann, FRS-R-8, Fusetron Double Eléments Temps -delay Fusible Buss Cooper
Bussmann, FRS-R-8, Fusetron Double Eléments Temps -delay Fusible Buss Cooper
 6,39 6d 10h
Turck, BS 4141-0/9, U6415, 2.2cm 5p 5 Broche Vis Terminal Câble de Raccordement
Turck, BS 4141-0/9, U6415, 2.2cm 5p 5 Broche Vis Terminal Câble de Raccordement
 23,45 4d 22h
Rexroth Mecman 04412 094 01 95W42 Mounting element ( old stock)
Rexroth Mecman 04412 094 01 95W42 Mounting element ( old stock)
 13,00 27d 23h
Heller Uni-Pro ACPU90-VEE D 23.050053-02669
Top-Rated Seller Heller Uni-Pro ACPU90-VEE D 23.050053-02669
 699,00 25d 7h
Heller Uni-Pro ACPU90-VEE D 23.050053-04123
Top-Rated Seller Heller Uni-Pro ACPU90-VEE D 23.050053-04123
 699,00 25d 7h
Measurement VS303 51N 0914 MEAS CHDU FLOAT SWITCH VERTICAL ( old stock)
Measurement VS303 51N 0914 MEAS CHDU FLOAT SWITCH VERTICAL ( old stock)
 10,00 20d 4h
STANDBY BUTTON 79024549#CC ( old stock)
STANDBY BUTTON 79024549#CC ( old stock)
 7,00 10d 6h
Rademacher Adaptat Livraison 8-kant Welle 50mm Pour Rtss Rtbs Ccf Store
Top-Rated Seller Rademacher Adaptat Livraison 8-kant Welle 50mm Pour Rtss Rtbs Ccf Store
 10,78  11d 4h
Rademacher Adaptat Livraison Siralwelle 50mm Pour Rtis Rtfs Tubulaire Volets
Top-Rated Seller Rademacher Adaptat Livraison Siralwelle 50mm Pour Rtis Rtfs Tubulaire Volets
 10,78  11d 8h
Rademacher Kit Adaptat Vague Ronde 50mm Rtim Rtfm Rsim Xlim Xlsm Rsfm Volets
Top-Rated Seller Rademacher Kit Adaptat Vague Ronde 50mm Rtim Rtfm Rsim Xlim Xlsm Rsfm Volets
 10,78  11d 9h
Rademacher Kit Adaptat Arbre Cannelé 65mm Rtim Rtfm Rsim Xlim Xlsm Rni Volets
Top-Rated Seller Rademacher Kit Adaptat Arbre Cannelé 65mm Rtim Rtfm Rsim Xlim Xlsm Rni Volets
 10,78  11d 4h
Rademacher Adaptat Livraison 8-kant Welle 60mm Pour Rstm Rtbm Rtcm Store
Top-Rated Seller Rademacher Adaptat Livraison 8-kant Welle 60mm Pour Rstm Rtbm Rtcm Store
 10,78  11d 4h
Rademacher Adaptat Livraison 8-kant Welle 125mm Pour Rtbl Roulant Neuf
Top-Rated Seller Rademacher Adaptat Livraison 8-kant Welle 125mm Pour Rtbl Roulant Neuf
 10,78  11d 8h
Uu FS 750 W 230 V/ Fqe 750W230V, Radiats Infrarouges - Neuf
Top-Rated Seller Uu FS 750 W 230 V/ Fqe 750W230V, Radiats Infrarouges - Neuf
 28,49 7d 5h
Vis moletée 1/4 UNC lg 25.4mm - fixation appareil PHOTO
Vis moletée 1/4 UNC lg 25.4mm - fixation appareil PHOTO
 5,80  25d 23h
RITTAL PS 4315.400   long 50cm
RITTAL PS 4315.400 long 50cm
 5,00 11d 2h
Vitre Laterale Avant Gauche Opel Astra 3d Cc / # 6 M6l 1052
Top-Rated Seller Vitre Laterale Avant Gauche Opel Astra 3d Cc / # 6 M6l 1052
 20,14  7d 15h
Peugeot 106 206 306 / # 6 M6l 1823 Pompe Lave-vitre
Top-Rated Seller Peugeot 106 206 306 / # 6 M6l 1823 Pompe Lave-vitre
 21,58  7d 15h
Rotor du mot pour Hilti type TE5 (201536)
Top-Rated Seller Rotor du mot pour Hilti type TE5 (201536)
 35,00  29d 8h
ABB Efore Netzteil DSQC 334 3HAB 5845-1/2
Top-Rated Seller ABB Efore Netzteil DSQC 334 3HAB 5845-1/2
 839,00 29d 7h
SICK 14-FGS/ FGSS1350-11 Sender + FGSE1350-11 Empfänger
Top-Rated Seller SICK 14-FGS/ FGSS1350-11 Sender + FGSE1350-11 Empfänger
 999,00 28d 7h
2 Pièces HF 609ZZ Roulement Métal Scellé 9x24x7 MM 609 2Z 609-ZZ (Lot De 2)
Top-Rated Seller 2 Pièces HF 609ZZ Roulement Métal Scellé 9x24x7 MM 609 2Z 609-ZZ (Lot De 2)
 10,86  27d 0h
SIEMENS 6ES7400-1TA01-0AA0  SIMATIC S7, rack UR1, 18 slots, 6ES74001TA010AA0
Top-Rated Seller SIEMENS 6ES7400-1TA01-0AA0 SIMATIC S7, rack UR1, 18 slots, 6ES74001TA010AA0
 8,41 29d 5h
SIEMENS 6ES5430-7LA12 SIMATIC S5, DI430 Isolated for S5-115U/F 32 inputs, E: 04
Top-Rated Seller SIEMENS 6ES5430-7LA12 SIMATIC S5, DI430 Isolated for S5-115U/F 32 inputs, E: 04
 5,99 29d 5h
SIEMENS 3RH1911-1GA22  switch block, 62 E 2 NO + 2 NC EN 50011 | ID0607
Top-Rated Seller SIEMENS 3RH1911-1GA22 switch block, 62 E 2 NO + 2 NC EN 50011 | ID0607
 4,78 29d 7h
PHOENIX FBS 5-8 - 3030310 Plug-in bridge | ID0603 | PLC2DAY
Top-Rated Seller PHOENIX FBS 5-8 - 3030310 Plug-in bridge | ID0603 | PLC2DAY
 7,20 29d 7h
PHOENIX FBS 4-6 - 3030255 Plug-in bridge | ID0604 | PLC2DAY
Top-Rated Seller PHOENIX FBS 4-6 - 3030255 Plug-in bridge | ID0604 | PLC2DAY
 4,78 29d 7h
PHOENIX USLKG-4 Ground modular terminal block | ID0606 | PLC2DAY
Top-Rated Seller PHOENIX USLKG-4 Ground modular terminal block | ID0606 | PLC2DAY
 7,20 29d 7h
lampe flash  orange diamètre 100 mm   PATLITE KX   KX-12-Y 12V
Top-Rated Seller lampe flash orange diamètre 100 mm PATLITE KX KX-12-Y 12V
 40,00  17d 6h
Taiyo ,ZR2 ,Cylindre Limite Interrupt Proximité Capt Mori Seiki Reed Neuf
Taiyo ,ZR2 ,Cylindre Limite Interrupt Proximité Capt Mori Seiki Reed Neuf
 51,16 14d 12h
DATALOGIC Lens No. 9 Glass 95acc2670 Emballage D'Origine, Neuf
DATALOGIC Lens No. 9 Glass 95acc2670 Emballage D'Origine, Neuf
 14,93 29d 6h
3 Led 3.9 inch Red Car Truck Side Marker Light Tail Rear Lamps Indicator Ma R2L4
Top-Rated Seller 3 Led 3.9 inch Red Car Truck Side Marker Light Tail Rear Lamps Indicator Ma R2L4
 4,09  20d 6h
Merz 2380011041 Off Switch Ausschalter 230V 50Hz  NFP
Top-Rated Seller Merz 2380011041 Off Switch Ausschalter 230V 50Hz  NFP
 14,75 9d 5h
Rittal SV 9340.210 Cover section Abdeckprofil NFP (2pieces)Sealed
Top-Rated Seller Rittal SV 9340.210 Cover section Abdeckprofil NFP (2pieces)Sealed
 18,86 2d 23h
Rexroth Mannesmann 04412 095 09 0W44 bushing for cyl 206/100 ( old stock)
Rexroth Mannesmann 04412 095 09 0W44 bushing for cyl 206/100 ( old stock)
 13,00 28d 3h
Schneider Electric XVB Z01 Plastique Soutien Pour Aluminium Tube 067351 Neuf Ovp
Schneider Electric XVB Z01 Plastique Soutien Pour Aluminium Tube 067351 Neuf Ovp
 10,07 9d 10h
PEPPERL & FUCHS Capt Inductif Nj6-f-e2 024681
PEPPERL & FUCHS Capt Inductif Nj6-f-e2 024681
 27,03 4d 12h
Vanne / # K M6l 3399
Top-Rated Seller Vanne / # K M6l 3399
 16,52  17d 0h
Vanne Kubota 1624113115 / # K M6l 0754
Top-Rated Seller Vanne Kubota 1624113115 / # K M6l 0754
 16,56  17d 0h
Vanne Kubota 1g687-13110 / # K M6l 3288
Top-Rated Seller Vanne Kubota 1g687-13110 / # K M6l 3288
 16,47  17d 1h
Vanne Aweco Dev122 / # K R8b 5532
Top-Rated Seller Vanne Aweco Dev122 / # K R8b 5532
 20,06  17d 1h
Vanne Jacobsen 4283696 / # K M6l 7279
Top-Rated Seller Vanne Jacobsen 4283696 / # K M6l 7279
 20,05  17d 1h
Interrupt Saizer H212-41300-077n4 / # 9 D1r 6555
Top-Rated Seller Interrupt Saizer H212-41300-077n4 / # 9 D1r 6555
 25,18  16d 14h
Vanne SMC ASV510F / # 11 L7B 1778
Top-Rated Seller Vanne SMC ASV510F / # 11 L7B 1778
 28,77  29d 3h
actionn pneumatique SMC ECQ1B40-30DC / # 9 RT1 9294
Top-Rated Seller actionn pneumatique SMC ECQ1B40-30DC / # 9 RT1 9294
 20,13  12d 14h
VANNE FHA TR418 100pcs / # 9 M6L 2207
Top-Rated Seller VANNE FHA TR418 100pcs / # 9 M6L 2207
 22,24  13d 11h
PT1000 Capt de Discussion 1/8 Pouces Pièce, Que Accessoire H-Tronic Ts 1000 ,
Top-Rated Seller PT1000 Capt de Discussion 1/8 Pouces Pièce, Que Accessoire H-Tronic Ts 1000 ,
 25,33  3d 6h
SIEMENS 6ES7492-1AL00-0AA0 SIMATIC S7-400, E-Stand: 02, 6ES74921AL000AA0
Top-Rated Seller SIEMENS 6ES7492-1AL00-0AA0 SIMATIC S7-400, E-Stand: 02, 6ES74921AL000AA0
 10,83 29d 5h
Vanne FESTO CPE14-M1H-5-L-1/8 24VDC / # K RT1 5879
Top-Rated Seller Vanne FESTO CPE14-M1H-5-L-1/8 24VDC / # K RT1 5879
 24,32  3d 2h
SONDE DE TEMPERATURE HASCO Z1295 / 5 / 1.5x71 / # K M6L 7181
Top-Rated Seller SONDE DE TEMPERATURE HASCO Z1295 / 5 / 1.5x71 / # K M6L 7181
 20,77  11d 23h
MIKROB ESDC-11-000 / # J PX2 7683 Séparat de signaux de mesure
Top-Rated Seller MIKROB ESDC-11-000 / # J PX2 7683 Séparat de signaux de mesure
 18,67  3d 3h
ROUE TROU CERAMIQUE 45x19x10 51A120 J8VA / # 4 ASA 4384
Top-Rated Seller ROUE TROU CERAMIQUE 45x19x10 51A120 J8VA / # 4 ASA 4384
 22,00  11d 5h
Bobine de vanne MZW 220 V / # 4 4XX 0563
Top-Rated Seller Bobine de vanne MZW 220 V / # 4 4XX 0563
 16,54  12d 1h
Bobine raviolis 230V / # 4 4XX 6854
Top-Rated Seller Bobine raviolis 230V / # 4 4XX 6854
 16,54  12d 1h
BELL DC140199 joint anneau en caoutchouc SET 5 / # 6 U54 0796
Top-Rated Seller BELL DC140199 joint anneau en caoutchouc SET 5 / # 6 U54 0796
 20,30  15d 10h
Cloche Dc100382 Vis, Bride M10x30 W. / # 6 U54 6857
Top-Rated Seller Cloche Dc100382 Vis, Bride M10x30 W. / # 6 U54 6857
 21,99  16d 13h
Distribut de savon nch / # D 4XX 9513
Top-Rated Seller Distribut de savon nch / # D 4XX 9513
 24,53  18d 14h
Joint Echappement Mercedes W463 A0004920581 Oem / # 4 M6l 6275
Top-Rated Seller Joint Echappement Mercedes W463 A0004920581 Oem / # 4 M6l 6275
 18,60  1d 7h
Joint Boite De Vitesses Hr Arctic Cat 2402-150 / # 4 M6l 2778
Top-Rated Seller Joint Boite De Vitesses Hr Arctic Cat 2402-150 / # 4 M6l 2778
 20,87  1d 8h
Adaptat Adam Hall SLS 36 TV 28 SLS36TV28 / # 8 R8B 7823
Top-Rated Seller Adaptat Adam Hall SLS 36 TV 28 SLS36TV28 / # 8 R8B 7823
 25,18  7d 13h
Roue De Tension Bombardier R0165g / E26 / # 6 M6l 9117
Top-Rated Seller Roue De Tension Bombardier R0165g / E26 / # 6 M6l 9117
 21,56  7d 16h
verrou de capot pêne 8cm / 4 cm / # D U54 3951
Top-Rated Seller verrou de capot pêne 8cm / 4 cm / # D U54 3951
 20,14  8d 16h
Interrupt SMg TC / 6 Interrupt Smg TC / # G K23 0744
Top-Rated Seller Interrupt SMg TC / 6 Interrupt Smg TC / # G K23 0744
 24,56  13d 9h
Shunt de porte QKS - Neuf
Top-Rated Seller Shunt de porte QKS - Neuf
 4,80  6d 1h
Siemens Simatic S5 6ES5451-4UA11 Sortie Numérique
Top-Rated Seller Siemens Simatic S5 6ES5451-4UA11 Sortie Numérique
 13,10 7d 6h
BALLUFF Bam00l0 BMF 303-hw-30 Support Pour Magnétique Capts Neuf
BALLUFF Bam00l0 BMF 303-hw-30 Support Pour Magnétique Capts Neuf
 3,83 29d 6h
7 X Festo L-STECKVERSCHRAUBUNG Qsl-1/4-6 153047 Push-In L-FITTING Vissage
Top-Rated Seller 7 X Festo L-STECKVERSCHRAUBUNG Qsl-1/4-6 153047 Push-In L-FITTING Vissage
 12,86 7d 11h
Tcb17-20 - Socomec - Tcb 17-20 / Transformat De Courant 200 /5
Top-Rated Seller Tcb17-20 - Socomec - Tcb 17-20 / Transformat De Courant 200 /5
 40,00  10d 9h
Electric Sprayer Governor Plastic 1.6*1.6in Adjustment Sprayer Speed Switch
Top-Rated Seller Electric Sprayer Governor Plastic 1.6*1.6in Adjustment Sprayer Speed Switch
 8,85  17d 18h
250V AC 16A 30-110 Celsius Temperature Control Switch Capillary Thermostat NC.
Top-Rated Seller 250V AC 16A 30-110 Celsius Temperature Control Switch Capillary Thermostat NC.
 5,29 13d 2h
Siemens 3SX3 218 Standard Déclench Verrouillage Loquet Sécurité Crochet Neuf
Siemens 3SX3 218 Standard Déclench Verrouillage Loquet Sécurité Crochet Neuf
 10,23 5d 15h
BAUMER Ind. Capts de Proximité Fsam 08d9002/S8
BAUMER Ind. Capts de Proximité Fsam 08d9002/S8
 24,10 19d 7h
ABB 3HAA 0001-YV/3 signalkabel axel 1
ABB 3HAA 0001-YV/3 signalkabel axel 1
 18,00 21d 1h
Ifm E10191 Connector Cable Kabel  NMP
Top-Rated Seller Ifm E10191 Connector Cable Kabel  NMP
 15,70 12d 6h
Siemens 6ES5430-4UA14  NFP Sealed
Top-Rated Seller Siemens 6ES5430-4UA14  NFP Sealed
 26,20 15d 0h
Siemens 6ES5376-0AA21  NFP
Top-Rated Seller Siemens 6ES5376-0AA21  NFP
 20,95 15d 0h
Siemens 6ES5373-1AA41  NFP
Top-Rated Seller Siemens 6ES5373-1AA41  NFP
 20,95 15d 0h
Siemens 6ES5376-1AA21  NFP
Top-Rated Seller Siemens 6ES5376-1AA21  NFP
 20,95 15d 0h
Siemens 6ES5491-0LB11  NFP Sealed
Top-Rated Seller Siemens 6ES5491-0LB11  NFP Sealed
 20,95 15d 0h
Siemens 3RV2021-0HA15  NFP
Top-Rated Seller Siemens 3RV2021-0HA15  NFP
 20,95 15d 0h
Sick IM18-05BPS-ZCK  UFP
Top-Rated Seller Sick IM18-05BPS-ZCK  UFP
 20,95 15d 0h
Rexroth R911170790  NFP
Top-Rated Seller Rexroth R911170790  NFP
 20,95 15d 0h
Balluff BTL161C  NFP
Top-Rated Seller Balluff BTL161C  NFP
 20,95 15d 0h
Balluff BMF005K  NFP Sealed
Top-Rated Seller Balluff BMF005K  NFP Sealed
 15,70 15d 0h
Balluff BCC00YH  NMP
Top-Rated Seller Balluff BCC00YH  NMP
 26,20 15d 0h
Phoenix Contact 1405184  NFP Sealed
Top-Rated Seller Phoenix Contact 1405184  NFP Sealed
 15,70 15d 0h
Balluff BCC00YH  NMP
Top-Rated Seller Balluff BCC00YH  NMP
 26,20 15d 1h
Waircom ULCSV/R solenoid valve magnetventil  NFP
Top-Rated Seller Waircom ULCSV/R solenoid valve magnetventil  NFP
 15,70 15d 1h
Ifm IGT001 Inductive Sensor Induktiver Sensor  NFP Sealed
Top-Rated Seller Ifm IGT001 Inductive Sensor Induktiver Sensor  NFP Sealed
 26,20 12d 5h
Siemens 6ES5760-1AA11  NFP Sealed
Top-Rated Seller Siemens 6ES5760-1AA11  NFP Sealed
 20,95 15d 0h
Siemens 6ES5980-0AE11  NFP Sealed
Top-Rated Seller Siemens 6ES5980-0AE11  NFP Sealed
 15,70 15d 0h
Siemens 6ES5497-4UL21 (10pcs)  NFP
Top-Rated Seller Siemens 6ES5497-4UL21 (10pcs)  NFP
 26,20 15d 0h
Siemens 6ES5727-1BD20  NFP Sealed
Top-Rated Seller Siemens 6ES5727-1BD20  NFP Sealed
 26,20 15d 0h
Siemens 6ES5511-5AA12  UFP
Top-Rated Seller Siemens 6ES5511-5AA12  UFP
 15,70 15d 0h
Siemens 6ES5312-3AB11  NFP Sealed
Top-Rated Seller Siemens 6ES5312-3AB11  NFP Sealed
 15,70 15d 0h
Balluff BCS00U7  NFP Sealed
Top-Rated Seller Balluff BCS00U7  NFP Sealed
 20,95 15d 0h
Siemens 5SY6206-7  NFP
Top-Rated Seller Siemens 5SY6206-7  NFP
 20,95 15d 0h
Balluff BES00ZC  NFP Sealed
Top-Rated Seller Balluff BES00ZC  NFP Sealed
 15,70 15d 0h
Balluff BCC00TY  NMP
Top-Rated Seller Balluff BCC00TY  NMP
 26,20 15d 0h
Balluff BMF0043  NFP Sealed
Top-Rated Seller Balluff BMF0043  NFP Sealed
 15,70 15d 1h
20 302040 sprocket Kettenrad M 12B 16
20 302040 sprocket Kettenrad M 12B 16
 9,00 1d 2h
Ton argent  90°Raccords graissage bouchon anti-poussière voiture 5 set
Top-Rated Seller Ton argent 90°Raccords graissage bouchon anti-poussière voiture 5 set
 5,19  26d 23h
90°Raccords graissage voiture trousse 5 set
Top-Rated Seller 90°Raccords graissage voiture trousse 5 set
 4,99  26d 23h
5 Mètre 16.40pi Rouge Polyuréthane PU Air Tuyau 6mm OD 4mm ID pour Voiture
Top-Rated Seller 5 Mètre 16.40pi Rouge Polyuréthane PU Air Tuyau 6mm OD 4mm ID pour Voiture
 4,99  16d 13h
2 Pcs un 6 à un 6 NPT Raccord Flexible Huile Carburant Voiture Adaptat
Top-Rated Seller 2 Pcs un 6 à un 6 NPT Raccord Flexible Huile Carburant Voiture Adaptat
 4,99  16d 1h
BALLUFF BES517-398-NO-C  sensor
BALLUFF BES517-398-NO-C sensor
 6,00 11d 2h
Rexroth 0820 212 001 Valve assembly
Rexroth 0820 212 001 Valve assembly
 25,00 8d 23h
Siemens 5SX23 480V AC D10 5SX2 CIRCUIT BREAKER IEC 898 3POL 10A ( old stock)
Siemens 5SX23 480V AC D10 5SX2 CIRCUIT BREAKER IEC 898 3POL 10A ( old stock)
 6,00 14d 5h
Smc ED111S-X20VX0, Elément de Filtre - Neuf
Top-Rated Seller Smc ED111S-X20VX0, Elément de Filtre - Neuf
 26,36 7d 4h
Smc EJ302S-001X11, Elément de Filtre - Neuf
Top-Rated Seller Smc EJ302S-001X11, Elément de Filtre - Neuf
 24,24 7d 4h
FTJ W153179/1-001, Filtre Nat 9.75 - Neuf
Top-Rated Seller FTJ W153179/1-001, Filtre Nat 9.75 - Neuf
 18,95 7d 4h
Smc EJ302S-005X11, Elément de Filtre - Neuf
Top-Rated Seller Smc EJ302S-005X11, Elément de Filtre - Neuf
 26,36 7d 4h
Siemens 3RV2011-0JA20, Interrupt de Puissance E 1
Top-Rated Seller Siemens 3RV2011-0JA20, Interrupt de Puissance E 1
 18,95 7d 4h
Smc EHM39R10AY, Elément de Filtre - Neuf
Top-Rated Seller Smc EHM39R10AY, Elément de Filtre - Neuf
 24,24 7d 4h
Ruland Embrayage Di = 5mm, Da = 25mm, L =3 2mm - comme Neuf
Top-Rated Seller Ruland Embrayage Di = 5mm, Da = 25mm, L =3 2mm - comme Neuf
 24,10 7d 4h
Tige Arbre de Transmission Ø 15x81 - comme Neuf
Top-Rated Seller Tige Arbre de Transmission Ø 15x81 - comme Neuf
 21,06 7d 5h
Baumer Esw 33SH0500/ESW33SH0500, Câble de Connexion - Neuf
Top-Rated Seller Baumer Esw 33SH0500/ESW33SH0500, Câble de Connexion - Neuf
 19,80 7d 5h
Baumer Esw 33SH0200/ESW33SH0200, Câble de Connexion - Neuf
Top-Rated Seller Baumer Esw 33SH0200/ESW33SH0200, Câble de Connexion - Neuf
 27,74 7d 5h
Baumer Esg 34SH0500/ESG34SH0500, Câble de Connexion - Neuf
Top-Rated Seller Baumer Esg 34SH0500/ESG34SH0500, Câble de Connexion - Neuf
 24,14 7d 5h
Kieback + Peter, Dsiii , Kb4103019
Top-Rated Seller Kieback + Peter, Dsiii , Kb4103019
 20,05 8d 3h
Murr Électronique Mkn 0.08-230/10, 85615, Kleinnetzgerät/Alimentation
Top-Rated Seller Murr Électronique Mkn 0.08-230/10, 85615, Kleinnetzgerät/Alimentation
 22,87 8d 3h
Murr 55766, Connect de Bus Professionnel
Top-Rated Seller Murr 55766, Connect de Bus Professionnel
 25,10 8d 3h
Murr 55762, Connect de Bus Professionnel
Top-Rated Seller Murr 55762, Connect de Bus Professionnel
 21,07 8d 3h
SF SL8492, Filtre - Neuf
Top-Rated Seller SF SL8492, Filtre - Neuf
 25,62 8d 3h
Ftj-nt-1 Mic 9''3/4, Cartouche Filtrante 9'' - Neuf
Top-Rated Seller Ftj-nt-1 Mic 9''3/4, Cartouche Filtrante 9'' - Neuf
 18,22 8d 3h
Porte-Téléphone Pour Bureau (1PE = 2Stk Blanc - Neuf
Top-Rated Seller Porte-Téléphone Pour Bureau (1PE = 2Stk Blanc - Neuf
 26,42 8d 3h
Schurter 1301.0101, Nh-Sicherung ( 1PE =3 Pièces - Neuf
Top-Rated Seller Schurter 1301.0101, Nh-Sicherung ( 1PE =3 Pièces - Neuf
 24,24 8d 3h
Rittal SV9342.250, Adaptat de Connexion
Top-Rated Seller Rittal SV9342.250, Adaptat de Connexion
 26,36 8d 20h
Festo 175092, SMBR-8-10, Befestigungsbausatz (1PE = 4Stk - Neuf
Top-Rated Seller Festo 175092, SMBR-8-10, Befestigungsbausatz (1PE = 4Stk - Neuf
 26,36 8d 20h
Watlow 8015500, Isolation (1PE = 5Stk - Neuf
Top-Rated Seller Watlow 8015500, Isolation (1PE = 5Stk - Neuf
 26,10 8d 20h
NSK 2208K Roulement à Bille / Self Aligning Ball Roulements
Top-Rated Seller NSK 2208K Roulement à Bille / Self Aligning Ball Roulements
 26,05 8d 20h
Schneider Electric Zcke23, 064622, Osisense Positionsschalterkopf- Neuf
Top-Rated Seller Schneider Electric Zcke23, 064622, Osisense Positionsschalterkopf- Neuf
 24,24 8d 20h
Keyence E120411-I, Câble
Top-Rated Seller Keyence E120411-I, Câble
 24,24 8d 20h
Balluff BKS S19-1-10, Câble de Connexion BCC0007 6m
Top-Rated Seller Balluff BKS S19-1-10, Câble de Connexion BCC0007 6m
 26,36 8d 20h
BOC Edwards 921454-801/921454801, Kit Mise 5V Bloc Alimentation
Top-Rated Seller BOC Edwards 921454-801/921454801, Kit Mise 5V Bloc Alimentation
 26,99 8d 20h
Hubspindel Pour Mot Pas à Pas , Masse Voir Photos / Jackscrew Measu
Top-Rated Seller Hubspindel Pour Mot Pas à Pas , Masse Voir Photos / Jackscrew Measu
 23,71 8d 20h
Opto 22 Term 1, Pamux Bus, Abschlusskarte - Neuf
Top-Rated Seller Opto 22 Term 1, Pamux Bus, Abschlusskarte - Neuf
 24,35 8d 20h
ARO 127122-300/127122300, Contrôl - Neuf
Top-Rated Seller ARO 127122-300/127122300, Contrôl - Neuf
 27,38 8d 20h
Balluff BKS-S 19-1-10, Câble de Connexion 3,5m
Top-Rated Seller Balluff BKS-S 19-1-10, Câble de Connexion 3,5m
 24,24 8d 20h
Ifm MK5311,MKT3028BBPKG / G/0,3 / Comme , Zylindersensor Avec Gmr-Zelle- Neuf
Top-Rated Seller Ifm MK5311,MKT3028BBPKG / G/0,3 / Comme , Zylindersensor Avec Gmr-Zelle- Neuf
 24,24 8d 20h
LappKabel 21700530, ED-PB-90-LED/EDPB90LED, Connect de Bus Professionnel
Top-Rated Seller LappKabel 21700530, ED-PB-90-LED/EDPB90LED, Connect de Bus Professionnel
 27,08 8d 20h
SIKA VKS15M0ARDEN43, Stroemungskontrollschalter, Sans Prise
Top-Rated Seller SIKA VKS15M0ARDEN43, Stroemungskontrollschalter, Sans Prise
 24,35 8d 20h
Wöhner 01 274/01274,Schienen-Längsverbindung 2500 A ( 1PE =3 Pièces - comme Neuf
Top-Rated Seller Wöhner 01 274/01274,Schienen-Längsverbindung 2500 A ( 1PE =3 Pièces - comme Neuf
 26,46 8d 20h
Festo 537988, MPA1-MPM-EMM-8, Module Électronique
Top-Rated Seller Festo 537988, MPA1-MPM-EMM-8, Module Électronique
 26,36 8d 20h
Telemecanique 032460, ZC2-JE04/ZC2JE04, Fin de Course Tête
Top-Rated Seller Telemecanique 032460, ZC2-JE04/ZC2JE04, Fin de Course Tête
 26,51 8d 20h
ILME ,23785, Boîtier de Connection / Plug Boîtier ( 1PE=4Stk 1PU=4Pcs
Top-Rated Seller ILME ,23785, Boîtier de Connection / Plug Boîtier ( 1PE=4Stk 1PU=4Pcs
 26,08 8d 20h
PT 1000 Capt de Discussion 1/8 Pouces Que Pièce de Rechange, Accessoires Pour
Top-Rated Seller PT 1000 Capt de Discussion 1/8 Pouces Que Pièce de Rechange, Accessoires Pour
 25,33  3d 6h
Siemens 6ES5430-4UA14  NFP
Top-Rated Seller Siemens 6ES5430-4UA14  NFP
 26,20 15d 0h
Siemens 6ES5312-3AB11  NFP
Top-Rated Seller Siemens 6ES5312-3AB11  NFP
 15,70 15d 0h
Eslon 25-1, Soupape
Top-Rated Seller Eslon 25-1, Soupape
 23,18 10d 17h
Murr Elektronik 50040, Module De L'Optocoupl
Top-Rated Seller Murr Elektronik 50040, Module De L'Optocoupl
 21,06 10d 17h
At3/34, Poulie de Déviation 35mm - comme Neuf
Top-Rated Seller At3/34, Poulie de Déviation 35mm - comme Neuf
 21,06 10d 17h
Thermocoax Thermocoupler 2abi15/219 NM / Ti / Di / 2AB351 FF229 Comme
Top-Rated Seller Thermocoax Thermocoupler 2abi15/219 NM / Ti / Di / 2AB351 FF229 Comme
 20,71 10d 17h
Balluff BKS-S 19-1-10, Câble de Connexion 2,5m
Top-Rated Seller Balluff BKS-S 19-1-10, Câble de Connexion 2,5m
 21,06 10d 17h
Ifm EVC492, Câble de Raccordement - Neuf
Top-Rated Seller Ifm EVC492, Câble de Raccordement - Neuf
 20,00 10d 17h
ELGES le Tag 50-UK-2RS, Radialgelenklager / Radial Sphérique Plaine Roulements
Top-Rated Seller ELGES le Tag 50-UK-2RS, Radialgelenklager / Radial Sphérique Plaine Roulements
 19,80 10d 17h
Pepperl+Fuchs 184262, V3-GM-1M-PUR-V3S-GM, Câble de Connexion - Neuf
Top-Rated Seller Pepperl+Fuchs 184262, V3-GM-1M-PUR-V3S-GM, Câble de Connexion - Neuf
 18,95 10d 17h
Siemens 6ES7972-0BA42-0XA0, Simatic Dp , Fiche de Connexion E 1
Top-Rated Seller Siemens 6ES7972-0BA42-0XA0, Simatic Dp , Fiche de Connexion E 1
 18,95 10d 17h
Leuze Irk92/2-400s/IRK92/2400S , Lichttaster
Top-Rated Seller Leuze Irk92/2-400s/IRK92/2400S , Lichttaster
 20,06 10d 17h
Bague de Centrage Vide Di = 66mm Da = 95mm - Neuf
Top-Rated Seller Bague de Centrage Vide Di = 66mm Da = 95mm - Neuf
 20,84 10d 17h
Murr Elektronik 7000-08041-6200300, Câble
Top-Rated Seller Murr Elektronik 7000-08041-6200300, Câble
 18,95 10d 17h
Câble 7030, Câble
Top-Rated Seller Câble 7030, Câble
 21,06 10d 17h
Werma 84050000, Dauerlichtelement 12-230V AC / Dc Coloré - Neuf
Top-Rated Seller Werma 84050000, Dauerlichtelement 12-230V AC / Dc Coloré - Neuf
 20,00 10d 17h
Sick 6010755, DOL-0804-W10M, Câble de Raccordement - Neuf
Top-Rated Seller Sick 6010755, DOL-0804-W10M, Câble de Raccordement - Neuf
 18,95 10d 17h
Fibox 28692 , Boîtier
Top-Rated Seller Fibox 28692 , Boîtier
 18,95 10d 17h
Tel (Tokyo Electron) 5002-400078-11 / 500240007811, 308366431, Rouleau - Neuf
Top-Rated Seller Tel (Tokyo Electron) 5002-400078-11 / 500240007811, 308366431, Rouleau - Neuf
 19,74 10d 17h
Pulsotronic 9863-5830/98635830, Capt Inductif
Top-Rated Seller Pulsotronic 9863-5830/98635830, Capt Inductif
 19,99 10d 17h
Murr Elektronik 7000-8081-6301000, Câble de Connexion
Top-Rated Seller Murr Elektronik 7000-8081-6301000, Câble de Connexion
 18,95 10d 17h
LH 120TS050, Vide Pièce en T KF50
Top-Rated Seller LH 120TS050, Vide Pièce en T KF50
 18,95 10d 17h
Weigel PQ72K, Analogique Outil de Mesurage / Analogique Mètres 0 - 2,6kA
Top-Rated Seller Weigel PQ72K, Analogique Outil de Mesurage / Analogique Mètres 0 - 2,6kA
 22,94 10d 18h
Micro Optronique Câble de Connexion - comme Neuf
Top-Rated Seller Micro Optronique Câble de Connexion - comme Neuf
 23,09 10d 18h
Rittal SZ 2372.020, LED Dauerlichtelement
Top-Rated Seller Rittal SZ 2372.020, LED Dauerlichtelement
 23,18 10d 18h
Weigel EQ72K Analogique Outil de Mesurage / Analogique Mètres 0 - 50V
Top-Rated Seller Weigel EQ72K Analogique Outil de Mesurage / Analogique Mètres 0 - 50V
 22,94 10d 18h
Balluff BKS-S 49-4-PU-05, Câble de Connexion
Top-Rated Seller Balluff BKS-S 49-4-PU-05, Câble de Connexion
 20,00 10d 18h
INA KR19-X-PP-A, Kurevenrolle / Came Follower
Top-Rated Seller INA KR19-X-PP-A, Kurevenrolle / Came Follower
 20,00 10d 18h
Al DN 39 ISO KF, Pièce en Angle Bride, Tuyau Coudé D:21,5mm
Top-Rated Seller Al DN 39 ISO KF, Pièce en Angle Bride, Tuyau Coudé D:21,5mm
 18,22 10d 18h
Electromatic EI1805TBCP, Capt de Proximité
Top-Rated Seller Electromatic EI1805TBCP, Capt de Proximité
 22,13 10d 18h
Watlow 8015500, Isolation (1PE = 4Stk - Neuf
Top-Rated Seller Watlow 8015500, Isolation (1PE = 4Stk - Neuf
 18,22 10d 18h
Weigel Analogique 0 - 60V, PQ72, Outil de Mesurage / Analogique Mètre
Top-Rated Seller Weigel Analogique 0 - 60V, PQ72, Outil de Mesurage / Analogique Mètre
 22,94 10d 18h
At3/15, Poulie de Déviation - comme Neuf
Top-Rated Seller At3/15, Poulie de Déviation - comme Neuf
 22,76 10d 18h
L: 400mm B : 20mm, Rails de Coulissement - comme Neuf
Top-Rated Seller L: 400mm B : 20mm, Rails de Coulissement - comme Neuf
 18,95 12d 5h
Moeller Xhi-n11 + Faz-c13/1, Dispositif Disjonct - comme Neuf
Top-Rated Seller Moeller Xhi-n11 + Faz-c13/1, Dispositif Disjonct - comme Neuf
 18,95 12d 5h
Siemens, 3RH1262-2BB40 Relais de Contrôle / Contrôle Relay - Neuf / Neuf
Top-Rated Seller Siemens, 3RH1262-2BB40 Relais de Contrôle / Contrôle Relay - Neuf / Neuf
 20,05 12d 5h
Gemü Ptfe / Epm MG 25, Membrane - Neuf
Top-Rated Seller Gemü Ptfe / Epm MG 25, Membrane - Neuf
 21,06 12d 5h
1.4571, Raccord Pour Pumpwerk F427 DN25
Top-Rated Seller 1.4571, Raccord Pour Pumpwerk F427 DN25
 21,06 12d 5h
Siemens 5SX2110-8/5SX21108, Disjonct - Neuf
Top-Rated Seller Siemens 5SX2110-8/5SX21108, Disjonct - Neuf
 21,06 12d 5h
Bansbach D3D3-11-120-333 002 050N, Easylift
Top-Rated Seller Bansbach D3D3-11-120-333 002 050N, Easylift
 26,36 12d 5h
Smc 111585A, Filtre - Neuf
Top-Rated Seller Smc 111585A, Filtre - Neuf
 21,06 12d 5h
Phoenix Contact 2967044/2961202, Module de Relais Plc-Bsc (1PE = 2Stk - Neuf
Top-Rated Seller Phoenix Contact 2967044/2961202, Module de Relais Plc-Bsc (1PE = 2Stk - Neuf
 21,06 12d 5h
Phoenix Contact 2967248/29 67 264, Module de Relais Plc-Bsc (1PE = 2Stk - Neuf
Top-Rated Seller Phoenix Contact 2967248/29 67 264, Module de Relais Plc-Bsc (1PE = 2Stk - Neuf
 21,06 12d 5h
Philips 946401902201, Pg1902/20, Modulhalterung ( 1PE =3 Pièces
Top-Rated Seller Philips 946401902201, Pg1902/20, Modulhalterung ( 1PE =3 Pièces
 20,00 12d 5h
Ifm II5447, Iia3010-bpkg/Us-100-dps, Capt Inductif
Top-Rated Seller Ifm II5447, Iia3010-bpkg/Us-100-dps, Capt Inductif
 23,18 12d 5h
Akv-10mic-9 " 3/4, Elément de Filtre - Neuf
Top-Rated Seller Akv-10mic-9 " 3/4, Elément de Filtre - Neuf
 27,42 12d 5h
Honeywell GLDB01C, Globaler Grenzschalter
Top-Rated Seller Honeywell GLDB01C, Globaler Grenzschalter
 27,42 12d 5h
IFM IF5920, Capt - Neuf
Top-Rated Seller IFM IF5920, Capt - Neuf
 24,10 12d 5h
Mitsubishi ST1X2-DE1,Eingangsmodul 2 Entrées,Connects / Entrée Module 2
Top-Rated Seller Mitsubishi ST1X2-DE1,Eingangsmodul 2 Entrées,Connects / Entrée Module 2
 21,06 12d 5h
Rittal SV9342.260/SV9342260, Adaptat de Connexion
Top-Rated Seller Rittal SV9342.260/SV9342260, Adaptat de Connexion
 20,11 12d 5h
Rittal SZ 4139.140 Schaltschrankleuchte/Cabinet Light
Top-Rated Seller Rittal SZ 4139.140 Schaltschrankleuchte/Cabinet Light
 28,07 12d 5h
Rittal SV9342.290/SV9342290, Adaptat de Connexion
Top-Rated Seller Rittal SV9342.290/SV9342290, Adaptat de Connexion
 25,42 12d 5h
Hubbegrenzer, Saugventil Pe Pour Pompe - Neuf
Top-Rated Seller Hubbegrenzer, Saugventil Pe Pour Pompe - Neuf
 21,06 12d 5h
Igus 14.1.048 , Chaîne Énergétique 14E2 B = 26 R= 48 45 Membres - comme Neuf
Top-Rated Seller Igus 14.1.048 , Chaîne Énergétique 14E2 B = 26 R= 48 45 Membres - comme Neuf
 18,95 12d 5h
Mitsubishi CP30-BA, Schaltkreisschutz 10A 2 Pôles
Top-Rated Seller Mitsubishi CP30-BA, Schaltkreisschutz 10A 2 Pôles
 21,06 23d 3h
Cosel NAP-10-472-D, Rauschfilter 6A
Top-Rated Seller Cosel NAP-10-472-D, Rauschfilter 6A
 24,24 23d 3h
Toyo SH-SRDST, Unité de Base 80μF
Top-Rated Seller Toyo SH-SRDST, Unité de Base 80μF
 21,06 23d 3h
ETA 3600-P10-Si, Coupe-Circuit avec Culot
Top-Rated Seller ETA 3600-P10-Si, Coupe-Circuit avec Culot
 26,36 23d 3h
Mitsubishi US-N5SS, Démarr de Mot
Top-Rated Seller Mitsubishi US-N5SS, Démarr de Mot
 21,06 23d 3h
Patte Avec Vis (Aluminium) (1PE = 10Stk
Top-Rated Seller Patte Avec Vis (Aluminium) (1PE = 10Stk
 21,00 13d 11h
Patte Avec Vis DN63-100 (1PE = 10Stk
Top-Rated Seller Patte Avec Vis DN63-100 (1PE = 10Stk
 24,95 13d 11h
Lumberg Automation Rkmv4-225/2m, M8, 4-polig Pour Capts - comme Neuf
Top-Rated Seller Lumberg Automation Rkmv4-225/2m, M8, 4-polig Pour Capts - comme Neuf
 21,41 13d 11h
Eslon Type-B 25-1, Robinet à Boisseau Sphérique
Top-Rated Seller Eslon Type-B 25-1, Robinet à Boisseau Sphérique
 25,74 16d 17h
Rittal PS 4118.000, Schaltplantasche - Neuf
Top-Rated Seller Rittal PS 4118.000, Schaltplantasche - Neuf
 22,46 23d 5h
Rittal TS 8800.060, Kabeleinführungsbleche (1PE=2 Pièces - Neuf
Top-Rated Seller Rittal TS 8800.060, Kabeleinführungsbleche (1PE=2 Pièces - Neuf
 20,80 23d 5h
Festo 533370,VMPA-FB-EPL-G / Vmpa Coul Epl G / Vmpafbeplg,Plaque D'Extrémité
Top-Rated Seller Festo 533370,VMPA-FB-EPL-G / Vmpa Coul Epl G / Vmpafbeplg,Plaque D'Extrémité
 19,03 27d 2h
Festo 533371, VMPA-FB-EPL-EU / Vmpa Coul Epl Union opéenne / Vmpafbepleu,
Top-Rated Seller Festo 533371, VMPA-FB-EPL-EU / Vmpa Coul Epl Union opéenne / Vmpafbepleu,
 27,86 27d 2h
Festo 533346, VMPA1-M1H-E-PI/VMPA1 M1H E Pi / VMPA1M1HEPI, Vanne Magnétique
Top-Rated Seller Festo 533346, VMPA1-M1H-E-PI/VMPA1 M1H E Pi / VMPA1M1HEPI, Vanne Magnétique
 18,94 27d 2h
Pepperl+Fuchs 800570, M5/115/M5 115, Einweg-Lichtschranke Émett
Top-Rated Seller Pepperl+Fuchs 800570, M5/115/M5 115, Einweg-Lichtschranke Émett
 23,36 6d 15h
Festo 756876/756 876, Bride Flx - Neuf
Top-Rated Seller Festo 756876/756 876, Bride Flx - Neuf
 20,87 6d 15h
Pilz 312191, Pssu (Universel) E Pour PS1/PSSuEFPS1, Stromversorgungsmodul
Top-Rated Seller Pilz 312191, Pssu (Universel) E Pour PS1/PSSuEFPS1, Stromversorgungsmodul
 18,65  8d 3h
Allen Bradley 1492-SP3C630/1492SP3C630, Disjonct C63, 3 Pôles
Top-Rated Seller Allen Bradley 1492-SP3C630/1492SP3C630, Disjonct C63, 3 Pôles
 21,72  10d 17h
Siemens 3RH2122-1SB40/3RH21221SB40, Koppelhilfsschütz
Top-Rated Seller Siemens 3RH2122-1SB40/3RH21221SB40, Koppelhilfsschütz
 23,62  12d 5h
Pilz 312400, Pssu E S 4DI/PSSuES4DI (Universel), Standard E/A Module
Top-Rated Seller Pilz 312400, Pssu E S 4DI/PSSuES4DI (Universel), Standard E/A Module
 18,41  12d 5h
Siemens 3RV1021-4BA15, Interrupt de Puissance Taille S0
Top-Rated Seller Siemens 3RV1021-4BA15, Interrupt de Puissance Taille S0
 23,18  12d 5h
Siemens 3RT1016-1JB42, Contact de Puissance (1PE = 2Stk
Top-Rated Seller Siemens 3RT1016-1JB42, Contact de Puissance (1PE = 2Stk
 21,06  12d 5h
Siemens 3RT1015-1BB41, Contact de Puissance AC-3 7 A + 3RH1911-1FA22
Top-Rated Seller Siemens 3RT1015-1BB41, Contact de Puissance AC-3 7 A + 3RH1911-1FA22
 25,30  12d 5h
NTC20K / Ntc 20K Capt de Température Thermomètre à Résistance +250 °C
Top-Rated Seller NTC20K / Ntc 20K Capt de Température Thermomètre à Résistance +250 °C
 10,03  7d 7h
Capt Extéri pour Différentes Marques P. Ex. Loup 2792021 Tem 200, 201
Top-Rated Seller Capt Extéri pour Différentes Marques P. Ex. Loup 2792021 Tem 200, 201
 15,10  7d 9h
2 Pièce NTC20K Ntc 20K Capt de Température Thermomètre à Résistance
Top-Rated Seller 2 Pièce NTC20K Ntc 20K Capt de Température Thermomètre à Résistance
 15,60 7d 9h
Anlegeset Pour Sonde à Immersion 6mm, Ampoule de Placement Tube Surfaces
Top-Rated Seller Anlegeset Pour Sonde à Immersion 6mm, Ampoule de Placement Tube Surfaces
 9,58  7d 11h
NTC10K Capt de Température Ntc 10K 1.5m - Silicone / 200°C
Top-Rated Seller NTC10K Capt de Température Ntc 10K 1.5m - Silicone / 200°C
 9,02  7d 6h
2 Pièce PT1000/PT 1000 Capt de Température Widerstandsthermomete
Top-Rated Seller 2 Pièce PT1000/PT 1000 Capt de Température Widerstandsthermomete
 15,60 7d 7h
2 Pièce NTC5K / Ntc 5K Capt de Température Thermomètre à Résistance
Top-Rated Seller 2 Pièce NTC5K / Ntc 5K Capt de Température Thermomètre à Résistance
 15,60 7d 9h
Capt Extéri PT1000 de Température Extérie Heizungsregelungen Elektr.
Top-Rated Seller Capt Extéri PT1000 de Température Extérie Heizungsregelungen Elektr.
 15,10 7d 10h
Rexroth 0608830192, 3608872562 + 3608872563, Abschlußwiderstände - Neuf
Top-Rated Seller Rexroth 0608830192, 3608872562 + 3608872563, Abschlußwiderstände - Neuf
 51,89 12d 5h
PEER 63062RS Roulement Métal Scellé 30x72x19 MM 6306-2RS
Top-Rated Seller PEER 63062RS Roulement Métal Scellé 30x72x19 MM 6306-2RS
 12,67  15d 13h
NTN 6206 Roulement Ouvert 30x62x16 MM 6206-C3 USA
Top-Rated Seller NTN 6206 Roulement Ouvert 30x62x16 MM 6206-C3 USA
 12,67  15d 13h
Poignée poignée / # 6 4XX 3249
Top-Rated Seller Poignée poignée / # 6 4XX 3249
 10,79  17d 10h
Klöckner MOELLER Interrupt de Protection Du Mot PKZM 1-1
Top-Rated Seller Klöckner MOELLER Interrupt de Protection Du Mot PKZM 1-1
 11,09 13d 1h
3RT1936-1ES00 S2-3 Diode Assemblies 30-250VDC Surge Suppressor
3RT1936-1ES00 S2-3 Diode Assemblies 30-250VDC Surge Suppressor
 9,34 27d 22h
78x Phoenix Contact Couverture EA 5 1024014 Transparent Recouvrement
Top-Rated Seller 78x Phoenix Contact Couverture EA 5 1024014 Transparent Recouvrement
 46,29 13d 15h
PT1000 Capt de Discussion 1/8 Pouces Que Accessoire H-Tronic TS1000,
Top-Rated Seller PT1000 Capt de Discussion 1/8 Pouces Que Accessoire H-Tronic TS1000,
 25,33  3d 6h
Balluff BKS S19-1-10, Câble de Connexion BCC0007 4,5m
Top-Rated Seller Balluff BKS S19-1-10, Câble de Connexion BCC0007 4,5m
 21,06 10d 17h
Anlegeset Pour Sonde à Immersion 6mm Avec Pâte Thermique Et Bande Fixez Fuehler
Top-Rated Seller Anlegeset Pour Sonde à Immersion 6mm Avec Pâte Thermique Et Bande Fixez Fuehler
 9,58  7d 6h
Capt Extéri Ntc 5K / de Température Extérie NTC5K Chauffage
Top-Rated Seller Capt Extéri Ntc 5K / de Température Extérie NTC5K Chauffage
 15,10 7d 10h
FICHE DE LUBRIFICATION CENTRALE 1 / 4BSP x ø10 / # D ASA 3412
Top-Rated Seller FICHE DE LUBRIFICATION CENTRALE 1 / 4BSP x ø10 / # D ASA 3412
 8,20  11d 4h
Tête de fin de course ZCK-E05 / # 4 4XX 6379
Top-Rated Seller Tête de fin de course ZCK-E05 / # 4 4XX 6379
 8,64  11d 10h
Cosse de câble 185 mm2 Cu / # 4 Y1Y 9554
Top-Rated Seller Cosse de câble 185 mm2 Cu / # 4 Y1Y 9554
 3,60  11d 14h
Siemens 6es7 972-0ab01-0xa0 E:2 V1.0.1 Diagnostic Répét
Top-Rated Seller Siemens 6es7 972-0ab01-0xa0 E:2 V1.0.1 Diagnostic Répét
 20,11 3d 10h
Siemens 6es7 972-0ab01-0xa0 E:3 V2.0.0 Diagnostic Répét
Top-Rated Seller Siemens 6es7 972-0ab01-0xa0 E:3 V2.0.0 Diagnostic Répét
 20,11 3d 10h
Siemens 6es7 972-0ab01-0xa0 E:1 V1.0.0 Diagnostic Répét
Top-Rated Seller Siemens 6es7 972-0ab01-0xa0 E:1 V1.0.0 Diagnostic Répét
 20,11 3d 10h
Siemens Sinumerik 6FX1808-1BX01 Eprom
Top-Rated Seller Siemens Sinumerik 6FX1808-1BX01 Eprom
 46,58 6d 12h
Siemens Sinumerik 6FX1806-0BX02 Eprom
Top-Rated Seller Siemens Sinumerik 6FX1806-0BX02 Eprom
 58,23 6d 12h
Ifm electronic Ord.No.DN2011 Alimentation
Top-Rated Seller Ifm electronic Ord.No.DN2011 Alimentation
 26,46 2d 4h
Siemens 6ES7 321-1BH02-0AA0 E:2 6ES7321-1BH02-0AA0 SM321
Top-Rated Seller Siemens 6ES7 321-1BH02-0AA0 E:2 6ES7321-1BH02-0AA0 SM321
 11,64 26d 16h
SANKYO WM30FBA Druckreiniger PRESSURE WASHER
SANKYO WM30FBA Druckreiniger PRESSURE WASHER
 2,00 9d 23h
SWISSLOG Fjäder spring D 339A 01 35613 4,0X21, 50,0 ( old stock)
SWISSLOG Fjäder spring D 339A 01 35613 4,0X21, 50,0 ( old stock)
 3,00 20d 1h
Wagner powder injector 0241461 Check valve w/o orifice ( old stock)
Wagner powder injector 0241461 Check valve w/o orifice ( old stock)
 3,00 7d 23h
Mitsubishi Electric Module D'Entrée A1SX81 Entrée Unité de Contrôle Melsec
Top-Rated Seller Mitsubishi Electric Module D'Entrée A1SX81 Entrée Unité de Contrôle Melsec
 25,71 19d 0h
Fgp ARD152-S100 Type:4-20 / 250/20/24/5,6 08251400 Phoenix contact 35.75 25
Top-Rated Seller Fgp ARD152-S100 Type:4-20 / 250/20/24/5,6 08251400 Phoenix contact 35.75 25
 10,07 2d 5h
2 Pcs 8mm à 6mm Voiture Laiton Tuyau Barbelé Raccord Droit Connect
Top-Rated Seller 2 Pcs 8mm à 6mm Voiture Laiton Tuyau Barbelé Raccord Droit Connect
 6,09  18d 19h
Siemens Sipart DR20 6DR 2004-1
Top-Rated Seller Siemens Sipart DR20 6DR 2004-1
 185,14 16d 0h
Vanne Kubota 1g68713120 / # K M6l 5712
Top-Rated Seller Vanne Kubota 1g68713120 / # K M6l 5712
 16,55  17d 0h
06324 Glenair M85049 69 9N 1120
06324 Glenair M85049 69 9N 1120
 5,00 3d 4h
Bosch Dt G02?00 AN00072923 Cpm-Swm
Top-Rated Seller Bosch Dt G02?00 AN00072923 Cpm-Swm
 51,43 2d 15h
Mcd Électronique 8IO Mechanikinterface 57600
Top-Rated Seller Mcd Électronique 8IO Mechanikinterface 57600
 51,43 2d 15h
Abb UA75-30
Top-Rated Seller Abb UA75-30
 51,43 2d 15h
Wire Electronic DSV 5421-L
Top-Rated Seller Wire Electronic DSV 5421-L
 51,43 2d 15h
Arburg Multronica Carte SN 94.102 A
Top-Rated Seller Arburg Multronica Carte SN 94.102 A
 51,43 2d 15h
Wire Electronic DSV 5421-E
Top-Rated Seller Wire Electronic DSV 5421-E
 51,43 2d 15h
Sick WL250-P430
Top-Rated Seller Sick WL250-P430
 51,43 2d 15h
Siemens Simatic 6ES5 491-0LB11
Top-Rated Seller Siemens Simatic 6ES5 491-0LB11
 51,43 2d 7h
Abb 3BSE023155R1 Paupière Pour Pfrl 101B
Top-Rated Seller Abb 3BSE023155R1 Paupière Pour Pfrl 101B
 51,43 2d 11h
Asea EG 20 SK 412 0217
Top-Rated Seller Asea EG 20 SK 412 0217
 51,43 2d 15h
Bosch G01?00 AP-DC
Top-Rated Seller Bosch G01?00 AP-DC
 51,43 2d 15h
Arburg Sn 47.733
Top-Rated Seller Arburg Sn 47.733
 51,43 2d 15h
Festo VSVA-B-M52-AZH-A2-1R2L
Top-Rated Seller Festo VSVA-B-M52-AZH-A2-1R2L
 51,43 2d 15h
Arburg Multronica Carte 518 Sn 94.103 A
Top-Rated Seller Arburg Multronica Carte 518 Sn 94.103 A
 51,43 2d 15h
Ls 12-15 01577.196.02.2.00
Top-Rated Seller Ls 12-15 01577.196.02.2.00
 51,43 2d 15h
Becker Ausgabekarte 99002-1
Top-Rated Seller Becker Ausgabekarte 99002-1
 51,43 2d 7h
Système - NT 110590
Top-Rated Seller Système - NT 110590
 51,43 2d 7h
Murr Électronique 85083
Top-Rated Seller Murr Électronique 85083
 51,43 2d 7h
EMA Richelin Indutec EG 96 Contrôle Carte EG96-2 Carte de Contrôle Bobine
Top-Rated Seller EMA Richelin Indutec EG 96 Contrôle Carte EG96-2 Carte de Contrôle Bobine
 52,76 18d 10h
Heller Uni-Pro ACPU90-VE C 23.050052X-02548
Top-Rated Seller Heller Uni-Pro ACPU90-VE C 23.050052X-02548
 329,00 25d 7h
Heller Uni-Pro Steuerkarte CANCPU J 23.020143-01947
Top-Rated Seller Heller Uni-Pro Steuerkarte CANCPU J 23.020143-01947
 599,00 25d 7h
Eckelmann CPU-Board EC-CPU 02
Top-Rated Seller Eckelmann CPU-Board EC-CPU 02
 329,00 25d 7h
Eckelmann 092111126-02-15910133
Top-Rated Seller Eckelmann 092111126-02-15910133
 359,00 29d 7h
Ambre 6502999034, KIB-D06PS/1,5 -KL2V , Capts de Proximité - Neuf
Top-Rated Seller Ambre 6502999034, KIB-D06PS/1,5 -KL2V , Capts de Proximité - Neuf
 33,78 8d 20h
Bl Ndh A1204TS Cylindrique Roulement Rouleaux 20x47x14 MM A 1204 Ts N-204 Pièce
Top-Rated Seller Bl Ndh A1204TS Cylindrique Roulement Rouleaux 20x47x14 MM A 1204 Ts N-204 Pièce
 10,86  27d 0h
PEER 6200-ZZ Roulement Métal Scellé 10x30x9 MM 6200ZZ
Top-Rated Seller PEER 6200-ZZ Roulement Métal Scellé 10x30x9 MM 6200ZZ
 8,15  27d 0h
FAFNIR 9103PP Roulement Caoutchouc Scellé 9103 PP 6003-2RS 17x35x10 MM USA
Top-Rated Seller FAFNIR 9103PP Roulement Caoutchouc Scellé 9103 PP 6003-2RS 17x35x10 MM USA
 7,70  26d 21h
3 Pièces WJB SER20516 Fente de Palier SER-206-16 1 " Identité (Lot De 3)
Top-Rated Seller 3 Pièces WJB SER20516 Fente de Palier SER-206-16 1 " Identité (Lot De 3)
 21,72  26d 23h
MRC 102KSZZ Roulement Caoutchouc Scellé 102 Kszz 6002-2RS-C3 15x32x9 MM
Top-Rated Seller MRC 102KSZZ Roulement Caoutchouc Scellé 102 Kszz 6002-2RS-C3 15x32x9 MM
 10,86  27d 0h
Torrington YCRS-16 Suiv Cam Roulement YCRS16 (CYR-1-S) USA
Top-Rated Seller Torrington YCRS-16 Suiv Cam Roulement YCRS16 (CYR-1-S) USA
 10,86  27d 0h
PEER 63042RS Roulement Caoutchouc Scellé 6304-2RS 20x52x15 MM
Top-Rated Seller PEER 63042RS Roulement Caoutchouc Scellé 6304-2RS 20x52x15 MM
 9,95  27d 0h
PEER 6300-2RS-C3 Roulement Caoutchouc Scellé 17x35x10 MM 60032RSC3 60032RS
Top-Rated Seller PEER 6300-2RS-C3 Roulement Caoutchouc Scellé 17x35x10 MM 60032RSC3 60032RS
 8,15  27d 0h
B&R 8MSA2L.R0-42 Rev. D0 SERVO MOTOR
B&R 8MSA2L.R0-42 Rev. D0 SERVO MOTOR
 555,00 22d 9h
Porte-grenouille / # 6 4XX 3038
Top-Rated Seller Porte-grenouille / # 6 4XX 3038
 10,73  17d 10h
2 Pièce PT100/PT 100 Capt de Température Widerstandsthermomete
Top-Rated Seller 2 Pièce PT100/PT 100 Capt de Température Widerstandsthermomete
 15,60 7d 9h
EM-Technik 217967 Pp / Epd , 2-Wege-Kugelhahn DN6 Pn 10 Pp G1/4 " - Neuf
Top-Rated Seller EM-Technik 217967 Pp / Epd , 2-Wege-Kugelhahn DN6 Pn 10 Pp G1/4 " - Neuf
 23,62 7d 5h
Kraus & Naimer CH10B D-59F4 02 E, Interrupt / Interrupt - Neuf / Neuf
Top-Rated Seller Kraus & Naimer CH10B D-59F4 02 E, Interrupt / Interrupt - Neuf / Neuf
 19,04 8d 3h
GF Schlauchanschlussstück 32-32 ; L = 100mm - comme Neuf
Top-Rated Seller GF Schlauchanschlussstück 32-32 ; L = 100mm - comme Neuf
 21,06 10d 17h
Festo 533361, MPA1-FB-EMG-8, Module Électronique
Top-Rated Seller Festo 533361, MPA1-FB-EMG-8, Module Électronique
 21,06 10d 17h
Speedy Block 320/T2x, Verschlußspanner Sans Spannstück
Top-Rated Seller Speedy Block 320/T2x, Verschlußspanner Sans Spannstück
 18,95 10d 17h
Fujielectric Sc-n1/G / Sc N1/G / SCN1/G, Protection 24V Dc
Top-Rated Seller Fujielectric Sc-n1/G / Sc N1/G / SCN1/G, Protection 24V Dc
 20,06 10d 17h
24mm, Poulie de Déviation Avec Grands Seitenführungsrand - comme Neuf
Top-Rated Seller 24mm, Poulie de Déviation Avec Grands Seitenführungsrand - comme Neuf
 18,95 10d 17h
OMRON V-15-1B/V151B, Einrastschalter (1PE = 9Stk - comme Neuf
Top-Rated Seller OMRON V-15-1B/V151B, Einrastschalter (1PE = 9Stk - comme Neuf
 26,36 12d 5h
Atlanta 71 36 424, Embrayage Bo. 24/24 - comme Neuf
Top-Rated Seller Atlanta 71 36 424, Embrayage Bo. 24/24 - comme Neuf
 26,36 12d 5h
IFM MK5121,Mkt3028bbpkg / G/0,5, T-Nut-Zylindersensor - comme Neuf
Top-Rated Seller IFM MK5121,Mkt3028bbpkg / G/0,5, T-Nut-Zylindersensor - comme Neuf
 19,16 12d 5h
ProMinent 1001674, Ersatzteilset Kit Joints By 4.7-2, EPDM / P Seconde - Neuf
Top-Rated Seller ProMinent 1001674, Ersatzteilset Kit Joints By 4.7-2, EPDM / P Seconde - Neuf
 21,06 12d 5h
Baumer ESG 34SH0500, 39527825, Sensoranschlusskabel - Neuf
Top-Rated Seller Baumer ESG 34SH0500, 39527825, Sensoranschlusskabel - Neuf
 24,14 12d 5h
Lenze 00470759, Ligne de Systèm, EYD0017A0005W01S01, E47543
Top-Rated Seller Lenze 00470759, Ligne de Systèm, EYD0017A0005W01S01, E47543
 25,94 12d 5h
SMC ZFB300-08, Zfb Vakuumfilter - Neuf
Top-Rated Seller SMC ZFB300-08, Zfb Vakuumfilter - Neuf
 21,06 12d 5h
Corps de Roue Pvr 125/37.5 - Neuf
Top-Rated Seller Corps de Roue Pvr 125/37.5 - Neuf
 18,95 12d 5h
BINDER 9942260007, Prise Série 693 - Neuf
Top-Rated Seller BINDER 9942260007, Prise Série 693 - Neuf
 18,95 23d 3h
Rittal SV9612.000/SV9612 000/SV9612000, Pls Adaptat de Connexion
Top-Rated Seller Rittal SV9612.000/SV9612 000/SV9612000, Pls Adaptat de Connexion
 26,36 6d 15h
Pepperl+Fuchs 800569, MV5/32/115, Einweg-Lichtschranke Récept
Top-Rated Seller Pepperl+Fuchs 800569, MV5/32/115, Einweg-Lichtschranke Récept
 23,36 6d 16h
Rexroth Mecman Pneumatik 585-113-000-0
Rexroth Mecman Pneumatik 585-113-000-0
 15,00 8d 2h
Electric Counter Digital Electrical Counter Durable Accurately Practical Count
Top-Rated Seller Electric Counter Digital Electrical Counter Durable Accurately Practical Count
 10,27 12d 23h
Rademacher Rollotube Intelligent Rtfs 6/28Z Radio Mot Tubulaire SW40
Top-Rated Seller Rademacher Rollotube Intelligent Rtfs 6/28Z Radio Mot Tubulaire SW40
 170,94  14d 0h
NTN 6206 Llb C3 Roulement Caoutchouc Scellé 30x62x16 MM 6206LLBC3 6206-2RS-C3 US
Top-Rated Seller NTN 6206 Llb C3 Roulement Caoutchouc Scellé 30x62x16 MM 6206LLBC3 6206-2RS-C3 US
 13,58  15d 13h
Bl 1206C3 Alignement Automatique Roulement 30x62x16 MM 1206 C3
Top-Rated Seller Bl 1206C3 Alignement Automatique Roulement 30x62x16 MM 1206 C3
 12,67  15d 13h
Norgren 59.11028 valve part
Norgren 59.11028 valve part
 15,00 7d 5h
Schneider GVAN20 690V 6A AC15 720VA/240V 1.4Nm VDE Side Mount aux
Schneider GVAN20 690V 6A AC15 720VA/240V 1.4Nm VDE Side Mount aux
 5,00 13d 21h
SICK Capt Inductif de Proximité Ime12-04bppzc0k 1060546 Emballage Neuf
SICK Capt Inductif de Proximité Ime12-04bppzc0k 1060546 Emballage Neuf
 28,03 22d 7h
Di-soric Inductif Ringsensor Type Ir 10 Psok-ibs 202267
Di-soric Inductif Ringsensor Type Ir 10 Psok-ibs 202267
 28,84 22d 7h
BAUMER ZPW3-321 11190740 Hygienegerechte Einschweissmuffe G1/2 A Leckagebohrung
BAUMER ZPW3-321 11190740 Hygienegerechte Einschweissmuffe G1/2 A Leckagebohrung
 24,00 29d 6h
Interrupt / # 9 M6l 9603
Top-Rated Seller Interrupt / # 9 M6l 9603
 4,29  16d 15h
Interrupt De Demarr / # 9 M6l 7390
Top-Rated Seller Interrupt De Demarr / # 9 M6l 7390
 10,01  16d 15h
Diode de signalisation de bobine de vanne FESTO 19143 / # 8 RT1 2790
Top-Rated Seller Diode de signalisation de bobine de vanne FESTO 19143 / # 8 RT1 2790
 2,87  24d 13h
Disjonct IC60H-B16-1 B 16A / # K PX3 7415
Top-Rated Seller Disjonct IC60H-B16-1 B 16A / # K PX3 7415
 6,44  2d 23h
interrupt feux de détresse / # 6 4XX 8394
Top-Rated Seller interrupt feux de détresse / # 6 4XX 8394
 5,93  11d 4h
RACCORD BOUCHON DE REDUCTION fi 12 / 6mm RILSAN INDUST / # D ASA 0819
Top-Rated Seller RACCORD BOUCHON DE REDUCTION fi 12 / 6mm RILSAN INDUST / # D ASA 0819
 6,15  12d 23h
Pompe à membrane fi 120 / # G 4XX 9450
Top-Rated Seller Pompe à membrane fi 120 / # G 4XX 9450
 10,15  18d 12h
Shunt 25A 60mV / # D WSW 9710
Top-Rated Seller Shunt 25A 60mV / # D WSW 9710
 6,99  18d 15h
DISQUE FIBRE 180x22 P24 HERONDISC M.ABRAS / # D ASA 3317
Top-Rated Seller DISQUE FIBRE 180x22 P24 HERONDISC M.ABRAS / # D ASA 3317
 2,02  18d 22h
Kit De Reparation Distribut Filtre A Essence Bosch / # 6 M6l 3494
Top-Rated Seller Kit De Reparation Distribut Filtre A Essence Bosch / # 6 M6l 3494
 7,16  25d 1h
Interrupt Abl Sursum B 16a / # 4 L_s 9108
Top-Rated Seller Interrupt Abl Sursum B 16a / # 4 L_s 9108
 2,88  26d 4h
BOBINE CIRCUIT 7x7 101 PC 465kHz 5 PCS / # 6 W2D 3074
Top-Rated Seller BOBINE CIRCUIT 7x7 101 PC 465kHz 5 PCS / # 6 W2D 3074
 2,54  4h 28m
SQUARED trm-4 / # D L_S 3782 interrupt à clé
Top-Rated Seller SQUARED trm-4 / # D L_S 3782 interrupt à clé
 6,47  8h 42m
100

Brand  Invertek ODE-3-440300-3F42 3 Ph. Input, 3 Ph. Output 380-480V 15kW 30A
Brand  Invertek ODE-3-440300-3F42 3 Ph. Input, 3 Ph. Output 380-480V 15kW 30A
600,00  27d 6h
Okuma Mère Board 0PUS7000 E4809-770-118 E4809770118
Okuma Mère Board 0PUS7000 E4809-770-118 E4809770118
341,04  6d 2h
Emerson Vision Micro-Series WM2130 3HP-230VAC
Top-Rated Seller Emerson Vision Micro-Series WM2130 3HP-230VAC
358,09  1d 10h
Mitsubishi AC servo MELSERVO-J3  Fiber Optic Cable ( MR-J3BUS03M )
Mitsubishi AC servo MELSERVO-J3 Fiber Optic Cable ( MR-J3BUS03M )
29,00  14d 13h
Siemens Micromaster 6SE9215-8DB40 2200W/5.90 A @ 0-500 V AC Vs Lect2.2 Kw
Top-Rated Seller Siemens Micromaster 6SE9215-8DB40 2200W/5.90 A @ 0-500 V AC Vs Lect2.2 Kw
275,28  18d 11h
Toshiba VT130G1-2270BOH ESP-130 25HP 75A 208/230 V Transistor OndulDrive Vs
Top-Rated Seller Toshiba VT130G1-2270BOH ESP-130 25HP 75A 208/230 V Transistor OndulDrive Vs
961,31  18d 11h
HyTork-185 120 LB/Po2 Qa-Iso 9001 Valvule DéclenchPour 2 " Haute Hy Tork
Top-Rated Seller HyTork-185 120 LB/Po2 Qa-Iso 9001 Valvule DéclenchPour 2 " Haute Hy Tork
109,33  18d 11h
PowerMaster AC MotVitesse Contrôle 1.5 HP CIMR-H0.4G2 E-10 1 1/2 HP 460V
Top-Rated Seller PowerMaster AC MotVitesse Contrôle 1.5 HP CIMR-H0.4G2 E-10 1 1/2 HP 460V
174,78  18d 12h
FUJI ELECTRIC Frenic-Multi FRN003E1S-2NW Clé Haute Performance Compact Ondul
FUJI ELECTRIC Frenic-Multi FRN003E1S-2NW Clé Haute Performance Compact Ondul
212,58  8d 3h
IMO Jaguar VXSM750-3 7.5kw Variable Speed Drive
IMO Jaguar VXSM750-3 7.5kw Variable Speed Drive
817,24  1d 23h
Yaskawa CIMR-55WSB 80kVA Varispeed 676 CIMR55WSB 200V CIMR55WSB CIMR-55WS-B
Top-Rated Seller Yaskawa CIMR-55WSB 80kVA Varispeed 676 CIMR55WSB 200V CIMR55WSB CIMR-55WS-B
563,68  18d 12h
Reliance Electric 1AC2105U 5 HP 6.6 Kva 230V A-C Vs Lect5HP
Top-Rated Seller Reliance Electric 1AC2105U 5 HP 6.6 Kva 230V A-C Vs Lect5HP
218,48  18d 12h
Allen-Bradley Powerflex 70 20A D 3P4A 0 AYNNNC0 Séries A
Top-Rated Seller Allen-Bradley Powerflex 70 20A D 3P4A 0 AYNNNC0 Séries A
201,43  10d 0h
Allen Bradley 22A-D1P4N104 Series A Powerflex 4
Top-Rated Seller Allen Bradley 22A-D1P4N104 Series A Powerflex 4
152,19  12d 14h
Allen Bradley 190E Eco DémarrW/140M-C2E (C-Armature), 100-C23 10 6.3-10 Amp
Top-Rated Seller Allen Bradley 190E Eco DémarrW/140M-C2E (C-Armature), 100-C23 10 6.3-10 Amp
121,04  5d 18h
Mitsubishi AC servo MELSERVO-J3 Cable  ( MR-PWS3CBL2M-A1-L )
Mitsubishi AC servo MELSERVO-J3 Cable ( MR-PWS3CBL2M-A1-L )
33,00  14d 13h
Schneider Altivar 212 Frequency Converter AC Speed Drive ATV212W075N4 032265
Schneider Altivar 212 Frequency Converter AC Speed Drive ATV212W075N4 032265
408,61  16d 14h
Siemens CB15 Profibus Module 6SE3290-0XX87-8PB0 Neu  Un
Siemens CB15 Profibus Module 6SE3290-0XX87-8PB0 Neu Un
205,00  29d 22h
Yaskawa Cimr-Ds Varispeed 340 Module Puissance Unité CIMRDS 460V 500A
Yaskawa Cimr-Ds Varispeed 340 Module Puissance Unité CIMRDS 460V 500A
426,30  6d 2h
ABB ACH401B00932 Acs 400
Top-Rated Seller ABB ACH401B00932 Acs 400
716,18  1d 10h
Allen Bradley ~1336 GM2 ~ PC Board Pointe ~ PCB Assy RS232/422/485.DF1/DH485 ~
Top-Rated Seller Allen Bradley ~1336 GM2 ~ PC Board Pointe ~ PCB Assy RS232/422/485.DF1/DH485 ~
126,43  15d 8h
Yaskawa CIMR-2.2B.T 3 HP 230V Séries AC LectCIMR2.2B.T
Top-Rated Seller Yaskawa CIMR-2.2B.T 3 HP 230V Séries AC LectCIMR2.2B.T
432,59  4d 18h
Allen Bradley power flex 20A 8P0A 0 AYNNNNN
Top-Rated Seller Allen Bradley power flex 20A 8P0A 0 AYNNNNN
223,81  10d 0h
MAGNETEK MVB001 Utilisé
MAGNETEK MVB001 Utilisé
50,59  4d 17h
Unité de freinage Yaskawa CDBR-4220B 80A 510-660V DC / # 8 PX3 9086
Top-Rated Seller Unité de freinage Yaskawa CDBR-4220B 80A 510-660V DC / # 8 PX3 9086
902,99  7d 12h
Mitsubishi FR-E540-5.5k-EC Inverter
Mitsubishi FR-E540-5.5k-EC Inverter
817,24  2d 4h
ALLEN BRADLEY 1336 Plus II 15HP sans CaptVector AC Lect- Utilisé Nice
ALLEN BRADLEY 1336 Plus II 15HP sans CaptVector AC Lect- Utilisé Nice
1.596,46  6d 9h
HyTork-185 120 LB/Po2 W Pliaxseal 316 Ss 2 " Valvule Westlock Balise Déclench
Top-Rated Seller HyTork-185 120 LB/Po2 W Pliaxseal 316 Ss 2 " Valvule Westlock Balise Déclench
135,45  18d 11h
Fincor 6400 6402 5 HP 6402S0053A Adj Fréquence Vs AC Lect
Top-Rated Seller Fincor 6400 6402 5 HP 6402S0053A Adj Fréquence Vs AC Lect
345,20  18d 12h
Yaskawa CIMR-3.7B.E04 3 HP 230V Séries AC LectCIMR3.7B.E0
Top-Rated Seller Yaskawa CIMR-3.7B.E04 3 HP 230V Séries AC LectCIMR3.7B.E0
240,32  18d 12h
Yaskawa CIMR-3.7B.T 5 HP 230V Séries AC LectCIMR3.7B.T
Top-Rated Seller Yaskawa CIMR-3.7B.T 5 HP 230V Séries AC LectCIMR3.7B.T
240,32  18d 12h
Square D Omegapak Classe 8804 PT00FC4 PTOOFC4 5 HP 5HP
Top-Rated Seller Square D Omegapak Classe 8804 PT00FC4 PTOOFC4 5 HP 5HP
218,48  18d 12h
Fincor 6140 6144 10 HP Réglable Fréquence AC Lect
Top-Rated Seller Fincor 6140 6144 10 HP Réglable Fréquence AC Lect
305,87  18d 12h
Fincor 6140 5 HP 6142S0053A Adj Fréquence Vs AC Lect460V
Top-Rated Seller Fincor 6140 5 HP 6142S0053A Adj Fréquence Vs AC Lect460V
327,71  18d 12h
Yaskawa CIMR-0.75B.T .75 HP 230V Séries AC LectCIMR-0.75 B.T
Top-Rated Seller Yaskawa CIMR-0.75B.T .75 HP 230V Séries AC LectCIMR-0.75 B.T
284,03  18d 12h
Furnas / Veearc MCH411K1 Micro7000 Vs Lect15HP 17A 460V Vee-Arc Micro-7000
Top-Rated Seller Furnas / Veearc MCH411K1 Micro7000 Vs Lect15HP 17A 460V Vee-Arc Micro-7000
284,03  18d 12h
EATON MVX002A0-4 Cutler Hammer sans CaptVector LectVfd 480v 2hp MVX9000
EATON MVX002A0-4 Cutler Hammer sans CaptVector LectVfd 480v 2hp MVX9000
67,78  2d 16h
FR-A7NS Mitsubishi Vfd AC LectOndulSscnet III A700 Option Board FRA7NS
FR-A7NS Mitsubishi Vfd AC LectOndulSscnet III A700 Option Board FRA7NS
110,02  5d 12h
Danfoss Vacon 20 0.75kw AC Drive VACON0020-3L-0003-4+EMC2+QPES A6
Danfoss Vacon 20 0.75kw AC Drive VACON0020-3L-0003-4+EMC2+QPES A6
232,33  13d 7h
TB Wood's E-Trac X2C X2C4015-0B 15HP @ 7-460 V AC Micro-Inverter Vs LectBois
Top-Rated Seller TB Wood's E-Trac X2C X2C4015-0B 15HP @ 7-460 V AC Micro-Inverter Vs LectBois
830,22  18d 11h
Danfoss Vlt Micro Lect2.2kW 3.0HP FC-051P2K2T2E20H3BXCXXXSXXX 132F0014
Danfoss Vlt Micro Lect2.2kW 3.0HP FC-051P2K2T2E20H3BXCXXXSXXX 132F0014
210,45  5d 20h
SIEMENS SIMOVERT VC 6SE7027-2ED61 E:B  6SE7090-0XX84-0AB0 Inverter
Top-Rated Seller SIEMENS SIMOVERT VC 6SE7027-2ED61 E:B 6SE7090-0XX84-0AB0 Inverter
2.999,00  22d 2h
Sew Movidrive Mcv40a0055-5a3-4-0e Mdx60a0055-5a3-4 / # 8 O1t 9086
Top-Rated Seller Sew Movidrive Mcv40a0055-5a3-4-0e Mdx60a0055-5a3-4 / # 8 O1t 9086
389,96  17d 13h
PROMESS E217428 AC Lect- Utilisé
PROMESS E217428 AC Lect- Utilisé
295,99  5d 16h
Utilisé Siemens 6SE3212-1CA40 Micromaster Vector Lect208/240V Endommagé See
Top-Rated Seller Utilisé Siemens 6SE3212-1CA40 Micromaster Vector Lect208/240V Endommagé See
170,52  2d 19h
Fincor 6400 6406 20 HP 6406S0203A Vs AC Lect3PH 460V
Top-Rated Seller Fincor 6400 6406 20 HP 6406S0203A Vs AC Lect3PH 460V
782,16  18d 12h
Yaskawa CIMR-VC4A0002BAA Inverter AC Drive 380-480v 3ph/0-480v 0-400hz .75hp
Top-Rated Seller Yaskawa CIMR-VC4A0002BAA Inverter AC Drive 380-480v 3ph/0-480v 0-400hz .75hp
127,78  8d 1h
 Sealed Allen Bradley SK-U1-MCBD-B1 /A
 Sealed Allen Bradley SK-U1-MCBD-B1 /A
23,34  27d 6h
Ab 20 A B 015 A 0 AYNANNN Série A
Top-Rated Seller Ab 20 A B 015 A 0 AYNANNN Série A
447,62  10d 0h
FR-A7NCE Mitsubishi Neuf en Boîte Vfd AC LectOndulcc-Link A700 en Option
FR-A7NCE Mitsubishi Neuf en Boîte Vfd AC LectOndulcc-Link A700 en Option
258,50  7d 10h
Allen Bradley 1336S-BRF20-AA-EN-HAP-L6 Série A 2HP @ 480V 1336 Plus AC Vs Clé Ab
Top-Rated Seller Allen Bradley 1336S-BRF20-AA-EN-HAP-L6 Série A 2HP @ 480V 1336 Plus AC Vs Clé Ab
524,35  18d 11h
Brand  Invertek ODE-3-440460-3F42 3 Ph. Input 3 Ph Output 380-480V 22kW 46A
Brand  Invertek ODE-3-440460-3F42 3 Ph. Input 3 Ph Output 380-480V 22kW 46A
790,00  27d 6h
Brand  Invertek ODE-3-440390-3F42 3 Ph. Input 3 Ph Output 380-480V 18.5kW 39A
Brand  Invertek ODE-3-440390-3F42 3 Ph. Input 3 Ph Output 380-480V 18.5kW 39A
675,00  27d 6h
ABB ACS355-03U-02A4-4 Drive
Top-Rated Seller ABB ACS355-03U-02A4-4 Drive
179,05  20d 12h
FR-A7NS Mitsubishi Neuf Vfd AC LectOndulSscnet III A700 en Option Board
FR-A7NS Mitsubishi Neuf Vfd AC LectOndulSscnet III A700 en Option Board
214,67  15d 11h
CONTROL TECHNIQUES 1220-2758-01 Unidrive Sp Taille 2 Freinage Resistor 50W 75 Oh
CONTROL TECHNIQUES 1220-2758-01 Unidrive Sp Taille 2 Freinage Resistor 50W 75 Oh
168,11  4d 23h
Dorner E3990-364 / # T D1r 6102
Top-Rated Seller Dorner E3990-364 / # T D1r 6102
151,22  3d 12h
Lust FU2000 Frequenzumrichter Type FU2237  1,5kW
Lust FU2000 Frequenzumrichter Type FU2237  1,5kW
150,00  8d 1h
Allen Bradley Puissance Flexible 20-HIM-C3S Série C
Top-Rated Seller Allen Bradley Puissance Flexible 20-HIM-C3S Série C
223,81  1d 10h
Baldor VS1MD47-8 7.5HP 460VAC OndulMicrodrive Pointe
Top-Rated Seller Baldor VS1MD47-8 7.5HP 460VAC OndulMicrodrive Pointe
448,29  12d 20h
EL-F1 V3-VFD Emotron Neuf en Boîte MotCharge Détect044410 ELF1V3VFD
EL-F1 V3-VFD Emotron Neuf en Boîte MotCharge Détect044410 ELF1V3VFD
96,60  11h 27m
FR-A7PS Mitsubishi Neuf en Boîte Vfd AC LectOndulCodA700 Option Bord
FR-A7PS Mitsubishi Neuf en Boîte Vfd AC LectOndulCodA700 Option Bord
109,12  26d 13h
CMPC-CM34-B4 Yaskawa Servo Motionpack-34 LectCMPCCM34B4
CMPC-CM34-B4 Yaskawa Servo Motionpack-34 LectCMPCCM34B4
89,44  9d 14h
FR-A720-00030-NA Mitsubishi 1/2 HP Vfd AC LectOndulFRA72000030NA
FR-A720-00030-NA Mitsubishi 1/2 HP Vfd AC LectOndulFRA72000030NA
228,98  14d 7h
Neuf Allen Bradley 20AD5P0A0AYNANC0 Powerflex 70 AC Lect
Top-Rated Seller Neuf Allen Bradley 20AD5P0A0AYNANC0 Powerflex 70 AC Lect
1.015,80  6d 3h
FR-A7NP Mitsubishi Neuf en Boîte Vfd AC LectOndulProfibus A700 en Option
FR-A7NP Mitsubishi Neuf en Boîte Vfd AC LectOndulProfibus A700 en Option
150,27  6d 12h
FR-A7NL Mitsubishi Neuf en Boîte Vfd AC LectOndulLonworks A700 en Option
FR-A7NL Mitsubishi Neuf en Boîte Vfd AC LectOndulLonworks A700 en Option
119,86  6d 12h
YPHT31036-1-2 Yaskawa Neuf si-B G3 en Option Board 73600-C0060-01
YPHT31036-1-2 Yaskawa Neuf si-B G3 en Option Board 73600-C0060-01
119,86  15d 11h
Utilisé Siemens 6SE3212-1CA40 Micromaster Vector Lect230V 0.50HP 370W 1or3
Top-Rated Seller Utilisé Siemens 6SE3212-1CA40 Micromaster Vector Lect230V 0.50HP 370W 1or3
341,32  2d 19h
Utilisé Magnetek GPD205-B0P7 Variable Fréquence Lect3/4 HP 380-460V
Top-Rated Seller Utilisé Magnetek GPD205-B0P7 Variable Fréquence Lect3/4 HP 380-460V
149,20  9d 5h
A70CA55-NA5 Mitsubishi Vfd LectNeuf en Boîte A700 Contrôle Carte Avec Plc
A70CA55-NA5 Mitsubishi Vfd LectNeuf en Boîte A700 Contrôle Carte Avec Plc
228,98  18h 17m
3G3MX-AE002 Omron 1/4 HP Vfd sans CaptVector OndulAC Lect
3G3MX-AE002 Omron 1/4 HP Vfd sans CaptVector OndulAC Lect
96,60  15d 11h
FR-A8NS Mitsubishi Neuf Boîte Vfd AC LectOndulSscnet III en Option Board
FR-A8NS Mitsubishi Neuf Boîte Vfd AC LectOndulSscnet III en Option Board
268,34  15d 11h
 YASKAWA SGDM-50ADA AC SERVO DRIVER 5kW
Top-Rated Seller  YASKAWA SGDM-50ADA AC SERVO DRIVER 5kW
492,68  3d 0h
GPD503-DS313 Magnetek Neuf en Boîte 1-HP 380-460VAC Vfd OndulAC Drive 40P71
GPD503-DS313 Magnetek Neuf en Boîte 1-HP 380-460VAC Vfd OndulAC Drive 40P71
746,00  7d 19h
ALLEN BRADLEY 20A D 2P1A 3 AYYANO0 Powerflex 70 1HP Lect3PH - Reconditionné
ALLEN BRADLEY 20A D 2P1A 3 AYYANO0 Powerflex 70 1HP Lect3PH - Reconditionné
234,89  6d 9h
Neuf BANNER 23658 Fibre Optique Câble ITETA1.56SM600
Top-Rated Seller Neuf BANNER 23658 Fibre Optique Câble ITETA1.56SM600
105,81  6d 0h
Neuf YASKAWA CIMR-XCJA20P2 Mini LectCIMRXCJA20P2
Top-Rated Seller Neuf YASKAWA CIMR-XCJA20P2 Mini LectCIMRXCJA20P2
88,80  6d 6h
ALLEN BRADLEY 1336S-BRF100-AA-EN 1336 Plus 10HP AC Lect- Reconditionné
ALLEN BRADLEY 1336S-BRF100-AA-EN 1336 Plus 10HP AC Lect- Reconditionné
886,50  14d 11h
Utilisé SIEMENS 6SE6410-2BB12-5AA0 Clé Avec OpératInterface 6SE64102BB125AA0
Top-Rated Seller Utilisé SIEMENS 6SE6410-2BB12-5AA0 Clé Avec OpératInterface 6SE64102BB125AA0
423,25  6d 3h
NRGD05-D240AC-4Q Minarik Pwm Dc MotContrôlNRGD05D240AC4Q
NRGD05-D240AC-4Q Minarik Pwm Dc MotContrôlNRGD05D240AC4Q
186,05  15d 11h
FUJI 6ke$ 243001x1b1 AC LectUnité AF-300E$, 380-480 Volts, 3.9 Amp, 50/60
FUJI 6ke$ 243001x1b1 AC LectUnité AF-300E$, 380-480 Volts, 3.9 Amp, 50/60
450,43  4d 18h
PROMESS E217428 AC Lect- Neuf en Boîte
PROMESS E217428 AC Lect- Neuf en Boîte
423,19  5d 16h
Allen Bradley, 22D-D2P3N104, Powerflex 40 OndulMotVfd AC Drive 1hp Neuf
Allen Bradley, 22D-D2P3N104, Powerflex 40 OndulMotVfd AC Drive 1hp Neuf
980,49  6d 10h
FR-V5NSCBL05 Mitsubishi Vfd AC LectV500 Neuf en Boîte Sscnet Câble 5M
FR-V5NSCBL05 Mitsubishi Vfd AC LectV500 Neuf en Boîte Sscnet Câble 5M
65,29  15d 11h
FR-BR-H15K Mitsubishi Vfd AC LectOndul460V Frein Unité FRBRH15K
FR-BR-H15K Mitsubishi Vfd AC LectOndul460V Frein Unité FRBRH15K
40,25  15d 11h
Utilisé RELIANCE ELECTRIC 0-51831-3 PC Board 0518313
Top-Rated Seller Utilisé RELIANCE ELECTRIC 0-51831-3 PC Board 0518313
105,81  5d 21h
Siemens, 6SE6420 2UD17 5AA1, Micromaster 420 LectVfd
Siemens, 6SE6420 2UD17 5AA1, Micromaster 420 LectVfd
686,34  14d 18h
FR-BU-H15K Mitsubishi Vfd AC LectOndul460V Frein Unité FRBUH15K
FR-BU-H15K Mitsubishi Vfd AC LectOndul460V Frein Unité FRBUH15K
69,76  15d 11h
FR-A044-0.75K-UL Mitsubishi Vfd 1 HP Vfd 400V AC LectOndulFRA044075KUL
FR-A044-0.75K-UL Mitsubishi Vfd 1 HP Vfd 400V AC LectOndulFRA044075KUL
69,76  20d 17h
UFS-110 SCS Mitsubishi Statique Contrôle Systems Frein Unité
UFS-110 SCS Mitsubishi Statique Contrôle Systems Frein Unité
223,62  15d 11h
ATV11HU18F1A Telemecanique 3/4 HP 120VAC Entrée Vfd AC LectOndul
ATV11HU18F1A Telemecanique 3/4 HP 120VAC Entrée Vfd AC LectOndul
229,36  5d 9h
Utilisé CUTLER HAMMER MVX003A0-4 AC LectMVX003A04
Top-Rated Seller Utilisé CUTLER HAMMER MVX003A0-4 AC LectMVX003A04
325,90  6d 7h
Speedstar Jr J.75v1b3n AC Variable Fréquence LectTasc 200 Volt 75 HP, 3 Ph
Speedstar Jr J.75v1b3n AC Variable Fréquence LectTasc 200 Volt 75 HP, 3 Ph
338,37  4d 10h
Brand  Invertek ODP-2-22220-3KF4X-SN IP66, 200-240 V 2.2kW
Brand  Invertek ODP-2-22220-3KF4X-SN IP66, 200-240 V 2.2kW
500,00  16d 0h
Allen Bradley 1305-BA03A AC Lect1HP Livré Avec 1201-HA2 Programmation Borne
Top-Rated Seller Allen Bradley 1305-BA03A AC Lect1HP Livré Avec 1201-HA2 Programmation Borne
63,71  15d 8h
Allen Bradley 1336F-B015-EN Utilisé
Allen Bradley 1336F-B015-EN Utilisé
706,42  4d 20h
Allen Bradley 1336F-BRF20-AA-EN-HAS1 2HP AC Lect- Neuf en Boîte
Allen Bradley 1336F-BRF20-AA-EN-HAS1 2HP AC Lect- Neuf en Boîte
783,66  5d 10h
CIMR-V7AM43P71 Yaskawa V7 Neuf en Boîte 5-HP 460VAC Vfd OndulAC Lect
CIMR-V7AM43P71 Yaskawa V7 Neuf en Boîte 5-HP 460VAC Vfd OndulAC Lect
551,89  11h 27m
  Mitsubishi MDS-B-SP-75 Tested
Top-Rated Seller   Mitsubishi MDS-B-SP-75 Tested
1.386,69  17d 1h
Ge FUJI Électrique AF-300G11 6KG1143001X1B1 Vfd 1HP 460V AC Clé - Neuf sans
Ge FUJI Électrique AF-300G11 6KG1143001X1B1 Vfd 1HP 460V AC Clé - Neuf sans
910,33  6d 11h
VFS7-4015UPL Toshiba Vfd 2 HP 460V 3.7A 1.5kW AC LectOndulVFS74015UPL
VFS7-4015UPL Toshiba Vfd 2 HP 460V 3.7A 1.5kW AC LectOndulVFS74015UPL
110,91  3d 10h
FR-A220E-0.75K-UL Mitsubishi 1 HP Vfd 200V AC LectOndulFRA220E075KUL
FR-A220E-0.75K-UL Mitsubishi 1 HP Vfd 200V AC LectOndulFRA220E075KUL
53,67  10d 9h
Allen Bradley Powerflex 40 22B-D4P0N104 Avec 22-RF012-BS 3-Phase 48-63 Hz
Top-Rated Seller Allen Bradley Powerflex 40 22B-D4P0N104 Avec 22-RF012-BS 3-Phase 48-63 Hz
195,53  10d 17h
3-Phase 380VAC 7.5KW Inverter Vector Control Motor Drive Speed Controller 17A
Top-Rated Seller 3-Phase 380VAC 7.5KW Inverter Vector Control Motor Drive Speed Controller 17A
289,80  16d 23h
50246 Allen Bradley Neuf en Boîte Mini ConductPCB PC Board
50246 Allen Bradley Neuf en Boîte Mini ConductPCB PC Board
89,44  28d 6h
50248 Allen Bradley Neuf en Boîte Mini ConductPCB PC Board
50248 Allen Bradley Neuf en Boîte Mini ConductPCB PC Board
89,44  28d 6h
OTHERM Lects 620 Vector Link
Top-Rated Seller OTHERM Lects 620 Vector Link
1.104,54  17d 12h
Neuf HONEYWELL MHP-TLR33L Photoélectrique CaptMHPTLR33L
Top-Rated Seller Neuf HONEYWELL MHP-TLR33L Photoélectrique CaptMHPTLR33L
148,14  6d 4h
FRNF50E1S-7U Fuji Électrique Neuf en Boîte Vfd 1/2 HP AC LectOndul
FRNF50E1S-7U Fuji Électrique Neuf en Boîte Vfd 1/2 HP AC LectOndul
177,10  15d 11h
FR-RJ45-HUB4 Mitsubishi Neuf en Boîte Vfd AC LectOndulRJ45 Option Module
FR-RJ45-HUB4 Mitsubishi Neuf en Boîte Vfd AC LectOndulRJ45 Option Module
50,08  6d 12h
FR-A7AL Mitsubishi Neuf en Boîte Vfd AC LectOndulA700 CodOption Bord
FR-A7AL Mitsubishi Neuf en Boîte Vfd AC LectOndulA700 CodOption Bord
209,64  19d 7h
CFW500A02P6T4NBN1 WEG Neuf Vfd AC LectOndul2.6A 2HP 480VAC CFW500
CFW500A02P6T4NBN1 WEG Neuf Vfd AC LectOndul2.6A 2HP 480VAC CFW500
169,05  12d 11h
Watlow Din-A-Mite DC2T-24C0-0000 Puissance Contrôl
Top-Rated Seller Watlow Din-A-Mite DC2T-24C0-0000 Puissance Contrôl
102,46  3d 9h
FR-E540-0.4K Mitsubishi Vfd 1/2 HP Vfd 400V AC LectOndulFRE54004K
FR-E540-0.4K Mitsubishi Vfd 1/2 HP Vfd 400V AC LectOndulFRE54004K
79,60  15d 11h
Ge FUJI Électrique AF-300G11 6KG1143001X1B1 AC Lect1HP - Neuf en Boîte
Ge FUJI Électrique AF-300G11 6KG1143001X1B1 AC Lect1HP - Neuf en Boîte
1.014,81  4d 23h
 Siemens 6se7 090-0xx84-1cj0 /6se7090-0xx84-1cj0
Top-Rated Seller  Siemens 6se7 090-0xx84-1cj0 /6se7090-0xx84-1cj0
3.795,55  9d 23h
ATS48D17Y Telemecanique Neuf en Boîte Altistart 48 17A Doux Démarr
ATS48D17Y Telemecanique Neuf en Boîte Altistart 48 17A Doux Démarr
576,94  8d 18h
FR-A7AR Mitsubishi Neuf en Boîte Vfd AC LectOndulRelais Out A700 Option
FR-A7AR Mitsubishi Neuf en Boîte Vfd AC LectOndulRelais Out A700 Option
79,60  26d 13h
FR-A5ND Mitsubishi Neuf en Boîte Vfd AC LectOndulA500 Device-Net Option
FR-A5ND Mitsubishi Neuf en Boîte Vfd AC LectOndulA500 Device-Net Option
62,60  18d 21h
3G3MX-AE002 Omron 1/4 HP Vfd sans CaptVector OndulAC Lect3G3MXAE002
3G3MX-AE002 Omron 1/4 HP Vfd sans CaptVector OndulAC Lect3G3MXAE002
89,59  2d 19h
195N0038 Danfoss Neuf en Boîte Vlt 2800 AC LectOndulVfd 1.1kW
195N0038 Danfoss Neuf en Boîte Vlt 2800 AC LectOndulVfd 1.1kW
437,40  1d 13h
FR-A760-00120-NA Mitsubishi Neuf Boîte 10 HP 600V Vfd OndulClé FRA76000120NA
FR-A760-00120-NA Mitsubishi Neuf Boîte 10 HP 600V Vfd OndulClé FRA76000120NA
1.073,38  18d 21h
ALLEN BRADLEY 20AD1P1A0AYYNNG0 Powerflex 70 AC Lect0.5 HP - Neuf en Boîte
ALLEN BRADLEY 20AD1P1A0AYYNNG0 Powerflex 70 AC Lect0.5 HP - Neuf en Boîte
310,08  4d 17h
CIMR-V7NU43P7 Yaskawa V7N Device-Net 5 HP 360-480VAC Entrée Vfd AC Clé Ondul
CIMR-V7NU43P7 Yaskawa V7N Device-Net 5 HP 360-480VAC Entrée Vfd AC Clé Ondul
497,33  5d 9h
CONTROL TECHNIQUES COMMANDER GPD 3402 18.5 Kw Utilisé Bon État
CONTROL TECHNIQUES COMMANDER GPD 3402 18.5 Kw Utilisé Bon État
2.419,36  16d 23h
FR-F720-00770-NA Mitsubishi Neuf en Boîte 25 HP 200V Vfd OndulLect
FR-F720-00770-NA Mitsubishi Neuf en Boîte 25 HP 200V Vfd OndulLect
1.391,83  1d 21h
Utilisé YASKAWA CIMR-J7AM20P7 LectCIMRJ7AM20P7
Top-Rated Seller Utilisé YASKAWA CIMR-J7AM20P7 LectCIMRJ7AM20P7
334,37  6d 4h
BCX4010 Boston Gear Neuf Nidec AC LectOndulVfd MotVitesse Contrôle
BCX4010 Boston Gear Neuf Nidec AC LectOndulVfd MotVitesse Contrôle
347,95  17d 9h
BCX4010 Boston Gear Neuf en Boîte Nidec AC LectOndulVfd MotSpeed De
BCX4010 Boston Gear Neuf en Boîte Nidec AC LectOndulVfd MotSpeed De
371,21  17d 9h
Utilisé SIEMENS 6SL3211-0AB13-7BA1 AC Lect6SL32110AB137BA1
Top-Rated Seller Utilisé SIEMENS 6SL3211-0AB13-7BA1 AC Lect6SL32110AB137BA1
76,19  5d 23h
FR-A044-0.75K-CD Mitsubishi Vfd 1 HP 400VAC AC LectOndulFRA044075KCD
FR-A044-0.75K-CD Mitsubishi Vfd 1 HP 400VAC AC LectOndulFRA044075KCD
209,31  20d 21h
Télémécanique LULC15 / #O 6M2 8180
Top-Rated Seller Télémécanique LULC15 / #O 6M2 8180
192,91  17d 15h
Neuf ALLEN BRADLEY 42EF-B1RFBE-A2 Photoswitch Série A 42EFB1RFBEA2
Top-Rated Seller Neuf ALLEN BRADLEY 42EF-B1RFBE-A2 Photoswitch Série A 42EFB1RFBEA2
66,87  5d 22h
ALLEN BRADLEY 1336E-BRF75-AA-EN-L4 Impacte Réglable Fréquence AC Lect- Neuf
ALLEN BRADLEY 1336E-BRF75-AA-EN-L4 Impacte Réglable Fréquence AC Lect- Neuf
1.432,42  4d 18h
Furnas - 41NB30AJP Definite But Contrôl, 3-Pole, 30A, 24VAC (Neuf en Boîte )
Top-Rated Seller Furnas - 41NB30AJP Definite But Contrôl, 3-Pole, 30A, 24VAC (Neuf en Boîte )
68,02  15d 8h
Danfoss 195H3403 Vitesse Variable LectVlt Type 2025
Danfoss 195H3403 Vitesse Variable LectVlt Type 2025
409,80  4d 10h
ALLEN BRADLEY 22D6P0N104 Powerflex Lect40P Catalogue 22D-D6P0N104 Série A
ALLEN BRADLEY 22D6P0N104 Powerflex Lect40P Catalogue 22D-D6P0N104 Série A
596,67  12d 16h
FR-A540-11K-NA Mitsubishi Vfd 15 HP AC LectOndulFRA54011KNA
FR-A540-11K-NA Mitsubishi Vfd 15 HP AC LectOndulFRA54011KNA
347,95  17d 20h
Westinghouse Accutrol 110 Variable Fréquence LectCT4021 400-460 VAC, 3 Phase
Westinghouse Accutrol 110 Variable Fréquence LectCT4021 400-460 VAC, 3 Phase
347,31  4d 10h
Vt130g2 + 2055 Toshiba Vfd 5 HP 230V 18A 5.5kVA AC LectOndulVT130G22055
Vt130g2 + 2055 Toshiba Vfd 5 HP 230V 18A 5.5kVA AC LectOndulVT130G22055
298,76  3d 11h
Neuf Yaskawa CIMR-V7AM41P5 AC LectCIMRV7AM41P5
Top-Rated Seller Neuf Yaskawa CIMR-V7AM41P5 AC LectCIMRV7AM41P5
465,58  6d 0h
Allen Bradley 2090-XXLF-X330B AC Lect500VAC 3PH Rfi Filtre 30A Séries A
Top-Rated Seller Allen Bradley 2090-XXLF-X330B AC Lect500VAC 3PH Rfi Filtre 30A Séries A
23,05  20d 4h
Bardac / Invertek - OPTIDRIVE PLUS 3gv - ODP-34200-USA (Neuf en Boîte )
Top-Rated Seller Bardac / Invertek - OPTIDRIVE PLUS 3gv - ODP-34200-USA (Neuf en Boîte )
255,08  23d 2h
Emerson SKA1200037 Programmable Logic Controller 1 Phase .37kW AC Drive Inverter
Top-Rated Seller Emerson SKA1200037 Programmable Logic Controller 1 Phase .37kW AC Drive Inverter
213,97  8d 2h
XM4250EA EA3520 fixed wing accessory RC airplane motor brushless motor 1100KV
Top-Rated Seller XM4250EA EA3520 fixed wing accessory RC airplane motor brushless motor 1100KV
114,41  24d 18h
Parker CP 9040P3B0-15470 Servo ContrôlW/ Référence Guide / Disque
Top-Rated Seller Parker CP 9040P3B0-15470 Servo ContrôlW/ Référence Guide / Disque
162,23  12d 0h
6kaf343f50m$ A1 GE Vfd 0.5 HP 460V AF-300 Micro-Saver AC LectOndul
6kaf343f50m$ A1 GE Vfd 0.5 HP 460V AF-300 Micro-Saver AC LectOndul
139,53  27d 19h
Utilisé ALLEN BRADLEY 1336-B015-E0E-FA2-L3 AC Lect1336B015E0EFA2L3
Top-Rated Seller Utilisé ALLEN BRADLEY 1336-B015-E0E-FA2-L3 AC Lect1336B015E0EFA2L3
1.523,70  5d 22h
Reliance Electric Ac Converter 762.21.40, Gmi-s13 Neu
Top-Rated Seller Reliance Electric Ac Converter 762.21.40, Gmi-s13 Neu
1.495,00  14d 21h
Allen Bradley 135787 VentilatAssemblage 10-50HP
Top-Rated Seller Allen Bradley 135787 VentilatAssemblage 10-50HP
211,63  7d 5h
Allen Bradley 1336VT-B030-E0R-FA2-L3 30HP AC Vs Lect460V Ab 30 HP Vfd 1336
Top-Rated Seller Allen Bradley 1336VT-B030-E0R-FA2-L3 30HP AC Vs Lect460V Ab 30 HP Vfd 1336
1.704,13  18d 12h
FR-A7NS Mitsubishi Neuf I Boîte Vfd AC LectOndulSscnet III A700 Option
FR-A7NS Mitsubishi Neuf I Boîte Vfd AC LectOndulSscnet III A700 Option
225,40  4d 15h
Utilisé SIEMENS 6SE6410-2BB12-5AA0 Lect6SE64102BB125AA0
Top-Rated Seller Utilisé SIEMENS 6SE6410-2BB12-5AA0 Lect6SE64102BB125AA0
402,09  6d 17h
Neuf ALLEN BRADLEY 22-RFD050 Powerflex AC Lect22RFD050
Top-Rated Seller Neuf ALLEN BRADLEY 22-RFD050 Powerflex AC Lect22RFD050
359,76  4d 12h
Utilisé Allen Bradley 1333-YAB AC MotLect1333YAB
Top-Rated Seller Utilisé Allen Bradley 1333-YAB AC MotLect1333YAB
212,98  6d 16h
VF1204S Lust Neuf Vfd AC LectOndul
VF1204S Lust Neuf Vfd AC LectOndul
616,30  8d 18h
Neuf SIEMENS 6SL3211-0AB13-7UA1 AC Lect6SL32110AB137UA1
Top-Rated Seller Neuf SIEMENS 6SL3211-0AB13-7UA1 AC Lect6SL32110AB137UA1
135,44  6d 6h
FR-E720-015-NA Mitsubishi Neuf en Boîte 1/4 HP 200V Vfd OndulLect
FR-E720-015-NA Mitsubishi Neuf en Boîte 1/4 HP 200V Vfd OndulLect
119,86  15d 11h
Neuf MAGNETEK GPD315 MVB005 AC LectGPD315MVB005
Top-Rated Seller Neuf MAGNETEK GPD315 MVB005 AC LectGPD315MVB005
550,23  6d 7h
FR-A7AN Mitsubishi Neuf en Boîte Vfd AC LectOndulAnalogique I/O A700
FR-A7AN Mitsubishi Neuf en Boîte Vfd AC LectOndulAnalogique I/O A700
228,98  26d 13h
Tnc 155B mit passendem Monitor BE411B
Tnc 155B mit passendem Monitor BE411B
2.200,00  6d 11h
Reconditionné ALLEN BRADLEY 2707-L8P1X OpératInterface Série C Rev B
Top-Rated Seller Reconditionné ALLEN BRADLEY 2707-L8P1X OpératInterface Série C Rev B
677,20  7d 2h
FR-E520-0.2KN Mitsubishi Vfd 1/4 HP AC LectOndulFRE52002KN
FR-E520-0.2KN Mitsubishi Vfd 1/4 HP AC LectOndulFRE52002KN
40,25  15d 11h
FEAE02.1-EA-NNNN Rexroth EC3610 EC5610 Neuf en Boîte en Option Module Carte
FEAE02.1-EA-NNNN Rexroth EC3610 EC5610 Neuf en Boîte en Option Module Carte
40,25  19d 18h
8814A KB Electronics Neuf Penta Puissance Plusis Vitesses Contrôle Board
8814A KB Electronics Neuf Penta Puissance Plusis Vitesses Contrôle Board
17,88  21d 17h
Utilisé PRO-FACE GP2400-TC41 OpératInterface 2880061 GP2400TC41
Top-Rated Seller Utilisé PRO-FACE GP2400-TC41 OpératInterface 2880061 GP2400TC41
67,72  6d 4h
Utilisé SIEMENS 6SE7018-0EP50-Z AC Lect6SE70180EP50Z
Top-Rated Seller Utilisé SIEMENS 6SE7018-0EP50-Z AC Lect6SE70180EP50Z
3.386,00  6d 7h
Allen Bradley PowerFlex70 20A B 9P6C 3 Aynnnnn Séries A AC Lect
Top-Rated Seller Allen Bradley PowerFlex70 20A B 9P6C 3 Aynnnnn Séries A AC Lect
256,16  12d 9h
Adp-262 (адп-262) / # T Wsw 0896
Top-Rated Seller Adp-262 (адп-262) / # T Wsw 0896
12,69  22d 9h
Reliance GV 3000 A-C Lect2v4151 2hp/1.5kw
Top-Rated Seller Reliance GV 3000 A-C Lect2v4151 2hp/1.5kw
467,74  11d 6h
Yaskawa CIMR-VU4A0002FAA Révisée C V1000 AC Lect380-480V 3-Phase 2.1A/1.8A
Top-Rated Seller Yaskawa CIMR-VU4A0002FAA Révisée C V1000 AC Lect380-480V 3-Phase 2.1A/1.8A
120,44  20d 15h
Neuf SAFTRONICS S102001-91 AC LectS10200191
Top-Rated Seller Neuf SAFTRONICS S102001-91 AC LectS10200191
409,58  6d 4h
160-DM-SF1 C Allen Bradley Analogique Interface Module 160DMSF1 C
160-DM-SF1 C Allen Bradley Analogique Interface Module 160DMSF1 C
7,15  2d 6h
FR-EPN Mitsubishi Plc Vfd AC LectNeuf en Boîte Device-Net Option Board Frepn
FR-EPN Mitsubishi Plc Vfd AC LectNeuf en Boîte Device-Net Option Board Frepn
30,41  15d 11h
C-MH-22-700-FP Electrol 700 MotVitesse Potentiomètre Cadran CMH22700FP
C-MH-22-700-FP Electrol 700 MotVitesse Potentiomètre Cadran CMH22700FP
5,36  2d 14h
Neuf SIEMENS 6SE6440-2UC17-5AA1 AC Lect6SE64402UC175AA1
Top-Rated Seller Neuf SIEMENS 6SE6440-2UC17-5AA1 AC Lect6SE64402UC175AA1
486,74  5d 23h
Parker CP 9040P3B0-15470 Servo Contrôl
Top-Rated Seller Parker CP 9040P3B0-15470 Servo Contrôl
128,08  12d 0h
SIEMENS SIMOVERT 6SE7023-2EA87-2DA0 E:E Breaking Unit  Bremseinheit
Top-Rated Seller SIEMENS SIMOVERT 6SE7023-2EA87-2DA0 E:E Breaking Unit Bremseinheit
333,00  20d 23h
Allen Bradley Powerflex 40 Chat No 22B-D2P3N104 W/22-RF012-BS (#11)
Top-Rated Seller Allen Bradley Powerflex 40 Chat No 22B-D2P3N104 W/22-RF012-BS (#11)
88,88  15d 12h
Eaton ECX09K1BAA-QA27S29 non-Combination IEC Fvnr Démarr240V/60Hz 220V /
Top-Rated Seller Eaton ECX09K1BAA-QA27S29 non-Combination IEC Fvnr Démarr240V/60Hz 220V /
943,66  7d 0h
MagneTek Gpd 503 40HP 54A/48A 3PH 0-460V AC Vs LectVitesse Variable DS330
Top-Rated Seller MagneTek Gpd 503 40HP 54A/48A 3PH 0-460V AC Vs LectVitesse Variable DS330
2.184,79  18d 11h
Utilisé LOAD CONTROLS PCR-1820-BT CompensatPCR1820BT
Top-Rated Seller Utilisé LOAD CONTROLS PCR-1820-BT CompensatPCR1820BT
126,98  5d 19h
Allen Bradley Powerflex 70 AC LectVfd 20AD8P0A0AYNANC0 Série A 5HP Scellé
Allen Bradley Powerflex 70 AC LectVfd 20AD8P0A0AYNANC0 Série A 5HP Scellé
853,59  12d 16h
Applicable Lenze Lenze D-31855 8200 0.55KW EDK82EV222 D4
Top-Rated Seller Applicable Lenze Lenze D-31855 8200 0.55KW EDK82EV222 D4
144,27  10d 23h
Neuf AUTOMATION DIRECT GS2-57P5 GS2 Séries AC Micro Lect575V 7.5HP GS257P5
Top-Rated Seller Neuf AUTOMATION DIRECT GS2-57P5 GS2 Séries AC Micro Lect575V 7.5HP GS257P5
783,01  6d 4h
Utilisé GENERAL ELECTRIC DS3800HFXD1D1D PC Board W/ DS3800DFXB1B1C
Top-Rated Seller Utilisé GENERAL ELECTRIC DS3800HFXD1D1D PC Board W/ DS3800DFXB1B1C
1.015,80  5d 2h
Omron Sysdrive 3G3XV A4004 Ondul(Gratuit DHL / Fedex )
Top-Rated Seller Omron Sysdrive 3G3XV A4004 Ondul(Gratuit DHL / Fedex )
404,65  24d 22h
Omron Sysdrive 3G3XV A4004 Ondul(Gratuit DHL / Fedex
Top-Rated Seller Omron Sysdrive 3G3XV A4004 Ondul(Gratuit DHL / Fedex
404,65  25d 10h
Allen Bradley 1336F-BRF75-AA-EN-HAS2 (L4 Module) 1336 Plus 2 AC Clé 10.3/13KVA
Allen Bradley 1336F-BRF75-AA-EN-HAS2 (L4 Module) 1336 Plus 2 AC Clé 10.3/13KVA
596,67  13d 22h
Neuf Sew odrive MC07B0015-2B1-4-00 Movitrac OndulVfd Lect
Top-Rated Seller Neuf Sew odrive MC07B0015-2B1-4-00 Movitrac OndulVfd Lect
228,46  3d 2h
1000GM-N33-010D-N-E Allen Bradley Neuf en Boîte 10HP Device-Net Réseau GM Départ
1000GM-N33-010D-N-E Allen Bradley Neuf en Boîte 10HP Device-Net Réseau GM Départ
704,85  25d 15h
Minarik Drives MMXL05-D240AC-PCM LectMotContrôle
Top-Rated Seller Minarik Drives MMXL05-D240AC-PCM LectMotContrôle
71,67  24d 1h
Spring balancer EHW3-5KG tower spring balance crane 1-3 kg elastic EHW5-9kg
Top-Rated Seller Spring balancer EHW3-5KG tower spring balance crane 1-3 kg elastic EHW5-9kg
79,79  14d 22h
OMRON SYSDRIVE 3G3XV A2037 Ondul(Gratuit DHL / Fedex )
Top-Rated Seller OMRON SYSDRIVE 3G3XV A2037 Ondul(Gratuit DHL / Fedex )
404,65  8d 9h
Tsubaki VR007H2A (Gratuit DHL / Fedex )
Top-Rated Seller Tsubaki VR007H2A (Gratuit DHL / Fedex )
404,65  8d 9h
PG-D Yaskawa Neuf OndulVfd AC LectVitesse Contrôlen Option Board Pdg
PG-D Yaskawa Neuf OndulVfd AC LectVitesse Contrôlen Option Board Pdg
96,60  15d 11h
3Phase 380VAC 7.5KW VFD Inverter Vector Control Motor Drive Speed Controller
Top-Rated Seller 3Phase 380VAC 7.5KW VFD Inverter Vector Control Motor Drive Speed Controller
289,80  16d 23h
CONTROL TECHNIQUES COMMANDER GPD 3402 18.5 Kw Pièces Peut Être Utilisé As Pièce
CONTROL TECHNIQUES COMMANDER GPD 3402 18.5 Kw Pièces Peut Être Utilisé As Pièce
1.273,35  16d 23h
Allen Bradley 25-RF7P5-AL Emi Filtre 380-480V/7.5A
Top-Rated Seller Allen Bradley 25-RF7P5-AL Emi Filtre 380-480V/7.5A
62,71  25d 2h
282-LM Introl Design Régénérative MotContrôl282LM
282-LM Introl Design Régénérative MotContrôl282LM
47,40  15d 11h
Melec C-870 V1 Servo MotPas à Pas Motion ContrôlPCI Carte Câble KP1265-2
Top-Rated Seller Melec C-870 V1 Servo MotPas à Pas Motion ContrôlPCI Carte Câble KP1265-2
205,61  25d 13h
Schneider Electric Elau Max 4/11 /03/128/99 / 1/1/00 Pacdrive Neuf Emballé
Schneider Electric Elau Max 4/11 /03/128/99 / 1/1/00 Pacdrive Neuf Emballé
8.372,16  11d 1h
Compummotor Modèle :M83-62 Tempress MotLectavec Câble <
Compummotor Modèle :M83-62 Tempress MotLectavec Câble <
237,78  24d 11h
Nouveau! Lust Vf1202s S40 G19 Fr ConvertissDe Fréquence
Nouveau! Lust Vf1202s S40 G19 Fr ConvertissDe Fréquence
244,73  17d 0h
SIEMENS SINAMICS G110 - CPM110, 0,37 kW, AC-DRIVE, 6SL3211-0AB13-7BA0  NEU
SIEMENS SINAMICS G110 - CPM110, 0,37 kW, AC-DRIVE, 6SL3211-0AB13-7BA0 NEU
138,00  5h 24m
STAHL 9440/12-01-11 Ordinat& Puissance Module 9440/120111
STAHL 9440/12-01-11 Ordinat& Puissance Module 9440/120111
423,53  24d 6h
emko ESM-4435 Universal Input Dual PID Process Controller contrôltempérature
emko ESM-4435 Universal Input Dual PID Process Controller contrôltempérature
59,00  19d 19h
Siemens MicroMaster 420 6SE6420-2UIC21  AC Drive Inverter Micro Master 1500W
Top-Rated Seller Siemens MicroMaster 420 6SE6420-2UIC21 AC Drive Inverter Micro Master 1500W
319,80  19d 23h
SIEMENS SIMOVERT VC 6SE7031-0EE60-Z G91+K80+M20  Frequenzumrichter/AC DRIVE E:H
Top-Rated Seller SIEMENS SIMOVERT VC 6SE7031-0EE60-Z G91+K80+M20 Frequenzumrichter/AC DRIVE E:H
6.666,00  20d 6h
Fanuc A16B-1010-0331 Fanuc 11 master PCB
Fanuc A16B-1010-0331 Fanuc 11 master PCB
1.737,00  11d 23h
MagneTek MVA003 AC LectGpd 315 0.4kW, Neuf
MagneTek MVA003 AC LectGpd 315 0.4kW, Neuf
426,65  29d 22h
Neuf Yaskawa SGDC-30AJA-F Drivepack Servo Unité, Pointe, Garantie
Neuf Yaskawa SGDC-30AJA-F Drivepack Servo Unité, Pointe, Garantie
1.460,11  16d 7h
Sew odrive Bmh1,4 Servo Frein Contrôle Rectificat
Top-Rated Seller Sew odrive Bmh1,4 Servo Frein Contrôle Rectificat
44,79  5d 22h
Neuf Schneider Électrique ATV71HU40N4 Vitesse Lect5HP 460V Altivar 71 806461
Neuf Schneider Électrique ATV71HU40N4 Vitesse Lect5HP 460V Altivar 71 806461
1.026,12  25d 14h
Numatics Motion Contrôle NSDP6C MotLect, 115 VAC, 7 Amp, , Garantie
Numatics Motion Contrôle NSDP6C MotLect, 115 VAC, 7 Amp, , Garantie
590,96  16d 7h
NORD AC Vector mc controlbox mc Inverter Frequency Drive 1.5 KVA 0.75KW
NORD AC Vector mc controlbox mc Inverter Frequency Drive 1.5 KVA 0.75KW
291,86  25d 14h
3 Phase 380VAC 7.5KW  Motor Drive Inverter VFD Vector Control Speed Controller
Top-Rated Seller 3 Phase 380VAC 7.5KW Motor Drive Inverter VFD Vector Control Speed Controller
289,80  16d 23h
Allen Bradley Powerflex 753 Vfd 20F11ND011JA0NNNNN 7.5 HP 480V LectSer. A
Allen Bradley Powerflex 753 Vfd 20F11ND011JA0NNNNN 7.5 HP 480V LectSer. A
682,27  22d 21h
Applicable  SR3 B261FU A4
Top-Rated Seller Applicable SR3 B261FU A4
175,38  10d 22h
Fanuc AC Axe Servo Unité, A06b-6059-h206 # H511, 1990, Utilisé, Garantie
Fanuc AC Axe Servo Unité, A06b-6059-h206 # H511, 1990, Utilisé, Garantie
1.180,96  16d 7h
  Lenze Inverter Lenze 8200 Vector D-31855 E82EV752-4C 7.5KW qo
Top-Rated Seller   Lenze Inverter Lenze 8200 Vector D-31855 E82EV752-4C 7.5KW qo
1.222,73  16d 7h
Contrôle Techniques I/O Module, C80030/C80030/1.0, Utilisé, Garantie
Contrôle Techniques I/O Module, C80030/C80030/1.0, Utilisé, Garantie
361,38  16d 7h
Schneider Electric Refurbished Elau MAX 4/11/03/032/08/0/1/00 MC4 PacDrive
Schneider Electric Refurbished Elau MAX 4/11/03/032/08/0/1/00 MC4 PacDrive
4.670,00  21d 7h
Siemens Sinamics 6SL3224-0XE41-6UA0 FS:BA Power Module 160kW/200kW --
Top-Rated Seller Siemens Sinamics 6SL3224-0XE41-6UA0 FS:BA Power Module 160kW/200kW --
6.199,00  1d 6h
Ge energy gds1029-4001 Igbt Protection Carte
Top-Rated Seller Ge energy gds1029-4001 Igbt Protection Carte
207,23  8d 13h
Lenze E82EV751K4C04 8200 Vector Frequency Inverter  mr
Top-Rated Seller Lenze E82EV751K4C04 8200 Vector Frequency Inverter  mr
1.050,59  9d 6h
Brand  genuineAA15S1500A【AC/DC CONVERTER 15V 15W】
Top-Rated Seller Brand  genuineAA15S1500A【AC/DC CONVERTER 15V 15W】
145,10  21d 17h
1 Pcs Lenze 8200 Vector Frequency Inverter E82EV751K4C040 xt
Top-Rated Seller 1 Pcs Lenze 8200 Vector Frequency Inverter E82EV751K4C040 xt
1.024,63  11d 1h
Contrôle Techniques Dinverter 1 HP Lect,Type # DIN1220075B,Utilisé,Garantie
Contrôle Techniques Dinverter 1 HP Lect,Type # DIN1220075B,Utilisé,Garantie
306,11  22d 12h
Bystronic Sercom E-0705-5-e  Edv Nr. 4630401
Bystronic Sercom E-0705-5-e Edv Nr. 4630401
499,00  12d 8h
 EPF metal duct - fan motor 2200KV-CCW (positive paddle) - 6S  148g
Top-Rated Seller  EPF metal duct - fan motor 2200KV-CCW (positive paddle) - 6S 148g
157,61  24d 18h
3Phase 380VAC 7.5KW VFD Inverter Vector Control Motor Drive Speed Controller hot
Top-Rated Seller 3Phase 380VAC 7.5KW VFD Inverter Vector Control Motor Drive Speed Controller hot
289,78  19d 22h
Allen Bradley Powerflex 523 Vfd 25A-D1P4N104 480 VAC, 3 Phase , 1.4 Amp, 0.5 HP
Allen Bradley Powerflex 523 Vfd 25A-D1P4N104 480 VAC, 3 Phase , 1.4 Amp, 0.5 HP
339,99  16d 15h
Sew 31c014-503-4-00   31c014503400
Sew 31c014-503-4-00 31c014503400
580,00  12d 8h
Smart Motor SM1720D85C Servo MotVerni #5912541 - Comme Est
Top-Rated Seller Smart Motor SM1720D85C Servo MotVerni #5912541 - Comme Est
85,38  28d 16h
16 field tube electronic converter 12V power booster shell green.
Top-Rated Seller 16 field tube electronic converter 12V power booster shell green.
98,54  24d 19h
Brand  genuine AA15D0512A [AC / DC converter 15V 15W]
Top-Rated Seller Brand  genuine AA15D0512A [AC / DC converter 15V 15W]
135,94  21d 18h
Fanuc AC Axe Servo Unité, # A06B-6055-H108, A20B-0008-0030/02, Utilisé, Garantie
Fanuc AC Axe Servo Unité, # A06B-6055-H108, A20B-0008-0030/02, Utilisé, Garantie
584,81  16d 7h
Contrôle Techniques Dinverter 2 HP Lect,Type # DIN1220150B,(2) Usé ,Garantie
Contrôle Techniques Dinverter 2 HP Lect,Type # DIN1220150B,(2) Usé ,Garantie
335,87  22d 13h
Fanuc AC Axe Servo Unité, A06B-6044-H008,A20B-0009-0531/22J
Fanuc AC Axe Servo Unité, A06B-6044-H008,A20B-0009-0531/22J
840,84  16d 7h
Mitsubishi FR-E740-0 75K Ondul3-Phase 380-480VAC
Mitsubishi FR-E740-0 75K Ondul3-Phase 380-480VAC
127,54  13d 2h
Contrôle Techniques Dinverter 2.5 HP Lect,Type DIN3380220BM Usé ,Pareil Jour
Contrôle Techniques Dinverter 2.5 HP Lect,Type DIN3380220BM Usé ,Pareil Jour
403,89  22d 12h
Allen Bradley 1336 Plus Lect,1336S-BRF50-AA-EN-HA1-L6E,Utilisé,Garantie
Allen Bradley 1336 Plus Lect,1336S-BRF50-AA-EN-HA1-L6E,Utilisé,Garantie
233,83  16d 7h
Sew 31c014-503-4-00   31c014503400
Sew 31c014-503-4-00 31c014503400
580,00  12d 8h
SSD Commande otherm AC Lect, W/ Cartes 047423, 047833, Utilisé, Garantie
SSD Commande otherm AC Lect, W/ Cartes 047423, 047833, Utilisé, Garantie
276,35  16d 7h
SSD Commande otherm Variable Fréquence Vitesse Lect, 584 /0075/0/8 /0/0
SSD Commande otherm Variable Fréquence Vitesse Lect, 584 /0075/0/8 /0/0
318,86  16d 7h
Magnetek Impulse G + 4008-AFG+CIMR-G5U43P7 3.7kW, 5HP Vector LectVfd
Magnetek Impulse G + 4008-AFG+CIMR-G5U43P7 3.7kW, 5HP Vector LectVfd
849,45  7d 23h
Allen Bradley 3 Phase AC Lect, 1336-B010-EAD-FA2-L3, Séries A, , Garantie
Allen Bradley 3 Phase AC Lect, 1336-B010-EAD-FA2-L3, Séries A, , Garantie
715,42  16d 7h
SIEMENS SIMOVERT 6SE7023-2EA87-2DA0 E:E Breaking Unit  Bremseinheit#2
Top-Rated Seller SIEMENS SIMOVERT 6SE7023-2EA87-2DA0 E:E Breaking Unit Bremseinheit#2
333,00  18d 6h
Applicable Lenze Lenze D-31855 8200 0.55KW EDK82EV222 D4
Top-Rated Seller Applicable Lenze Lenze D-31855 8200 0.55KW EDK82EV222 D4
141,22  10d 22h
Hitachi SJ100-040HFU sans CaptVector Contrôle,5 HP,380-460V,Usé ,Garantie
Hitachi SJ100-040HFU sans CaptVector Contrôle,5 HP,380-460V,Usé ,Garantie
327,37  22d 18h
Fanuc AC Axe Servo Unité, A06B-6044-H008, A20B-0009-0534/19H, Utilisé, Garantie
Fanuc AC Axe Servo Unité, A06B-6044-H008, A20B-0009-0534/19H, Utilisé, Garantie
1.048,73  16d 7h
 BSM75GB170DN2 mining welder IGBT module  module 75A 1700V
Top-Rated Seller  BSM75GB170DN2 mining welder IGBT module module 75A 1700V
55,81  25d 18h
MA860H Stepper Motor Control Module Driver 18-80V for 86 57 100 Microstep Motor
Top-Rated Seller MA860H Stepper Motor Control Module Driver 18-80V for 86 57 100 Microstep Motor
43,12  16d 19h
Three-Phase Frequency Inverter Ac Frequency Inverter PWM Control High Torques
Top-Rated Seller Three-Phase Frequency Inverter Ac Frequency Inverter PWM Control High Torques
202,22  27d 5h
ECG Sensor Heart Rate Sensor Biosensor Powered by Nosky Bmd101 Chip Electrics
Top-Rated Seller ECG Sensor Heart Rate Sensor Biosensor Powered by Nosky Bmd101 Chip Electrics
81,37  19h 26m
Parker Compumotor M57-83 Code H, =
Parker Compumotor M57-83 Code H, =
132,10  24d 11h
ABB ACS355-01E-02A4-2 Lect
ABB ACS355-01E-02A4-2 Lect
638,92  25d 0h
 3-axis GRBL offline controller CNC 1.8" LCD for CNC 3018PRO 1610/2418/3018
Top-Rated Seller  3-axis GRBL offline controller CNC 1.8" LCD for CNC 3018PRO 1610/2418/3018
29,77  2d 19h
AMK servodrive AMKASYN KU 0,7
AMK servodrive AMKASYN KU 0,7
800,00  11d 7h
Control Techniques Emerson SM-I/O Plus SM-I/O Plus sm-i/0 plus STDQ39 UNIDRIVE
Control Techniques Emerson SM-I/O Plus SM-I/O Plus sm-i/0 plus STDQ39 UNIDRIVE
89,00  19d 19h
 Siemens 6SE7026-0TD61-Z Simovert Masterdrives Vector Control Inverter lm
Top-Rated Seller  Siemens 6SE7026-0TD61-Z Simovert Masterdrives Vector Control Inverter lm
6.205,74  15d 15h
Applicable 1756-PLS/B AB
Top-Rated Seller Applicable 1756-PLS/B AB
569,19  10d 23h
LENZE E84AVHCE5524SB0 5.5 kW 8400 HighLine C
LENZE E84AVHCE5524SB0 5.5 kW 8400 HighLine C
420,00  17d 22h
Yasakawa CIMR-V7AM22P2 Lect
Yasakawa CIMR-V7AM22P2 Lect
425,95  2d 5h
Allen Bradley Smc Smart ContrôlDoux Démarr135Amp Catalogue 150-F135NBD
Allen Bradley Smc Smart ContrôlDoux Démarr135Amp Catalogue 150-F135NBD
3.825,50  18d 22h
   Panasonic Photoelectric Sensor ,cx-412e + Cx-412d-p Free Ship
Top-Rated Seller  Panasonic Photoelectric Sensor ,cx-412e + Cx-412d-p Free Ship
76,83  3d 20h
Omron  Mx2-a4022-e
Omron Mx2-a4022-e
400,00  9d 23h
Allen Bradley 10hp Réglable Fréquence AC Lect#1336F-BRF100-AN-EN-L6
Allen Bradley 10hp Réglable Fréquence AC Lect#1336F-BRF100-AN-EN-L6
465,14  5d 12h
Neuf Amat APPLIED MATERIALS 0270-20018 Luminaire Gaz Ressort Assy 33cm
Top-Rated Seller Neuf Amat APPLIED MATERIALS 0270-20018 Luminaire Gaz Ressort Assy 33cm
186,34  27d 4h
LENZE E84AVHCE1534SB0 15.0 kW 8400 HighLine C
LENZE E84AVHCE1534SB0 15.0 kW 8400 HighLine C
650,00  12d 12h
Variador de frecuencia 0,75kw 230V  Allen Bradley 22A-B4P5N104 SER A
Variador de frecuencia 0,75kw 230V Allen Bradley 22A-B4P5N104 SER A
100,00  22d 13h
Allen Bradley AC Lect, 1336-C030-EAF-S1, Série A, 28 Kva, 575V, Garantie
Allen Bradley AC Lect, 1336-C030-EAF-S1, Série A, 28 Kva, 575V, Garantie
1.956,26  16d 7h
Mitshubishi fr-A044-1.5k-EC
Mitshubishi fr-A044-1.5k-EC
280,00  26d 3h
 TP-H11 TP-VG7SM frequency converter
Top-Rated Seller  TP-H11 TP-VG7SM frequency converter
98,32  11d 22h
XD-08-MS Yaskawa
XD-08-MS Yaskawa
1.700,00  10d 10h
ServoStar  Kollmorgen CE06560
ServoStar Kollmorgen CE06560
700,00  26d 4h
MADHT1505CA1 Panasonic servo drive
MADHT1505CA1 Panasonic servo drive
200,00  20d 11h
Applicable  SR3 B261FU A4
Top-Rated Seller Applicable SR3 B261FU A4
182,50  10d 23h
ServoStar  Kollmorgen CE10560
ServoStar Kollmorgen CE10560
480,00  26d 4h
Fanuc a1.5/15000 AC Principal Axe Mot, #A06b-0871-b900# 0391, , Garantie
Fanuc a1.5/15000 AC Principal Axe Mot, #A06b-0871-b900# 0391, , Garantie
2.128,45  16d 7h
2H806 85BYG vertical packaging machine driver stepper motor
Top-Rated Seller 2H806 85BYG vertical packaging machine driver stepper motor
208,19  10d 23h
Keb Combivert 16.f5.b1e-340a Puissance Ondul
Top-Rated Seller Keb Combivert 16.f5.b1e-340a Puissance Ondul
680,37  28d 12h
 Omron 3G3MX2-A2004-V1 D3
Top-Rated Seller  Omron 3G3MX2-A2004-V1 D3
446,23  10d 23h
Siemens Micromaster 440 6se6440-2ud27-5ca1 Lect
Top-Rated Seller Siemens Micromaster 440 6se6440-2ud27-5ca1 Lect
510,27  28d 4h
Kollmorgen Seidel 6sm 37l-4.000
Kollmorgen Seidel 6sm 37l-4.000
170,00  26d 4h
Siemens Sinamics v20 AC Lect6sl3210-5be17-5uvo
Top-Rated Seller Siemens Sinamics v20 AC Lect6sl3210-5be17-5uvo
174,07  23d 9h
Allen-Bradley 22f-d1p5n113 Powerflex 4m AC LectSer.a
Top-Rated Seller Allen-Bradley 22f-d1p5n113 Powerflex 4m AC LectSer.a
124,33  14d 0h
Reliance Electric GV 3000 A-C Lect5hp/4.0kw
Top-Rated Seller Reliance Electric GV 3000 A-C Lect5hp/4.0kw
1.190,65  7d 17h
Dsqc 346g 3hab8101-8/14c Abb
Dsqc 346g 3hab8101-8/14c Abb
400,00  12d 13h
Neuf Boston Gear ACE202V3P0030N1 AC Lect3HP/10A & 230V/3PH-INPUT
Top-Rated Seller Neuf Boston Gear ACE202V3P0030N1 AC Lect3HP/10A & 230V/3PH-INPUT
422,68  18d 22h
Frequency Inverter Vector E82EV751K4C04 8200  Lenze fr
Top-Rated Seller Frequency Inverter Vector E82EV751K4C04 8200  Lenze fr
1.045,25  10d 3h
SEW odrive Sortie Starter HD, HD001, 813 325 5,Utilisé,Garantie
SEW odrive Sortie Starter HD, HD001, 813 325 5,Utilisé,Garantie
84,60  17d 8h
Danfoss VLT 3008 175H7270
Danfoss VLT 3008 175H7270
720,00  12d 12h
Omron 3G8B2-N0000/0228824-3E Carte PCB
Top-Rated Seller Omron 3G8B2-N0000/0228824-3E Carte PCB
476,68  23d 13h
Yasakawa CIMR-V7AM42P2 Lect
Yasakawa CIMR-V7AM42P2 Lect
681,52  16d 14h
Allen-Bradley 22f-d2p5n113 Ser.a Puissance Flexible 4m AC Lect
Top-Rated Seller Allen-Bradley 22f-d2p5n113 Ser.a Puissance Flexible 4m AC Lect
248,67  14d 0h
Allen-Bradley 22f-d1p5n113 Puissance Flexible 4m AC Lect
Top-Rated Seller Allen-Bradley 22f-d1p5n113 Puissance Flexible 4m AC Lect
248,67  14d 0h
ServoStar 603 Kollmorgen
ServoStar 603 Kollmorgen
400,00  10d 11h
Allen-Bradley 22b-d012n104 Série A Puissance Flexible 40 Lect
Top-Rated Seller Allen-Bradley 22b-d012n104 Série A Puissance Flexible 40 Lect
1.700,93  5d 19h
Fanuc AC Axe Servo Unité, A06B-6044-H032, A20B-0009-0530/24J, Utilisé
Fanuc AC Axe Servo Unité, A06B-6044-H032, A20B-0009-0530/24J, Utilisé
2.324,18  16d 7h
Fanuc 3S/12000 AC Axe Mot, #A06b-0753-b394 #3000, Utilisé, Craquage Capuchon
Fanuc 3S/12000 AC Axe Mot, #A06b-0753-b394 #3000, Utilisé, Craquage Capuchon
1.320,07  16d 7h
Allen-Bradley 20A D 2P1A 3 AYYANNN Powerflex 70 OndulLectF / * 2.007/1
Top-Rated Seller Allen-Bradley 20A D 2P1A 3 AYYANNN Powerflex 70 OndulLectF / * 2.007/1
87,56  18d 5h
Allen-Bradley 22f-d2p5n113 Puissance Flexible 4m AC Lect
Top-Rated Seller Allen-Bradley 22f-d2p5n113 Puissance Flexible 4m AC Lect
124,33  14d 0h
Fanuc AC Axe Servo Unité,A06B-6055-H118 # H508,Utilisé,Ships Même Jour Garanti
Fanuc AC Axe Servo Unité,A06B-6055-H118 # H508,Utilisé,Ships Même Jour Garanti
2.995,07  16d 7h
Fanuc Modèle C3 AC Axe Mot, #A06b-0843-b101 #3000, Utilisé, Garantie
Fanuc Modèle C3 AC Axe Mot, #A06b-0843-b101 #3000, Utilisé, Garantie
1.213,61  16d 7h
Fanuc AC Axe Servo Unité, A06B-6064-H327, Utilisé, Navires Même Jour , Garantie
Fanuc AC Axe Servo Unité, A06B-6064-H327, Utilisé, Navires Même Jour , Garantie
1.222,20  16d 7h
3hab8101-3/10a Dsqc 345c Abb
3hab8101-3/10a Dsqc 345c Abb
620,00  12d 12h
Copley Controls 505
Copley Controls 505
130,00  20d 6h
AMK servodrive AMKASYN KU 0,5-2-2-2
AMK servodrive AMKASYN KU 0,5-2-2-2
530,00  12d 12h
Telemecanique Altivar 5 atv45075n Lect
Top-Rated Seller Telemecanique Altivar 5 atv45075n Lect
637,84  25d 0h
1 Pcs Lenze 8200 Vector Frequency Inverter E82EV751K4C040 tv
Top-Rated Seller 1 Pcs Lenze 8200 Vector Frequency Inverter E82EV751K4C040 tv
1.011,43  25d 10h
Siemens 6se6420-2uc12-5aa1 Micromaster 420 0.25kw
Top-Rated Seller Siemens 6se6420-2uc12-5aa1 Micromaster 420 0.25kw
91,17  4d 22h
Parker 890CD/5/0024C/N/00/A/US  MODEL 890CD-532240C0-000-1B000  FW: 3V6 *Tested*
Parker 890CD/5/0024C/N/00/A/US MODEL 890CD-532240C0-000-1B000 FW: 3V6 *Tested*
1.500,00  25d 3h
FD2A05TR-RN20 Flex Drive Baldor
FD2A05TR-RN20 Flex Drive Baldor
950,00  10d 11h
Allen-Bradley 22b-a5pon104 Powerflex 40
Top-Rated Seller Allen-Bradley 22b-a5pon104 Powerflex 40
207,23  14d 6h
Aint-14c Abb Acs800 Interface Board
Aint-14c Abb Acs800 Interface Board
583,75  16d 0h
Daihen Corporation RF D'Auto Macher Hrm-30b4/2l36-000040-651 3000/5000 W, Dc
Top-Rated Seller Daihen Corporation RF D'Auto Macher Hrm-30b4/2l36-000040-651 3000/5000 W, Dc
2.686,80  14d 7h
3com corporation 3c905c-txm Etherlink 10/100 Carte
Top-Rated Seller 3com corporation 3c905c-txm Etherlink 10/100 Carte
107,75  4d 22h
GSE Tech-Motive Outil, MotContrôle,49-4100-00C4,Utilisé,Garantie
GSE Tech-Motive Outil, MotContrôle,49-4100-00C4,Utilisé,Garantie
65,47  18d 7h
Allen Bradley 20-COMM-C Powerflex Controlnet / Dpi Communication Adaptat
Allen Bradley 20-COMM-C Powerflex Controlnet / Dpi Communication Adaptat
15,35  13d 14h
 Siemens 6SE7026-0TD61-Z Simovert Masterdrives Vector Control Inverter cy
Top-Rated Seller  Siemens 6SE7026-0TD61-Z Simovert Masterdrives Vector Control Inverter cy
6.174,24  16d 4h
Sew odrive Antriebsumrichter 18215033, Mm15d-503-00 (us)
Top-Rated Seller Sew odrive Antriebsumrichter 18215033, Mm15d-503-00 (us)
489,00  12d 0h
PTC 999012-003 Powerlithic Module
PTC 999012-003 Powerlithic Module
11,67  7d 8h
Sumitomo AF-3000 AF3002-3A7-U AC MotLectVfd Variable Fréquence 5 HP
Sumitomo AF-3000 AF3002-3A7-U AC MotLectVfd Variable Fréquence 5 HP
683,11  18d 19h
Siemens 6SE3121-0CC40 Micro Maître AC MotLectVariable Fréquence 3 HP
Siemens 6SE3121-0CC40 Micro Maître AC MotLectVariable Fréquence 3 HP
448,29  17d 9h
Sumitomo AF-3000 AF30E2-A75-U AC MotLectVfd Variable Fréquence 1 HP
Sumitomo AF-3000 AF30E2-A75-U AC MotLectVfd Variable Fréquence 1 HP
512,33  17d 9h
ABB ACS800-01-0030-3 Drive
Top-Rated Seller ABB ACS800-01-0030-3 Drive
1.097,45  12d 13h
Allen Bradley 1336-B007-ECD-L3 AC MotLect3 Ph 13A Haut Board
Allen Bradley 1336-B007-ECD-L3 AC MotLect3 Ph 13A Haut Board
213,47  17d 9h
Allen Bradley 1333-AAA AC MotLectVariable Fréquence Vfd 3 Ph 5A
Allen Bradley 1333-AAA AC MotLectVariable Fréquence Vfd 3 Ph 5A
192,12  17d 7h
Allen Bradley 1336-B015-E0E-FA2-L3 AC MotLectVariable Fréquence 3 Ph 25A
Allen Bradley 1336-B015-E0E-FA2-L3 AC MotLectVariable Fréquence 3 Ph 25A
939,28  19h 38m
Allen Bradley 1336-B010-EAD-FA2-L3-S1 AC MotLectVariable Fréquence 3 Ph
Allen Bradley 1336-B010-EAD-FA2-L3-S1 AC MotLectVariable Fréquence 3 Ph
768,50  12d 7h
Boston Gear Fincor ACE-KL-460V-3P-1HP AC MotLectVfd Variable Fréquence 1
Boston Gear Fincor ACE-KL-460V-3P-1HP AC MotLectVfd Variable Fréquence 1
213,47  17d 9h
Control Techniques Unidrive UNI3403 AC LectTout Neuf UNI-3403
Control Techniques Unidrive UNI3403 AC LectTout Neuf UNI-3403
1.693,75  3d 5h
Micro-Speed Cx M546CXH AC MotLectOndulVariable Fréquence Vfd 5 HP 3
Micro-Speed Cx M546CXH AC MotLectOndulVariable Fréquence Vfd 5 HP 3
414,13  22d 10h
Sumitomo AF3004-A75-U AC MotLectVariable Fréquence Vfd 1.5 HP 3 Ph
Sumitomo AF3004-A75-U AC MotLectVariable Fréquence Vfd 1.5 HP 3 Ph
256,16  22d 13h
Allen Bradley 1336-B007-ECD-L3 AC MotLectVariable Fréquence 3 Ph 13A
Allen Bradley 1336-B007-ECD-L3 AC MotLectVariable Fréquence 3 Ph 13A
597,72  17d 9h
Allen Bradley 1336-B015-E0E-L3 AC MotLectVariable Fréquence 3 Ph 25A
Allen Bradley 1336-B015-E0E-L3 AC MotLectVariable Fréquence 3 Ph 25A
939,28  17d 9h
Sew odrive Antriebsumrichter 18214991, Mm03d-503-00 (us)
Top-Rated Seller Sew odrive Antriebsumrichter 18214991, Mm03d-503-00 (us)
469,00  12d 0h
Allen Bradley 120792 140134 Vfd Variable Fréquence LectCarte Circuit
Allen Bradley 120792 140134 Vfd Variable Fréquence LectCarte Circuit
256,16  20d 12h
Allen Bradley 1333-CAA AC MotLectVariable Fréquence Vfd 3 Ph 17.5A 5 HP
Allen Bradley 1333-CAA AC MotLectVariable Fréquence Vfd 3 Ph 17.5A 5 HP
213,47  24d 9h
NUM Operator Terminal 209206362 and 0209206209 SHA Pupitre CP20 LCD 8.4" LCD
Top-Rated Seller NUM Operator Terminal 209206362 and 0209206209 SHA Pupitre CP20 LCD 8.4" LCD
758,87  12d 13h
Boston Fincor ACE-K Électronique Freinage ContrôlModule 1 - 2 HP 460v Frein
Boston Fincor ACE-K Électronique Freinage ContrôlModule 1 - 2 HP 460v Frein
128,08  17d 9h
Boston Gear Ratiotrol VEA5-RG Dc MotLectVitesse Variable Contrôle 5 HP
Boston Gear Ratiotrol VEA5-RG Dc MotLectVitesse Variable Contrôle 5 HP
234,81  17d 9h
Boston Gear Ratiotrol RB1-S Dc MotLectVitesse Variable Contrôle 1 HP 90
Boston Gear Ratiotrol RB1-S Dc MotLectVitesse Variable Contrôle 1 HP 90
106,73  26d 13h
Module Simodrive Siemens 6SN1118-0DM33-0AA2
Module Simodrive Siemens 6SN1118-0DM33-0AA2
986,18  27d 11h
SIEMENS SIMOVERT 6SE7023-2EA87-2DA0 E:E Breaking Unit  Bremseinheit#4
Top-Rated Seller SIEMENS SIMOVERT 6SE7023-2EA87-2DA0 E:E Breaking Unit Bremseinheit#4
333,00  18d 6h
Fanuc AC Axe Servo LectUnité, A06B-6044-H008,A20B-0009-0530/21H
Fanuc AC Axe Servo LectUnité, A06B-6044-H008,A20B-0009-0530/21H
963,79  16d 7h
Siemens 6RA2477-6DS22-0 Drive AC 205/250A 400/485V 50/60HZ
Siemens 6RA2477-6DS22-0 Drive AC 205/250A 400/485V 50/60HZ
3.500,00  2d 5h
Dart Contrôles 250 Dc MotLectVitesse Contrôle 90/180v 115v MM 1/4 - À 2
Dart Contrôles 250 Dc MotLectVitesse Contrôle 90/180v 115v MM 1/4 - À 2
170,77  17d 9h
Allen Bradley 120800 120801 Vfd Variable Fréquence LectCarte Circuit
Allen Bradley 120800 120801 Vfd Variable Fréquence LectCarte Circuit
170,77  20d 12h
Allen Bradley 1305-AA08A AC MotLectVfd Variable Fréquence 2 HP
Allen Bradley 1305-AA08A AC MotLectVfd Variable Fréquence 2 HP
85,38  17d 9h
Q476-A-1 475 486.5001.21 Inverter Trigger Ceramic Driver
Top-Rated Seller Q476-A-1 475 486.5001.21 Inverter Trigger Ceramic Driver
39,27  27d 23h
TM333-500VA Home Appliance Transformer Power Converter 220V to 110V
Top-Rated Seller TM333-500VA Home Appliance Transformer Power Converter 220V to 110V
79,00  8d 1h
Reliance gv3000/Se 2v4160 sans CaptAmélioré AC Lect
Top-Rated Seller Reliance gv3000/Se 2v4160 sans CaptAmélioré AC Lect
373,02  8d 10h
Module d'option de clonage Unidrive UD55 ISSA
Module d'option de clonage Unidrive UD55 ISSA
295,00  27d 13h
NUM 0205203603F Rack, Num 1062M
Top-Rated Seller NUM 0205203603F Rack, Num 1062M
233,50  12d 13h
Allen Bradley 1333-FAA AC MotLectVariable Fréquence Vfd 3 Ph 45A
Allen Bradley 1333-FAA AC MotLectVariable Fréquence Vfd 3 Ph 45A
2.561,69  17d 7h
Toshiba VF Mini VFC1-2015Y-A34 Transistor Ondul, 200/220V
Toshiba VF Mini VFC1-2015Y-A34 Transistor Ondul, 200/220V
149,21  25d 15h
3RV20110KA20 Siemens Circuit breaker (Lot of 8pcs)
3RV20110KA20 Siemens Circuit breaker (Lot of 8pcs)
190,00  20d 6h
Allen-Bradley 20AD1P1A0AYNNNCO/A POWERFLEX 70 AC Lect480V .5hp
Top-Rated Seller Allen-Bradley 20AD1P1A0AYNNNCO/A POWERFLEX 70 AC Lect480V .5hp
133,19  20d 15h
Reliance Electric Ac Converter 762.20.20, Bmi-s11 (us)
Top-Rated Seller Reliance Electric Ac Converter 762.20.20, Bmi-s11 (us)
549,00  20d 1h
Allen Bradley 22D-D1P4N104/A Powerflex 40 AC Lect0.4kW / 0.5HP 0~460V 1.4A
Top-Rated Seller Allen Bradley 22D-D1P4N104/A Powerflex 40 AC Lect0.4kW / 0.5HP 0~460V 1.4A
104,66  20d 15h
Moore Industries DDA/4-20MA/SH1/24DC, DDA DC Current Alarm
Top-Rated Seller Moore Industries DDA/4-20MA/SH1/24DC, DDA DC Current Alarm
145,94  12d 13h
Parker 10G-11-0045-BF AC Lect
Parker 10G-11-0045-BF AC Lect
298,16  25d 2h
ABB NOCH0016-62 du/dt Filter
Top-Rated Seller ABB NOCH0016-62 du/dt Filter
262,69  12d 13h
Einphasiger Konverter für 25HP (18.7kW) Motor,75 amps,innen und außen 200v-240v
Einphasiger Konverter für 25HP (18.7kW) Motor,75 amps,innen und außen 200v-240v
859,00  23d 0h
ABB ACS150-03E-04A1-4 OndulLect3ABD68865875
ABB ACS150-03E-04A1-4 OndulLect3ABD68865875
255,99  2d 8h
NUM 0204203485 Process Graphic Card NUM FC 200203483
Top-Rated Seller NUM 0204203485 Process Graphic Card NUM FC 200203483
583,75  12d 13h
Fuji Electric FRN0005C2S-4A Lect
Fuji Electric FRN0005C2S-4A Lect
340,76  1d 22h
Einphasiger Konverter für 0.5HP(0.4kW) Motor, 1.5 amps, innen und auße 200v-240v
Einphasiger Konverter für 0.5HP(0.4kW) Motor, 1.5 amps, innen und auße 200v-240v
89,00  20d 22h
Neuf 22C-D022N103/A Powerflex 400 Vfd AC Lect15HP 480VAC + Garantie
Top-Rated Seller Neuf 22C-D022N103/A Powerflex 400 Vfd AC Lect15HP 480VAC + Garantie
1.394,73  20d 21h
Safetran Systems Corp A53308 Pièce Numéro 9000 53308 0511 / Siemens Spectre
Top-Rated Seller Safetran Systems Corp A53308 Pièce Numéro 9000 53308 0511 / Siemens Spectre
423,36  20d 15h
Menthe Reliance Electric MD65 6MDDN-012102 460VAC 7.5HP 6MB40007 Vfd Lect
Top-Rated Seller Menthe Reliance Electric MD65 6MDDN-012102 460VAC 7.5HP 6MB40007 Vfd Lect
364,33  20d 15h
YASKAWA F7 CIMR-F7U4022 480V AC Lect50/55A CIMRF7U4022
YASKAWA F7 CIMR-F7U4022 480V AC Lect50/55A CIMRF7U4022
1.187,47  10h 13m
Schneider Electric Télémécanique TSX1702028
Top-Rated Seller Schneider Electric Télémécanique TSX1702028
128,69  20d 15h
Neuf Yaskawa CIMR-G5M4018 LectCIMR-G5M40181F GPD515 / GS 30HP GPD515C-B041
Top-Rated Seller Neuf Yaskawa CIMR-G5M4018 LectCIMR-G5M40181F GPD515 / GS 30HP GPD515C-B041
1.503,49  20d 15h
Yaskawa Juspeed-F Séries S2 CIMR-G22AS2-2001 Transistor Ondul2.2 Kw 200-230
Yaskawa Juspeed-F Séries S2 CIMR-G22AS2-2001 Transistor Ondul2.2 Kw 200-230
340,04  19d 19h
Safetran Systems Corp A53201 Pièce N°9000-53201-0018 / Siemens HD / Lien Système
Top-Rated Seller Safetran Systems Corp A53201 Pièce N°9000-53201-0018 / Siemens HD / Lien Système
431,99  20d 15h
Universeller Staub Sauger Staub Sammler Externer Staub Sammel Eimer Staub S A6P9
Top-Rated Seller Universeller Staub Sauger Staub Sammler Externer Staub Sammel Eimer Staub S A6P9
13,40  5h 0m
Unico 1200-460-015-C-Y-PA1-C01-806458.031 716052 Lect
Top-Rated Seller Unico 1200-460-015-C-Y-PA1-C01-806458.031 716052 Lect
1.710,52  20d 15h
Magnetek Lancer GPD502 L708 AC Lect7.5HP Vfd 3P 380-460V IL716 15AMP
Top-Rated Seller Magnetek Lancer GPD502 L708 AC Lect7.5HP Vfd 3P 380-460V IL716 15AMP
716,16  20d 21h
Safetran Systems A53429 Pièce Numéro 9000-53429-0001 / Site Identité
Top-Rated Seller Safetran Systems A53429 Pièce Numéro 9000-53429-0001 / Site Identité
717,22  20d 15h
20AC5P0A0AYNACNN Allen-Bradley / Rockwell Automatisation 20AC5P0A0 5hp Vfd 480V
Top-Rated Seller 20AC5P0A0AYNACNN Allen-Bradley / Rockwell Automatisation 20AC5P0A0 5hp Vfd 480V
123,94  20d 15h
Yaskawa AC LectCIMR-VU4A0002BAA/CIMRVU4A0002BAA 0.75HP 480V 50/60Hz
Top-Rated Seller Yaskawa AC LectCIMR-VU4A0002BAA/CIMRVU4A0002BAA 0.75HP 480V 50/60Hz
147,70  20d 15h
Panasonic AC OndulMK300 AMK300-1P54 AMK3001P54 480VAC 3.2kVA
Top-Rated Seller Panasonic AC OndulMK300 AMK300-1P54 AMK3001P54 480VAC 3.2kVA
144,31  20d 15h
FINCOR Séries 6500 ASD ContrôlMotLect10HP 6502S01025 230V
Top-Rated Seller FINCOR Séries 6500 ASD ContrôlMotLect10HP 6502S01025 230V
1.034,80  20d 15h
Emerson Commander CD 150k 2HP AC Lect480VAC
Top-Rated Seller Emerson Commander CD 150k 2HP AC Lect480VAC
1.024,61  20d 21h
Contrôle Techniques Sm-Devicenet Extension Module Commander Sk / Unidrive STD032
Contrôle Techniques Sm-Devicenet Extension Module Commander Sk / Unidrive STD032
168,91  19d 4h
CONTROL TECHNIQUES Sm-Applications Cnet ProcessCommander Sk / Unidrive
CONTROL TECHNIQUES Sm-Applications Cnet ProcessCommander Sk / Unidrive
168,91  20d 14h
YASKAWA Varispeed 616G5 AC LectCIMR-G5A21P5 200-220V Spec 21P51
YASKAWA Varispeed 616G5 AC LectCIMR-G5A21P5 200-220V Spec 21P51
422,80  5d 19h
Siemens Simatic Panel KTP 700 Basic 6AV2 123-2GB03-0AX0  **NEU**
Siemens Simatic Panel KTP 700 Basic 6AV2 123-2GB03-0AX0 **NEU**
590,00  10d 1h
FINCOR Électronique BRAKING Module 7.5-10HP RT043 12.3-18.9AMP
Top-Rated Seller FINCOR Électronique BRAKING Module 7.5-10HP RT043 12.3-18.9AMP
549,22  20d 15h
Lenze E82EV302_4c000 8200 Vector LectD-31855 E82EV302-4c000 - 90 Day Garanti
Top-Rated Seller Lenze E82EV302_4c000 8200 Vector LectD-31855 E82EV302-4c000 - 90 Day Garanti
586,59  20d 15h
Mte Corporation Rf3-0010-6 **surplus**
Mte Corporation Rf3-0010-6 **surplus**
85,37  11d 11h
Control Techniques Unidrive Sp SP1401 Emerson 380-480V AC LectTesté
Control Techniques Unidrive Sp SP1401 Emerson 380-480V AC LectTesté
371,96  5d 14h
Neuf Allen-Bradley 25A-D017N104/B Powerflex 523 AC Lect480VAV 10HP Série B
Top-Rated Seller Neuf Allen-Bradley 25A-D017N104/B Powerflex 523 AC Lect480VAV 10HP Série B
1.868,33  20d 21h
Neuf Allen-Bradley 25A-D017N104/B Powerflex 523 AC Lect480VAV 10HP Série B /
Top-Rated Seller Neuf Allen-Bradley 25A-D017N104/B Powerflex 523 AC Lect480VAV 10HP Série B /
1.963,40  20d 21h
ALLEN BRADLEY 20AD065C3AYNANC0/A Powerflex 70 AC Lect50HP 480VAC 2011
Top-Rated Seller ALLEN BRADLEY 20AD065C3AYNANC0/A Powerflex 70 AC Lect50HP 480VAC 2011
4.325,26  20d 21h
195h3303 Danfoss Vlt2025 100% Test & Working
195h3303 Danfoss Vlt2025 100% Test & Working
150,00  8d 0h
Allen Bradley 22F-A2P5N103 0.4kW 200-240V Powerflex 4M Simple Phase Pour 3 A
Allen Bradley 22F-A2P5N103 0.4kW 200-240V Powerflex 4M Simple Phase Pour 3 A
225,94  24d 20h
Reliance Electric 6MDBN-2P3102 Ser. A,AC Lect,3-Phase,240V AC,2.5 Amp,48-6
Reliance Electric 6MDBN-2P3102 Ser. A,AC Lect,3-Phase,240V AC,2.5 Amp,48-6
85,33  29d 6h
Emerson Contrôle Techniques 2950-8400-0124 OndulLaser Contrôle 7.5 HP 480V
Emerson Contrôle Techniques 2950-8400-0124 OndulLaser Contrôle 7.5 HP 480V
852,60  28d 11h
Hyundai Mccb HiBS 103 Moulé Étui Disjonct100AF 3F 50/60Hz
Top-Rated Seller Hyundai Mccb HiBS 103 Moulé Étui Disjonct100AF 3F 50/60Hz
286,69  18d 12h
SCHNEIDER ATV32H037N4 AC speed drive EC-883 Inverter ATV32 ALTIVAR 32
SCHNEIDER ATV32H037N4 AC speed drive EC-883 Inverter ATV32 ALTIVAR 32
455,32  18d 0h
ALLEN-BRADLEY POWER FLEX 4M   22F-D1P5N103 serie A
ALLEN-BRADLEY POWER FLEX 4M 22F-D1P5N103 serie A
259,00  3d 11h
AC Tech 508-110 Emc Filtre 120/240V, 10.6 Amp, 1 Phase , 50-60Hz
AC Tech 508-110 Emc Filtre 120/240V, 10.6 Amp, 1 Phase , 50-60Hz
38,37  1d 19h
Mitsubishi  MDS-C1-V1-70   100% tested
Mitsubishi MDS-C1-V1-70 100% tested
1.400,00  15d 7h
Neuf Allen Bradley 150-F60NBD SMC-Flex État Solide Smart MotContrôl
Neuf Allen Bradley 150-F60NBD SMC-Flex État Solide Smart MotContrôl
1.875,72  7d 14h
Allen Bradley 161S-AA 04NPU Lect230VAC 161S-AA04NPU Série B/B
Top-Rated Seller Allen Bradley 161S-AA 04NPU Lect230VAC 161S-AA04NPU Série B/B
98,36  20d 15h
Siemens Micromaster 440 6SE6440-2UD34-5FA1 3ph AC OndulLectTesté
Siemens Micromaster 440 6SE6440-2UD34-5FA1 3ph AC OndulLectTesté
3.194,58  4d 14h
Magnetek GPD403-A0P7-00 AC Lect, 208/230V AC, Sans Visage Housse
Magnetek GPD403-A0P7-00 AC Lect, 208/230V AC, Sans Visage Housse
42,29  18d 12h
Allen Bradley Constant Torque 3-Phase AC Lect, 1336-B007-EAD-FA2-L2, Série A
Allen Bradley Constant Torque 3-Phase AC Lect, 1336-B007-EAD-FA2-L2, Série A
1.232,14  10d 12h
Sumitomo AF-3000 AF3002-A40-U AC MotLectVfd Variable Fréquence 3/4 HP
Sumitomo AF-3000 AF3002-A40-U AC MotLectVfd Variable Fréquence 3/4 HP
512,33  17d 9h
Magnetek Impulsion 5003-G+S4 Séries 4 Lect/ Yaskawa CIMR-AU5A0004AAA
Top-Rated Seller Magnetek Impulsion 5003-G+S4 Séries 4 Lect/ Yaskawa CIMR-AU5A0004AAA
338,51  20d 15h
Allen-Bradley 25B-B048N104 Ser.a Powerflex AC Lect10Hp 15HP 200-240V
Top-Rated Seller Allen-Bradley 25B-B048N104 Ser.a Powerflex AC Lect10Hp 15HP 200-240V
346,16  20d 15h
Allen Bradley 1336-BRF100-AN-EN5 AC MotLectVariable Fréquence 3 Ph 25A
Allen Bradley 1336-BRF100-AN-EN5 AC MotLectVariable Fréquence 3 Ph 25A
853,89  18d 15h
Allen Bradley 22B-A2P3N104 Ser. A W/22-Comm-E Ethernet / IP Adaptat
Allen Bradley 22B-A2P3N104 Ser. A W/22-Comm-E Ethernet / IP Adaptat
277,42  9d 6h
Allen Bradley 22B-D2P3N104, Ser. A Powerflex 40 1Hp AC Lect
Allen Bradley 22B-D2P3N104, Ser. A Powerflex 40 1Hp AC Lect
277,42  7d 9h
Fanuc Vitesse Contrôle Unité, A06B-6050-H301, A20B-1001-0420, A20B-1001-0410 Usé
Fanuc Vitesse Contrôle Unité, A06B-6050-H301, A20B-1001-0420, A20B-1001-0410 Usé
489,41  29d 20h
For  Toshiba Inverter VF-S11 3PH VFS11-2037PM-WN(R5)
Top-Rated Seller For  Toshiba Inverter VF-S11 3PH VFS11-2037PM-WN(R5)
295,46  2d 17h
3RV20111DA20 Siemens Circuit breaker (Lot of 9pcs)
3RV20111DA20 Siemens Circuit breaker (Lot of 9pcs)
212,00  20d 7h
Fanuc Vitesse Contrôle Unité, A06B-6050-H001, A20B-1000-0590/06B, Usé , Garantie
Fanuc Vitesse Contrôle Unité, A06B-6050-H001, A20B-1000-0590/06B, Usé , Garantie
221,08  3d 20h
Allen-Bradley 460V 50/60Hz 2.6KVA 3.3Amps B12010 1333-AAB
Top-Rated Seller Allen-Bradley 460V 50/60Hz 2.6KVA 3.3Amps B12010 1333-AAB
1.270,37  26d 7h
Allen Bradley 500-BOD930,Ser. B ,Taille 1,AC Contact,110V Bobine,Utilisé,
Allen Bradley 500-BOD930,Ser. B ,Taille 1,AC Contact,110V Bobine,Utilisé,
16,58  4d 23h
Fanuc AC Axe Servo Unité, #A06b-6064-h308# H550, A16B-1300-0220/03A, Utilisé
Fanuc AC Axe Servo Unité, #A06b-6064-h308# H550, A16B-1300-0220/03A, Utilisé
840,84  17d 10h
3RV2011-0AA20 Circuit breaker Siemens (lot of 1)
3RV2011-0AA20 Circuit breaker Siemens (lot of 1)
228,00  19d 2h
160-BA06NSF1 Ser. C IP20 3HP Vitesse ContrôlSérie C comme-Est/Pièces
Top-Rated Seller 160-BA06NSF1 Ser. C IP20 3HP Vitesse ContrôlSérie C comme-Est/Pièces
99,90  20d 15h
Delta Repair Evaluation Vfd037m23a
Top-Rated Seller Delta Repair Evaluation Vfd037m23a
2,85  4d 3h
Keb ÉlévatDiagnostic Clavier Combivert 00F5060-2029
Top-Rated Seller Keb ÉlévatDiagnostic Clavier Combivert 00F5060-2029
578,92  26d 7h
SECO 220/240V AC Vitesse LectVC150D
Top-Rated Seller SECO 220/240V AC Vitesse LectVC150D
610,46  26d 7h
204 20x4 Zeichen LCD-Anzeigemodul HD44780 Controller gelb Schwarzlicht L1SA
Top-Rated Seller 204 20x4 Zeichen LCD-Anzeigemodul HD44780 Controller gelb Schwarzlicht L1SA
3,49  2d 23h
Ge LectAF-300E$ 6KAF323005E$ A1 5 HP, 3 Phase , 200-230V
Top-Rated Seller Ge LectAF-300E$ 6KAF323005E$ A1 5 HP, 3 Phase , 200-230V
115,17  26d 21h
ICEBreaker 1.0E FPGA Gitter ICE40UP5K Entwicklungs Platine RISC-V ÖFfnen QuO7D5
Top-Rated Seller ICEBreaker 1.0E FPGA Gitter ICE40UP5K Entwicklungs Platine RISC-V ÖFfnen QuO7D5
45,99  20d 6h
2 x H&B Multavi 10 Zum Ausschlachten            /j4
Top-Rated Seller 2 x H&B Multavi 10 Zum Ausschlachten /j4
99,00  16d 4h
Tm5sdi12d Schneider
Tm5sdi12d Schneider
89,90  26d 7h
Control Techniques LectDinverter 4.6AMP 210/240VAC
Top-Rated Seller Control Techniques LectDinverter 4.6AMP 210/240VAC
391,34  26d 7h
For  T-verter E2-2P5-H1A 0.4KW 220V inverter
Top-Rated Seller For  T-verter E2-2P5-H1A 0.4KW 220V inverter
63,18  13d 19h
Scientifique Atlanta Contact Fermeture Assy 515824 Pwb 285881A
Top-Rated Seller Scientifique Atlanta Contact Fermeture Assy 515824 Pwb 285881A
76,01  10d 0h
Scientifique Atlanta Relais Board Assy 515812 E368 PN515811
Top-Rated Seller Scientifique Atlanta Relais Board Assy 515812 E368 PN515811
69,10  10d 1h
Sew odrive Repair Evaluation Movitrac 31c075-503-4-00
Top-Rated Seller Sew odrive Repair Evaluation Movitrac 31c075-503-4-00
2,87  4d 5h
Centurion Servo System DS 200
Centurion Servo System DS 200
590,00  12d 5h
3RV20111KA10 SIEMENS  Circuit breaker (Lot of 7 pcs)
3RV20111KA10 SIEMENS Circuit breaker (Lot of 7 pcs)
138,00  20d 8h
3RH21222BB40 Siemens Contactor Relay (batch of 10pcs)
3RH21222BB40 Siemens Contactor Relay (batch of 10pcs)
135,00  22d 2h
SIEMENS SIMOVERT 6SE7023-2EA87-2DA0 E:E Breaking Unit  Bremseinheit#3
Top-Rated Seller SIEMENS SIMOVERT 6SE7023-2EA87-2DA0 E:E Breaking Unit Bremseinheit#3
333,00  18d 6h
Fanuc AC Axe Servo LectUnité # A06B-6044-H008,A20B-0009-0530/22J
Fanuc AC Axe Servo LectUnité # A06B-6044-H008,A20B-0009-0530/22J
1.248,04  16d 7h
Allen Bradley 22D-D1P4N104/A Powerflex 40 AC Lect0.4kW 0.5HP 1/
Top-Rated Seller Allen Bradley 22D-D1P4N104/A Powerflex 40 AC Lect0.4kW 0.5HP 1/
109,41  20d 21h
SCHNEIDER ATV32HU30N4 AC speed drive 3kW Inverter EC-885 ALTIVAR 32
SCHNEIDER ATV32HU30N4 AC speed drive 3kW Inverter EC-885 ALTIVAR 32
572,07  18d 0h
3RH21312BB40 Siemens Contactor Relay (Lot of 9pcs)
3RH21312BB40 Siemens Contactor Relay (Lot of 9pcs)
122,00  25d 2h
Fuji Electric 380-480/380-460V 31.6/24 A 15-20Hp 50-60/0.2-400Hz
Top-Rated Seller Fuji Electric 380-480/380-460V 31.6/24 A 15-20Hp 50-60/0.2-400Hz
1.065,75  26d 7h
Rosenberg L63-15035 E341651 Axial Refroidissement VentilatType : GD 150 82
Top-Rated Seller Rosenberg L63-15035 E341651 Axial Refroidissement VentilatType : GD 150 82
802,57  7d 2h
3RV20110CA20 Siemens Circuit breaker  (Lot of 5pcs)
3RV20110CA20 Siemens Circuit breaker (Lot of 5pcs)
104,00  20d 1h
3RV20110JA20  Siemens Circuit breaker (lot of 4pcs)
3RV20110JA20 Siemens Circuit breaker (lot of 4pcs)
94,00  20d 3h
3RV20214NA20 Siemens Circuit Breaker (batch of 2pcs)
3RV20214NA20 Siemens Circuit Breaker (batch of 2pcs)
93,00  22d 2h
For  TAIAN E2-202-H inverter 1.5KW 220V
Top-Rated Seller For  TAIAN E2-202-H inverter 1.5KW 220V
84,97  1d 22h
3RV20214CA20  Siemens Circuit Breaker (batch of 3pcs)
3RV20214CA20 Siemens Circuit Breaker (batch of 3pcs)
96,00  22d 1h
Allen-Bradley Powerflex 70 480v 2HP D 3P4A0AYNNNNN
Top-Rated Seller Allen-Bradley Powerflex 70 480v 2HP D 3P4A0AYNNNNN
848,34  26d 7h
Baldor ZD18H407-E Réglable Vitesse LectAC Flux Vector 10Hp 460Vac Séries 18H
Baldor ZD18H407-E Réglable Vitesse LectAC Flux Vector 10Hp 460Vac Séries 18H
4.035,13  8d 21h
Siemens 6SE3210-7BA40 6SE32107BA40 Neuf Micromaster Ondul
Top-Rated Seller Siemens 6SE3210-7BA40 6SE32107BA40 Neuf Micromaster Ondul
844,86  8d 14h
Allen Bradley 3HP AC Lect1336F-BRF30-AN-EN-L5 Neuf
Top-Rated Seller Allen Bradley 3HP AC Lect1336F-BRF30-AN-EN-L5 Neuf
610,46  26d 7h
3tk2834-2bb40 Siemens Sirius Safety Relay
3tk2834-2bb40 Siemens Sirius Safety Relay
79,90  16d 2h
Rae Corporation 130 Dc .64Amps 2876 RPM 2423264
Top-Rated Seller Rae Corporation 130 Dc .64Amps 2876 RPM 2423264
162,85  26d 7h
Danfoss Vitesse Variable Lect200/220/230v Vlt 103 175B6012
Top-Rated Seller Danfoss Vitesse Variable Lect200/220/230v Vlt 103 175B6012
337,63  26d 7h
Rockwell Automatisation, AC Lect2090-XXLF-X330B
Top-Rated Seller Rockwell Automatisation, AC Lect2090-XXLF-X330B
128,74  26d 7h
Allen Bradley 1336 LectVisage / Housse, Révisée 04, Utilisé, Garantie
Allen Bradley 1336 LectVisage / Housse, Révisée 04, Utilisé, Garantie
24,23  22d 18h
Danfoss 131F7140 Vlt FC301 40HP 30KW En : 3X380-480V FC-301-P30KT4E20H2 AC Drive
Top-Rated Seller Danfoss 131F7140 Vlt FC301 40HP 30KW En : 3X380-480V FC-301-P30KT4E20H2 AC Drive
2.545,15  21d 3h
Tm-e15s26-a1 Siemens Simatic Terminal Module
Tm-e15s26-a1 Siemens Simatic Terminal Module
40,00  5d 23h
3RV20110BA20  CIRCUIT BREAKER (Lot of 3pcs)
3RV20110BA20 CIRCUIT BREAKER (Lot of 3pcs)
62,00  19d 2h
Fanuc AC Axe Servo Unité, #A06b-6064-h326# H550 Garantie
Fanuc AC Axe Servo Unité, #A06b-6064-h326# H550 Garantie
1.383,67  10d 12h
 For hetronic remote control battery 68303010 9.6v 2.0Ah
Top-Rated Seller  For hetronic remote control battery 68303010 9.6v 2.0Ah
165,95  19d 22h
Module De Saida Ge Fanuc Ic660bbr101
Module De Saida Ge Fanuc Ic660bbr101
903,71  25d 3h
Modulo Triconex 3636r
Modulo Triconex 3636r
772,35  25d 4h
Module Ge Fanuc Plc Ic695psd040f
Module Ge Fanuc Plc Ic695psd040f
946,96  25d 4h
Reinshaw Phc9 Mk2 Probe Head Control Unit I6s3
Top-Rated Seller Reinshaw Phc9 Mk2 Probe Head Control Unit I6s3
218,91  4d 0h
Filtro Trifase RFI - MITSUBISHI FFR-MSH-170-30A-RF1
Filtro Trifase RFI - MITSUBISHI FFR-MSH-170-30A-RF1
40,00  24d 4h
ABB Asc800-04-0040-5+C132+J400 Marine Type Approuvé Industriel LectModule
Top-Rated Seller ABB Asc800-04-0040-5+C132+J400 Marine Type Approuvé Industriel LectModule
1.605,33  21d 12h
Allen Bradley Via Tcs 160BA03NPS1 Ser. C NSNP 160 BA03NPS1
Allen Bradley Via Tcs 160BA03NPS1 Ser. C NSNP 160 BA03NPS1
561,12  16d 12h
Telemecanique Repair Evaluation Atv71hd55n4z
Top-Rated Seller Telemecanique Repair Evaluation Atv71hd55n4z
2,85  4d 3h
For  ABB inverter ACH550-01-04A1-4 1.5KW ()
Top-Rated Seller For  ABB inverter ACH550-01-04A1-4 1.5KW ()
229,59  29d 22h
 M200-02200075A replaces SKBD200150 Frequency converter 1.5KW
Top-Rated Seller  M200-02200075A replaces SKBD200150 Frequency converter 1.5KW
466,95  1d 18h
Altivar 58 Écran D'Affichage Télémécanique VW3-A58101 IMI-569
Top-Rated Seller Altivar 58 Écran D'Affichage Télémécanique VW3-A58101 IMI-569
469,56  4d 9h
Allen Bradley 500F-B0 930 AC Contact, 500F-BO 930, Ser. B ,Utilisé,Garantie
Allen Bradley 500F-B0 930 AC Contact, 500F-BO 930, Ser. B ,Utilisé,Garantie
20,41  4d 23h
Allen Bradley Via Tcs 160BA02NSF1 Ser. C NSNP 160 BA02NSF1
Allen Bradley Via Tcs 160BA02NSF1 Ser. C NSNP 160 BA02NSF1
1.017,32  16d 12h
Allen Bradley Via Tcs 160BA06NPS1 Ser. C NSFP (Br / WH) 160 BA06NPS1
Allen Bradley Via Tcs 160BA06NPS1 Ser. C NSFP (Br / WH) 160 BA06NPS1
910,02  16d 12h
Allen Bradley Via Tcs 160BA06NPS1 Ser. C NSNP 160 BA06NPS1
Allen Bradley Via Tcs 160BA06NPS1 Ser. C NSNP 160 BA06NPS1
910,02  16d 12h
For Delta VFD-015M21A inverter 230V 1.5KW (expedited transportation)
Top-Rated Seller For Delta VFD-015M21A inverter 230V 1.5KW (expedited transportation)
118,61  18d 18h
For Adleepower AS2-104 AS2-IPM 0.4KW 220V Inverter (Free Fast Shipping)
Top-Rated Seller For Adleepower AS2-104 AS2-IPM 0.4KW 220V Inverter (Free Fast Shipping)
135,54  1d 1h
Allen Bradley 500-BOD93, Ser. B , Taille 1, AC Contact, 110V Bobine, Utilisé,
Allen Bradley 500-BOD93, Ser. B , Taille 1, AC Contact, 110V Bobine, Utilisé,
19,98  4d 23h
For  TAIAN E2-201-H1F 0.75KW 220V inverter
Top-Rated Seller For  TAIAN E2-201-H1F 0.75KW 220V inverter
120,78  17d 22h
  KPS1203D 120V 3A DC regulated power supply 0-120V 0-3A AC110-220V
Top-Rated Seller   KPS1203D 120V 3A DC regulated power supply 0-120V 0-3A AC110-220V
114,48  13d 0h
Allen Bradley AC Contact, 500F-AOD93, Ser. B , Taille 0, 18 A Max, Utilisé,
Allen Bradley AC Contact, 500F-AOD93, Ser. B , Taille 0, 18 A Max, Utilisé,
15,31  4d 23h
M700-04400172a Emerson Control Techniques M700-04400172a - 11.0kw, Three Phase,
M700-04400172a Emerson Control Techniques M700-04400172a - 11.0kw, Three Phase,
1.845,00  7d 23h
 for Fuji inverter F1S/G1S simple operation panel TP-E1U
Top-Rated Seller  for Fuji inverter F1S/G1S simple operation panel TP-E1U
58,35  13d 22h
Allen Bradley 500-BOD940, Ser. B , Taille 1, AC Contact, Utilisé, Garantie
Allen Bradley 500-BOD940, Ser. B , Taille 1, AC Contact, Utilisé, Garantie
17,01  4d 23h
Allen Bradley 500F-AOD92, Ser. B Taille 0 AC Contact, 500F-A0D92, Utilisé,
Allen Bradley 500F-AOD92, Ser. B Taille 0 AC Contact, 500F-A0D92, Utilisé,
17,86  4d 23h
Allen Bradley Taille 0 AC Contact, #500F-A0D930 , Séries B, Garantie
Allen Bradley Taille 0 AC Contact, #500F-A0D930 , Séries B, Garantie
17,86  4d 23h
Woods WFC2000-7A E-Trac AC Ondul230V 3.1A 3/4HP
Top-Rated Seller Woods WFC2000-7A E-Trac AC Ondul230V 3.1A 3/4HP
340,12  3d 4h
Minarik XL3025 Pulse-Width Modulé Réglable Vitesse Lect
Top-Rated Seller Minarik XL3025 Pulse-Width Modulé Réglable Vitesse Lect
46,77  18d 19h
ICEBreaker 1.0E FPGA Gitter ICE40UP5K Entwicklungs Platine RISC-V ÖFfnen Qu M1Z5
Top-Rated Seller ICEBreaker 1.0E FPGA Gitter ICE40UP5K Entwicklungs Platine RISC-V ÖFfnen Qu M1Z5
47,99  6h 21m
Enel Repair Evaluation Fntka-10
Top-Rated Seller Enel Repair Evaluation Fntka-10
2,85  4d 4h
Twerd Repair Evaluation Mfc310/22kw
Top-Rated Seller Twerd Repair Evaluation Mfc310/22kw
2,85  4d 4h
Enel Repair Evaluation Fntka-45
Top-Rated Seller Enel Repair Evaluation Fntka-45
2,85  4d 5h
Enel Repair Evaluation Fntka-30
Top-Rated Seller Enel Repair Evaluation Fntka-30
2,85  4d 4h
Twerd Repair Evaluation Afc120/2.2kw
Top-Rated Seller Twerd Repair Evaluation Afc120/2.2kw
2,85  4d 5h
For    DC1010CT-101000-E DC1010CT101000E CONTROLLER
Top-Rated Seller For   DC1010CT-101000-E DC1010CT101000E CONTROLLER
229,30  28d 1h
Olsun Sec Type TransformatNuméro 7480 S-49547 608896-31T 91-7
Top-Rated Seller Olsun Sec Type TransformatNuméro 7480 S-49547 608896-31T 91-7
80,78  4d 4h
Allen Bradley 509-B0D, Ser. B , Taille 1, AC Contact, No Chauffages, Utilisé,
Allen Bradley 509-B0D, Ser. B , Taille 1, AC Contact, No Chauffages, Utilisé,
12,54  3d 23h
Telemecanique Repair Evaluation Atv212wd15n4
Top-Rated Seller Telemecanique Repair Evaluation Atv212wd15n4
2,85  4d 3h
Lenze Repair Evaluation 4906_e.1b.11
Top-Rated Seller Lenze Repair Evaluation 4906_e.1b.11
2,87  4d 3h
Keb Repair Evaluation 17.f4.c1h-4a01/2.2
Top-Rated Seller Keb Repair Evaluation 17.f4.c1h-4a01/2.2
2,87  4d 4h
Vectron Elektronik Repair Evaluation Act400-018a
Top-Rated Seller Vectron Elektronik Repair Evaluation Act400-018a
2,85  4d 5h
Warner Electric Repair Evaluation Kt4030-11
Top-Rated Seller Warner Electric Repair Evaluation Kt4030-11
2,87  4d 5h
Fuji Electric Repair Evaluation Fvr075g7n-4
Top-Rated Seller Fuji Electric Repair Evaluation Fvr075g7n-4
2,85  4d 5h
Mitsubishi Electric Repair Evaluation Fr-u120s-0.2k-er
Top-Rated Seller Mitsubishi Electric Repair Evaluation Fr-u120s-0.2k-er
2,85  4d 5h
Control Techniques Repair Evaluation Cde1100
Top-Rated Seller Control Techniques Repair Evaluation Cde1100
2,85  4d 5h
  for Xinje 3.7kw  inverter VB5N-43P7
Top-Rated Seller   for Xinje 3.7kw inverter VB5N-43P7
360,96  9d 22h
Applicable for   MITSUBISHI SERVO DRIVE MDS-B-SVJ2-01 MDSBSVJ201
Top-Rated Seller Applicable for   MITSUBISHI SERVO DRIVE MDS-B-SVJ2-01 MDSBSVJ201
639,69  17d 1h
 Omron PLC CP1E-N40SDT-D programming controller
Top-Rated Seller  Omron PLC CP1E-N40SDT-D programming controller
147,26  24d 18h
  Delta VFD007B21A Inverter 220V 0.75KW
Top-Rated Seller   Delta VFD007B21A Inverter 220V 0.75KW
134,95  18d 22h
 FR-E510W-0.4K Mitsubishi inverter 0.4KW 100V
Top-Rated Seller  FR-E510W-0.4K Mitsubishi inverter 0.4KW 100V
247,61  20d 23h
Telemecanique Repair Evaluation Atv61wd11n4
Top-Rated Seller Telemecanique Repair Evaluation Atv61wd11n4
2,85  4d 3h
Lenze Repair Evaluation Evd4909e
Top-Rated Seller Lenze Repair Evaluation Evd4909e
2,87  4d 3h
Applicable for Mitsubishi 0.75KW inverter single-phase 220V FR-D720S-0.75K-CHT
Top-Rated Seller Applicable for Mitsubishi 0.75KW inverter single-phase 220V FR-D720S-0.75K-CHT
212,30  7d 21h
  Ou Rui frequency converter F1000 7.5KW 380V F1000-G0075T3B
Top-Rated Seller   Ou Rui frequency converter F1000 7.5KW 380V F1000-G0075T3B
218,34  23d 23h
  drive ACS355-03E-08A8-4 4KW 380V
Top-Rated Seller  drive ACS355-03E-08A8-4 4KW 380V
249,95  18d 0h
  for OMRON inverter 3G3JZ-A4015
Top-Rated Seller   for OMRON inverter 3G3JZ-A4015
240,17  14d 22h
  for Xinje 0.75KW inverter VB5-22P2
Top-Rated Seller   for Xinje 0.75KW inverter VB5-22P2
268,05  28d 23h
 CONTACT 2866763 high quality switching power supply 220V / 24V / 10A
Top-Rated Seller  CONTACT 2866763 high quality switching power supply 220V / 24V / 10A
96,38  2d 23h
  for Hlp-B LCP-E22 keyboard speed control panel
Top-Rated Seller   for Hlp-B LCP-E22 keyboard speed control panel
110,10  14d 22h
  for Comron inverter Kv1900 potentiometer speed control panel
Top-Rated Seller   for Comron inverter Kv1900 potentiometer speed control panel
110,10  15d 22h
  for Epsiron inverter Es500 speed control panel
Top-Rated Seller   for Epsiron inverter Es500 speed control panel
110,10  15d 22h
Trim  ble TSC2 hand thin battery RTK / GPS + charger
Top-Rated Seller Trim ble TSC2 hand thin battery RTK / GPS + charger
252,86  3d 22h
Applicable for ACS310-03E-41A8-4 ABB inverter ACS310 18.5KW three-phase 380V
Top-Rated Seller Applicable for ACS310-03E-41A8-4 ABB inverter ACS310 18.5KW three-phase 380V
1.152,09  5d 22h
  frequency converter 22B-D024N104
Top-Rated Seller   frequency converter 22B-D024N104
946,30  21d 18h
  for Xinje 1.5KW Servo Drive DS3E-21P5-PFA
Top-Rated Seller   for Xinje 1.5KW Servo Drive DS3E-21P5-PFA
453,87  1d 17h
FANUC A20B-0007-0750 07B Circuit Board
Top-Rated Seller FANUC A20B-0007-0750 07B Circuit Board
20,41  21d 10h
For 22B-D6P0N104 AC Drive
Top-Rated Seller For 22B-D6P0N104 AC Drive
444,78  8d 1h
Applicable for  inverter ATV31 ATV31HU55N4A 380v 5.5KW
Top-Rated Seller Applicable for inverter ATV31 ATV31HU55N4A 380v 5.5KW
452,99  19d 18h
Applicable for ACS310-03E-02A1-4 ABB Inverter 0.55KW Three Phase 380V
Top-Rated Seller Applicable for ACS310-03E-02A1-4 ABB Inverter 0.55KW Three Phase 380V
333,09  5d 21h
Applicable for ACS310-03E-03A6-4 ABB Inverter ACS310 1.1KW Three Phase 380V
Top-Rated Seller Applicable for ACS310-03E-03A6-4 ABB Inverter ACS310 1.1KW Three Phase 380V
407,41  5d 22h
Applicable for frequency converter 0.37KW ACS150-03E-01A2-4 three-phase 380-400V
Top-Rated Seller Applicable for frequency converter 0.37KW ACS150-03E-01A2-4 three-phase 380-400V
321,94  20d 18h
Applicable for  ACS150-03E-02A4-4 ABB DRIVE ACS15003E02A44 inverter 0.75KW
Top-Rated Seller Applicable for  ACS150-03E-02A4-4 ABB DRIVE ACS15003E02A44 inverter 0.75KW
323,33  8d 1h
Applicable for  HOLIP HLPA02D223B 2.2KW 2200W three-phase 220V input inverter
Top-Rated Seller Applicable for  HOLIP HLPA02D223B 2.2KW 2200W three-phase 220V input inverter
509,62  8d 1h
Applicable for  ABB Inverter ACS355-01E-07A5-2 1.5KW Single Phase 220v
Top-Rated Seller Applicable for  ABB Inverter ACS355-01E-07A5-2 1.5KW Single Phase 220v
416,70  9d 19h
SIEMENS SIMOVERT 6SE7023-2EA87-2DA0 E:E Breaking Unit  Bremseinheit#1
Top-Rated Seller SIEMENS SIMOVERT 6SE7023-2EA87-2DA0 E:E Breaking Unit Bremseinheit#1
333,00  18d 6h
Allen Bradley 22D-D1P4N104/A Powerflex 40 AC Lect0.4kW 0.5HP 1/2HP
Top-Rated Seller Allen Bradley 22D-D1P4N104/A Powerflex 40 AC Lect0.4kW 0.5HP 1/2HP
113,52  20d 21h
  inverter 22A-D4P0N104 22A-D4PON104 1.5KW
Top-Rated Seller   inverter 22A-D4P0N104 22A-D4PON104 1.5KW
459,95  5d 1h
 Applicable for TECO driver JSDAP20A
Top-Rated Seller  Applicable for TECO driver JSDAP20A
426,00  18d 22h
  frequency converter 22C-D012N103
Top-Rated Seller   frequency converter 22C-D012N103
518,91  21d 19h
  Xilin inverter EH600 series EH640A7.5G/11P/380v/ 7.5kw
Top-Rated Seller   Xilin inverter EH600 series EH640A7.5G/11P/380v/ 7.5kw
453,87  19d 17h
  for safety relay XPSBCE3110C
Top-Rated Seller   for safety relay XPSBCE3110C
472,45  6d 1h
  for Maxell QD91H refrigeration compressor
Top-Rated Seller   for Maxell QD91H refrigeration compressor
360,30  6d 22h
   EV2000-4T0110G/0150P Frequency converter 11/15KW
Top-Rated Seller   EV2000-4T0110G/0150P Frequency converter 11/15KW
899,99  1d 0h
  In Box inverter ATV312HD11N4 11KW three-phase 380V Free Shipping
Top-Rated Seller   In Box inverter ATV312HD11N4 11KW three-phase 380V Free Shipping
999,95  19d 19h
CIMR-XCAA20P1 Inverter VS mini 0.1KW 220V CIMRXCAA20P1
Top-Rated Seller CIMR-XCAA20P1 Inverter VS mini 0.1KW 220V CIMRXCAA20P1
69,43  8d 22h
  for Xielin Precision Drive inverter PA-SF100 operation panel
Top-Rated Seller   for Xielin Precision Drive inverter PA-SF100 operation panel
82,23  14d 22h
  for Delta inverter TD600 keyboard operation panel
Top-Rated Seller   for Delta inverter TD600 keyboard operation panel
82,23  14d 22h
BFV00072G Inverter BFV00072G 0.75KW 220V physical picture shooting
Top-Rated Seller BFV00072G Inverter BFV00072G 0.75KW 220V physical picture shooting
116,14  5d 22h
For  ABB inverter ACS143-1K6-3 380V
Top-Rated Seller For  ABB inverter ACS143-1K6-3 380V
138,05  12d 18h
Inverter FR-E520-2.2K test OK beautiful color
Top-Rated Seller Inverter FR-E520-2.2K test OK beautiful color
128,95  17d 1h
 inverter ATV320U07N4C 0.75KW 380V test OK
Top-Rated Seller  inverter ATV320U07N4C 0.75KW 380V test OK
132,85  5d 23h
6ES7307-1EA00-0AA0 power supply 6ES7 307-1EA00-0AA0
Top-Rated Seller 6ES7307-1EA00-0AA0 power supply 6ES7 307-1EA00-0AA0
139,37  25d 22h
NSC10 JEPMC-PS001 PLC power module appearance beautiful
Top-Rated Seller NSC10 JEPMC-PS001 PLC power module appearance beautiful
139,37  6d 22h
  in Box switching power supply ABL8RPS24030
Top-Rated Seller   in Box switching power supply ABL8RPS24030
135,95  2d 22h
 Zippy EMACS HP2-6500P 500W Tower Medical Workstation Power Supply DHL or UPS
Top-Rated Seller  Zippy EMACS HP2-6500P 500W Tower Medical Workstation Power Supply DHL or UPS
135,95  3d 22h
  Danfoss FC-302 inverter Chinese operation panel 130B1107
Top-Rated Seller   Danfoss FC-302 inverter Chinese operation panel 130B1107
127,10  5d 22h
 Applicable for Mitsubishi Servo Drive MR-E-40AG/KH003
Top-Rated Seller  Applicable for Mitsubishi Servo Drive MR-E-40AG/KH003
191,86  1d 17h
For AVF200-0154 inverter 380V 1.5KW
Top-Rated Seller For AVF200-0154 inverter 380V 1.5KW
277,47  19d 18h
Applicable for   YASKAWA BREAKING UNIT CDBR-4030B CDBR4030B
Top-Rated Seller Applicable for   YASKAWA BREAKING UNIT CDBR-4030B CDBR4030B
416,70  4d 1h
  For FULING DZB312B003.7L2DK 220V 3.7KW
Top-Rated Seller   For FULING DZB312B003.7L2DK 220V 3.7KW
284,95  19d 23h
  ZIPPY EMACS H1U-6200P 200W 1U server power supply
Top-Rated Seller   ZIPPY EMACS H1U-6200P 200W 1U server power supply
126,95  7d 22h
Applicable for Yaskawa H1000, A1000 inverter universal operation panel JVOP-182
Top-Rated Seller Applicable for Yaskawa H1000, A1000 inverter universal operation panel JVOP-182
102,20  22d 22h
VFD022E43A-M inverter 2.2KW 380V test OK
Top-Rated Seller VFD022E43A-M inverter 2.2KW 380V test OK
116,95  5d 23h
 inverter ATV31HU15N4A 1.5KW test OK
Top-Rated Seller  inverter ATV31HU15N4A 1.5KW test OK
106,69  6d 0h
For ABB inverter ACS355 510 550 Chinese and English control panel ACS-CP-C/D
Top-Rated Seller For ABB inverter ACS355 510 550 Chinese and English control panel ACS-CP-C/D
89,01  22d 17h
 Omron Inverter 3G3RV-A4110 11KW 380V  3G3RVA4110 Test well
Top-Rated Seller  Omron Inverter 3G3RV-A4110 11KW 380V  3G3RVA4110 Test well
453,45  10d 1h
For Delta VFD007EL43A 0.75KW/380V Inverter
Top-Rated Seller For Delta VFD007EL43A 0.75KW/380V Inverter
185,82  15d 22h
 Yaskawa CDBR-2022B 22KW 220V drive brake unit
Top-Rated Seller  Yaskawa CDBR-2022B 22KW 220V drive brake unit
139,95  10d 22h
Siemens 6ra2225-6dv62-zf02 Repair Fix Price
Top-Rated Seller Siemens 6ra2225-6dv62-zf02 Repair Fix Price
1.721,31  4d 5h
For  Delta VFD015M23A 1.5KW 220V/VFD-M Frequency converter
Top-Rated Seller For  Delta VFD015M23A 1.5KW 220V/VFD-M Frequency converter
145,24  3d 1h
For   Lenze frequency converter ESMD251X2SFA
Top-Rated Seller For   Lenze frequency converter ESMD251X2SFA
149,13  22d 19h
For  PLC programmable controller TWDLCAA24DRF
Top-Rated Seller For  PLC programmable controller TWDLCAA24DRF
128,68  23d 18h
  HOLIP HLP-A series inverter HLPA02D223E 2.2KW 3P220V
Top-Rated Seller   HOLIP HLP-A series inverter HLPA02D223E 2.2KW 3P220V
136,39  24d 0h
  for frequency converter 380V 0.75KW in-kind shooting 3G3MZ-A4007-ZV2
Top-Rated Seller   for frequency converter 380V 0.75KW in-kind shooting 3G3MZ-A4007-ZV2
137,51  24d 23h
one Delta Inverter VFD007S21A AC Variable Frequency Drive S1 1HP 230V
Top-Rated Seller one Delta Inverter VFD007S21A AC Variable Frequency Drive S1 1HP 230V
139,95  6d 22h
For  Lenze EVF8201-E inverter in good condition
Top-Rated Seller For  Lenze EVF8201-E inverter in good condition
139,37  14d 22h
 For Lenovo  T260 G3 server 400W power supply GPS-400CB A B
Top-Rated Seller  For Lenovo T260 G3 server 400W power supply GPS-400CB A B
144,95  7d 22h
 Boxed frequency converter TD2000 remote control box TDO-RC02
Top-Rated Seller  Boxed frequency converter TD2000 remote control box TDO-RC02
117,25  1d 19h
  for Yaskawa F7 G7 L7 E7 Inverter Display Operation Panel JVOP-161
Top-Rated Seller  for Yaskawa F7 G7 L7 E7 Inverter Display Operation Panel JVOP-161
63,92  22d 17h
 frequency conversion magnetron 2M236-M1 up and down four holes
Top-Rated Seller  frequency conversion magnetron 2M236-M1 up and down four holes
56,97  29d 23h
SC-4-1 / U contactor SC-4-1 / U Fuji inverter internal dedicated
Top-Rated Seller SC-4-1 / U contactor SC-4-1 / U Fuji inverter internal dedicated
40,03  2d 22h
Applicable for   ATV320U11N4C  ELECTRIC INVERTER
Top-Rated Seller Applicable for   ATV320U11N4C ELECTRIC INVERTER
368,67  3d 22h
For HY-8500 USB to RS232/485/422 Smart Isolation High Speed 2.0 Converter
Top-Rated Seller For HY-8500 USB to RS232/485/422 Smart Isolation High Speed 2.0 Converter
82,23  16d 17h
 Applicable for Mitsubishi inverter E740 O/I board, terminal board
Top-Rated Seller  Applicable for Mitsubishi inverter E740 O/I board, terminal board
82,32  17d 22h
For  YASKAWA CIMR-V7AT20P4 inverter 220V 0.4KW
Top-Rated Seller For  YASKAWA CIMR-V7AT20P4 inverter 220V 0.4KW
78,97  2d 22h
For VIEW3 VIEW5 Fusion Splicer Battery LBT-52
Top-Rated Seller For VIEW3 VIEW5 Fusion Splicer Battery LBT-52
190,47  4d 1h
For  Delta VFD015B21A inverter 1.5KW 220V (fast shipping)
Top-Rated Seller For  Delta VFD015B21A inverter 1.5KW 220V (fast shipping)
185,82  7d 18h
 For Delta VFD220CP43A-21 DELTA VFD Inverter Frequency converter 22kw AC380V
Top-Rated Seller  For Delta VFD220CP43A-21 DELTA VFD Inverter Frequency converter 22kw AC380V
805,95  16d 0h
 Applicable for MD300 0.4KW 220V MD300A-S0.4C-2 Huichuan Inverter
Top-Rated Seller  Applicable for MD300 0.4KW 220V MD300A-S0.4C-2 Huichuan Inverter
108,48  7d 0h
  inverter VCD1000 A4T0022B 380v 2.2kw
Top-Rated Seller   inverter VCD1000 A4T0022B 380v 2.2kw
111,49  23d 23h
  for Inovance inverter Md280/Md320/Md380 display panel keyboard
Top-Rated Seller   for Inovance inverter Md280/Md320/Md380 display panel keyboard
110,10  14d 22h
  for Longxing inverter LS600 display panel keyboard
Top-Rated Seller   for Longxing inverter LS600 display panel keyboard
110,10  14d 22h
  for CHINT inverter NFV2G display panel keyboard
Top-Rated Seller   for CHINT inverter NFV2G display panel keyboard
110,10  14d 22h
  for EN500/EN600 display panel
Top-Rated Seller   for EN500/EN600 display panel
110,10  15d 22h
  for Best Inverter FC-160 display panel
Top-Rated Seller   for Best Inverter FC-160 display panel
110,10  15d 22h
  for Zhongchen inverter H6400 display panel DP-LED02
Top-Rated Seller   for Zhongchen inverter H6400 display panel DP-LED02
110,10  15d 22h
  for Yidiantong inverter TD80 display panel Kp-01 speed control panel
Top-Rated Seller   for Yidiantong inverter TD80 display panel Kp-01 speed control panel
110,10  15d 22h
  for GOEL inverter C8300 display panel DP-LED82
Top-Rated Seller   for GOEL inverter C8300 display panel DP-LED82
110,10  15d 22h
  for Best Inverter FC160-03 display panel
Top-Rated Seller   for Best Inverter FC160-03 display panel
110,10  15d 22h
  for Kechuan inverter Kc300 KC501 display panel keyboard
Top-Rated Seller   for Kechuan inverter Kc300 KC501 display panel keyboard
110,10  15d 22h
  for Pi7100/Pi7800 display panel JP-07
Top-Rated Seller   for Pi7100/Pi7800 display panel JP-07
110,10  15d 22h
  for Koc600 display panel keyboard
Top-Rated Seller   for Koc600 display panel keyboard
110,10  15d 22h
VLT2975PT4B20 7.5KW/380V frequency converter, beautiful appearance. Test OK
Top-Rated Seller VLT2975PT4B20 7.5KW/380V frequency converter, beautiful appearance. Test OK
242,77  5d 22h
  for Dongda TDS-V8 inverter TMCA-V8 keyboard operation panel
Top-Rated Seller   for Dongda TDS-V8 inverter TMCA-V8 keyboard operation panel
82,23  14d 22h
  for Kerun inverter Acd200/210/220 Pu-102 operation panel
Top-Rated Seller   for Kerun inverter Acd200/210/220 Pu-102 operation panel
82,23  14d 22h
  for Ribo inverter RB800 display keyboard operation panel
Top-Rated Seller   for Ribo inverter RB800 display keyboard operation panel
82,23  14d 22h
Inverter panel VW3A1111 small
Top-Rated Seller Inverter panel VW3A1111 small
106,87  13d 22h
VW3A1111 Inverter panel VW3A-1111
Top-Rated Seller VW3A1111 Inverter panel VW3A-1111
106,87  13d 22h
For HY-852 USB to RS232/485 industrial grade intelligent converter
Top-Rated Seller For HY-852 USB to RS232/485 industrial grade intelligent converter
82,23  16d 18h
Applicable for GF2 GX1 G3 Camera DE-A94 Charger DMW-BLD10GK Battery Charger
Top-Rated Seller Applicable for GF2 GX1 G3 Camera DE-A94 Charger DMW-BLD10GK Battery Charger
46,76  23d 22h
For Avision scanners AGW160, AGW180, AGW186, AGW190, AGW220 power adapters
Top-Rated Seller For Avision scanners AGW160, AGW180, AGW186, AGW190, AGW220 power adapters
56,98  23d 23h
Hair removal machine charger 2.0 Laser hair removal instrument power adapter
Top-Rated Seller Hair removal machine charger 2.0 Laser hair removal instrument power adapter
40,62  8d 0h
For BSM-2351A, BSM-2351c YS-076P5 BSM-2301 BSM-2303 battery
Top-Rated Seller For BSM-2351A, BSM-2351c YS-076P5 BSM-2301 BSM-2303 battery
79,39  28d 1h
MD28KE2 rotary potentiometer panel MD28KE2
Top-Rated Seller MD28KE2 rotary potentiometer panel MD28KE2
58,47  27d 19h
 inverter 5032X087 drive board transformer
Top-Rated Seller  inverter 5032X087 drive board transformer
51,38  2d 2h
  440/420 inverter BOP operation panel 6SE6400-OBP00-0AA0
Top-Rated Seller   440/420 inverter BOP operation panel 6SE6400-OBP00-0AA0
72,93  17d 22h
 95%  FC-301/302 inverter operation panel LCP101 order number 130B1124
Top-Rated Seller  95%  FC-301/302 inverter operation panel LCP101 order number 130B1124
72,93  17d 22h
 95%  inverter operation panel /TP-EIU K
Top-Rated Seller  95%  inverter operation panel /TP-EIU K
72,93  17d 22h
 90%  inverter display panel 20HIM-A3
Top-Rated Seller  90%  inverter display panel 20HIM-A3
72,93  17d 22h
 82-71364-04 For MC70 MC75 battery 1950mAh
Top-Rated Seller  82-71364-04 For MC70 MC75 battery 1950mAh
41,62  22h 23m
Yaskawa Repair Evaluation Cimr-g5a4075
Top-Rated Seller Yaskawa Repair Evaluation Cimr-g5a4075
2,85  4d 4h
Emotron Repair Evaluation 48-250
Top-Rated Seller Emotron Repair Evaluation 48-250
2,85  4d 3h
Control Techniques Repair Evaluation M350rgb14
Top-Rated Seller Control Techniques Repair Evaluation M350rgb14
2,87  4d 4h
 Zippy EMACS R2Z-6400P-R 400W power module
Top-Rated Seller  Zippy EMACS R2Z-6400P-R 400W power module
39,95  16d 22h
Siemens Micromaster 410 Kontroll- 6SE6410-2UB11-2AA0
Siemens Micromaster 410 Kontroll- 6SE6410-2UB11-2AA0
127,00  11d 9h
Siemens MicroMaster 410 Controller 6SE6410-2UB11-2AA0
Siemens MicroMaster 410 Controller 6SE6410-2UB11-2AA0
135,38  11d 9h
Schneider Altivar HVAC 212  ATV212HD1N4
Schneider Altivar HVAC 212 ATV212HD1N4
210,15  20d 2h
Minarik Drives Boss04-D240Ac-4Q Servo Motor Ref219
Minarik Drives Boss04-D240Ac-4Q Servo Motor Ref219
93,40  25d 0h
Dc Vitesse Contrôl12V 12A Bi-Dir - 919D3Pr
Dc Vitesse Contrôl12V 12A Bi-Dir - 919D3Pr
153,31  4d 5h
DémarrDol Métal, 12A 5.5Kw 240V No O/L - Be1-D123U7
DémarrDol Métal, 12A 5.5Kw 240V No O/L - Be1-D123U7
109,86  23d 1h
DémarrDol ABS 12A 5.5Kw 240V No O/L - Le1-D123U7
DémarrDol ABS 12A 5.5Kw 240V No O/L - Le1-D123U7
81,03  3d 7h
DJI Pocket 2 - Handheld 3-Axis Gimbal Stabilizer with 4K Camera, 1/1.7” CMOS, 64MP Photo, Pocket-Sized, ActiveTrack 3.0, Glamour Effects, YouTube TikTok Video Vlog, for Android and iPhone, Black
DJI Pocket 2 - Handheld 3-Axis Gimbal Stabilizer with 4K Camera, 1/1.7” CMOS, 64MP Photo, Pocket-Sized, ActiveTrack 3.0, Glamour Effects, YouTube TikTok Video Vlog, for Android and iPhone, Black
349.00
DJI Mini 2 Fly  Combo – Ultralight Foldable Drone, 3-Axis Gimbal with 4K Camera, 12MP Photos, 31 Mins Flight Time, OcuSync 2.0 10km HD Video Transmission, QuickShots, Gray
DJI Mini 2 Fly  Combo – Ultralight Foldable Drone, 3-Axis Gimbal with 4K Camera, 12MP Photos, 31 Mins Flight Time, OcuSync 2.0 10km HD Video Transmission, QuickShots, Gray
599.00
DJI Mavic Air 2 - Drone Quadcopter UAV with 48MP Camera 4K Video 8K Hyperlapse 1/2" CMOS Sensor 3-Axis Gimbal 34min Flight Time ActiveTrack 3.0 Ocusync 2.0, Gray
DJI Mavic Air 2 - Drone Quadcopter UAV with 48MP Camera 4K Video 8K Hyperlapse 1/2" CMOS Sensor 3-Axis Gimbal 34min Flight Time ActiveTrack 3.0 Ocusync 2.0, Gray
799.00 VCA0110AE0AH00    PAC DRIVE MAX-4/11/03/128/08/1/1/00 (13130251-008)    SCHNEIDER ELECTRIC    ELAU AG   
VCA0310AA0AQ00    PAC DRIVE MAX-4/11/03/128/04/1/1/00 (13130251-508)    SCHNEIDER ELECTRIC    ELAU AG   
VCA06AAAA0AA00    13130260-001 C200/A2/1/1/1/00 CNTRLR V24    SCHNEIDER ELECTRIC       
VCA07AAAA0AA00    13130261 C400/10/1/1/1/00 CONTROLLER V24    SCHNEIDER ELECTRIC    SQUARE D   
VCA07AAAA0AP00    DRIVE    SCHNEIDER ELECTRIC       
VCA07AAAA7AA00    DRIVE, PACDRIVE C400    SCHNEIDER ELECTRIC       
VCA09AAAA9AR00    DRIVE C600/10/1/1/00    SCHNEIDER ELECTRIC    ELAU AG   
VD1-B-024-M/Q    DRIVE RECTIVAR FIELD FELD FLUX 32AMP 220/380VAC    SCHNEIDER ELECTRIC    TELEMECANIQUE   
VDM01D10AA00    DRIVE    SCHNEIDER ELECTRIC        ELAU ISH070/60017/0/0/00/0/00/00/00 ID-NO.VIA0702D01A0000
ELAU SH30703P12A2000 SH070/60030/0/1/00/00/00/10/00
ELAU SH32051S0001426 SH205/30360/0/1/00/00/00/10/03
ELAU SM-070/60/010/P0/45/S1/B0 NR.19202602V501001
ELAU SH070/60020/01/00/00/00/01/00
ELAU SH140/30200/1/0/00/22/10/01/00
ELAU motor SM 100-40-080-P2-45-S1-B0
ELAU drive MC-4/11/10/400 10A E18CEC0063
ELAU Servo controller MAX4/11/03/016/99/1/1/00
ELAU MC-4/11/03/400 3A
ELAU MC-4/11/10/400 10A
ELAU MC-4/11/03/400 3A
ELAU SH070/60020/0/1/00/00/10/00 1.19KW
ELAU 140/30120/0/1/00/00/10/00/00 2.89KW
ELAU VPM02D20AAOO
ELAU C400/10/1/1/1/00
ELAU SH30703P12A2000 SH070/60030/0/1/00/00/00/10/00 +VN 1.45KW 1.45KW
ELAU VIA0703D32A0000 ISH070/60022/0/1/00/0/10/10/00
ELAU ISH070/60022/0/1/00/00/10/00
ELAU GmbH SCL055/30011/A/10/AA/AA/04/001
ELAU SM070-60-010-P0-45-M1-B0
ELAU MC-4/11/03/400 SW:00.12.31 HW:E0N603
ELAU C200/10/1/1/1/00
ELAU C400/10/1/1/1/00
ELAU SM-140/30/120/P1/45/R1/B1 19204301V008002
ELAU E-FB-074 SM140-RES/PMC2 5.0M 15154205-050 1686036;020
ELAU E-MO-071 SM/PMC2 1.5 5.0M 15154105-050 1686036;010
ELAU MAX-4/11/03/016/08/0/0/00
ELAU MC-4/11/03/400
ELAU SM 070-60-010-P0-45-S1-B1
ELAU SM070-60-010-P0-45-S1-B0,ART.NR:19202602-501 Ser.Nr:200535.2480
ELAU SH140/30270/0/0/00/00/00/01/00 SN:2016046360
ELAU SH100/30100/0/1/00/00/00/10/11/00 SN:2016049378
ELAU SH100/40060/0/0/00/00/00/01/00 SN:2016049588
DELAUNAY 50753
ELAU Art-Nr.19202604-010 Ser-Nr.:200611.0630
ELAU Art-Nr.19202604-010 Ser-Nr.:200611.0630
ELAU SH140/30270/0/1/00/00/00/00/00
ELAU SH070/60020/0/1/00/00/00/01/00
ELAU SH070600200/10000000100 400V 3+
ELAU SH140/30330/0/1/00/00/00/01/00
ELAU SH1 40/30120/0/1/00/00/00/00/00 NO:65014/02-004
ELAU SH32051S0001426 SH205/30360/0/1/00/00/00/10/03
ELAU VDM01D10AA00
ELAU VCA07AAAA0AA00 C400/10/1/1/1/00
ELAU SH30703P12A2000 SH070/60030/0/1/00/00/00/10/00
ELAU MC-4/11/03/400 VDM01U30AQ00
ELAU SM-070/0.8KW ELAU/ID19202604V501001
ELAU SH100/40080/0/1/00/00/00/00/00 2.39KW 6000R/MIN 8NM
ELAU PacDRIVE DB-5
ELAU SM 070-60-010-P0-45-S1-B0 NR.19202602-501
ELAU PacDrive C200/10/1/1/1/00
ELAU SH100/40/080/0/1/00/00/0000/00
ELAU ISH100/30058/0/1/00/0/00/00/00,VIS 1003C 11A0000
elau SM070-60-010-PO-45-S1-BO
ELAU mc/04/11/03/400
ELAU mc/04/11/22/400
ELAU photo
ELAU SH140/30330/0/1/00/00/00/00/00
ELAU SH140/30330/0/1/00/00/00/00/00
ELAU SH140/30330/0/1/00/00/00/00/00
ELAU ISH100/30058/0/1/00/0/00/10/00
ELAU PACDRIVE C400/10/1/1/1/00 FW V0024XX
ELAU PS-5 POWER SUPPLYISH
ELAU C400/10/1/1/1/00
ELAU ISH070/60017/0/1/00/0/00/10/00,ID-No:66012202-007,SN:6907980190
ELAU PacDrive C200/10/1/1/1/00;24VDC 0.15A
ELAU MC-4/11/10/400 ID.NO:13130247 Serial:899612.0010 HW:EON603 SW:00.12.31
ELAU SM-070/60/020/P1/45/S1/B1 ID-No:19202604-004 SN:666308.0060
ELAU SH30702P12F2000 SH070/60020/0/1/00/00/00/11/00
ELAU SH31001P01A2000 SH100/50030/0/0/00/00/00/00/00
ELAU SH31001P12F2000 SH100/50030/0/1/00/00/00/11/00
elau C600/10/1/1/1/00
ELAU PacDrive MC-4/11/22/400
ELAU MC-4/11/03/400 LICENSE 13130245PACDRIVE+20630059LICENSE
ELAU PAC.C400/A8/1/1/1/00 CELERON M 600MHZ 512KB 13130261-001
ELAU MC-4/11/10/400
ELAU SM 100-40-080-P1-45-S1-B0
ELAU SM 100-40-080-P1-45-S1-B0
ELAU ISH070/60017/0/0/00/0/00/00/00 ID-NO.VIA0702D01A0000
Elau AG 65013202V005ABK
Elau AG E-SS-056 15154303-003
ELAU PACDRIVE C400/10/1/1/1/00
ELAU MC-4/11/10/400
ELAU SH140/30270/0/1/00/00/00/00/00
ELAU SH140/30270/0/1/00/00/00/00/00
ELAU SH30552P02F2000
ELAU 19202604V511001
elau SH100/40060/0/0/00/00/10/11/00
ELAU BT-4/ENC1 13130264
ELAU SH100/30100/0/0/00/00/10/11/00
ELAU MC-4-11/01/400
ELAU PACDRIVE MC-4/11/22/400
ELAU PACDRIVE MC-4/11/03/400
ELAU PACDRIVE BM-4
ELAU PACDRIVE C400 A8/1/1/1/00
ELAU 6002-2RSH PACDRIVE MC-4/11/22/400
ELAU VCA06AAAA0AA00
Elau Elau SH140/30270/0/0/00/00/00/10/00
ELAU Servo motor , SH070600200 / 10000000100 400V 3A 2.2NM 1.19KW IP6000RPM, vertical
Schneider electric-elau VPM02D20AA00 PS-5 POWER SUPPLY ISH
elau VPM02D20AA00 PS-5 POWER SUPPLY ISH (mit datasheet)
ELAU C. C400/A8/1/1/1/00 CELERON M 600MHZ 512KB 13130261-001
ELAU MC-4/11/03/400 LICENSE 13130245PACDRIVE
ELAU SM 140-30-120-P1-45-S1-B0
ELAU SM-100/40/080/P0/45/S1/B1;Art .-Nr.19203403-002;Ser.-Nr.200648.3230
ELAU temp0
ELAU PacDrive C400/10/1/1/1/00,13130261,647913.0010 3310,HW:2IE3100474,SW:00.22.06
ELAU MC-4/11/10/400, 10A
ELAU Sm-140-30370-001-75-55-10-1000
ELAU SH140/30200/1/0/00/22/10/01/00
ELAU SH100/50030/0/0/00/00/00/00/00
ELAU SM-070/0.54KWID19202602V501001
ELAU iSH070/60017/0/1/00/0/00/10/00 ID-NO 66012202-007
elau PS-5 POWER SUPPLY iSH, 13130265, HW:845702, S12853.0010 2709, SW:00.24.22
elau SH30702P01A2000;SH070/60020/0/0/00/00/00/00/00;SN 2306051015
ELAU MC-4-11-10-400
ELAU SM070-60-020-PO-45-M1-B1
ELAU MC-4 /3/400
ELAU MC-4/10/400
ELAU SH100/50030/0/1/00/00/00/00/00 380V 50HZ 4000RPM 2P IP54
elau 15154401
elau E-SS-056 0,3M VW3E3056R003
elau E-SS-056 0,3M VW3E3056R003
elau 15154401
ELAU MC-4/11/10/400
ELAU C600/10/1/1/1/00
ELAU SM100-40-050-P1-45-R1-B1
ELAU iSH100/30058/0/0/00/0/00/11/00
ELAU ELAU servo motor /SH100/40060/0/1/00/00/00/01/00 device number : 67906525
ELAU ELAU servo controller / MC-4/11/10/400 13130247 HW: E0P603 931626.0290 0810 SW; 00.22.03S device number : 67740746
ELAU SM-070/60/010/P0/45
ELAU MC-4/11/10/400
ELAU C200/10/1/1/1/00
ELAU C400/10/1/1/1/00
ELAU C400/10/1/1/1/00 1310261 HW2IC3100463 925733.0010 5009 SW00.16.42
ELAU SH140-30200-0-1-00-00-00-00-00 3.86KW 4000RPM IP50 44.1A 480V
ELAU SH070/60020/0/1/00/00/00/11/00??ID-No 65012202V008003??Mo 2.2Nm,IO 2.9Arms,Nn 3000rpm,Un 480Vrms,Pn 1.19KW,Imax

1.8Arms,Nmax 3000rpm Sn 2106060523??CCM 29.11.2011,Thermo PTC,Ubr 24Vdc,Pbr 24W,Mbr 2Nm
ELAU SH140/30200/0/1/00/00/00/11/00??ID-No 65014202V008003??Mo 19.5Nm,IO 13.2Arms,Nn 3000rpm,Un 480Vrms,Pn 3.86KW,Imax

44.1Arms,Nmax 4000rpm Sn 2106060288??CCM 18.11.2011,Thermo PTC,Ubr 24Vdc,Pbr 24W,Mbr 23Nm
ELAU SH140/30120/0/1/00/00/00/11/00??ID-No 65014102V008003??Mo 11.1Nm,IO 7.8Arms,Nn 3000rpm,Un 480Vrms,Pn 2.8 Sn

2106060529??CCM 29.11.2011,Thermo PTC,Ubr 24Vdc,Pbr 24W,Mbr 23Nm 9KW,Imax 20.8Arms,Nmax 4000rpm
ELAU C200/A2/1/1/1/00
ELAU MC-4/11/03/400 3A
ELAU MC-4/11/10/400 10A
ELAU MC-4/11/03/400 3A
ELAU ACMA 2534901605
ELAU ACMA 2534901689
ELAU ACMA 2534901750 220V
ELAU ACMA 2534901753 220V
Schneider Electric ELAU PacDrive MC-4 MC-4/11/10/400 13130247 - TOP CONDITION
Schneider Electric ELAU PacDrive MC-4 MC-4/11/10/400 13130247 - TOP CONDITION
 853,72  22d 8h
Haas Power Distribution  32-4075E
Haas Power Distribution 32-4075E
 298,80  28d 12h
Schneider Electric ELAU PacDrive MC-4 MC-4/11/03/400 13130245 - TOP CONDITION
Schneider Electric ELAU PacDrive MC-4 MC-4/11/03/400 13130245 - TOP CONDITION
 853,72  25d 6h
Elau BM-4/10 Pacdrive 790-860v-ac 24v-dc
Elau BM-4/10 Pacdrive 790-860v-ac 24v-dc
 485,37  18d 5h
Advantech IPC Motherboard PCM-9372 REV A2 Distribution Memory  Color
Advantech IPC Motherboard PCM-9372 REV A2 Distribution Memory  Color
 234,77  12d 20h
Becton-Dickinson 332300,332320 Power Distribution Board From Bd Facscanto Ii
Becton-Dickinson 332300,332320 Power Distribution Board From Bd Facscanto Ii
 1.109,83  3d 22h
Plus Commandes CA universelles



Yaskawa CIMR-G3V20P4 0.5HP 200V Ondul CIMRG3V20P4
Top-Rated Seller Yaskawa CIMR-G3V20P4 0.5HP 200V Ondul CIMRG3V20P4
 279,65  18d 12h
Yaskawa CIMR-AU4A0018FAA Rev D 480V 17.5 Amp A1000 AC Vs Lect Magnetek 17.5A
Top-Rated Seller Yaskawa CIMR-AU4A0018FAA Rev D 480V 17.5 Amp A1000 AC Vs Lect Magnetek 17.5A
 406,70  18d 11h
Brand  Invertek ODV-3-340180-3F1X-TN 3Ph Input 3Ph Output 380-480V 7.5kW 18A
Brand  Invertek ODV-3-340180-3F1X-TN 3Ph Input 3Ph Output 380-480V 7.5kW 18A
 600,00  27d 6h
Brand  Invertek ODV-3-340140-3F1X-TN 3Ph Input 3Ph Output 380-480V 5.5kW 14A
Brand  Invertek ODV-3-340140-3F1X-TN 3Ph Input 3Ph Output 380-480V 5.5kW 14A
 550,00  27d 6h
Yaskawa CIMR-AU4A0058FAA Rev D 58A/48A 480V 50/60Hz A1000 AC Vs Drive Magnetek
Top-Rated Seller Yaskawa CIMR-AU4A0058FAA Rev D 58A/48A 480V 50/60Hz A1000 AC Vs Drive Magnetek
 2.315,87  18d 12h
Brand  Invertek ODV-3-440460-3F1N-TN 3Ph Input 3Ph Output 380-480V 22kW 46A
Brand  Invertek ODV-3-440460-3F1N-TN 3Ph Input 3Ph Output 380-480V 22kW 46A
 1.000,00  27d 6h
Eaton Cutler-Hammer - Motor Control Center Svc 480V ,Ctr 120V T20 Hmcpe 30A,3PH
Top-Rated Seller Eaton Cutler-Hammer - Motor Control Center Svc 480V ,Ctr 120V T20 Hmcpe 30A,3PH
 849,87  25d 11h
Brand  Invertek ODV-3-440390-3F1N-TN 3Ph Input 3Ph Output 380-480V 18.5kW 39A
Brand  Invertek ODV-3-440390-3F1N-TN 3Ph Input 3Ph Output 380-480V 18.5kW 39A
 900,00  27d 6h
Yaskawa CIMR-VU4A0005FAA Rev D W Jack 5.4A 380-480V V1000 AC Vs Lect Magnetek
Top-Rated Seller Yaskawa CIMR-VU4A0005FAA Rev D W Jack 5.4A 380-480V V1000 AC Vs Lect Magnetek
 117,98  18d 11h
Neuf OMRON 3G3XV-A4022 Ondul 380/460VOLTS 50Hz/60HZ 3G3XVA4022
Top-Rated Seller Neuf OMRON 3G3XV-A4022 Ondul 380/460VOLTS 50Hz/60HZ 3G3XVA4022
 931,15  5d 21h
Invertek OPTIDRIVE E3 (ODE-3-120023-1F1Y) + Schalter - NEU!
Invertek OPTIDRIVE E3 (ODE-3-120023-1F1Y) + Schalter - NEU!
 200,00  29d 14h
Utilisé GENERAL ELECTRIC 6VAF343015B-A2 AF-300B Lect 6VAF343015BA2 15HP
Top-Rated Seller Utilisé GENERAL ELECTRIC 6VAF343015B-A2 AF-300B Lect 6VAF343015BA2 15HP
 761,85  7d 3h
Mannesmann Dematic Dc Ag LDBV500Z065D00 Demag 65A 50HP
Top-Rated Seller Mannesmann Dematic Dc Ag LDBV500Z065D00 Demag 65A 50HP
 305,87  18d 12h
Toshiba VFS11-4110PL-WN (R5) 15HP 27.7 A 380/500 V Transistor Ondul Drive Vs
Top-Rated Seller Toshiba VFS11-4110PL-WN (R5) 15HP 27.7 A 380/500 V Transistor Ondul Drive Vs
 546,20  18d 11h
Allen Bradley Inverter Drive Power Flex 40 22B-D1P4N104 0.4kW/0.5HP
Allen Bradley Inverter Drive Power Flex 40 22B-D1P4N104 0.4kW/0.5HP
 280,20  3d 9h
Mitsubishi AC servo drive  MR-J4-70B mit EMV Filter
Mitsubishi AC servo drive MR-J4-70B mit EMV Filter
 149,00  14d 11h
TECO E2-403-H3F INVERTER 3 Phase 415V Motor Speed Control, Rare Item, 2019 Stock
TECO E2-403-H3F INVERTER 3 Phase 415V Motor Speed Control, Rare Item, 2019 Stock
 350,24  20d 8h
 Cosel Ace450f Ac4-oohhh-00 Power Supply Free Ship
Top-Rated Seller  Cosel Ace450f Ac4-oohhh-00 Power Supply Free Ship
 179,28  4d 0h
Reliance Electric 813.23.20 G ( GV3000 GV-3000 ) / DHL 5-15 days.
Reliance Electric 813.23.20 G ( GV3000 GV-3000 ) / DHL 5-15 days.
 1.109,83  8d 0h
Danfoss 131F5889 FC-102P7K5T4 VLT HVAC Drive 7.5 KW(400V) 10 HP (460V)
Top-Rated Seller Danfoss 131F5889 FC-102P7K5T4 VLT HVAC Drive 7.5 KW(400V) 10 HP (460V)
 682,12  1d 2h
MITSUBISHI ELECTRIC D700 SINGLE TO 3PH INVERTER FR-D740-1 5k-cht (b39)
Top-Rated Seller MITSUBISHI ELECTRIC D700 SINGLE TO 3PH INVERTER FR-D740-1 5k-cht (b39)
 289,00  16d 2h
Sew-odrive Movidrive Compact MCV40A0015-5A3-4-0T AC Lect Sans Visage
Top-Rated Seller Sew-odrive Movidrive Compact MCV40A0015-5A3-4-0T AC Lect Sans Visage
 298,86  7d 10h
Filtre RFI ROCKWELL AUTOMATION CAT 2090-XXLF-X330B / # 8 OTT 3425
Top-Rated Seller Filtre RFI ROCKWELL AUTOMATION CAT 2090-XXLF-X330B / # 8 OTT 3425
 58,37  19d 14h
ALLEN-BRADLEY 2198-DB42-F Série B Ligne Filtre
Top-Rated Seller ALLEN-BRADLEY 2198-DB42-F Série B Ligne Filtre
 115,27  7d 10h
Parker 890CS 25HP Approvisionnement W/2 890CD Axis Vector Servo Clé Module 0.75
Parker 890CS 25HP Approvisionnement W/2 890CD Axis Vector Servo Clé Module 0.75
 1.608,47  20d 2h
Mitsubishi AC servo MELSERVO-J3  Fiber Optic Cable ( MR-J3BUS1M )
Mitsubishi AC servo MELSERVO-J3 Fiber Optic Cable ( MR-J3BUS1M )
 29,00  14d 13h
ALLEN BRADLEY 2198-DB20-F AC Lect Ser.b Ligne Filtre
Top-Rated Seller ALLEN BRADLEY 2198-DB20-F AC Lect Ser.b Ligne Filtre
 64,03  7d 10h
Siemens 6SL3244-0BA20-1BA0 Control Unit CU240S 24 Vdc 5.5 W for Sinamics G120
Top-Rated Seller Siemens 6SL3244-0BA20-1BA0 Control Unit CU240S 24 Vdc 5.5 W for Sinamics G120
 298,80  4d 20h
Siemens TP170 B Color
Siemens TP170 B Color
 389,00  22d 12h
Brand  Invertek ODP-2-34055-3KF42-SN Optidrive P2 IP20 5.5kW
Brand  Invertek ODP-2-34055-3KF42-SN Optidrive P2 IP20 5.5kW
 500,00  5d 11h
Mitsubishi AC servo MELSERVO-J3 Cable  ( MR-D05UDL3M-B )
Mitsubishi AC servo MELSERVO-J3 Cable ( MR-D05UDL3M-B )
 29,00  14d 13h
Parker 890CS-532320B0-B00-U SSD Alimentation Électrique Cadre B, Multi Axes ,
Parker 890CS-532320B0-B00-U SSD Alimentation Électrique Cadre B, Multi Axes ,
 440,88  20d 2h
Invertek drive Brake Resistor, Size 2, 100R, 200W OD-BR100-IN
Invertek drive Brake Resistor, Size 2, 100R, 200W OD-BR100-IN
 50,00  9d 0h
Brand  Invertek OPT-2-ETHNT-IN EthernetIP Plug In Interface Module
Brand  Invertek OPT-2-ETHNT-IN EthernetIP Plug In Interface Module
 200,00  5d 11h
Neuf En Usine Boîte Reliance SP500 1SU21003 Lect 3hp Enceinte Type 1
Neuf En Usine Boîte Reliance SP500 1SU21003 Lect 3hp Enceinte Type 1
 723,94  17d 9h
SBC Chb 35/70 23kW Numérique Lect 380V 30HP S. B. C.23 Kw CHB35/70 30 HP
Top-Rated Seller SBC Chb 35/70 23kW Numérique Lect 380V 30HP S. B. C.23 Kw CHB35/70 30 HP
 1.223,48  18d 11h
Siemens Master Drive MC 6SE7015-0EP50-Z (Z = C33 + F01 + G91)
Siemens Master Drive MC 6SE7015-0EP50-Z (Z = C33 + F01 + G91)
 1.459,37  2d 0h
UniTek 166 Classique P3 500/550-240 Thyristor Ue 500V Ua 550V Id 240A Ia 480
Top-Rated Seller UniTek 166 Classique P3 500/550-240 Thyristor Ue 500V Ua 550V Id 240A Ia 480
 1.179,79  18d 12h
EATON GVX9000 Sensorless Vector
Top-Rated Seller EATON GVX9000 Sensorless Vector
 402,85  21d 14h
Yaskawa CDBR-22HT Freinage Unité CDBR22HT
Top-Rated Seller Yaskawa CDBR-22HT Freinage Unité CDBR22HT
 240,32  18d 12h
Danfoss 195H3303 Vitesse Variable Lect Vlt Type 2025
Danfoss 195H3303 Vitesse Variable Lect Vlt Type 2025
 499,09  4d 10h
Reliance Electric 1AC4102U 2HP/460V GP-1200 AC Vs Lect 2 HP 1AC4102 U 460
Top-Rated Seller Reliance Electric 1AC4102U 2HP/460V GP-1200 AC Vs Lect 2 HP 1AC4102 U 460
 164,86  18d 12h
Yaskawa CIMR-5.5B.E05 7.5 HP 230V Séries AC Lect CIMR5.5B.E0 7.5HP Vfd
Top-Rated Seller Yaskawa CIMR-5.5B.E05 7.5 HP 230V Séries AC Lect CIMR5.5B.E0 7.5HP Vfd
 393,26  4d 19h
Schneider ALTIVAR 312 ATV312HD11N4 AC Vitesse Lect 11kW 15HP 380/500VAC 3
Schneider ALTIVAR 312 ATV312HD11N4 AC Vitesse Lect 11kW 15HP 380/500VAC 3
 1.268,54  18d 18h
SAFTRONICS FP5 Ventilat & Pompe AC Lect
Top-Rated Seller SAFTRONICS FP5 Ventilat & Pompe AC Lect
 490,94  19d 9h
ABB ACS355-03U-02A4-4 Lect
Top-Rated Seller ABB ACS355-03U-02A4-4 Lect
 179,05  20d 12h
0.4kw 0/5hp Mitsubishi Electric D700 Single To 3ph Inverter Fr-d720s-025sc-ec
0.4kw 0/5hp Mitsubishi Electric D700 Single To 3ph Inverter Fr-d720s-025sc-ec
 178,62  6d 8h
Brand  Invertek ODE-3-440300-3F42 3 Ph. Input, 3 Ph. Output 380-480V 15kW 30A
Brand  Invertek ODE-3-440300-3F42 3 Ph. Input, 3 Ph. Output 380-480V 15kW 30A
 600,00  27d 6h
Okuma Mère Board 0PUS7000 E4809-770-118 E4809770118
Okuma Mère Board 0PUS7000 E4809-770-118 E4809770118
 341,04  6d 2h
Emerson Vision Micro-Series WM2130 3HP-230VAC
Top-Rated Seller Emerson Vision Micro-Series WM2130 3HP-230VAC
 358,09  1d 10h
Mitsubishi AC servo MELSERVO-J3  Fiber Optic Cable ( MR-J3BUS03M )
Mitsubishi AC servo MELSERVO-J3 Fiber Optic Cable ( MR-J3BUS03M )
 29,00  14d 13h
Siemens Micromaster 6SE9215-8DB40 2200W/5.90 A @ 0-500 V AC Vs Lect 2.2 Kw
Top-Rated Seller Siemens Micromaster 6SE9215-8DB40 2200W/5.90 A @ 0-500 V AC Vs Lect 2.2 Kw
 275,28  18d 11h
Toshiba VT130G1-2270BOH ESP-130 25HP 75A 208/230 V Transistor Ondul Drive Vs
Top-Rated Seller Toshiba VT130G1-2270BOH ESP-130 25HP 75A 208/230 V Transistor Ondul Drive Vs
 961,31  18d 11h
HyTork-185 120 LB/Po2 Qa-Iso 9001 Valvule Déclench Pour 2 " Haute Hy Tork
Top-Rated Seller HyTork-185 120 LB/Po2 Qa-Iso 9001 Valvule Déclench Pour 2 " Haute Hy Tork
 109,33  18d 11h
PowerMaster AC Mot Vitesse Contrôle 1.5 HP CIMR-H0.4G2 E-10 1 1/2 HP 460V
Top-Rated Seller PowerMaster AC Mot Vitesse Contrôle 1.5 HP CIMR-H0.4G2 E-10 1 1/2 HP 460V
 174,78  18d 12h
FUJI ELECTRIC Frenic-Multi FRN003E1S-2NW Clé Haute Performance Compact Ondul
FUJI ELECTRIC Frenic-Multi FRN003E1S-2NW Clé Haute Performance Compact Ondul
 212,58  8d 3h
IMO Jaguar VXSM750-3 7.5kw Variable Speed Drive
IMO Jaguar VXSM750-3 7.5kw Variable Speed Drive
 817,24  1d 23h
Yaskawa CIMR-55WSB 80kVA Varispeed 676 CIMR55WSB 200V CIMR55WSB CIMR-55WS-B
Top-Rated Seller Yaskawa CIMR-55WSB 80kVA Varispeed 676 CIMR55WSB 200V CIMR55WSB CIMR-55WS-B
 563,68  18d 12h
Reliance Electric 1AC2105U 5 HP 6.6 Kva 230V A-C Vs Lect 5HP
Top-Rated Seller Reliance Electric 1AC2105U 5 HP 6.6 Kva 230V A-C Vs Lect 5HP
 218,48  18d 12h
Allen-Bradley Powerflex 70 20A D 3P4A 0 AYNNNC0 Séries A
Top-Rated Seller Allen-Bradley Powerflex 70 20A D 3P4A 0 AYNNNC0 Séries A
 201,43  10d 0h
Allen Bradley 22A-D1P4N104 Series A Powerflex 4
Top-Rated Seller Allen Bradley 22A-D1P4N104 Series A Powerflex 4
 152,19  12d 14h
Allen Bradley 190E Eco Démarr W/140M-C2E (C-Armature), 100-C23 10 6.3-10 Amp
Top-Rated Seller Allen Bradley 190E Eco Démarr W/140M-C2E (C-Armature), 100-C23 10 6.3-10 Amp
 121,04  5d 18h
Mitsubishi AC servo MELSERVO-J3 Cable  ( MR-PWS3CBL2M-A1-L )
Mitsubishi AC servo MELSERVO-J3 Cable ( MR-PWS3CBL2M-A1-L )
 33,00  14d 13h
Schneider Altivar 212 Frequency Converter AC Speed Drive ATV212W075N4 032265
Schneider Altivar 212 Frequency Converter AC Speed Drive ATV212W075N4 032265
 408,61  16d 14h
Siemens CB15 Profibus Module 6SE3290-0XX87-8PB0 Neu  Un
Siemens CB15 Profibus Module 6SE3290-0XX87-8PB0 Neu Un
 205,00  29d 22h
Yaskawa Cimr-Ds Varispeed 340 Module Puissance Unité CIMRDS 460V 500A
Yaskawa Cimr-Ds Varispeed 340 Module Puissance Unité CIMRDS 460V 500A
 426,30  6d 2h
ABB ACH401B00932 Acs 400
Top-Rated Seller ABB ACH401B00932 Acs 400
 716,18  1d 10h
Allen Bradley ~1336 GM2 ~ PC Board Pointe ~ PCB Assy RS232/422/485.DF1/DH485 ~
Top-Rated Seller Allen Bradley ~1336 GM2 ~ PC Board Pointe ~ PCB Assy RS232/422/485.DF1/DH485 ~
 126,43  15d 8h
Yaskawa CIMR-2.2B.T 3 HP 230V Séries AC Lect CIMR2.2B.T
Top-Rated Seller Yaskawa CIMR-2.2B.T 3 HP 230V Séries AC Lect CIMR2.2B.T
 432,59  4d 18h
Allen Bradley power flex 20A 8P0A 0 AYNNNNN
Top-Rated Seller Allen Bradley power flex 20A 8P0A 0 AYNNNNN
 223,81  10d 0h
MAGNETEK MVB001 Utilisé
MAGNETEK MVB001 Utilisé
 50,59  4d 17h
Unité de freinage Yaskawa CDBR-4220B 80A 510-660V DC / # 8 PX3 9086
Top-Rated Seller Unité de freinage Yaskawa CDBR-4220B 80A 510-660V DC / # 8 PX3 9086
 902,99  7d 12h
Mitsubishi FR-E540-5.5k-EC Inverter
Mitsubishi FR-E540-5.5k-EC Inverter
 817,24  2d 4h
ALLEN BRADLEY 1336 Plus II 15HP sans Capt Vector AC Lect - Utilisé Nice
ALLEN BRADLEY 1336 Plus II 15HP sans Capt Vector AC Lect - Utilisé Nice
 1.596,46  6d 9h
HyTork-185 120 LB/Po2 W Pliaxseal 316 Ss 2 " Valvule Westlock Balise Déclench
Top-Rated Seller HyTork-185 120 LB/Po2 W Pliaxseal 316 Ss 2 " Valvule Westlock Balise Déclench
 135,45  18d 11h
Fincor 6400 6402 5 HP 6402S0053A Adj Fréquence Vs AC Lect
Top-Rated Seller Fincor 6400 6402 5 HP 6402S0053A Adj Fréquence Vs AC Lect
 345,20  18d 12h
Yaskawa CIMR-3.7B.E04 3 HP 230V Séries AC Lect CIMR3.7B.E0
Top-Rated Seller Yaskawa CIMR-3.7B.E04 3 HP 230V Séries AC Lect CIMR3.7B.E0
 240,32  18d 12h
Yaskawa CIMR-3.7B.T 5 HP 230V Séries AC Lect CIMR3.7B.T
Top-Rated Seller Yaskawa CIMR-3.7B.T 5 HP 230V Séries AC Lect CIMR3.7B.T
 240,32  18d 12h
Square D Omegapak Classe 8804 PT00FC4 PTOOFC4 5 HP 5HP
Top-Rated Seller Square D Omegapak Classe 8804 PT00FC4 PTOOFC4 5 HP 5HP
 218,48  18d 12h
Fincor 6140 6144 10 HP Réglable Fréquence AC Lect
Top-Rated Seller Fincor 6140 6144 10 HP Réglable Fréquence AC Lect
 305,87  18d 12h
Fincor 6140 5 HP 6142S0053A Adj Fréquence Vs AC Lect 460V
Top-Rated Seller Fincor 6140 5 HP 6142S0053A Adj Fréquence Vs AC Lect 460V
 327,71  18d 12h
Yaskawa CIMR-0.75B.T .75 HP 230V Séries AC Lect CIMR-0.75 B.T
Top-Rated Seller Yaskawa CIMR-0.75B.T .75 HP 230V Séries AC Lect CIMR-0.75 B.T
 284,03  18d 12h
Furnas / Veearc MCH411K1 Micro7000 Vs Lect 15HP 17A 460V Vee-Arc Micro-7000
Top-Rated Seller Furnas / Veearc MCH411K1 Micro7000 Vs Lect 15HP 17A 460V Vee-Arc Micro-7000
 284,03  18d 12h
EATON MVX002A0-4 Cutler Hammer sans Capt Vector Lect Vfd 480v 2hp MVX9000
EATON MVX002A0-4 Cutler Hammer sans Capt Vector Lect Vfd 480v 2hp MVX9000
 67,78  2d 16h
FR-A7NS Mitsubishi Vfd AC Lect Ondul Sscnet III A700 Option Board FRA7NS
FR-A7NS Mitsubishi Vfd AC Lect Ondul Sscnet III A700 Option Board FRA7NS
 110,02  5d 12h
Danfoss Vacon 20 0.75kw AC Drive VACON0020-3L-0003-4+EMC2+QPES A6
Danfoss Vacon 20 0.75kw AC Drive VACON0020-3L-0003-4+EMC2+QPES A6
 232,33  13d 7h
TB Wood's E-Trac X2C X2C4015-0B 15HP @ 7-460 V AC Micro-Inverter Vs Lect Bois
Top-Rated Seller TB Wood's E-Trac X2C X2C4015-0B 15HP @ 7-460 V AC Micro-Inverter Vs Lect Bois
 830,22  18d 11h
Danfoss Vlt Micro Lect 2.2kW 3.0HP FC-051P2K2T2E20H3BXCXXXSXXX 132F0014
Danfoss Vlt Micro Lect 2.2kW 3.0HP FC-051P2K2T2E20H3BXCXXXSXXX 132F0014
 210,45  5d 20h
SIEMENS SIMOVERT VC 6SE7027-2ED61 E:B  6SE7090-0XX84-0AB0 Inverter
Top-Rated Seller SIEMENS SIMOVERT VC 6SE7027-2ED61 E:B 6SE7090-0XX84-0AB0 Inverter
 2.999,00  22d 2h
Sew Movidrive Mcv40a0055-5a3-4-0e Mdx60a0055-5a3-4 / # 8 O1t 9086
Top-Rated Seller Sew Movidrive Mcv40a0055-5a3-4-0e Mdx60a0055-5a3-4 / # 8 O1t 9086
 389,96  17d 13h
PROMESS E217428 AC Lect - Utilisé
PROMESS E217428 AC Lect - Utilisé
 295,99  5d 16h
Utilisé Siemens 6SE3212-1CA40 Micromaster Vector Lect 208/240V Endommagé See
Top-Rated Seller Utilisé Siemens 6SE3212-1CA40 Micromaster Vector Lect 208/240V Endommagé See
 170,52  2d 19h
Fincor 6400 6406 20 HP 6406S0203A Vs AC Lect 3PH 460V
Top-Rated Seller Fincor 6400 6406 20 HP 6406S0203A Vs AC Lect 3PH 460V
 782,16  18d 12h
Yaskawa CIMR-VC4A0002BAA Inverter AC Drive 380-480v 3ph/0-480v 0-400hz .75hp
Top-Rated Seller Yaskawa CIMR-VC4A0002BAA Inverter AC Drive 380-480v 3ph/0-480v 0-400hz .75hp
 127,78  8d 1h
 Sealed Allen Bradley SK-U1-MCBD-B1 /A
 Sealed Allen Bradley SK-U1-MCBD-B1 /A
 23,34  27d 6h
Ab 20 A B 015 A 0 AYNANNN Série A
Top-Rated Seller Ab 20 A B 015 A 0 AYNANNN Série A
 447,62  10d 0h
FR-A7NCE Mitsubishi Neuf en Boîte Vfd AC Lect Ondul cc-Link A700 en Option
FR-A7NCE Mitsubishi Neuf en Boîte Vfd AC Lect Ondul cc-Link A700 en Option
 258,50  7d 10h
Allen Bradley 1336S-BRF20-AA-EN-HAP-L6 Série A 2HP @ 480V 1336 Plus AC Vs Clé Ab
Top-Rated Seller Allen Bradley 1336S-BRF20-AA-EN-HAP-L6 Série A 2HP @ 480V 1336 Plus AC Vs Clé Ab
 524,35  18d 11h
Brand  Invertek ODE-3-440460-3F42 3 Ph. Input 3 Ph Output 380-480V 22kW 46A
Brand  Invertek ODE-3-440460-3F42 3 Ph. Input 3 Ph Output 380-480V 22kW 46A
 790,00  27d 6h
Brand  Invertek ODE-3-440390-3F42 3 Ph. Input 3 Ph Output 380-480V 18.5kW 39A
Brand  Invertek ODE-3-440390-3F42 3 Ph. Input 3 Ph Output 380-480V 18.5kW 39A
 675,00  27d 6h
ABB ACS355-03U-02A4-4 Drive
Top-Rated Seller ABB ACS355-03U-02A4-4 Drive
 179,05  20d 12h
FR-A7NS Mitsubishi Neuf Vfd AC Lect Ondul Sscnet III A700 en Option Board
FR-A7NS Mitsubishi Neuf Vfd AC Lect Ondul Sscnet III A700 en Option Board
 214,67  15d 11h
CONTROL TECHNIQUES 1220-2758-01 Unidrive Sp Taille 2 Freinage Resistor 50W 75 Oh
CONTROL TECHNIQUES 1220-2758-01 Unidrive Sp Taille 2 Freinage Resistor 50W 75 Oh
 168,11  4d 23h
Dorner E3990-364 / # T D1r 6102
Top-Rated Seller Dorner E3990-364 / # T D1r 6102
 151,22  3d 12h
Lust FU2000 Frequenzumrichter Type FU2237  1,5kW
Lust FU2000 Frequenzumrichter Type FU2237  1,5kW
 150,00  8d 1h
Allen Bradley Puissance Flexible 20-HIM-C3S Série C
Top-Rated Seller Allen Bradley Puissance Flexible 20-HIM-C3S Série C
 223,81  1d 10h
Baldor VS1MD47-8 7.5HP 460VAC Ondul Microdrive Pointe
Top-Rated Seller Baldor VS1MD47-8 7.5HP 460VAC Ondul Microdrive Pointe
 448,29  12d 20h
EL-F1 V3-VFD Emotron Neuf en Boîte Mot Charge Détect 044410 ELF1V3VFD
EL-F1 V3-VFD Emotron Neuf en Boîte Mot Charge Détect 044410 ELF1V3VFD
 96,60  11h 27m
FR-A7PS Mitsubishi Neuf en Boîte Vfd AC Lect Ondul Cod A700 Option Bord
FR-A7PS Mitsubishi Neuf en Boîte Vfd AC Lect Ondul Cod A700 Option Bord
 109,12  26d 13h
CMPC-CM34-B4 Yaskawa Servo Motionpack-34 Lect CMPCCM34B4
CMPC-CM34-B4 Yaskawa Servo Motionpack-34 Lect CMPCCM34B4
 89,44  9d 14h
FR-A720-00030-NA Mitsubishi 1/2 HP Vfd AC Lect Ondul FRA72000030NA
FR-A720-00030-NA Mitsubishi 1/2 HP Vfd AC Lect Ondul FRA72000030NA
 228,98  14d 7h
Neuf Allen Bradley 20AD5P0A0AYNANC0 Powerflex 70 AC Lect
Top-Rated Seller Neuf Allen Bradley 20AD5P0A0AYNANC0 Powerflex 70 AC Lect
 1.015,80  6d 3h
FR-A7NP Mitsubishi Neuf en Boîte Vfd AC Lect Ondul Profibus A700 en Option
FR-A7NP Mitsubishi Neuf en Boîte Vfd AC Lect Ondul Profibus A700 en Option
 150,27  6d 12h
FR-A7NL Mitsubishi Neuf en Boîte Vfd AC Lect Ondul Lonworks A700 en Option
FR-A7NL Mitsubishi Neuf en Boîte Vfd AC Lect Ondul Lonworks A700 en Option
 119,86  6d 12h
YPHT31036-1-2 Yaskawa Neuf si-B G3 en Option Board 73600-C0060-01
YPHT31036-1-2 Yaskawa Neuf si-B G3 en Option Board 73600-C0060-01
 119,86  15d 11h
Utilisé Siemens 6SE3212-1CA40 Micromaster Vector Lect 230V 0.50HP 370W 1or3
Top-Rated Seller Utilisé Siemens 6SE3212-1CA40 Micromaster Vector Lect 230V 0.50HP 370W 1or3
 341,32  2d 19h
Utilisé Magnetek GPD205-B0P7 Variable Fréquence Lect 3/4 HP 380-460V
Top-Rated Seller Utilisé Magnetek GPD205-B0P7 Variable Fréquence Lect 3/4 HP 380-460V
 149,20  9d 5h
A70CA55-NA5 Mitsubishi Vfd Lect Neuf en Boîte A700 Contrôle Carte Avec Plc
A70CA55-NA5 Mitsubishi Vfd Lect Neuf en Boîte A700 Contrôle Carte Avec Plc
 228,98  18h 17m
3G3MX-AE002 Omron 1/4 HP Vfd sans Capt Vector Ondul AC Lect
3G3MX-AE002 Omron 1/4 HP Vfd sans Capt Vector Ondul AC Lect
 96,60  15d 11h
FR-A8NS Mitsubishi Neuf Boîte Vfd AC Lect Ondul Sscnet III en Option Board
FR-A8NS Mitsubishi Neuf Boîte Vfd AC Lect Ondul Sscnet III en Option Board
 268,34  15d 11h
 YASKAWA SGDM-50ADA AC SERVO DRIVER 5kW
Top-Rated Seller  YASKAWA SGDM-50ADA AC SERVO DRIVER 5kW
 492,68  3d 0h
GPD503-DS313 Magnetek Neuf en Boîte 1-HP 380-460VAC Vfd Ondul AC Drive 40P71
GPD503-DS313 Magnetek Neuf en Boîte 1-HP 380-460VAC Vfd Ondul AC Drive 40P71
 746,00  7d 19h
ALLEN BRADLEY 20A D 2P1A 3 AYYANO0 Powerflex 70 1HP Lect 3PH - Reconditionné
ALLEN BRADLEY 20A D 2P1A 3 AYYANO0 Powerflex 70 1HP Lect 3PH - Reconditionné
 234,89  6d 9h
Neuf BANNER 23658 Fibre Optique Câble ITETA1.56SM600
Top-Rated Seller Neuf BANNER 23658 Fibre Optique Câble ITETA1.56SM600
 105,81  6d 0h
Neuf YASKAWA CIMR-XCJA20P2 Mini Lect CIMRXCJA20P2
Top-Rated Seller Neuf YASKAWA CIMR-XCJA20P2 Mini Lect CIMRXCJA20P2
 88,80  6d 6h
ALLEN BRADLEY 1336S-BRF100-AA-EN 1336 Plus 10HP AC Lect - Reconditionné
ALLEN BRADLEY 1336S-BRF100-AA-EN 1336 Plus 10HP AC Lect - Reconditionné
 886,50  14d 11h
Utilisé SIEMENS 6SE6410-2BB12-5AA0 Clé Avec Opérat Interface 6SE64102BB125AA0
Top-Rated Seller Utilisé SIEMENS 6SE6410-2BB12-5AA0 Clé Avec Opérat Interface 6SE64102BB125AA0
 423,25  6d 3h
NRGD05-D240AC-4Q Minarik Pwm Dc Mot Contrôl NRGD05D240AC4Q
NRGD05-D240AC-4Q Minarik Pwm Dc Mot Contrôl NRGD05D240AC4Q
 186,05  15d 11h
FUJI 6ke$ 243001x1b1 AC Lect Unité AF-300E$, 380-480 Volts, 3.9 Amp, 50/60
FUJI 6ke$ 243001x1b1 AC Lect Unité AF-300E$, 380-480 Volts, 3.9 Amp, 50/60
 450,43  4d 18h
PROMESS E217428 AC Lect - Neuf en Boîte
PROMESS E217428 AC Lect - Neuf en Boîte
 423,19  5d 16h
Allen Bradley, 22D-D2P3N104, Powerflex 40 Ondul Mot Vfd AC Drive 1hp Neuf
Allen Bradley, 22D-D2P3N104, Powerflex 40 Ondul Mot Vfd AC Drive 1hp Neuf
 980,49  6d 10h
FR-V5NSCBL05 Mitsubishi Vfd AC Lect V500 Neuf en Boîte Sscnet Câble 5M
FR-V5NSCBL05 Mitsubishi Vfd AC Lect V500 Neuf en Boîte Sscnet Câble 5M
 65,29  15d 11h
FR-BR-H15K Mitsubishi Vfd AC Lect Ondul 460V Frein Unité FRBRH15K
FR-BR-H15K Mitsubishi Vfd AC Lect Ondul 460V Frein Unité FRBRH15K
 40,25  15d 11h
Utilisé RELIANCE ELECTRIC 0-51831-3 PC Board 0518313
Top-Rated Seller Utilisé RELIANCE ELECTRIC 0-51831-3 PC Board 0518313
 105,81  5d 21h
Siemens, 6SE6420 2UD17 5AA1, Micromaster 420 Lect Vfd
Siemens, 6SE6420 2UD17 5AA1, Micromaster 420 Lect Vfd
 686,34  14d 18h
FR-BU-H15K Mitsubishi Vfd AC Lect Ondul 460V Frein Unité FRBUH15K
FR-BU-H15K Mitsubishi Vfd AC Lect Ondul 460V Frein Unité FRBUH15K
 69,76  15d 11h
FR-A044-0.75K-UL Mitsubishi Vfd 1 HP Vfd 400V AC Lect Ondul FRA044075KUL
FR-A044-0.75K-UL Mitsubishi Vfd 1 HP Vfd 400V AC Lect Ondul FRA044075KUL
 69,76  20d 17h
UFS-110 SCS Mitsubishi Statique Contrôle Systems Frein Unité
UFS-110 SCS Mitsubishi Statique Contrôle Systems Frein Unité
 223,62  15d 11h
ATV11HU18F1A Telemecanique 3/4 HP 120VAC Entrée Vfd AC Lect Ondul
ATV11HU18F1A Telemecanique 3/4 HP 120VAC Entrée Vfd AC Lect Ondul
 229,36  5d 9h
Utilisé CUTLER HAMMER MVX003A0-4 AC Lect MVX003A04
Top-Rated Seller Utilisé CUTLER HAMMER MVX003A0-4 AC Lect MVX003A04
 325,90  6d 7h
Speedstar Jr J.75v1b3n AC Variable Fréquence Lect Tasc 200 Volt 75 HP, 3 Ph
Speedstar Jr J.75v1b3n AC Variable Fréquence Lect Tasc 200 Volt 75 HP, 3 Ph
 338,37  4d 10h
Brand  Invertek ODP-2-22220-3KF4X-SN IP66, 200-240 V 2.2kW
Brand  Invertek ODP-2-22220-3KF4X-SN IP66, 200-240 V 2.2kW
 500,00  16d 0h
Allen Bradley 1305-BA03A AC Lect 1HP Livré Avec 1201-HA2 Programmation Borne
Top-Rated Seller Allen Bradley 1305-BA03A AC Lect 1HP Livré Avec 1201-HA2 Programmation Borne
 63,71  15d 8h
Allen Bradley 1336F-B015-EN Utilisé
Allen Bradley 1336F-B015-EN Utilisé
 706,42  4d 20h
Allen Bradley 1336F-BRF20-AA-EN-HAS1 2HP AC Lect - Neuf en Boîte
Allen Bradley 1336F-BRF20-AA-EN-HAS1 2HP AC Lect - Neuf en Boîte
 783,66  5d 10h
CIMR-V7AM43P71 Yaskawa V7 Neuf en Boîte 5-HP 460VAC Vfd Ondul AC Lect
CIMR-V7AM43P71 Yaskawa V7 Neuf en Boîte 5-HP 460VAC Vfd Ondul AC Lect
 551,89  11h 27m
  Mitsubishi MDS-B-SP-75 Tested
Top-Rated Seller   Mitsubishi MDS-B-SP-75 Tested
 1.386,69  17d 1h
Ge FUJI Électrique AF-300G11 6KG1143001X1B1 Vfd 1HP 460V AC Clé - Neuf sans
Ge FUJI Électrique AF-300G11 6KG1143001X1B1 Vfd 1HP 460V AC Clé - Neuf sans
 910,33  6d 11h
VFS7-4015UPL Toshiba Vfd 2 HP 460V 3.7A 1.5kW AC Lect Ondul VFS74015UPL
VFS7-4015UPL Toshiba Vfd 2 HP 460V 3.7A 1.5kW AC Lect Ondul VFS74015UPL
 110,91  3d 10h
FR-A220E-0.75K-UL Mitsubishi 1 HP Vfd 200V AC Lect Ondul FRA220E075KUL
FR-A220E-0.75K-UL Mitsubishi 1 HP Vfd 200V AC Lect Ondul FRA220E075KUL
 53,67  10d 9h
Allen Bradley Powerflex 40 22B-D4P0N104 Avec 22-RF012-BS 3-Phase 48-63 Hz
Top-Rated Seller Allen Bradley Powerflex 40 22B-D4P0N104 Avec 22-RF012-BS 3-Phase 48-63 Hz
 195,53  10d 17h
3-Phase 380VAC 7.5KW Inverter Vector Control Motor Drive Speed Controller 17A
Top-Rated Seller 3-Phase 380VAC 7.5KW Inverter Vector Control Motor Drive Speed Controller 17A
 289,80  16d 23h
50246 Allen Bradley Neuf en Boîte Mini Conduct PCB PC Board
50246 Allen Bradley Neuf en Boîte Mini Conduct PCB PC Board
 89,44  28d 6h
50248 Allen Bradley Neuf en Boîte Mini Conduct PCB PC Board
50248 Allen Bradley Neuf en Boîte Mini Conduct PCB PC Board
 89,44  28d 6h
OTHERM Lects 620 Vector Link
Top-Rated Seller OTHERM Lects 620 Vector Link
 1.104,54  17d 12h
Neuf HONEYWELL MHP-TLR33L Photoélectrique Capt MHPTLR33L
Top-Rated Seller Neuf HONEYWELL MHP-TLR33L Photoélectrique Capt MHPTLR33L
 148,14  6d 4h
FRNF50E1S-7U Fuji Électrique Neuf en Boîte Vfd 1/2 HP AC Lect Ondul
FRNF50E1S-7U Fuji Électrique Neuf en Boîte Vfd 1/2 HP AC Lect Ondul
 177,10  15d 11h
FR-RJ45-HUB4 Mitsubishi Neuf en Boîte Vfd AC Lect Ondul RJ45 Option Module
FR-RJ45-HUB4 Mitsubishi Neuf en Boîte Vfd AC Lect Ondul RJ45 Option Module
 50,08  6d 12h
FR-A7AL Mitsubishi Neuf en Boîte Vfd AC Lect Ondul A700 Cod Option Bord
FR-A7AL Mitsubishi Neuf en Boîte Vfd AC Lect Ondul A700 Cod Option Bord
 209,64  19d 7h
CFW500A02P6T4NBN1 WEG Neuf Vfd AC Lect Ondul 2.6A 2HP 480VAC CFW500
CFW500A02P6T4NBN1 WEG Neuf Vfd AC Lect Ondul 2.6A 2HP 480VAC CFW500
 169,05  12d 11h
Watlow Din-A-Mite DC2T-24C0-0000 Puissance Contrôl
Top-Rated Seller Watlow Din-A-Mite DC2T-24C0-0000 Puissance Contrôl
 102,46  3d 9h
FR-E540-0.4K Mitsubishi Vfd 1/2 HP Vfd 400V AC Lect Ondul FRE54004K
FR-E540-0.4K Mitsubishi Vfd 1/2 HP Vfd 400V AC Lect Ondul FRE54004K
 79,60  15d 11h
Ge FUJI Électrique AF-300G11 6KG1143001X1B1 AC Lect 1HP - Neuf en Boîte
Ge FUJI Électrique AF-300G11 6KG1143001X1B1 AC Lect 1HP - Neuf en Boîte
 1.014,81  4d 23h
 Siemens 6se7 090-0xx84-1cj0 /6se7090-0xx84-1cj0
Top-Rated Seller  Siemens 6se7 090-0xx84-1cj0 /6se7090-0xx84-1cj0
 3.795,55  9d 23h
ATS48D17Y Telemecanique Neuf en Boîte Altistart 48 17A Doux Démarr
ATS48D17Y Telemecanique Neuf en Boîte Altistart 48 17A Doux Démarr
 576,94  8d 18h
FR-A7AR Mitsubishi Neuf en Boîte Vfd AC Lect Ondul Relais Out A700 Option
FR-A7AR Mitsubishi Neuf en Boîte Vfd AC Lect Ondul Relais Out A700 Option
 79,60  26d 13h
FR-A5ND Mitsubishi Neuf en Boîte Vfd AC Lect Ondul A500 Device-Net Option
FR-A5ND Mitsubishi Neuf en Boîte Vfd AC Lect Ondul A500 Device-Net Option
 62,60  18d 21h
3G3MX-AE002 Omron 1/4 HP Vfd sans Capt Vector Ondul AC Lect 3G3MXAE002
3G3MX-AE002 Omron 1/4 HP Vfd sans Capt Vector Ondul AC Lect 3G3MXAE002
 89,59  2d 19h
195N0038 Danfoss Neuf en Boîte Vlt 2800 AC Lect Ondul Vfd 1.1kW
195N0038 Danfoss Neuf en Boîte Vlt 2800 AC Lect Ondul Vfd 1.1kW
 437,40  1d 13h
FR-A760-00120-NA Mitsubishi Neuf Boîte 10 HP 600V Vfd Ondul Clé FRA76000120NA
FR-A760-00120-NA Mitsubishi Neuf Boîte 10 HP 600V Vfd Ondul Clé FRA76000120NA
 1.073,38  18d 21h
ALLEN BRADLEY 20AD1P1A0AYYNNG0 Powerflex 70 AC Lect 0.5 HP - Neuf en Boîte
ALLEN BRADLEY 20AD1P1A0AYYNNG0 Powerflex 70 AC Lect 0.5 HP - Neuf en Boîte
 310,08  4d 17h
CIMR-V7NU43P7 Yaskawa V7N Device-Net 5 HP 360-480VAC Entrée Vfd AC Clé Ondul
CIMR-V7NU43P7 Yaskawa V7N Device-Net 5 HP 360-480VAC Entrée Vfd AC Clé Ondul
 497,33  5d 9h
CONTROL TECHNIQUES COMMANDER GPD 3402 18.5 Kw Utilisé Bon État
CONTROL TECHNIQUES COMMANDER GPD 3402 18.5 Kw Utilisé Bon État
 2.419,36  16d 23h
FR-F720-00770-NA Mitsubishi Neuf en Boîte 25 HP 200V Vfd Ondul Lect
FR-F720-00770-NA Mitsubishi Neuf en Boîte 25 HP 200V Vfd Ondul Lect
 1.391,83  1d 21h
Utilisé YASKAWA CIMR-J7AM20P7 Lect CIMRJ7AM20P7
Top-Rated Seller Utilisé YASKAWA CIMR-J7AM20P7 Lect CIMRJ7AM20P7
 334,37  6d 4h
BCX4010 Boston Gear Neuf Nidec AC Lect Ondul Vfd Mot Vitesse Contrôle
BCX4010 Boston Gear Neuf Nidec AC Lect Ondul Vfd Mot Vitesse Contrôle
 347,95  17d 9h
BCX4010 Boston Gear Neuf en Boîte Nidec AC Lect Ondul Vfd Mot Speed De
BCX4010 Boston Gear Neuf en Boîte Nidec AC Lect Ondul Vfd Mot Speed De
 371,21  17d 9h
Utilisé SIEMENS 6SL3211-0AB13-7BA1 AC Lect 6SL32110AB137BA1
Top-Rated Seller Utilisé SIEMENS 6SL3211-0AB13-7BA1 AC Lect 6SL32110AB137BA1
 76,19  5d 23h
FR-A044-0.75K-CD Mitsubishi Vfd 1 HP 400VAC AC Lect Ondul FRA044075KCD
FR-A044-0.75K-CD Mitsubishi Vfd 1 HP 400VAC AC Lect Ondul FRA044075KCD
 209,31  20d 21h
Télémécanique LULC15 / #O 6M2 8180
Top-Rated Seller Télémécanique LULC15 / #O 6M2 8180
 192,91  17d 15h
Neuf ALLEN BRADLEY 42EF-B1RFBE-A2 Photoswitch Série A 42EFB1RFBEA2
Top-Rated Seller Neuf ALLEN BRADLEY 42EF-B1RFBE-A2 Photoswitch Série A 42EFB1RFBEA2
 66,87  5d 22h
ALLEN BRADLEY 1336E-BRF75-AA-EN-L4 Impacte Réglable Fréquence AC Lect - Neuf
ALLEN BRADLEY 1336E-BRF75-AA-EN-L4 Impacte Réglable Fréquence AC Lect - Neuf
 1.432,42  4d 18h
Furnas - 41NB30AJP Definite But Contrôl, 3-Pole, 30A, 24VAC (Neuf en Boîte )
Top-Rated Seller Furnas - 41NB30AJP Definite But Contrôl, 3-Pole, 30A, 24VAC (Neuf en Boîte )
 68,02  15d 8h
Danfoss 195H3403 Vitesse Variable Lect Vlt Type 2025
Danfoss 195H3403 Vitesse Variable Lect Vlt Type 2025
 409,80  4d 10h
ALLEN BRADLEY 22D6P0N104 Powerflex Lect 40P Catalogue 22D-D6P0N104 Série A
ALLEN BRADLEY 22D6P0N104 Powerflex Lect 40P Catalogue 22D-D6P0N104 Série A
 596,67  12d 16h
FR-A540-11K-NA Mitsubishi Vfd 15 HP AC Lect Ondul FRA54011KNA
FR-A540-11K-NA Mitsubishi Vfd 15 HP AC Lect Ondul FRA54011KNA
 347,95  17d 20h
Westinghouse Accutrol 110 Variable Fréquence Lect CT4021 400-460 VAC, 3 Phase
Westinghouse Accutrol 110 Variable Fréquence Lect CT4021 400-460 VAC, 3 Phase
 347,31  4d 10h
Vt130g2 + 2055 Toshiba Vfd 5 HP 230V 18A 5.5kVA AC Lect Ondul VT130G22055
Vt130g2 + 2055 Toshiba Vfd 5 HP 230V 18A 5.5kVA AC Lect Ondul VT130G22055
 298,76  3d 11h
Neuf Yaskawa CIMR-V7AM41P5 AC Lect CIMRV7AM41P5
Top-Rated Seller Neuf Yaskawa CIMR-V7AM41P5 AC Lect CIMRV7AM41P5
 465,58  6d 0h
Allen Bradley 2090-XXLF-X330B AC Lect 500VAC 3PH Rfi Filtre 30A Séries A
Top-Rated Seller Allen Bradley 2090-XXLF-X330B AC Lect 500VAC 3PH Rfi Filtre 30A Séries A
 23,05  20d 4h
Bardac / Invertek - OPTIDRIVE PLUS 3gv - ODP-34200-USA (Neuf en Boîte )
Top-Rated Seller Bardac / Invertek - OPTIDRIVE PLUS 3gv - ODP-34200-USA (Neuf en Boîte )
 255,08  23d 2h
Emerson SKA1200037 Programmable Logic Controller 1 Phase .37kW AC Drive Inverter
Top-Rated Seller Emerson SKA1200037 Programmable Logic Controller 1 Phase .37kW AC Drive Inverter
 213,97  8d 2h
XM4250EA EA3520 fixed wing accessory RC airplane motor brushless motor 1100KV
Top-Rated Seller XM4250EA EA3520 fixed wing accessory RC airplane motor brushless motor 1100KV
 114,41  24d 18h
Parker CP 9040P3B0-15470 Servo Contrôl W/ Référence Guide / Disque
Top-Rated Seller Parker CP 9040P3B0-15470 Servo Contrôl W/ Référence Guide / Disque
 162,23  12d 0h
6kaf343f50m$ A1 GE Vfd 0.5 HP 460V AF-300 Micro-Saver AC Lect Ondul
6kaf343f50m$ A1 GE Vfd 0.5 HP 460V AF-300 Micro-Saver AC Lect Ondul
 139,53  27d 19h
Utilisé ALLEN BRADLEY 1336-B015-E0E-FA2-L3 AC Lect 1336B015E0EFA2L3
Top-Rated Seller Utilisé ALLEN BRADLEY 1336-B015-E0E-FA2-L3 AC Lect 1336B015E0EFA2L3
 1.523,70  5d 22h
Reliance Electric Ac Converter 762.21.40, Gmi-s13 Neu
Top-Rated Seller Reliance Electric Ac Converter 762.21.40, Gmi-s13 Neu
 1.495,00  14d 21h
Allen Bradley 135787 Ventilat Assemblage 10-50HP
Top-Rated Seller Allen Bradley 135787 Ventilat Assemblage 10-50HP
 211,63  7d 5h
Allen Bradley 1336VT-B030-E0R-FA2-L3 30HP AC Vs Lect 460V Ab 30 HP Vfd 1336
Top-Rated Seller Allen Bradley 1336VT-B030-E0R-FA2-L3 30HP AC Vs Lect 460V Ab 30 HP Vfd 1336
 1.704,13  18d 12h
FR-A7NS Mitsubishi Neuf I Boîte Vfd AC Lect Ondul Sscnet III A700 Option
FR-A7NS Mitsubishi Neuf I Boîte Vfd AC Lect Ondul Sscnet III A700 Option
 225,40  4d 15h
Utilisé SIEMENS 6SE6410-2BB12-5AA0 Lect 6SE64102BB125AA0
Top-Rated Seller Utilisé SIEMENS 6SE6410-2BB12-5AA0 Lect 6SE64102BB125AA0
 402,09  6d 17h
Neuf ALLEN BRADLEY 22-RFD050 Powerflex AC Lect 22RFD050
Top-Rated Seller Neuf ALLEN BRADLEY 22-RFD050 Powerflex AC Lect 22RFD050
 359,76  4d 12h
Utilisé Allen Bradley 1333-YAB AC Mot Lect 1333YAB
Top-Rated Seller Utilisé Allen Bradley 1333-YAB AC Mot Lect 1333YAB
 212,98  6d 16h
VF1204S Lust Neuf Vfd AC Lect Ondul
VF1204S Lust Neuf Vfd AC Lect Ondul
 616,30  8d 18h
Neuf SIEMENS 6SL3211-0AB13-7UA1 AC Lect 6SL32110AB137UA1
Top-Rated Seller Neuf SIEMENS 6SL3211-0AB13-7UA1 AC Lect 6SL32110AB137UA1
 135,44  6d 6h
FR-E720-015-NA Mitsubishi Neuf en Boîte 1/4 HP 200V Vfd Ondul Lect
FR-E720-015-NA Mitsubishi Neuf en Boîte 1/4 HP 200V Vfd Ondul Lect
 119,86  15d 11h
Neuf MAGNETEK GPD315 MVB005 AC Lect GPD315MVB005
Top-Rated Seller Neuf MAGNETEK GPD315 MVB005 AC Lect GPD315MVB005
 550,23  6d 7h
FR-A7AN Mitsubishi Neuf en Boîte Vfd AC Lect Ondul Analogique I/O A700
FR-A7AN Mitsubishi Neuf en Boîte Vfd AC Lect Ondul Analogique I/O A700
 228,98  26d 13h
Tnc 155B mit passendem Monitor BE411B
Tnc 155B mit passendem Monitor BE411B
 2.200,00  6d 11h
Reconditionné ALLEN BRADLEY 2707-L8P1X Opérat Interface Série C Rev B
Top-Rated Seller Reconditionné ALLEN BRADLEY 2707-L8P1X Opérat Interface Série C Rev B
 677,20  7d 2h
FR-E520-0.2KN Mitsubishi Vfd 1/4 HP AC Lect Ondul FRE52002KN
FR-E520-0.2KN Mitsubishi Vfd 1/4 HP AC Lect Ondul FRE52002KN
 40,25  15d 11h
FEAE02.1-EA-NNNN Rexroth EC3610 EC5610 Neuf en Boîte en Option Module Carte
FEAE02.1-EA-NNNN Rexroth EC3610 EC5610 Neuf en Boîte en Option Module Carte
 40,25  19d 18h
8814A KB Electronics Neuf Penta Puissance Plusis Vitesses Contrôle Board
8814A KB Electronics Neuf Penta Puissance Plusis Vitesses Contrôle Board
 17,88  21d 17h
Utilisé PRO-FACE GP2400-TC41 Opérat Interface 2880061 GP2400TC41
Top-Rated Seller Utilisé PRO-FACE GP2400-TC41 Opérat Interface 2880061 GP2400TC41
 67,72  6d 4h
Utilisé SIEMENS 6SE7018-0EP50-Z AC Lect 6SE70180EP50Z
Top-Rated Seller Utilisé SIEMENS 6SE7018-0EP50-Z AC Lect 6SE70180EP50Z
 3.386,00  6d 7h
Allen Bradley PowerFlex70 20A B 9P6C 3 Aynnnnn Séries A AC Lect
Top-Rated Seller Allen Bradley PowerFlex70 20A B 9P6C 3 Aynnnnn Séries A AC Lect
 256,16  12d 9h
Adp-262 (адп-262) / # T Wsw 0896
Top-Rated Seller Adp-262 (адп-262) / # T Wsw 0896
 12,69  22d 9h
Reliance GV 3000 A-C Lect 2v4151 2hp/1.5kw
Top-Rated Seller Reliance GV 3000 A-C Lect 2v4151 2hp/1.5kw
 467,74  11d 6h
Yaskawa CIMR-VU4A0002FAA Révisée C V1000 AC Lect 380-480V 3-Phase 2.1A/1.8A
Top-Rated Seller Yaskawa CIMR-VU4A0002FAA Révisée C V1000 AC Lect 380-480V 3-Phase 2.1A/1.8A
 120,44  20d 15h
Neuf SAFTRONICS S102001-91 AC Lect S10200191
Top-Rated Seller Neuf SAFTRONICS S102001-91 AC Lect S10200191
 409,58  6d 4h
160-DM-SF1 C Allen Bradley Analogique Interface Module 160DMSF1 C
160-DM-SF1 C Allen Bradley Analogique Interface Module 160DMSF1 C
 7,15  2d 6h
FR-EPN Mitsubishi Plc Vfd AC Lect Neuf en Boîte Device-Net Option Board Frepn
FR-EPN Mitsubishi Plc Vfd AC Lect Neuf en Boîte Device-Net Option Board Frepn
 30,41  15d 11h
C-MH-22-700-FP Electrol 700 Mot Vitesse Potentiomètre Cadran CMH22700FP
C-MH-22-700-FP Electrol 700 Mot Vitesse Potentiomètre Cadran CMH22700FP
 5,36  2d 14h
Neuf SIEMENS 6SE6440-2UC17-5AA1 AC Lect 6SE64402UC175AA1
Top-Rated Seller Neuf SIEMENS 6SE6440-2UC17-5AA1 AC Lect 6SE64402UC175AA1
 486,74  5d 23h
Parker CP 9040P3B0-15470 Servo Contrôl
Top-Rated Seller Parker CP 9040P3B0-15470 Servo Contrôl
 128,08  12d 0h
SIEMENS SIMOVERT 6SE7023-2EA87-2DA0 E:E Breaking Unit  Bremseinheit
Top-Rated Seller SIEMENS SIMOVERT 6SE7023-2EA87-2DA0 E:E Breaking Unit Bremseinheit
 333,00  20d 23h
Allen Bradley Powerflex 40 Chat No 22B-D2P3N104 W/22-RF012-BS (#11)
Top-Rated Seller Allen Bradley Powerflex 40 Chat No 22B-D2P3N104 W/22-RF012-BS (#11)
 88,88  15d 12h
Eaton ECX09K1BAA-QA27S29 non-Combination IEC Fvnr Démarr 240V/60Hz 220V /
Top-Rated Seller Eaton ECX09K1BAA-QA27S29 non-Combination IEC Fvnr Démarr 240V/60Hz 220V /
 943,66  7d 0h
MagneTek Gpd 503 40HP 54A/48A 3PH 0-460V AC Vs Lect Vitesse Variable DS330
Top-Rated Seller MagneTek Gpd 503 40HP 54A/48A 3PH 0-460V AC Vs Lect Vitesse Variable DS330
 2.184,79  18d 11h
Utilisé LOAD CONTROLS PCR-1820-BT Compensat PCR1820BT
Top-Rated Seller Utilisé LOAD CONTROLS PCR-1820-BT Compensat PCR1820BT
 126,98  5d 19h
Allen Bradley Powerflex 70 AC Lect Vfd 20AD8P0A0AYNANC0 Série A 5HP Scellé
Allen Bradley Powerflex 70 AC Lect Vfd 20AD8P0A0AYNANC0 Série A 5HP Scellé
 853,59  12d 16h
Applicable Lenze Lenze D-31855 8200 0.55KW EDK82EV222 D4
Top-Rated Seller Applicable Lenze Lenze D-31855 8200 0.55KW EDK82EV222 D4
 144,27  10d 23h
Neuf AUTOMATION DIRECT GS2-57P5 GS2 Séries AC Micro Lect 575V 7.5HP GS257P5
Top-Rated Seller Neuf AUTOMATION DIRECT GS2-57P5 GS2 Séries AC Micro Lect 575V 7.5HP GS257P5
 783,01  6d 4h
Utilisé GENERAL ELECTRIC DS3800HFXD1D1D PC Board W/ DS3800DFXB1B1C
Top-Rated Seller Utilisé GENERAL ELECTRIC DS3800HFXD1D1D PC Board W/ DS3800DFXB1B1C
 1.015,80  5d 2h
Omron Sysdrive 3G3XV A4004 Ondul (Gratuit DHL / Fedex )
Top-Rated Seller Omron Sysdrive 3G3XV A4004 Ondul (Gratuit DHL / Fedex )
 404,65  24d 22h
Omron Sysdrive 3G3XV A4004 Ondul (Gratuit DHL / Fedex
Top-Rated Seller Omron Sysdrive 3G3XV A4004 Ondul (Gratuit DHL / Fedex
 404,65  25d 10h
Allen Bradley 1336F-BRF75-AA-EN-HAS2 (L4 Module) 1336 Plus 2 AC Clé 10.3/13KVA
Allen Bradley 1336F-BRF75-AA-EN-HAS2 (L4 Module) 1336 Plus 2 AC Clé 10.3/13KVA
 596,67  13d 22h
Neuf Sew odrive MC07B0015-2B1-4-00 Movitrac Ondul Vfd Lect
Top-Rated Seller Neuf Sew odrive MC07B0015-2B1-4-00 Movitrac Ondul Vfd Lect
 228,46  3d 2h
1000GM-N33-010D-N-E Allen Bradley Neuf en Boîte 10HP Device-Net Réseau GM Départ
1000GM-N33-010D-N-E Allen Bradley Neuf en Boîte 10HP Device-Net Réseau GM Départ
 704,85  25d 15h
Minarik Drives MMXL05-D240AC-PCM Lect Mot Contrôle
Top-Rated Seller Minarik Drives MMXL05-D240AC-PCM Lect Mot Contrôle
 71,67  24d 1h
Spring balancer EHW3-5KG tower spring balance crane 1-3 kg elastic EHW5-9kg
Top-Rated Seller Spring balancer EHW3-5KG tower spring balance crane 1-3 kg elastic EHW5-9kg
 79,79  14d 22h
OMRON SYSDRIVE 3G3XV A2037 Ondul (Gratuit DHL / Fedex )
Top-Rated Seller OMRON SYSDRIVE 3G3XV A2037 Ondul (Gratuit DHL / Fedex )
 404,65  8d 9h
Tsubaki VR007H2A (Gratuit DHL / Fedex )
Top-Rated Seller Tsubaki VR007H2A (Gratuit DHL / Fedex )
 404,65  8d 9h
PG-D Yaskawa Neuf Ondul Vfd AC Lect Vitesse Contrôl en Option Board Pdg
PG-D Yaskawa Neuf Ondul Vfd AC Lect Vitesse Contrôl en Option Board Pdg
 96,60  15d 11h
3Phase 380VAC 7.5KW VFD Inverter Vector Control Motor Drive Speed Controller
Top-Rated Seller 3Phase 380VAC 7.5KW VFD Inverter Vector Control Motor Drive Speed Controller
 289,80  16d 23h
CONTROL TECHNIQUES COMMANDER GPD 3402 18.5 Kw Pièces Peut Être Utilisé As Pièce
CONTROL TECHNIQUES COMMANDER GPD 3402 18.5 Kw Pièces Peut Être Utilisé As Pièce
 1.273,35  16d 23h
Allen Bradley 25-RF7P5-AL Emi Filtre 380-480V/7.5A
Top-Rated Seller Allen Bradley 25-RF7P5-AL Emi Filtre 380-480V/7.5A
 62,71  25d 2h
282-LM Introl Design Régénérative Mot Contrôl 282LM
282-LM Introl Design Régénérative Mot Contrôl 282LM
 47,40  15d 11h
Melec C-870 V1 Servo Mot Pas à Pas Motion Contrôl PCI Carte Câble KP1265-2
Top-Rated Seller Melec C-870 V1 Servo Mot Pas à Pas Motion Contrôl PCI Carte Câble KP1265-2
 205,61  25d 13h
Schneider Electric Elau Max 4/11 /03/128/99 / 1/1/00 Pacdrive Neuf Emballé
Schneider Electric Elau Max 4/11 /03/128/99 / 1/1/00 Pacdrive Neuf Emballé
 8.372,16  11d 1h
Compummotor Modèle :M83-62 Tempress Mot Lect avec Câble <
Compummotor Modèle :M83-62 Tempress Mot Lect avec Câble <
 237,78  24d 11h
Nouveau! Lust Vf1202s S40 G19 Fr Convertiss De Fréquence
Nouveau! Lust Vf1202s S40 G19 Fr Convertiss De Fréquence
 244,73  17d 0h
SIEMENS SINAMICS G110 - CPM110, 0,37 kW, AC-DRIVE, 6SL3211-0AB13-7BA0  NEU
SIEMENS SINAMICS G110 - CPM110, 0,37 kW, AC-DRIVE, 6SL3211-0AB13-7BA0 NEU
 138,00  5h 24m
STAHL 9440/12-01-11 Ordinat & Puissance Module 9440/120111
STAHL 9440/12-01-11 Ordinat & Puissance Module 9440/120111
 423,53  24d 6h
emko ESM-4435 Universal Input Dual PID Process Controller contrôl température
emko ESM-4435 Universal Input Dual PID Process Controller contrôl température
 59,00  19d 19h
Siemens MicroMaster 420 6SE6420-2UIC21  AC Drive Inverter Micro Master 1500W
Top-Rated Seller Siemens MicroMaster 420 6SE6420-2UIC21 AC Drive Inverter Micro Master 1500W
 319,80  19d 23h
SIEMENS SIMOVERT VC 6SE7031-0EE60-Z G91+K80+M20  Frequenzumrichter/AC DRIVE E:H
Top-Rated Seller SIEMENS SIMOVERT VC 6SE7031-0EE60-Z G91+K80+M20 Frequenzumrichter/AC DRIVE E:H
 6.666,00  20d 6h
Fanuc A16B-1010-0331 Fanuc 11 master PCB
Fanuc A16B-1010-0331 Fanuc 11 master PCB
 1.737,00  11d 23h
MagneTek MVA003 AC Lect Gpd 315 0.4kW, Neuf
MagneTek MVA003 AC Lect Gpd 315 0.4kW, Neuf
 426,65  29d 22h
Neuf Yaskawa SGDC-30AJA-F Drivepack Servo Unité, Pointe, Garantie
Neuf Yaskawa SGDC-30AJA-F Drivepack Servo Unité, Pointe, Garantie
 1.460,11  16d 7h
Sew odrive Bmh1,4 Servo Frein Contrôle Rectificat
Top-Rated Seller Sew odrive Bmh1,4 Servo Frein Contrôle Rectificat
 44,79  5d 22h
Neuf Schneider Électrique ATV71HU40N4 Vitesse Lect 5HP 460V Altivar 71 806461
Neuf Schneider Électrique ATV71HU40N4 Vitesse Lect 5HP 460V Altivar 71 806461
 1.026,12  25d 14h
Numatics Motion Contrôle NSDP6C Mot Lect, 115 VAC, 7 Amp, , Garantie
Numatics Motion Contrôle NSDP6C Mot Lect, 115 VAC, 7 Amp, , Garantie
 590,96  16d 7h
NORD AC Vector mc controlbox mc Inverter Frequency Drive 1.5 KVA 0.75KW
NORD AC Vector mc controlbox mc Inverter Frequency Drive 1.5 KVA 0.75KW
 291,86  25d 14h
3 Phase 380VAC 7.5KW  Motor Drive Inverter VFD Vector Control Speed Controller
Top-Rated Seller 3 Phase 380VAC 7.5KW Motor Drive Inverter VFD Vector Control Speed Controller
 289,80  16d 23h
Allen Bradley Powerflex 753 Vfd 20F11ND011JA0NNNNN 7.5 HP 480V Lect Ser. A
Allen Bradley Powerflex 753 Vfd 20F11ND011JA0NNNNN 7.5 HP 480V Lect Ser. A
 682,27  22d 21h
Applicable  SR3 B261FU A4
Top-Rated Seller Applicable SR3 B261FU A4
 175,38  10d 22h
Fanuc AC Axe Servo Unité, A06b-6059-h206 # H511, 1990, Utilisé, Garantie
Fanuc AC Axe Servo Unité, A06b-6059-h206 # H511, 1990, Utilisé, Garantie
 1.180,96  16d 7h
  Lenze Inverter Lenze 8200 Vector D-31855 E82EV752-4C 7.5KW qo
Top-Rated Seller   Lenze Inverter Lenze 8200 Vector D-31855 E82EV752-4C 7.5KW qo
 1.222,73  16d 7h
Contrôle Techniques I/O Module, C80030/C80030/1.0, Utilisé, Garantie
Contrôle Techniques I/O Module, C80030/C80030/1.0, Utilisé, Garantie
 361,38  16d 7h
Schneider Electric Refurbished Elau MAX 4/11/03/032/08/0/1/00 MC4 PacDrive
Schneider Electric Refurbished Elau MAX 4/11/03/032/08/0/1/00 MC4 PacDrive
 4.670,00  21d 7h
Siemens Sinamics 6SL3224-0XE41-6UA0 FS:BA Power Module 160kW/200kW --
Top-Rated Seller Siemens Sinamics 6SL3224-0XE41-6UA0 FS:BA Power Module 160kW/200kW --
 6.199,00  1d 6h
Ge energy gds1029-4001 Igbt Protection Carte
Top-Rated Seller Ge energy gds1029-4001 Igbt Protection Carte
 207,23  8d 13h
Lenze E82EV751K4C04 8200 Vector Frequency Inverter  mr
Top-Rated Seller Lenze E82EV751K4C04 8200 Vector Frequency Inverter  mr
 1.050,59  9d 6h
Brand  genuineAA15S1500A【AC/DC CONVERTER 15V 15W】
Top-Rated Seller Brand  genuineAA15S1500A【AC/DC CONVERTER 15V 15W】
 145,10  21d 17h
1 Pcs Lenze 8200 Vector Frequency Inverter E82EV751K4C040 xt
Top-Rated Seller 1 Pcs Lenze 8200 Vector Frequency Inverter E82EV751K4C040 xt
 1.024,63  11d 1h
Contrôle Techniques Dinverter 1 HP Lect,Type # DIN1220075B,Utilisé,Garantie
Contrôle Techniques Dinverter 1 HP Lect,Type # DIN1220075B,Utilisé,Garantie
 306,11  22d 12h
Bystronic Sercom E-0705-5-e  Edv Nr. 4630401
Bystronic Sercom E-0705-5-e Edv Nr. 4630401
 499,00  12d 8h
 EPF metal duct - fan motor 2200KV-CCW (positive paddle) - 6S  148g
Top-Rated Seller  EPF metal duct - fan motor 2200KV-CCW (positive paddle) - 6S 148g
 157,61  24d 18h
3Phase 380VAC 7.5KW VFD Inverter Vector Control Motor Drive Speed Controller hot
Top-Rated Seller 3Phase 380VAC 7.5KW VFD Inverter Vector Control Motor Drive Speed Controller hot
 289,78  19d 22h
Allen Bradley Powerflex 523 Vfd 25A-D1P4N104 480 VAC, 3 Phase , 1.4 Amp, 0.5 HP
Allen Bradley Powerflex 523 Vfd 25A-D1P4N104 480 VAC, 3 Phase , 1.4 Amp, 0.5 HP
 339,99  16d 15h
Sew 31c014-503-4-00   31c014503400
Sew 31c014-503-4-00 31c014503400
 580,00  12d 8h
Smart Motor SM1720D85C Servo Mot Verni #5912541 - Comme Est
Top-Rated Seller Smart Motor SM1720D85C Servo Mot Verni #5912541 - Comme Est
 85,38  28d 16h
16 field tube electronic converter 12V power booster shell green.
Top-Rated Seller 16 field tube electronic converter 12V power booster shell green.
 98,54  24d 19h
Brand  genuine AA15D0512A [AC / DC converter 15V 15W]
Top-Rated Seller Brand  genuine AA15D0512A [AC / DC converter 15V 15W]
 135,94  21d 18h
Fanuc AC Axe Servo Unité, # A06B-6055-H108, A20B-0008-0030/02, Utilisé, Garantie
Fanuc AC Axe Servo Unité, # A06B-6055-H108, A20B-0008-0030/02, Utilisé, Garantie
 584,81  16d 7h
Contrôle Techniques Dinverter 2 HP Lect,Type # DIN1220150B,(2) Usé ,Garantie
Contrôle Techniques Dinverter 2 HP Lect,Type # DIN1220150B,(2) Usé ,Garantie
 335,87  22d 13h
Fanuc AC Axe Servo Unité, A06B-6044-H008,A20B-0009-0531/22J
Fanuc AC Axe Servo Unité, A06B-6044-H008,A20B-0009-0531/22J
 840,84  16d 7h
Mitsubishi FR-E740-0 75K Ondul 3-Phase 380-480VAC
Mitsubishi FR-E740-0 75K Ondul 3-Phase 380-480VAC
 127,54  13d 2h
Contrôle Techniques Dinverter 2.5 HP Lect,Type DIN3380220BM Usé ,Pareil Jour
Contrôle Techniques Dinverter 2.5 HP Lect,Type DIN3380220BM Usé ,Pareil Jour
 403,89  22d 12h
Allen Bradley 1336 Plus Lect,1336S-BRF50-AA-EN-HA1-L6E,Utilisé,Garantie
Allen Bradley 1336 Plus Lect,1336S-BRF50-AA-EN-HA1-L6E,Utilisé,Garantie
 233,83  16d 7h
Sew 31c014-503-4-00   31c014503400
Sew 31c014-503-4-00 31c014503400
 580,00  12d 8h
SSD Commande otherm AC Lect, W/ Cartes 047423, 047833, Utilisé, Garantie
SSD Commande otherm AC Lect, W/ Cartes 047423, 047833, Utilisé, Garantie
 276,35  16d 7h
SSD Commande otherm Variable Fréquence Vitesse Lect, 584 /0075/0/8 /0/0
SSD Commande otherm Variable Fréquence Vitesse Lect, 584 /0075/0/8 /0/0
 318,86  16d 7h
Magnetek Impulse G + 4008-AFG+CIMR-G5U43P7 3.7kW, 5HP Vector Lect Vfd
Magnetek Impulse G + 4008-AFG+CIMR-G5U43P7 3.7kW, 5HP Vector Lect Vfd
 849,45  7d 23h
Allen Bradley 3 Phase AC Lect, 1336-B010-EAD-FA2-L3, Séries A, , Garantie
Allen Bradley 3 Phase AC Lect, 1336-B010-EAD-FA2-L3, Séries A, , Garantie
 715,42  16d 7h
SIEMENS SIMOVERT 6SE7023-2EA87-2DA0 E:E Breaking Unit  Bremseinheit#2
Top-Rated Seller SIEMENS SIMOVERT 6SE7023-2EA87-2DA0 E:E Breaking Unit Bremseinheit#2
 333,00  18d 6h
Applicable Lenze Lenze D-31855 8200 0.55KW EDK82EV222 D4
Top-Rated Seller Applicable Lenze Lenze D-31855 8200 0.55KW EDK82EV222 D4
 141,22  10d 22h
Hitachi SJ100-040HFU sans Capt Vector Contrôle,5 HP,380-460V,Usé ,Garantie
Hitachi SJ100-040HFU sans Capt Vector Contrôle,5 HP,380-460V,Usé ,Garantie
 327,37  22d 18h
Fanuc AC Axe Servo Unité, A06B-6044-H008, A20B-0009-0534/19H, Utilisé, Garantie
Fanuc AC Axe Servo Unité, A06B-6044-H008, A20B-0009-0534/19H, Utilisé, Garantie
 1.048,73  16d 7h
 BSM75GB170DN2 mining welder IGBT module  module 75A 1700V
Top-Rated Seller  BSM75GB170DN2 mining welder IGBT module module 75A 1700V
 55,81  25d 18h
MA860H Stepper Motor Control Module Driver 18-80V for 86 57 100 Microstep Motor
Top-Rated Seller MA860H Stepper Motor Control Module Driver 18-80V for 86 57 100 Microstep Motor
 43,12  16d 19h
Three-Phase Frequency Inverter Ac Frequency Inverter PWM Control High Torques
Top-Rated Seller Three-Phase Frequency Inverter Ac Frequency Inverter PWM Control High Torques
 202,22  27d 5h
ECG Sensor Heart Rate Sensor Biosensor Powered by Nosky Bmd101 Chip Electrics
Top-Rated Seller ECG Sensor Heart Rate Sensor Biosensor Powered by Nosky Bmd101 Chip Electrics
 81,37  19h 26m
Parker Compumotor M57-83 Code H, =
Parker Compumotor M57-83 Code H, =
 132,10  24d 11h
ABB ACS355-01E-02A4-2 Lect
ABB ACS355-01E-02A4-2 Lect
 638,92  25d 0h
 3-axis GRBL offline controller CNC 1.8" LCD for CNC 3018PRO 1610/2418/3018
Top-Rated Seller  3-axis GRBL offline controller CNC 1.8" LCD for CNC 3018PRO 1610/2418/3018
 29,77  2d 19h
AMK servodrive AMKASYN KU 0,7
AMK servodrive AMKASYN KU 0,7
 800,00  11d 7h
Control Techniques Emerson SM-I/O Plus SM-I/O Plus sm-i/0 plus STDQ39 UNIDRIVE
Control Techniques Emerson SM-I/O Plus SM-I/O Plus sm-i/0 plus STDQ39 UNIDRIVE
 89,00  19d 19h
 Siemens 6SE7026-0TD61-Z Simovert Masterdrives Vector Control Inverter lm
Top-Rated Seller  Siemens 6SE7026-0TD61-Z Simovert Masterdrives Vector Control Inverter lm
 6.205,74  15d 15h
Applicable 1756-PLS/B AB
Top-Rated Seller Applicable 1756-PLS/B AB
 569,19  10d 23h
LENZE E84AVHCE5524SB0 5.5 kW 8400 HighLine C
LENZE E84AVHCE5524SB0 5.5 kW 8400 HighLine C
 420,00  17d 22h
Yasakawa CIMR-V7AM22P2 Lect
Yasakawa CIMR-V7AM22P2 Lect
 425,95  2d 5h
Allen Bradley Smc Smart Contrôl Doux Démarr 135Amp Catalogue 150-F135NBD
Allen Bradley Smc Smart Contrôl Doux Démarr 135Amp Catalogue 150-F135NBD
 3.825,50  18d 22h
   Panasonic Photoelectric Sensor ,cx-412e + Cx-412d-p Free Ship
Top-Rated Seller  Panasonic Photoelectric Sensor ,cx-412e + Cx-412d-p Free Ship
 76,83  3d 20h
Omron  Mx2-a4022-e
Omron Mx2-a4022-e
 400,00  9d 23h
Allen Bradley 10hp Réglable Fréquence AC Lect #1336F-BRF100-AN-EN-L6
Allen Bradley 10hp Réglable Fréquence AC Lect #1336F-BRF100-AN-EN-L6
 465,14  5d 12h
Neuf Amat APPLIED MATERIALS 0270-20018 Luminaire Gaz Ressort Assy 33cm
Top-Rated Seller Neuf Amat APPLIED MATERIALS 0270-20018 Luminaire Gaz Ressort Assy 33cm
 186,34  27d 4h
LENZE E84AVHCE1534SB0 15.0 kW 8400 HighLine C
LENZE E84AVHCE1534SB0 15.0 kW 8400 HighLine C
 650,00  12d 12h
Variador de frecuencia 0,75kw 230V  Allen Bradley 22A-B4P5N104 SER A
Variador de frecuencia 0,75kw 230V Allen Bradley 22A-B4P5N104 SER A
 100,00  22d 13h
Allen Bradley AC Lect, 1336-C030-EAF-S1, Série A, 28 Kva, 575V, Garantie
Allen Bradley AC Lect, 1336-C030-EAF-S1, Série A, 28 Kva, 575V, Garantie
 1.956,26  16d 7h
Mitshubishi fr-A044-1.5k-EC
Mitshubishi fr-A044-1.5k-EC
 280,00  26d 3h
 TP-H11 TP-VG7SM frequency converter
Top-Rated Seller  TP-H11 TP-VG7SM frequency converter
 98,32  11d 22h
XD-08-MS Yaskawa
XD-08-MS Yaskawa
 1.700,00  10d 10h
ServoStar  Kollmorgen CE06560
ServoStar Kollmorgen CE06560
 700,00  26d 4h
MADHT1505CA1 Panasonic servo drive
MADHT1505CA1 Panasonic servo drive
 200,00  20d 11h
Applicable  SR3 B261FU A4
Top-Rated Seller Applicable SR3 B261FU A4
 182,50  10d 23h
ServoStar  Kollmorgen CE10560
ServoStar Kollmorgen CE10560
 480,00  26d 4h
Fanuc a1.5/15000 AC Principal Axe Mot, #A06b-0871-b900# 0391, , Garantie
Fanuc a1.5/15000 AC Principal Axe Mot, #A06b-0871-b900# 0391, , Garantie
 2.128,45  16d 7h
2H806 85BYG vertical packaging machine driver stepper motor
Top-Rated Seller 2H806 85BYG vertical packaging machine driver stepper motor
 208,19  10d 23h
Keb Combivert 16.f5.b1e-340a Puissance Ondul
Top-Rated Seller Keb Combivert 16.f5.b1e-340a Puissance Ondul
 680,37  28d 12h
 Omron 3G3MX2-A2004-V1 D3
Top-Rated Seller  Omron 3G3MX2-A2004-V1 D3
 446,23  10d 23h
Siemens Micromaster 440 6se6440-2ud27-5ca1 Lect
Top-Rated Seller Siemens Micromaster 440 6se6440-2ud27-5ca1 Lect
 510,27  28d 4h
Kollmorgen Seidel 6sm 37l-4.000
Kollmorgen Seidel 6sm 37l-4.000
 170,00  26d 4h
Siemens Sinamics v20 AC Lect 6sl3210-5be17-5uvo
Top-Rated Seller Siemens Sinamics v20 AC Lect 6sl3210-5be17-5uvo
 174,07  23d 9h
Allen-Bradley 22f-d1p5n113 Powerflex 4m AC Lect Ser.a
Top-Rated Seller Allen-Bradley 22f-d1p5n113 Powerflex 4m AC Lect Ser.a
 124,33  14d 0h
Reliance Electric GV 3000 A-C Lect 5hp/4.0kw
Top-Rated Seller Reliance Electric GV 3000 A-C Lect 5hp/4.0kw
 1.190,65  7d 17h
Dsqc 346g 3hab8101-8/14c Abb
Dsqc 346g 3hab8101-8/14c Abb
 400,00  12d 13h
Neuf Boston Gear ACE202V3P0030N1 AC Lect 3HP/10A & 230V/3PH-INPUT
Top-Rated Seller Neuf Boston Gear ACE202V3P0030N1 AC Lect 3HP/10A & 230V/3PH-INPUT
 422,68  18d 22h
Frequency Inverter Vector E82EV751K4C04 8200  Lenze fr
Top-Rated Seller Frequency Inverter Vector E82EV751K4C04 8200  Lenze fr
 1.045,25  10d 3h
SEW odrive Sortie Starter HD, HD001, 813 325 5,Utilisé,Garantie
SEW odrive Sortie Starter HD, HD001, 813 325 5,Utilisé,Garantie
 84,60  17d 8h
Danfoss VLT 3008 175H7270
Danfoss VLT 3008 175H7270
 720,00  12d 12h
Omron 3G8B2-N0000/0228824-3E Carte PCB
Top-Rated Seller Omron 3G8B2-N0000/0228824-3E Carte PCB
 476,68  23d 13h
Yasakawa CIMR-V7AM42P2 Lect
Yasakawa CIMR-V7AM42P2 Lect
 681,52  16d 14h
Allen-Bradley 22f-d2p5n113 Ser.a Puissance Flexible 4m AC Lect
Top-Rated Seller Allen-Bradley 22f-d2p5n113 Ser.a Puissance Flexible 4m AC Lect
 248,67  14d 0h
Allen-Bradley 22f-d1p5n113 Puissance Flexible 4m AC Lect
Top-Rated Seller Allen-Bradley 22f-d1p5n113 Puissance Flexible 4m AC Lect
 248,67  14d 0h
ServoStar 603 Kollmorgen
ServoStar 603 Kollmorgen
 400,00  10d 11h
Allen-Bradley 22b-d012n104 Série A Puissance Flexible 40 Lect
Top-Rated Seller Allen-Bradley 22b-d012n104 Série A Puissance Flexible 40 Lect
 1.700,93  5d 19h
Fanuc AC Axe Servo Unité, A06B-6044-H032, A20B-0009-0530/24J, Utilisé
Fanuc AC Axe Servo Unité, A06B-6044-H032, A20B-0009-0530/24J, Utilisé
 2.324,18  16d 7h
Fanuc 3S/12000 AC Axe Mot, #A06b-0753-b394 #3000, Utilisé, Craquage Capuchon
Fanuc 3S/12000 AC Axe Mot, #A06b-0753-b394 #3000, Utilisé, Craquage Capuchon
 1.320,07  16d 7h
Allen-Bradley 20A D 2P1A 3 AYYANNN Powerflex 70 Ondul Lect F / * 2.007/1
Top-Rated Seller Allen-Bradley 20A D 2P1A 3 AYYANNN Powerflex 70 Ondul Lect F / * 2.007/1
 87,56  18d 5h
Allen-Bradley 22f-d2p5n113 Puissance Flexible 4m AC Lect
Top-Rated Seller Allen-Bradley 22f-d2p5n113 Puissance Flexible 4m AC Lect
 124,33  14d 0h
Fanuc AC Axe Servo Unité,A06B-6055-H118 # H508,Utilisé,Ships Même Jour Garanti
Fanuc AC Axe Servo Unité,A06B-6055-H118 # H508,Utilisé,Ships Même Jour Garanti
 2.995,07  16d 7h
Fanuc Modèle C3 AC Axe Mot, #A06b-0843-b101 #3000, Utilisé, Garantie
Fanuc Modèle C3 AC Axe Mot, #A06b-0843-b101 #3000, Utilisé, Garantie
 1.213,61  16d 7h
Fanuc AC Axe Servo Unité, A06B-6064-H327, Utilisé, Navires Même Jour , Garantie
Fanuc AC Axe Servo Unité, A06B-6064-H327, Utilisé, Navires Même Jour , Garantie
 1.222,20  16d 7h
3hab8101-3/10a Dsqc 345c Abb
3hab8101-3/10a Dsqc 345c Abb
 620,00  12d 12h
Copley Controls 505
Copley Controls 505
 130,00  20d 6h
AMK servodrive AMKASYN KU 0,5-2-2-2
AMK servodrive AMKASYN KU 0,5-2-2-2
 530,00  12d 12h
Telemecanique Altivar 5 atv45075n Lect
Top-Rated Seller Telemecanique Altivar 5 atv45075n Lect
 637,84  25d 0h
1 Pcs Lenze 8200 Vector Frequency Inverter E82EV751K4C040 tv
Top-Rated Seller 1 Pcs Lenze 8200 Vector Frequency Inverter E82EV751K4C040 tv
 1.011,43  25d 10h
Siemens 6se6420-2uc12-5aa1 Micromaster 420 0.25kw
Top-Rated Seller Siemens 6se6420-2uc12-5aa1 Micromaster 420 0.25kw
 91,17  4d 22h
Parker 890CD/5/0024C/N/00/A/US  MODEL 890CD-532240C0-000-1B000  FW: 3V6 *Tested*
Parker 890CD/5/0024C/N/00/A/US MODEL 890CD-532240C0-000-1B000 FW: 3V6 *Tested*
 1.500,00  25d 3h
FD2A05TR-RN20 Flex Drive Baldor
FD2A05TR-RN20 Flex Drive Baldor
 950,00  10d 11h
Allen-Bradley 22b-a5pon104 Powerflex 40
Top-Rated Seller Allen-Bradley 22b-a5pon104 Powerflex 40
 207,23  14d 6h
Aint-14c Abb Acs800 Interface Board
Aint-14c Abb Acs800 Interface Board
 583,75  16d 0h
Daihen Corporation RF D'Auto Macher Hrm-30b4/2l36-000040-651 3000/5000 W, Dc
Top-Rated Seller Daihen Corporation RF D'Auto Macher Hrm-30b4/2l36-000040-651 3000/5000 W, Dc
 2.686,80  14d 7h
3com corporation 3c905c-txm Etherlink 10/100 Carte
Top-Rated Seller 3com corporation 3c905c-txm Etherlink 10/100 Carte
 107,75  4d 22h
GSE Tech-Motive Outil, Mot Contrôle,49-4100-00C4,Utilisé,Garantie
GSE Tech-Motive Outil, Mot Contrôle,49-4100-00C4,Utilisé,Garantie
 65,47  18d 7h
Allen Bradley 20-COMM-C Powerflex Controlnet / Dpi Communication Adaptat
Allen Bradley 20-COMM-C Powerflex Controlnet / Dpi Communication Adaptat
 15,35  13d 14h
 Siemens 6SE7026-0TD61-Z Simovert Masterdrives Vector Control Inverter cy
Top-Rated Seller  Siemens 6SE7026-0TD61-Z Simovert Masterdrives Vector Control Inverter cy
 6.174,24  16d 4h
Sew odrive Antriebsumrichter 18215033, Mm15d-503-00 (us)
Top-Rated Seller Sew odrive Antriebsumrichter 18215033, Mm15d-503-00 (us)
 489,00  12d 0h
PTC 999012-003 Powerlithic Module
PTC 999012-003 Powerlithic Module
 11,67  7d 8h
Sumitomo AF-3000 AF3002-3A7-U AC Mot Lect Vfd Variable Fréquence 5 HP
Sumitomo AF-3000 AF3002-3A7-U AC Mot Lect Vfd Variable Fréquence 5 HP
 683,11  18d 19h
Siemens 6SE3121-0CC40 Micro Maître AC Mot Lect Variable Fréquence 3 HP
Siemens 6SE3121-0CC40 Micro Maître AC Mot Lect Variable Fréquence 3 HP
 448,29  17d 9h
Sumitomo AF-3000 AF30E2-A75-U AC Mot Lect Vfd Variable Fréquence 1 HP
Sumitomo AF-3000 AF30E2-A75-U AC Mot Lect Vfd Variable Fréquence 1 HP
 512,33  17d 9h
ABB ACS800-01-0030-3 Drive
Top-Rated Seller ABB ACS800-01-0030-3 Drive
 1.097,45  12d 13h
Allen Bradley 1336-B007-ECD-L3 AC Mot Lect 3 Ph 13A Haut Board
Allen Bradley 1336-B007-ECD-L3 AC Mot Lect 3 Ph 13A Haut Board
 213,47  17d 9h
Allen Bradley 1333-AAA AC Mot Lect Variable Fréquence Vfd 3 Ph 5A
Allen Bradley 1333-AAA AC Mot Lect Variable Fréquence Vfd 3 Ph 5A
 192,12  17d 7h
Allen Bradley 1336-B015-E0E-FA2-L3 AC Mot Lect Variable Fréquence 3 Ph 25A
Allen Bradley 1336-B015-E0E-FA2-L3 AC Mot Lect Variable Fréquence 3 Ph 25A
 939,28  19h 38m
Allen Bradley 1336-B010-EAD-FA2-L3-S1 AC Mot Lect Variable Fréquence 3 Ph
Allen Bradley 1336-B010-EAD-FA2-L3-S1 AC Mot Lect Variable Fréquence 3 Ph
 768,50  12d 7h
Boston Gear Fincor ACE-KL-460V-3P-1HP AC Mot Lect Vfd Variable Fréquence 1
Boston Gear Fincor ACE-KL-460V-3P-1HP AC Mot Lect Vfd Variable Fréquence 1
 213,47  17d 9h
Control Techniques Unidrive UNI3403 AC Lect Tout Neuf UNI-3403
Control Techniques Unidrive UNI3403 AC Lect Tout Neuf UNI-3403
 1.693,75  3d 5h
Micro-Speed Cx M546CXH AC Mot Lect Ondul Variable Fréquence Vfd 5 HP 3
Micro-Speed Cx M546CXH AC Mot Lect Ondul Variable Fréquence Vfd 5 HP 3
 414,13  22d 10h
Sumitomo AF3004-A75-U AC Mot Lect Variable Fréquence Vfd 1.5 HP 3 Ph
Sumitomo AF3004-A75-U AC Mot Lect Variable Fréquence Vfd 1.5 HP 3 Ph
 256,16  22d 13h
Allen Bradley 1336-B007-ECD-L3 AC Mot Lect Variable Fréquence 3 Ph 13A
Allen Bradley 1336-B007-ECD-L3 AC Mot Lect Variable Fréquence 3 Ph 13A
 597,72  17d 9h
Allen Bradley 1336-B015-E0E-L3 AC Mot Lect Variable Fréquence 3 Ph 25A
Allen Bradley 1336-B015-E0E-L3 AC Mot Lect Variable Fréquence 3 Ph 25A
 939,28  17d 9h
Sew odrive Antriebsumrichter 18214991, Mm03d-503-00 (us)
Top-Rated Seller Sew odrive Antriebsumrichter 18214991, Mm03d-503-00 (us)
 469,00  12d 0h
Allen Bradley 120792 140134 Vfd Variable Fréquence Lect Carte Circuit
Allen Bradley 120792 140134 Vfd Variable Fréquence Lect Carte Circuit
 256,16  20d 12h
Allen Bradley 1333-CAA AC Mot Lect Variable Fréquence Vfd 3 Ph 17.5A 5 HP
Allen Bradley 1333-CAA AC Mot Lect Variable Fréquence Vfd 3 Ph 17.5A 5 HP
 213,47  24d 9h
NUM Operator Terminal 209206362 and 0209206209 SHA Pupitre CP20 LCD 8.4" LCD
Top-Rated Seller NUM Operator Terminal 209206362 and 0209206209 SHA Pupitre CP20 LCD 8.4" LCD
 758,87  12d 13h
Boston Fincor ACE-K Électronique Freinage Contrôl Module 1 - 2 HP 460v Frein
Boston Fincor ACE-K Électronique Freinage Contrôl Module 1 - 2 HP 460v Frein
 128,08  17d 9h
Boston Gear Ratiotrol VEA5-RG Dc Mot Lect Vitesse Variable Contrôle 5 HP
Boston Gear Ratiotrol VEA5-RG Dc Mot Lect Vitesse Variable Contrôle 5 HP
 234,81  17d 9h
Boston Gear Ratiotrol RB1-S Dc Mot Lect Vitesse Variable Contrôle 1 HP 90
Boston Gear Ratiotrol RB1-S Dc Mot Lect Vitesse Variable Contrôle 1 HP 90
 106,73  26d 13h
Module Simodrive Siemens 6SN1118-0DM33-0AA2
Module Simodrive Siemens 6SN1118-0DM33-0AA2
 986,18  27d 11h
SIEMENS SIMOVERT 6SE7023-2EA87-2DA0 E:E Breaking Unit  Bremseinheit#4
Top-Rated Seller SIEMENS SIMOVERT 6SE7023-2EA87-2DA0 E:E Breaking Unit Bremseinheit#4
 333,00  18d 6h
Fanuc AC Axe Servo Lect Unité, A06B-6044-H008,A20B-0009-0530/21H
Fanuc AC Axe Servo Lect Unité, A06B-6044-H008,A20B-0009-0530/21H
 963,79  16d 7h
Siemens 6RA2477-6DS22-0 Drive AC 205/250A 400/485V 50/60HZ
Siemens 6RA2477-6DS22-0 Drive AC 205/250A 400/485V 50/60HZ
 3.500,00  2d 5h
Dart Contrôles 250 Dc Mot Lect Vitesse Contrôle 90/180v 115v MM 1/4 - À 2
Dart Contrôles 250 Dc Mot Lect Vitesse Contrôle 90/180v 115v MM 1/4 - À 2
 170,77  17d 9h
Allen Bradley 120800 120801 Vfd Variable Fréquence Lect Carte Circuit
Allen Bradley 120800 120801 Vfd Variable Fréquence Lect Carte Circuit
 170,77  20d 12h
Allen Bradley 1305-AA08A AC Mot Lect Vfd Variable Fréquence 2 HP
Allen Bradley 1305-AA08A AC Mot Lect Vfd Variable Fréquence 2 HP
 85,38  17d 9h
Q476-A-1 475 486.5001.21 Inverter Trigger Ceramic Driver
Top-Rated Seller Q476-A-1 475 486.5001.21 Inverter Trigger Ceramic Driver
 39,27  27d 23h
TM333-500VA Home Appliance Transformer Power Converter 220V to 110V
Top-Rated Seller TM333-500VA Home Appliance Transformer Power Converter 220V to 110V
 79,00  8d 1h
Reliance gv3000/Se 2v4160 sans Capt Amélioré AC Lect
Top-Rated Seller Reliance gv3000/Se 2v4160 sans Capt Amélioré AC Lect
 373,02  8d 10h
Module d'option de clonage Unidrive UD55 ISSA
Module d'option de clonage Unidrive UD55 ISSA
 295,00  27d 13h
NUM 0205203603F Rack, Num 1062M
Top-Rated Seller NUM 0205203603F Rack, Num 1062M
 233,50  12d 13h
Allen Bradley 1333-FAA AC Mot Lect Variable Fréquence Vfd 3 Ph 45A
Allen Bradley 1333-FAA AC Mot Lect Variable Fréquence Vfd 3 Ph 45A
 2.561,69  17d 7h
Toshiba VF Mini VFC1-2015Y-A34 Transistor Ondul, 200/220V
Toshiba VF Mini VFC1-2015Y-A34 Transistor Ondul, 200/220V
 149,21  25d 15h
3RV20110KA20 Siemens Circuit breaker (Lot of 8pcs)
3RV20110KA20 Siemens Circuit breaker (Lot of 8pcs)
 190,00  20d 6h
Allen-Bradley 20AD1P1A0AYNNNCO/A POWERFLEX 70 AC Lect 480V .5hp
Top-Rated Seller Allen-Bradley 20AD1P1A0AYNNNCO/A POWERFLEX 70 AC Lect 480V .5hp
 133,19  20d 15h
Reliance Electric Ac Converter 762.20.20, Bmi-s11 (us)
Top-Rated Seller Reliance Electric Ac Converter 762.20.20, Bmi-s11 (us)
 549,00  20d 1h
Allen Bradley 22D-D1P4N104/A Powerflex 40 AC Lect 0.4kW / 0.5HP 0~460V 1.4A
Top-Rated Seller Allen Bradley 22D-D1P4N104/A Powerflex 40 AC Lect 0.4kW / 0.5HP 0~460V 1.4A
 104,66  20d 15h
Moore Industries DDA/4-20MA/SH1/24DC, DDA DC Current Alarm
Top-Rated Seller Moore Industries DDA/4-20MA/SH1/24DC, DDA DC Current Alarm
 145,94  12d 13h
Parker 10G-11-0045-BF AC Lect
Parker 10G-11-0045-BF AC Lect
 298,16  25d 2h
ABB NOCH0016-62 du/dt Filter
Top-Rated Seller ABB NOCH0016-62 du/dt Filter
 262,69  12d 13h
Einphasiger Konverter für 25HP (18.7kW) Motor,75 amps,innen und außen 200v-240v
Einphasiger Konverter für 25HP (18.7kW) Motor,75 amps,innen und außen 200v-240v
 859,00  23d 0h
ABB ACS150-03E-04A1-4 Ondul Lect 3ABD68865875
ABB ACS150-03E-04A1-4 Ondul Lect 3ABD68865875
 255,99  2d 8h
NUM 0204203485 Process Graphic Card NUM FC 200203483
Top-Rated Seller NUM 0204203485 Process Graphic Card NUM FC 200203483
 583,75  12d 13h
Fuji Electric FRN0005C2S-4A Lect
Fuji Electric FRN0005C2S-4A Lect
 340,76  1d 22h
Einphasiger Konverter für 0.5HP(0.4kW) Motor, 1.5 amps, innen und auße 200v-240v
Einphasiger Konverter für 0.5HP(0.4kW) Motor, 1.5 amps, innen und auße 200v-240v
 89,00  20d 22h
Neuf 22C-D022N103/A Powerflex 400 Vfd AC Lect 15HP 480VAC + Garantie
Top-Rated Seller Neuf 22C-D022N103/A Powerflex 400 Vfd AC Lect 15HP 480VAC + Garantie
 1.394,73  20d 21h
Safetran Systems Corp A53308 Pièce Numéro 9000 53308 0511 / Siemens Spectre
Top-Rated Seller Safetran Systems Corp A53308 Pièce Numéro 9000 53308 0511 / Siemens Spectre
 423,36  20d 15h
Menthe Reliance Electric MD65 6MDDN-012102 460VAC 7.5HP 6MB40007 Vfd Lect
Top-Rated Seller Menthe Reliance Electric MD65 6MDDN-012102 460VAC 7.5HP 6MB40007 Vfd Lect
 364,33  20d 15h
YASKAWA F7 CIMR-F7U4022 480V AC Lect 50/55A CIMRF7U4022
YASKAWA F7 CIMR-F7U4022 480V AC Lect 50/55A CIMRF7U4022
 1.187,47  10h 13m
Schneider Electric Télémécanique TSX1702028
Top-Rated Seller Schneider Electric Télémécanique TSX1702028
 128,69  20d 15h
Neuf Yaskawa CIMR-G5M4018 Lect CIMR-G5M40181F GPD515 / GS 30HP GPD515C-B041
Top-Rated Seller Neuf Yaskawa CIMR-G5M4018 Lect CIMR-G5M40181F GPD515 / GS 30HP GPD515C-B041
 1.503,49  20d 15h
Yaskawa Juspeed-F Séries S2 CIMR-G22AS2-2001 Transistor Ondul 2.2 Kw 200-230
Yaskawa Juspeed-F Séries S2 CIMR-G22AS2-2001 Transistor Ondul 2.2 Kw 200-230
 340,04  19d 19h
Safetran Systems Corp A53201 Pièce N°9000-53201-0018 / Siemens HD / Lien Système
Top-Rated Seller Safetran Systems Corp A53201 Pièce N°9000-53201-0018 / Siemens HD / Lien Système
 431,99  20d 15h
Universeller Staub Sauger Staub Sammler Externer Staub Sammel Eimer Staub S A6P9
Top-Rated Seller Universeller Staub Sauger Staub Sammler Externer Staub Sammel Eimer Staub S A6P9
 13,40  5h 0m
Unico 1200-460-015-C-Y-PA1-C01-806458.031 716052 Lect
Top-Rated Seller Unico 1200-460-015-C-Y-PA1-C01-806458.031 716052 Lect
 1.710,52  20d 15h
Magnetek Lancer GPD502 L708 AC Lect 7.5HP Vfd 3P 380-460V IL716 15AMP
Top-Rated Seller Magnetek Lancer GPD502 L708 AC Lect 7.5HP Vfd 3P 380-460V IL716 15AMP
 716,16  20d 21h
Safetran Systems A53429 Pièce Numéro 9000-53429-0001 / Site Identité
Top-Rated Seller Safetran Systems A53429 Pièce Numéro 9000-53429-0001 / Site Identité
 717,22  20d 15h
20AC5P0A0AYNACNN Allen-Bradley / Rockwell Automatisation 20AC5P0A0 5hp Vfd 480V
Top-Rated Seller 20AC5P0A0AYNACNN Allen-Bradley / Rockwell Automatisation 20AC5P0A0 5hp Vfd 480V
 123,94  20d 15h
Yaskawa AC Lect CIMR-VU4A0002BAA/CIMRVU4A0002BAA 0.75HP 480V 50/60Hz
Top-Rated Seller Yaskawa AC Lect CIMR-VU4A0002BAA/CIMRVU4A0002BAA 0.75HP 480V 50/60Hz
 147,70  20d 15h
Panasonic AC Ondul MK300 AMK300-1P54 AMK3001P54 480VAC 3.2kVA
Top-Rated Seller Panasonic AC Ondul MK300 AMK300-1P54 AMK3001P54 480VAC 3.2kVA
 144,31  20d 15h
FINCOR Séries 6500 ASD Contrôl Mot Lect 10HP 6502S01025 230V
Top-Rated Seller FINCOR Séries 6500 ASD Contrôl Mot Lect 10HP 6502S01025 230V
 1.034,80  20d 15h
Emerson Commander CD 150k 2HP AC Lect 480VAC
Top-Rated Seller Emerson Commander CD 150k 2HP AC Lect 480VAC
 1.024,61  20d 21h
Contrôle Techniques Sm-Devicenet Extension Module Commander Sk / Unidrive STD032
Contrôle Techniques Sm-Devicenet Extension Module Commander Sk / Unidrive STD032
 168,91  19d 4h
CONTROL TECHNIQUES Sm-Applications Cnet Process Commander Sk / Unidrive
CONTROL TECHNIQUES Sm-Applications Cnet Process Commander Sk / Unidrive
 168,91  20d 14h
YASKAWA Varispeed 616G5 AC Lect CIMR-G5A21P5 200-220V Spec 21P51
YASKAWA Varispeed 616G5 AC Lect CIMR-G5A21P5 200-220V Spec 21P51
 422,80  5d 19h
Siemens Simatic Panel KTP 700 Basic 6AV2 123-2GB03-0AX0  **NEU**
Siemens Simatic Panel KTP 700 Basic 6AV2 123-2GB03-0AX0 **NEU**
 590,00  10d 1h
FINCOR Électronique BRAKING Module 7.5-10HP RT043 12.3-18.9AMP
Top-Rated Seller FINCOR Électronique BRAKING Module 7.5-10HP RT043 12.3-18.9AMP
 549,22  20d 15h
Lenze E82EV302_4c000 8200 Vector Lect D-31855 E82EV302-4c000 - 90 Day Garanti
Top-Rated Seller Lenze E82EV302_4c000 8200 Vector Lect D-31855 E82EV302-4c000 - 90 Day Garanti
 586,59  20d 15h
Mte Corporation Rf3-0010-6 **surplus**
Mte Corporation Rf3-0010-6 **surplus**
 85,37  11d 11h
Control Techniques Unidrive Sp SP1401 Emerson 380-480V AC Lect Testé
Control Techniques Unidrive Sp SP1401 Emerson 380-480V AC Lect Testé
 371,96  5d 14h
Neuf Allen-Bradley 25A-D017N104/B Powerflex 523 AC Lect 480VAV 10HP Série B
Top-Rated Seller Neuf Allen-Bradley 25A-D017N104/B Powerflex 523 AC Lect 480VAV 10HP Série B
 1.868,33  20d 21h
Neuf Allen-Bradley 25A-D017N104/B Powerflex 523 AC Lect 480VAV 10HP Série B /
Top-Rated Seller Neuf Allen-Bradley 25A-D017N104/B Powerflex 523 AC Lect 480VAV 10HP Série B /
 1.963,40  20d 21h
ALLEN BRADLEY 20AD065C3AYNANC0/A Powerflex 70 AC Lect 50HP 480VAC 2011
Top-Rated Seller ALLEN BRADLEY 20AD065C3AYNANC0/A Powerflex 70 AC Lect 50HP 480VAC 2011
 4.325,26  20d 21h
195h3303 Danfoss Vlt2025 100% Test & Working
195h3303 Danfoss Vlt2025 100% Test & Working
 150,00  8d 0h
Allen Bradley 22F-A2P5N103 0.4kW 200-240V Powerflex 4M Simple Phase Pour 3 A
Allen Bradley 22F-A2P5N103 0.4kW 200-240V Powerflex 4M Simple Phase Pour 3 A
 225,94  24d 20h
Reliance Electric 6MDBN-2P3102 Ser. A,AC Lect,3-Phase,240V AC,2.5 Amp,48-6
Reliance Electric 6MDBN-2P3102 Ser. A,AC Lect,3-Phase,240V AC,2.5 Amp,48-6
 85,33  29d 6h
Emerson Contrôle Techniques 2950-8400-0124 Ondul Laser Contrôle 7.5 HP 480V
Emerson Contrôle Techniques 2950-8400-0124 Ondul Laser Contrôle 7.5 HP 480V
 852,60  28d 11h
Hyundai Mccb HiBS 103 Moulé Étui Disjonct 100AF 3F 50/60Hz
Top-Rated Seller Hyundai Mccb HiBS 103 Moulé Étui Disjonct 100AF 3F 50/60Hz
 286,69  18d 12h
SCHNEIDER ATV32H037N4 AC speed drive EC-883 Inverter ATV32 ALTIVAR 32
SCHNEIDER ATV32H037N4 AC speed drive EC-883 Inverter ATV32 ALTIVAR 32
 455,32  18d 0h
ALLEN-BRADLEY POWER FLEX 4M   22F-D1P5N103 serie A
ALLEN-BRADLEY POWER FLEX 4M 22F-D1P5N103 serie A
 259,00  3d 11h
AC Tech 508-110 Emc Filtre 120/240V, 10.6 Amp, 1 Phase , 50-60Hz
AC Tech 508-110 Emc Filtre 120/240V, 10.6 Amp, 1 Phase , 50-60Hz
 38,37  1d 19h
Mitsubishi  MDS-C1-V1-70   100% tested
Mitsubishi MDS-C1-V1-70 100% tested
 1.400,00  15d 7h
Neuf Allen Bradley 150-F60NBD SMC-Flex État Solide Smart Mot Contrôl
Neuf Allen Bradley 150-F60NBD SMC-Flex État Solide Smart Mot Contrôl
 1.875,72  7d 14h
Allen Bradley 161S-AA 04NPU Lect 230VAC 161S-AA04NPU Série B/B
Top-Rated Seller Allen Bradley 161S-AA 04NPU Lect 230VAC 161S-AA04NPU Série B/B
 98,36  20d 15h
Siemens Micromaster 440 6SE6440-2UD34-5FA1 3ph AC Ondul Lect Testé
Siemens Micromaster 440 6SE6440-2UD34-5FA1 3ph AC Ondul Lect Testé
 3.194,58  4d 14h
Magnetek GPD403-A0P7-00 AC Lect, 208/230V AC, Sans Visage Housse
Magnetek GPD403-A0P7-00 AC Lect, 208/230V AC, Sans Visage Housse
 42,29  18d 12h
Allen Bradley Constant Torque 3-Phase AC Lect, 1336-B007-EAD-FA2-L2, Série A
Allen Bradley Constant Torque 3-Phase AC Lect, 1336-B007-EAD-FA2-L2, Série A
 1.232,14  10d 12h
Sumitomo AF-3000 AF3002-A40-U AC Mot Lect Vfd Variable Fréquence 3/4 HP
Sumitomo AF-3000 AF3002-A40-U AC Mot Lect Vfd Variable Fréquence 3/4 HP
 512,33  17d 9h
Magnetek Impulsion 5003-G+S4 Séries 4 Lect / Yaskawa CIMR-AU5A0004AAA
Top-Rated Seller Magnetek Impulsion 5003-G+S4 Séries 4 Lect / Yaskawa CIMR-AU5A0004AAA
 338,51  20d 15h
Allen-Bradley 25B-B048N104 Ser.a Powerflex AC Lect 10Hp 15HP 200-240V
Top-Rated Seller Allen-Bradley 25B-B048N104 Ser.a Powerflex AC Lect 10Hp 15HP 200-240V
 346,16  20d 15h
Allen Bradley 1336-BRF100-AN-EN5 AC Mot Lect Variable Fréquence 3 Ph 25A
Allen Bradley 1336-BRF100-AN-EN5 AC Mot Lect Variable Fréquence 3 Ph 25A
 853,89  18d 15h
Allen Bradley 22B-A2P3N104 Ser. A W/22-Comm-E Ethernet / IP Adaptat
Allen Bradley 22B-A2P3N104 Ser. A W/22-Comm-E Ethernet / IP Adaptat
 277,42  9d 6h
Allen Bradley 22B-D2P3N104, Ser. A Powerflex 40 1Hp AC Lect
Allen Bradley 22B-D2P3N104, Ser. A Powerflex 40 1Hp AC Lect
 277,42  7d 9h
Fanuc Vitesse Contrôle Unité, A06B-6050-H301, A20B-1001-0420, A20B-1001-0410 Usé
Fanuc Vitesse Contrôle Unité, A06B-6050-H301, A20B-1001-0420, A20B-1001-0410 Usé
 489,41  29d 20h
For  Toshiba Inverter VF-S11 3PH VFS11-2037PM-WN(R5)
Top-Rated Seller For  Toshiba Inverter VF-S11 3PH VFS11-2037PM-WN(R5)
 295,46  2d 17h
3RV20111DA20 Siemens Circuit breaker (Lot of 9pcs)
3RV20111DA20 Siemens Circuit breaker (Lot of 9pcs)
 212,00  20d 7h
Fanuc Vitesse Contrôle Unité, A06B-6050-H001, A20B-1000-0590/06B, Usé , Garantie
Fanuc Vitesse Contrôle Unité, A06B-6050-H001, A20B-1000-0590/06B, Usé , Garantie
 221,08  3d 20h
Allen-Bradley 460V 50/60Hz 2.6KVA 3.3Amps B12010 1333-AAB
Top-Rated Seller Allen-Bradley 460V 50/60Hz 2.6KVA 3.3Amps B12010 1333-AAB
 1.270,37  26d 7h
Allen Bradley 500-BOD930,Ser. B ,Taille 1,AC Contact,110V Bobine,Utilisé,
Allen Bradley 500-BOD930,Ser. B ,Taille 1,AC Contact,110V Bobine,Utilisé,
 16,58  4d 23h
Fanuc AC Axe Servo Unité, #A06b-6064-h308# H550, A16B-1300-0220/03A, Utilisé
Fanuc AC Axe Servo Unité, #A06b-6064-h308# H550, A16B-1300-0220/03A, Utilisé
 840,84  17d 10h
3RV2011-0AA20 Circuit breaker Siemens (lot of 1)
3RV2011-0AA20 Circuit breaker Siemens (lot of 1)
 228,00  19d 2h
160-BA06NSF1 Ser. C IP20 3HP Vitesse Contrôl Série C comme-Est/Pièces
Top-Rated Seller 160-BA06NSF1 Ser. C IP20 3HP Vitesse Contrôl Série C comme-Est/Pièces
 99,90  20d 15h
Delta Repair Evaluation Vfd037m23a
Top-Rated Seller Delta Repair Evaluation Vfd037m23a
 2,85  4d 3h
Keb Élévat Diagnostic Clavier Combivert 00F5060-2029
Top-Rated Seller Keb Élévat Diagnostic Clavier Combivert 00F5060-2029
 578,92  26d 7h
SECO 220/240V AC Vitesse Lect VC150D
Top-Rated Seller SECO 220/240V AC Vitesse Lect VC150D
 610,46  26d 7h
204 20x4 Zeichen LCD-Anzeigemodul HD44780 Controller gelb Schwarzlicht L1SA
Top-Rated Seller 204 20x4 Zeichen LCD-Anzeigemodul HD44780 Controller gelb Schwarzlicht L1SA
 3,49  2d 23h
Ge Lect AF-300E$ 6KAF323005E$ A1 5 HP, 3 Phase , 200-230V
Top-Rated Seller Ge Lect AF-300E$ 6KAF323005E$ A1 5 HP, 3 Phase , 200-230V
 115,17  26d 21h
ICEBreaker 1.0E FPGA Gitter ICE40UP5K Entwicklungs Platine RISC-V ÖFfnen QuO7D5
Top-Rated Seller ICEBreaker 1.0E FPGA Gitter ICE40UP5K Entwicklungs Platine RISC-V ÖFfnen QuO7D5
 45,99  20d 6h
2 x H&B Multavi 10 Zum Ausschlachten            /j4
Top-Rated Seller 2 x H&B Multavi 10 Zum Ausschlachten /j4
 99,00  16d 4h
Tm5sdi12d Schneider
Tm5sdi12d Schneider
 89,90  26d 7h
Control Techniques Lect Dinverter 4.6AMP 210/240VAC
Top-Rated Seller Control Techniques Lect Dinverter 4.6AMP 210/240VAC
 391,34  26d 7h
For  T-verter E2-2P5-H1A 0.4KW 220V inverter
Top-Rated Seller For  T-verter E2-2P5-H1A 0.4KW 220V inverter
 63,18  13d 19h
Scientifique Atlanta Contact Fermeture Assy 515824 Pwb 285881A
Top-Rated Seller Scientifique Atlanta Contact Fermeture Assy 515824 Pwb 285881A
 76,01  10d 0h
Scientifique Atlanta Relais Board Assy 515812 E368 PN515811
Top-Rated Seller Scientifique Atlanta Relais Board Assy 515812 E368 PN515811
 69,10  10d 1h
Sew odrive Repair Evaluation Movitrac 31c075-503-4-00
Top-Rated Seller Sew odrive Repair Evaluation Movitrac 31c075-503-4-00
 2,87  4d 5h
Centurion Servo System DS 200
Centurion Servo System DS 200
 590,00  12d 5h
3RV20111KA10 SIEMENS  Circuit breaker (Lot of 7 pcs)
3RV20111KA10 SIEMENS Circuit breaker (Lot of 7 pcs)
 138,00  20d 8h
3RH21222BB40 Siemens Contactor Relay (batch of 10pcs)
3RH21222BB40 Siemens Contactor Relay (batch of 10pcs)
 135,00  22d 2h
SIEMENS SIMOVERT 6SE7023-2EA87-2DA0 E:E Breaking Unit  Bremseinheit#3
Top-Rated Seller SIEMENS SIMOVERT 6SE7023-2EA87-2DA0 E:E Breaking Unit Bremseinheit#3
 333,00  18d 6h
Fanuc AC Axe Servo Lect Unité # A06B-6044-H008,A20B-0009-0530/22J
Fanuc AC Axe Servo Lect Unité # A06B-6044-H008,A20B-0009-0530/22J
 1.248,04  16d 7h
Allen Bradley 22D-D1P4N104/A Powerflex 40 AC Lect 0.4kW 0.5HP 1/
Top-Rated Seller Allen Bradley 22D-D1P4N104/A Powerflex 40 AC Lect 0.4kW 0.5HP 1/
 109,41  20d 21h
SCHNEIDER ATV32HU30N4 AC speed drive 3kW Inverter EC-885 ALTIVAR 32
SCHNEIDER ATV32HU30N4 AC speed drive 3kW Inverter EC-885 ALTIVAR 32
 572,07  18d 0h
3RH21312BB40 Siemens Contactor Relay (Lot of 9pcs)
3RH21312BB40 Siemens Contactor Relay (Lot of 9pcs)
 122,00  25d 2h
Fuji Electric 380-480/380-460V 31.6/24 A 15-20Hp 50-60/0.2-400Hz
Top-Rated Seller Fuji Electric 380-480/380-460V 31.6/24 A 15-20Hp 50-60/0.2-400Hz
 1.065,75  26d 7h
Rosenberg L63-15035 E341651 Axial Refroidissement Ventilat Type : GD 150 82
Top-Rated Seller Rosenberg L63-15035 E341651 Axial Refroidissement Ventilat Type : GD 150 82
 802,57  7d 2h
3RV20110CA20 Siemens Circuit breaker  (Lot of 5pcs)
3RV20110CA20 Siemens Circuit breaker (Lot of 5pcs)
 104,00  20d 1h
3RV20110JA20  Siemens Circuit breaker (lot of 4pcs)
3RV20110JA20 Siemens Circuit breaker (lot of 4pcs)
 94,00  20d 3h
3RV20214NA20 Siemens Circuit Breaker (batch of 2pcs)
3RV20214NA20 Siemens Circuit Breaker (batch of 2pcs)
 93,00  22d 2h
For  TAIAN E2-202-H inverter 1.5KW 220V
Top-Rated Seller For  TAIAN E2-202-H inverter 1.5KW 220V
 84,97  1d 22h
3RV20214CA20  Siemens Circuit Breaker (batch of 3pcs)
3RV20214CA20 Siemens Circuit Breaker (batch of 3pcs)
 96,00  22d 1h
Allen-Bradley Powerflex 70 480v 2HP D 3P4A0AYNNNNN
Top-Rated Seller Allen-Bradley Powerflex 70 480v 2HP D 3P4A0AYNNNNN
 848,34  26d 7h
Baldor ZD18H407-E Réglable Vitesse Lect AC Flux Vector 10Hp 460Vac Séries 18H
Baldor ZD18H407-E Réglable Vitesse Lect AC Flux Vector 10Hp 460Vac Séries 18H
 4.035,13  8d 21h
Siemens 6SE3210-7BA40 6SE32107BA40 Neuf Micromaster Ondul
Top-Rated Seller Siemens 6SE3210-7BA40 6SE32107BA40 Neuf Micromaster Ondul
 844,86  8d 14h
Allen Bradley 3HP AC Lect 1336F-BRF30-AN-EN-L5 Neuf
Top-Rated Seller Allen Bradley 3HP AC Lect 1336F-BRF30-AN-EN-L5 Neuf
 610,46  26d 7h
3tk2834-2bb40 Siemens Sirius Safety Relay
3tk2834-2bb40 Siemens Sirius Safety Relay
 79,90  16d 2h
Rae Corporation 130 Dc .64Amps 2876 RPM 2423264
Top-Rated Seller Rae Corporation 130 Dc .64Amps 2876 RPM 2423264
 162,85  26d 7h
Danfoss Vitesse Variable Lect 200/220/230v Vlt 103 175B6012
Top-Rated Seller Danfoss Vitesse Variable Lect 200/220/230v Vlt 103 175B6012
 337,63  26d 7h
Rockwell Automatisation, AC Lect 2090-XXLF-X330B
Top-Rated Seller Rockwell Automatisation, AC Lect 2090-XXLF-X330B
 128,74  26d 7h
Allen Bradley 1336 Lect Visage / Housse, Révisée 04, Utilisé, Garantie
Allen Bradley 1336 Lect Visage / Housse, Révisée 04, Utilisé, Garantie
 24,23  22d 18h
Danfoss 131F7140 Vlt FC301 40HP 30KW En : 3X380-480V FC-301-P30KT4E20H2 AC Drive
Top-Rated Seller Danfoss 131F7140 Vlt FC301 40HP 30KW En : 3X380-480V FC-301-P30KT4E20H2 AC Drive
 2.545,15  21d 3h
Tm-e15s26-a1 Siemens Simatic Terminal Module
Tm-e15s26-a1 Siemens Simatic Terminal Module
 40,00  5d 23h
3RV20110BA20  CIRCUIT BREAKER (Lot of 3pcs)
3RV20110BA20 CIRCUIT BREAKER (Lot of 3pcs)
 62,00  19d 2h
Fanuc AC Axe Servo Unité, #A06b-6064-h326# H550 Garantie
Fanuc AC Axe Servo Unité, #A06b-6064-h326# H550 Garantie
 1.383,67  10d 12h
 For hetronic remote control battery 68303010 9.6v 2.0Ah
Top-Rated Seller  For hetronic remote control battery 68303010 9.6v 2.0Ah
 165,95  19d 22h
Module De Saida Ge Fanuc Ic660bbr101
Module De Saida Ge Fanuc Ic660bbr101
 903,71  25d 3h
Modulo Triconex 3636r
Modulo Triconex 3636r
 772,35  25d 4h
Module Ge Fanuc Plc Ic695psd040f
Module Ge Fanuc Plc Ic695psd040f
 946,96  25d 4h
Reinshaw Phc9 Mk2 Probe Head Control Unit I6s3
Top-Rated Seller Reinshaw Phc9 Mk2 Probe Head Control Unit I6s3
 218,91  4d 0h
Filtro Trifase RFI - MITSUBISHI FFR-MSH-170-30A-RF1
Filtro Trifase RFI - MITSUBISHI FFR-MSH-170-30A-RF1
 40,00  24d 4h
ABB Asc800-04-0040-5+C132+J400 Marine Type Approuvé Industriel Lect Module
Top-Rated Seller ABB Asc800-04-0040-5+C132+J400 Marine Type Approuvé Industriel Lect Module
 1.605,33  21d 12h
Allen Bradley Via Tcs 160BA03NPS1 Ser. C NSNP 160 BA03NPS1
Allen Bradley Via Tcs 160BA03NPS1 Ser. C NSNP 160 BA03NPS1
 561,12  16d 12h
Telemecanique Repair Evaluation Atv71hd55n4z
Top-Rated Seller Telemecanique Repair Evaluation Atv71hd55n4z
 2,85  4d 3h
For  ABB inverter ACH550-01-04A1-4 1.5KW ()
Top-Rated Seller For  ABB inverter ACH550-01-04A1-4 1.5KW ()
 229,59  29d 22h
 M200-02200075A replaces SKBD200150 Frequency converter 1.5KW
Top-Rated Seller  M200-02200075A replaces SKBD200150 Frequency converter 1.5KW
 466,95  1d 18h
Altivar 58 Écran D'Affichage Télémécanique VW3-A58101 IMI-569
Top-Rated Seller Altivar 58 Écran D'Affichage Télémécanique VW3-A58101 IMI-569
 469,56  4d 9h
Allen Bradley 500F-B0 930 AC Contact, 500F-BO 930, Ser. B ,Utilisé,Garantie
Allen Bradley 500F-B0 930 AC Contact, 500F-BO 930, Ser. B ,Utilisé,Garantie
 20,41  4d 23h
Allen Bradley Via Tcs 160BA02NSF1 Ser. C NSNP 160 BA02NSF1
Allen Bradley Via Tcs 160BA02NSF1 Ser. C NSNP 160 BA02NSF1
 1.017,32  16d 12h
Allen Bradley Via Tcs 160BA06NPS1 Ser. C NSFP (Br / WH) 160 BA06NPS1
Allen Bradley Via Tcs 160BA06NPS1 Ser. C NSFP (Br / WH) 160 BA06NPS1
 910,02  16d 12h
Allen Bradley Via Tcs 160BA06NPS1 Ser. C NSNP 160 BA06NPS1
Allen Bradley Via Tcs 160BA06NPS1 Ser. C NSNP 160 BA06NPS1
 910,02  16d 12h
For Delta VFD-015M21A inverter 230V 1.5KW (expedited transportation)
Top-Rated Seller For Delta VFD-015M21A inverter 230V 1.5KW (expedited transportation)
 118,61  18d 18h
For Adleepower AS2-104 AS2-IPM 0.4KW 220V Inverter (Free Fast Shipping)
Top-Rated Seller For Adleepower AS2-104 AS2-IPM 0.4KW 220V Inverter (Free Fast Shipping)
 135,54  1d 1h
Allen Bradley 500-BOD93, Ser. B , Taille 1, AC Contact, 110V Bobine, Utilisé,
Allen Bradley 500-BOD93, Ser. B , Taille 1, AC Contact, 110V Bobine, Utilisé,
 19,98  4d 23h
For  TAIAN E2-201-H1F 0.75KW 220V inverter
Top-Rated Seller For  TAIAN E2-201-H1F 0.75KW 220V inverter
 120,78  17d 22h
  KPS1203D 120V 3A DC regulated power supply 0-120V 0-3A AC110-220V
Top-Rated Seller   KPS1203D 120V 3A DC regulated power supply 0-120V 0-3A AC110-220V
 114,48  13d 0h
Allen Bradley AC Contact, 500F-AOD93, Ser. B , Taille 0, 18 A Max, Utilisé,
Allen Bradley AC Contact, 500F-AOD93, Ser. B , Taille 0, 18 A Max, Utilisé,
 15,31  4d 23h
M700-04400172a Emerson Control Techniques M700-04400172a - 11.0kw, Three Phase,
M700-04400172a Emerson Control Techniques M700-04400172a - 11.0kw, Three Phase,
 1.845,00  7d 23h
 for Fuji inverter F1S/G1S simple operation panel TP-E1U
Top-Rated Seller  for Fuji inverter F1S/G1S simple operation panel TP-E1U
 58,35  13d 22h
Allen Bradley 500-BOD940, Ser. B , Taille 1, AC Contact, Utilisé, Garantie
Allen Bradley 500-BOD940, Ser. B , Taille 1, AC Contact, Utilisé, Garantie
 17,01  4d 23h
Allen Bradley 500F-AOD92, Ser. B Taille 0 AC Contact, 500F-A0D92, Utilisé,
Allen Bradley 500F-AOD92, Ser. B Taille 0 AC Contact, 500F-A0D92, Utilisé,
 17,86  4d 23h
Allen Bradley Taille 0 AC Contact, #500F-A0D930 , Séries B, Garantie
Allen Bradley Taille 0 AC Contact, #500F-A0D930 , Séries B, Garantie
 17,86  4d 23h
Woods WFC2000-7A E-Trac AC Ondul 230V 3.1A 3/4HP
Top-Rated Seller Woods WFC2000-7A E-Trac AC Ondul 230V 3.1A 3/4HP
 340,12  3d 4h
Minarik XL3025 Pulse-Width Modulé Réglable Vitesse Lect
Top-Rated Seller Minarik XL3025 Pulse-Width Modulé Réglable Vitesse Lect
 46,77  18d 19h
ICEBreaker 1.0E FPGA Gitter ICE40UP5K Entwicklungs Platine RISC-V ÖFfnen Qu M1Z5
Top-Rated Seller ICEBreaker 1.0E FPGA Gitter ICE40UP5K Entwicklungs Platine RISC-V ÖFfnen Qu M1Z5
 47,99  6h 21m
Enel Repair Evaluation Fntka-10
Top-Rated Seller Enel Repair Evaluation Fntka-10
 2,85  4d 4h
Twerd Repair Evaluation Mfc310/22kw
Top-Rated Seller Twerd Repair Evaluation Mfc310/22kw
 2,85  4d 4h
Enel Repair Evaluation Fntka-45
Top-Rated Seller Enel Repair Evaluation Fntka-45
 2,85  4d 5h
Enel Repair Evaluation Fntka-30
Top-Rated Seller Enel Repair Evaluation Fntka-30
 2,85  4d 4h
Twerd Repair Evaluation Afc120/2.2kw
Top-Rated Seller Twerd Repair Evaluation Afc120/2.2kw
 2,85  4d 5h
For    DC1010CT-101000-E DC1010CT101000E CONTROLLER
Top-Rated Seller For   DC1010CT-101000-E DC1010CT101000E CONTROLLER
 229,30  28d 1h
Olsun Sec Type Transformat Numéro 7480 S-49547 608896-31T 91-7
Top-Rated Seller Olsun Sec Type Transformat Numéro 7480 S-49547 608896-31T 91-7
 80,78  4d 4h
Allen Bradley 509-B0D, Ser. B , Taille 1, AC Contact, No Chauffages, Utilisé,
Allen Bradley 509-B0D, Ser. B , Taille 1, AC Contact, No Chauffages, Utilisé,
 12,54  3d 23h
Telemecanique Repair Evaluation Atv212wd15n4
Top-Rated Seller Telemecanique Repair Evaluation Atv212wd15n4
 2,85  4d 3h
Lenze Repair Evaluation 4906_e.1b.11
Top-Rated Seller Lenze Repair Evaluation 4906_e.1b.11
 2,87  4d 3h
Keb Repair Evaluation 17.f4.c1h-4a01/2.2
Top-Rated Seller Keb Repair Evaluation 17.f4.c1h-4a01/2.2
 2,87  4d 4h
Vectron Elektronik Repair Evaluation Act400-018a
Top-Rated Seller Vectron Elektronik Repair Evaluation Act400-018a
 2,85  4d 5h
Warner Electric Repair Evaluation Kt4030-11
Top-Rated Seller Warner Electric Repair Evaluation Kt4030-11
 2,87  4d 5h
Fuji Electric Repair Evaluation Fvr075g7n-4
Top-Rated Seller Fuji Electric Repair Evaluation Fvr075g7n-4
 2,85  4d 5h
Mitsubishi Electric Repair Evaluation Fr-u120s-0.2k-er
Top-Rated Seller Mitsubishi Electric Repair Evaluation Fr-u120s-0.2k-er
 2,85  4d 5h
Control Techniques Repair Evaluation Cde1100
Top-Rated Seller Control Techniques Repair Evaluation Cde1100
 2,85  4d 5h
  for Xinje 3.7kw  inverter VB5N-43P7
Top-Rated Seller   for Xinje 3.7kw inverter VB5N-43P7
 360,96  9d 22h
Applicable for   MITSUBISHI SERVO DRIVE MDS-B-SVJ2-01 MDSBSVJ201
Top-Rated Seller Applicable for   MITSUBISHI SERVO DRIVE MDS-B-SVJ2-01 MDSBSVJ201
 639,69  17d 1h
 Omron PLC CP1E-N40SDT-D programming controller
Top-Rated Seller  Omron PLC CP1E-N40SDT-D programming controller
 147,26  24d 18h
  Delta VFD007B21A Inverter 220V 0.75KW
Top-Rated Seller   Delta VFD007B21A Inverter 220V 0.75KW
 134,95  18d 22h
 FR-E510W-0.4K Mitsubishi inverter 0.4KW 100V
Top-Rated Seller  FR-E510W-0.4K Mitsubishi inverter 0.4KW 100V
 247,61  20d 23h
Telemecanique Repair Evaluation Atv61wd11n4
Top-Rated Seller Telemecanique Repair Evaluation Atv61wd11n4
 2,85  4d 3h
Lenze Repair Evaluation Evd4909e
Top-Rated Seller Lenze Repair Evaluation Evd4909e
 2,87  4d 3h
Applicable for Mitsubishi 0.75KW inverter single-phase 220V FR-D720S-0.75K-CHT
Top-Rated Seller Applicable for Mitsubishi 0.75KW inverter single-phase 220V FR-D720S-0.75K-CHT
 212,30  7d 21h
  Ou Rui frequency converter F1000 7.5KW 380V F1000-G0075T3B
Top-Rated Seller   Ou Rui frequency converter F1000 7.5KW 380V F1000-G0075T3B
 218,34  23d 23h
  drive ACS355-03E-08A8-4 4KW 380V
Top-Rated Seller  drive ACS355-03E-08A8-4 4KW 380V
 249,95  18d 0h
  for OMRON inverter 3G3JZ-A4015
Top-Rated Seller   for OMRON inverter 3G3JZ-A4015
 240,17  14d 22h
  for Xinje 0.75KW inverter VB5-22P2
Top-Rated Seller   for Xinje 0.75KW inverter VB5-22P2
 268,05  28d 23h
 CONTACT 2866763 high quality switching power supply 220V / 24V / 10A
Top-Rated Seller  CONTACT 2866763 high quality switching power supply 220V / 24V / 10A
 96,38  2d 23h
  for Hlp-B LCP-E22 keyboard speed control panel
Top-Rated Seller   for Hlp-B LCP-E22 keyboard speed control panel
 110,10  14d 22h
  for Comron inverter Kv1900 potentiometer speed control panel
Top-Rated Seller   for Comron inverter Kv1900 potentiometer speed control panel
 110,10  15d 22h
  for Epsiron inverter Es500 speed control panel
Top-Rated Seller   for Epsiron inverter Es500 speed control panel
 110,10  15d 22h
Trim  ble TSC2 hand thin battery RTK / GPS + charger
Top-Rated Seller Trim ble TSC2 hand thin battery RTK / GPS + charger
 252,86  3d 22h
Applicable for ACS310-03E-41A8-4 ABB inverter ACS310 18.5KW three-phase 380V
Top-Rated Seller Applicable for ACS310-03E-41A8-4 ABB inverter ACS310 18.5KW three-phase 380V
 1.152,09  5d 22h
  frequency converter 22B-D024N104
Top-Rated Seller   frequency converter 22B-D024N104
 946,30  21d 18h
  for Xinje 1.5KW Servo Drive DS3E-21P5-PFA
Top-Rated Seller   for Xinje 1.5KW Servo Drive DS3E-21P5-PFA
 453,87  1d 17h
FANUC A20B-0007-0750 07B Circuit Board
Top-Rated Seller FANUC A20B-0007-0750 07B Circuit Board
 20,41  21d 10h
For 22B-D6P0N104 AC Drive
Top-Rated Seller For 22B-D6P0N104 AC Drive
 444,78  8d 1h
Applicable for  inverter ATV31 ATV31HU55N4A 380v 5.5KW
Top-Rated Seller Applicable for inverter ATV31 ATV31HU55N4A 380v 5.5KW
 452,99  19d 18h
Applicable for ACS310-03E-02A1-4 ABB Inverter 0.55KW Three Phase 380V
Top-Rated Seller Applicable for ACS310-03E-02A1-4 ABB Inverter 0.55KW Three Phase 380V
 333,09  5d 21h
Applicable for ACS310-03E-03A6-4 ABB Inverter ACS310 1.1KW Three Phase 380V
Top-Rated Seller Applicable for ACS310-03E-03A6-4 ABB Inverter ACS310 1.1KW Three Phase 380V
 407,41  5d 22h
Applicable for frequency converter 0.37KW ACS150-03E-01A2-4 three-phase 380-400V
Top-Rated Seller Applicable for frequency converter 0.37KW ACS150-03E-01A2-4 three-phase 380-400V
 321,94  20d 18h
Applicable for  ACS150-03E-02A4-4 ABB DRIVE ACS15003E02A44 inverter 0.75KW
Top-Rated Seller Applicable for  ACS150-03E-02A4-4 ABB DRIVE ACS15003E02A44 inverter 0.75KW
 323,33  8d 1h
Applicable for  HOLIP HLPA02D223B 2.2KW 2200W three-phase 220V input inverter
Top-Rated Seller Applicable for  HOLIP HLPA02D223B 2.2KW 2200W three-phase 220V input inverter
 509,62  8d 1h
Applicable for  ABB Inverter ACS355-01E-07A5-2 1.5KW Single Phase 220v
Top-Rated Seller Applicable for  ABB Inverter ACS355-01E-07A5-2 1.5KW Single Phase 220v
 416,70  9d 19h
SIEMENS SIMOVERT 6SE7023-2EA87-2DA0 E:E Breaking Unit  Bremseinheit#1
Top-Rated Seller SIEMENS SIMOVERT 6SE7023-2EA87-2DA0 E:E Breaking Unit Bremseinheit#1
 333,00  18d 6h
Allen Bradley 22D-D1P4N104/A Powerflex 40 AC Lect 0.4kW 0.5HP 1/2HP
Top-Rated Seller Allen Bradley 22D-D1P4N104/A Powerflex 40 AC Lect 0.4kW 0.5HP 1/2HP
 113,52  20d 21h
  inverter 22A-D4P0N104 22A-D4PON104 1.5KW
Top-Rated Seller   inverter 22A-D4P0N104 22A-D4PON104 1.5KW
 459,95  5d 1h
 Applicable for TECO driver JSDAP20A
Top-Rated Seller  Applicable for TECO driver JSDAP20A
 426,00  18d 22h
  frequency converter 22C-D012N103
Top-Rated Seller   frequency converter 22C-D012N103
 518,91  21d 19h
  Xilin inverter EH600 series EH640A7.5G/11P/380v/ 7.5kw
Top-Rated Seller   Xilin inverter EH600 series EH640A7.5G/11P/380v/ 7.5kw
 453,87  19d 17h
  for safety relay XPSBCE3110C
Top-Rated Seller   for safety relay XPSBCE3110C
 472,45  6d 1h
  for Maxell QD91H refrigeration compressor
Top-Rated Seller   for Maxell QD91H refrigeration compressor
 360,30  6d 22h
   EV2000-4T0110G/0150P Frequency converter 11/15KW
Top-Rated Seller   EV2000-4T0110G/0150P Frequency converter 11/15KW
 899,99  1d 0h
  In Box inverter ATV312HD11N4 11KW three-phase 380V Free Shipping
Top-Rated Seller   In Box inverter ATV312HD11N4 11KW three-phase 380V Free Shipping
 999,95  19d 19h
CIMR-XCAA20P1 Inverter VS mini 0.1KW 220V CIMRXCAA20P1
Top-Rated Seller CIMR-XCAA20P1 Inverter VS mini 0.1KW 220V CIMRXCAA20P1
 69,43  8d 22h
  for Xielin Precision Drive inverter PA-SF100 operation panel
Top-Rated Seller   for Xielin Precision Drive inverter PA-SF100 operation panel
 82,23  14d 22h
  for Delta inverter TD600 keyboard operation panel
Top-Rated Seller   for Delta inverter TD600 keyboard operation panel
 82,23  14d 22h
BFV00072G Inverter BFV00072G 0.75KW 220V physical picture shooting
Top-Rated Seller BFV00072G Inverter BFV00072G 0.75KW 220V physical picture shooting
 116,14  5d 22h
For  ABB inverter ACS143-1K6-3 380V
Top-Rated Seller For  ABB inverter ACS143-1K6-3 380V
 138,05  12d 18h
Inverter FR-E520-2.2K test OK beautiful color
Top-Rated Seller Inverter FR-E520-2.2K test OK beautiful color
 128,95  17d 1h
 inverter ATV320U07N4C 0.75KW 380V test OK
Top-Rated Seller  inverter ATV320U07N4C 0.75KW 380V test OK
 132,85  5d 23h
6ES7307-1EA00-0AA0 power supply 6ES7 307-1EA00-0AA0
Top-Rated Seller 6ES7307-1EA00-0AA0 power supply 6ES7 307-1EA00-0AA0
 139,37  25d 22h
NSC10 JEPMC-PS001 PLC power module appearance beautiful
Top-Rated Seller NSC10 JEPMC-PS001 PLC power module appearance beautiful
 139,37  6d 22h
  in Box switching power supply ABL8RPS24030
Top-Rated Seller   in Box switching power supply ABL8RPS24030
 135,95  2d 22h
 Zippy EMACS HP2-6500P 500W Tower Medical Workstation Power Supply DHL or UPS
Top-Rated Seller  Zippy EMACS HP2-6500P 500W Tower Medical Workstation Power Supply DHL or UPS
 135,95  3d 22h
  Danfoss FC-302 inverter Chinese operation panel 130B1107
Top-Rated Seller   Danfoss FC-302 inverter Chinese operation panel 130B1107
 127,10  5d 22h
 Applicable for Mitsubishi Servo Drive MR-E-40AG/KH003
Top-Rated Seller  Applicable for Mitsubishi Servo Drive MR-E-40AG/KH003
 191,86  1d 17h
For AVF200-0154 inverter 380V 1.5KW
Top-Rated Seller For AVF200-0154 inverter 380V 1.5KW
 277,47  19d 18h
Applicable for   YASKAWA BREAKING UNIT CDBR-4030B CDBR4030B
Top-Rated Seller Applicable for   YASKAWA BREAKING UNIT CDBR-4030B CDBR4030B
 416,70  4d 1h
  For FULING DZB312B003.7L2DK 220V 3.7KW
Top-Rated Seller   For FULING DZB312B003.7L2DK 220V 3.7KW
 284,95  19d 23h
  ZIPPY EMACS H1U-6200P 200W 1U server power supply
Top-Rated Seller   ZIPPY EMACS H1U-6200P 200W 1U server power supply
 126,95  7d 22h
Applicable for Yaskawa H1000, A1000 inverter universal operation panel JVOP-182
Top-Rated Seller Applicable for Yaskawa H1000, A1000 inverter universal operation panel JVOP-182
 102,20  22d 22h
VFD022E43A-M inverter 2.2KW 380V test OK
Top-Rated Seller VFD022E43A-M inverter 2.2KW 380V test OK
 116,95  5d 23h
 inverter ATV31HU15N4A 1.5KW test OK
Top-Rated Seller  inverter ATV31HU15N4A 1.5KW test OK
 106,69  6d 0h
For ABB inverter ACS355 510 550 Chinese and English control panel ACS-CP-C/D
Top-Rated Seller For ABB inverter ACS355 510 550 Chinese and English control panel ACS-CP-C/D
 89,01  22d 17h
 Omron Inverter 3G3RV-A4110 11KW 380V  3G3RVA4110 Test well
Top-Rated Seller  Omron Inverter 3G3RV-A4110 11KW 380V  3G3RVA4110 Test well
 453,45  10d 1h
For Delta VFD007EL43A 0.75KW/380V Inverter
Top-Rated Seller For Delta VFD007EL43A 0.75KW/380V Inverter
 185,82  15d 22h
 Yaskawa CDBR-2022B 22KW 220V drive brake unit
Top-Rated Seller  Yaskawa CDBR-2022B 22KW 220V drive brake unit
 139,95  10d 22h
Siemens 6ra2225-6dv62-zf02 Repair Fix Price
Top-Rated Seller Siemens 6ra2225-6dv62-zf02 Repair Fix Price
 1.721,31  4d 5h
For  Delta VFD015M23A 1.5KW 220V/VFD-M Frequency converter
Top-Rated Seller For  Delta VFD015M23A 1.5KW 220V/VFD-M Frequency converter
 145,24  3d 1h
For   Lenze frequency converter ESMD251X2SFA
Top-Rated Seller For   Lenze frequency converter ESMD251X2SFA
 149,13  22d 19h
For  PLC programmable controller TWDLCAA24DRF
Top-Rated Seller For  PLC programmable controller TWDLCAA24DRF
 128,68  23d 18h
  HOLIP HLP-A series inverter HLPA02D223E 2.2KW 3P220V
Top-Rated Seller   HOLIP HLP-A series inverter HLPA02D223E 2.2KW 3P220V
 136,39  24d 0h
  for frequency converter 380V 0.75KW in-kind shooting 3G3MZ-A4007-ZV2
Top-Rated Seller   for frequency converter 380V 0.75KW in-kind shooting 3G3MZ-A4007-ZV2
 137,51  24d 23h
one Delta Inverter VFD007S21A AC Variable Frequency Drive S1 1HP 230V
Top-Rated Seller one Delta Inverter VFD007S21A AC Variable Frequency Drive S1 1HP 230V
 139,95  6d 22h
For  Lenze EVF8201-E inverter in good condition
Top-Rated Seller For  Lenze EVF8201-E inverter in good condition
 139,37  14d 22h
 For Lenovo  T260 G3 server 400W power supply GPS-400CB A B
Top-Rated Seller  For Lenovo T260 G3 server 400W power supply GPS-400CB A B
 144,95  7d 22h
 Boxed frequency converter TD2000 remote control box TDO-RC02
Top-Rated Seller  Boxed frequency converter TD2000 remote control box TDO-RC02
 117,25  1d 19h
  for Yaskawa F7 G7 L7 E7 Inverter Display Operation Panel JVOP-161
Top-Rated Seller  for Yaskawa F7 G7 L7 E7 Inverter Display Operation Panel JVOP-161
 63,92  22d 17h
 frequency conversion magnetron 2M236-M1 up and down four holes
Top-Rated Seller  frequency conversion magnetron 2M236-M1 up and down four holes
 56,97  29d 23h
SC-4-1 / U contactor SC-4-1 / U Fuji inverter internal dedicated
Top-Rated Seller SC-4-1 / U contactor SC-4-1 / U Fuji inverter internal dedicated
 40,03  2d 22h
Applicable for   ATV320U11N4C  ELECTRIC INVERTER
Top-Rated Seller Applicable for   ATV320U11N4C ELECTRIC INVERTER
 368,67  3d 22h
For HY-8500 USB to RS232/485/422 Smart Isolation High Speed 2.0 Converter
Top-Rated Seller For HY-8500 USB to RS232/485/422 Smart Isolation High Speed 2.0 Converter
 82,23  16d 17h
 Applicable for Mitsubishi inverter E740 O/I board, terminal board
Top-Rated Seller  Applicable for Mitsubishi inverter E740 O/I board, terminal board
 82,32  17d 22h
For  YASKAWA CIMR-V7AT20P4 inverter 220V 0.4KW
Top-Rated Seller For  YASKAWA CIMR-V7AT20P4 inverter 220V 0.4KW
 78,97  2d 22h
For VIEW3 VIEW5 Fusion Splicer Battery LBT-52
Top-Rated Seller For VIEW3 VIEW5 Fusion Splicer Battery LBT-52
 190,47  4d 1h
For  Delta VFD015B21A inverter 1.5KW 220V (fast shipping)
Top-Rated Seller For  Delta VFD015B21A inverter 1.5KW 220V (fast shipping)
 185,82  7d 18h
 For Delta VFD220CP43A-21 DELTA VFD Inverter Frequency converter 22kw AC380V
Top-Rated Seller  For Delta VFD220CP43A-21 DELTA VFD Inverter Frequency converter 22kw AC380V
 805,95  16d 0h
 Applicable for MD300 0.4KW 220V MD300A-S0.4C-2 Huichuan Inverter
Top-Rated Seller  Applicable for MD300 0.4KW 220V MD300A-S0.4C-2 Huichuan Inverter
 108,48  7d 0h
  inverter VCD1000 A4T0022B 380v 2.2kw
Top-Rated Seller   inverter VCD1000 A4T0022B 380v 2.2kw
 111,49  23d 23h
  for Inovance inverter Md280/Md320/Md380 display panel keyboard
Top-Rated Seller   for Inovance inverter Md280/Md320/Md380 display panel keyboard
 110,10  14d 22h
  for Longxing inverter LS600 display panel keyboard
Top-Rated Seller   for Longxing inverter LS600 display panel keyboard
 110,10  14d 22h
  for CHINT inverter NFV2G display panel keyboard
Top-Rated Seller   for CHINT inverter NFV2G display panel keyboard
 110,10  14d 22h
  for EN500/EN600 display panel
Top-Rated Seller   for EN500/EN600 display panel
 110,10  15d 22h
  for Best Inverter FC-160 display panel
Top-Rated Seller   for Best Inverter FC-160 display panel
 110,10  15d 22h
  for Zhongchen inverter H6400 display panel DP-LED02
Top-Rated Seller   for Zhongchen inverter H6400 display panel DP-LED02
 110,10  15d 22h
  for Yidiantong inverter TD80 display panel Kp-01 speed control panel
Top-Rated Seller   for Yidiantong inverter TD80 display panel Kp-01 speed control panel
 110,10  15d 22h
  for GOEL inverter C8300 display panel DP-LED82
Top-Rated Seller   for GOEL inverter C8300 display panel DP-LED82
 110,10  15d 22h
  for Best Inverter FC160-03 display panel
Top-Rated Seller   for Best Inverter FC160-03 display panel
 110,10  15d 22h
  for Kechuan inverter Kc300 KC501 display panel keyboard
Top-Rated Seller   for Kechuan inverter Kc300 KC501 display panel keyboard
 110,10  15d 22h
  for Pi7100/Pi7800 display panel JP-07
Top-Rated Seller   for Pi7100/Pi7800 display panel JP-07
 110,10  15d 22h
  for Koc600 display panel keyboard
Top-Rated Seller   for Koc600 display panel keyboard
 110,10  15d 22h
VLT2975PT4B20 7.5KW/380V frequency converter, beautiful appearance. Test OK
Top-Rated Seller VLT2975PT4B20 7.5KW/380V frequency converter, beautiful appearance. Test OK
 242,77  5d 22h
  for Dongda TDS-V8 inverter TMCA-V8 keyboard operation panel
Top-Rated Seller   for Dongda TDS-V8 inverter TMCA-V8 keyboard operation panel
 82,23  14d 22h
  for Kerun inverter Acd200/210/220 Pu-102 operation panel
Top-Rated Seller   for Kerun inverter Acd200/210/220 Pu-102 operation panel
 82,23  14d 22h
  for Ribo inverter RB800 display keyboard operation panel
Top-Rated Seller   for Ribo inverter RB800 display keyboard operation panel
 82,23  14d 22h
Inverter panel VW3A1111 small
Top-Rated Seller Inverter panel VW3A1111 small
 106,87  13d 22h
VW3A1111 Inverter panel VW3A-1111
Top-Rated Seller VW3A1111 Inverter panel VW3A-1111
 106,87  13d 22h
For HY-852 USB to RS232/485 industrial grade intelligent converter
Top-Rated Seller For HY-852 USB to RS232/485 industrial grade intelligent converter
 82,23  16d 18h
Applicable for GF2 GX1 G3 Camera DE-A94 Charger DMW-BLD10GK Battery Charger
Top-Rated Seller Applicable for GF2 GX1 G3 Camera DE-A94 Charger DMW-BLD10GK Battery Charger
 46,76  23d 22h
For Avision scanners AGW160, AGW180, AGW186, AGW190, AGW220 power adapters
Top-Rated Seller For Avision scanners AGW160, AGW180, AGW186, AGW190, AGW220 power adapters
 56,98  23d 23h
Hair removal machine charger 2.0 Laser hair removal instrument power adapter
Top-Rated Seller Hair removal machine charger 2.0 Laser hair removal instrument power adapter
 40,62  8d 0h
For BSM-2351A, BSM-2351c YS-076P5 BSM-2301 BSM-2303 battery
Top-Rated Seller For BSM-2351A, BSM-2351c YS-076P5 BSM-2301 BSM-2303 battery
 79,39  28d 1h
MD28KE2 rotary potentiometer panel MD28KE2
Top-Rated Seller MD28KE2 rotary potentiometer panel MD28KE2
 58,47  27d 19h
 inverter 5032X087 drive board transformer
Top-Rated Seller  inverter 5032X087 drive board transformer
 51,38  2d 2h
  440/420 inverter BOP operation panel 6SE6400-OBP00-0AA0
Top-Rated Seller   440/420 inverter BOP operation panel 6SE6400-OBP00-0AA0
 72,93  17d 22h
 95%  FC-301/302 inverter operation panel LCP101 order number 130B1124
Top-Rated Seller  95%  FC-301/302 inverter operation panel LCP101 order number 130B1124
 72,93  17d 22h
 95%  inverter operation panel /TP-EIU K
Top-Rated Seller  95%  inverter operation panel /TP-EIU K
 72,93  17d 22h
 90%  inverter display panel 20HIM-A3
Top-Rated Seller  90%  inverter display panel 20HIM-A3
 72,93  17d 22h
 82-71364-04 For MC70 MC75 battery 1950mAh
Top-Rated Seller  82-71364-04 For MC70 MC75 battery 1950mAh
 41,62  22h 23m
Yaskawa Repair Evaluation Cimr-g5a4075
Top-Rated Seller Yaskawa Repair Evaluation Cimr-g5a4075
 2,85  4d 4h
Emotron Repair Evaluation 48-250
Top-Rated Seller Emotron Repair Evaluation 48-250
 2,85  4d 3h
Control Techniques Repair Evaluation M350rgb14
Top-Rated Seller Control Techniques Repair Evaluation M350rgb14
 2,87  4d 4h
 Zippy EMACS R2Z-6400P-R 400W power module
Top-Rated Seller  Zippy EMACS R2Z-6400P-R 400W power module
 39,95  16d 22h
Siemens Micromaster 410 Kontroll- 6SE6410-2UB11-2AA0
Siemens Micromaster 410 Kontroll- 6SE6410-2UB11-2AA0
 127,00  11d 9h
Siemens MicroMaster 410 Controller 6SE6410-2UB11-2AA0
Siemens MicroMaster 410 Controller 6SE6410-2UB11-2AA0
 135,38  11d 9h
Schneider Altivar HVAC 212  ATV212HD1N4
Schneider Altivar HVAC 212 ATV212HD1N4
 210,15  20d 2h
Minarik Drives Boss04-D240Ac-4Q Servo Motor Ref219
Minarik Drives Boss04-D240Ac-4Q Servo Motor Ref219
 93,40  25d 0h
Dc Vitesse Contrôl 12V 12A Bi-Dir - 919D3Pr
Dc Vitesse Contrôl 12V 12A Bi-Dir - 919D3Pr
 153,31  4d 5h
Démarr Dol Métal, 12A 5.5Kw 240V No O/L - Be1-D123U7
Démarr Dol Métal, 12A 5.5Kw 240V No O/L - Be1-D123U7
 109,86  23d 1h
Démarr Dol ABS 12A 5.5Kw 240V No O/L - Le1-D123U7
Démarr Dol ABS 12A 5.5Kw 240V No O/L - Le1-D123U7
 81,03  3d 7hELAU SH070/60020/0/1/00/00/10/00 1.19KW
ELAU SH070/60030/0/1/00/00/00/10/00 +VN 1.45KW 1.45KW
ELAU 140/30120/0/1/00/00/10/00/00 2.89KW
ELAU ISH070/30022/0/1/00/0/10/10/00 +VN 1.9KW
elau 974052.0140 1711,and picture
elaU SH100/40060/0/1/00/00/00/01/00
ELAU E-MO-113 15meter
ELAU AG SH140/30120/0/1/00/00/00/00/00 , 2.89kw480v
ELAU AG SH140/30120/0/1/00/00/00/00/00 2.89kw480v
elau ELAU MC-4/11/03/400 LICENSE 13130245PACDRIVE+20630059LICENSE VDM01U30AA00
elau ELAU MC-4/11/10/400 LICENSE 13130247PACDRIVE+20630059LICENSE VDM01D10AA00
elau ELAU PAC. C400/A8/1/1/1/00 CELERON M 600MHZ 512KB 13130261-001 VCA08AAAA0AA00
elau ELAU E-SS-056 0,3M NR.15154303-003 VW3E3056R003
elau ELAU E-SS-056 1M NR.15154303-010 VW3E3056R010
elaU SH100/50030/0/0/00/00/00/00/00
elaU SH055/80013/0/0/00/00/00/00/00
elaU SH100/40060/0/0/00/00/00/01/00
elaU SH100/40060/0/0/00/00/00/00/00
ELAU ISH100/30058/0/0/00/0/00/11/00
elau MC-4/11/01/400 13130244 HW:E00603 SW:00.22.03 FW:00.22.03
elau MC-4/11/03/400 13130245 HW:EON603 SW:00.22.03 FE:00.22.03
elau MC-4/11/10/400 13130247 HW:EON603 SW:00.22.03 FW:00.22.03
ELAU SH-140/30120/0/3/00/00/10/01/00, and with Brake
ELAU SM-140-30370-001-75-55-10-1000 18404337-007 662808.0010
Elau GmbH SH140/30270/0/1/00/00/00/00/00
ELAU MC-4-11-10-400 ELAU
ELAU SH140/30270/010000000000 400V
ELAU SM100-40-050-P1-45-R1-BO
ELAU GmbH MC-4/11/10/40 13130247, HW:E0Q603
ELAU GmbH C200/10/1/1/1/00,13130260,2JA2233012
ELAU GmbH MC-4/11/10/40 13130247, HW:E0P603
ELAU C-4/11/22/400 13130254 900140.0020 0309,HW:E094B8,00.12.31
elau SM070-60-010-P1-45-M1-B0
ELAU iSH070/60022/0/1/00/0/00/00/00 SN:2228093322
ELAU VPM02D20AA00 SN: 2228084071
ELAU iSH100/30058/0/1/00/0/00/00/00 SN:2228123391
ELAU iSH100/30025/0/1/00/0/00/11/00 SN:2228117975
ELAU E-SS-056 REAL TIME BUS,VW3E3056R010??100mm
ELAU SH100/50030/0/1/00/0/00/00/00,IDNUMBER??65013102v004003
ELAU PS-5ISH 13130265,IDNUMBER??hw845A03
ELAU ISH100/30058/0/1/00/0/00/00/00,IDNUMBER??66013301-004
ELAU SH140/30200/0/1/00/00/00/00/00,IDNUMBER??65014202v004003
ELAU SH140/30300/0/1/00/00/00/00/00,IDNUMBER??65014402v004004
ELAU MC-4/11/22/400 13130254,IDNUMBER??hwE094D8
ELAU PacDrive C400/A8/1/1/1/00 13130261-001
Schneider electric-elau SH140/30330/0/1/00/00/00/01/00 (65014402V006004)
Schneider electric-elau VCA07AAAA0AR00 C400/10/1/1/1/00 - SW: V00.24.xx              Schneider Electric Mc-4/11/10/400 Elau Pacdrive
Schneider electric-elau VPM02D20AA00 PS-5 POWER SUPPLY ISH
Schneider electric-elau VIA1003C22A0000 ISH100/30058/0/0/00/0/10/10/00           施耐德电气 elau pacdrive 伺服电动机 ish070/60017/0/0/00/0/00/11/00-
Schneider electric-elau VIA1402B22A0000 ISH140/20125/0/0/00/0/10/10/00
elau SH140/30120/0/0/00/00/00/10/00          SCHNEIDER ELECTRIC ELAU MC-4/11/10/400 MC4 10 A 400V AC PAC DRIVE - VDM01D10AA00
Price on Application
SKU: VDM01D10AA00
ELAU MC-4/11/10/400MC-4/11/22/400 - ELAU PACDRIVE MC-4 MOTOR CONTROLLER
ELAU SM14030210P145S1B1
ELAU SCL055/30011/A/10/BA/AA/04/001
ELAU SM-070/60/020/P0/45.S1.B1 N/A
ELAU iSH100/30058/0/1/00/0/00/00/00 ID-No 66013301-004 SN 9783570020 max:680VDC 3.60A 24VDC 0.20A
ELAU 115U2A305BACAA115190
ELAU MC-4/11/10/40,SW??00.16.32
ELAU FEEDBACKKABEL/E-FB-06825.0M 15152042-250
VDM01D10AL00    DRIVE PAC 10AMP V16 MC-4/11/10/400    SCHNEIDER ELECTRIC    SQUARE D   
VDM01D10AP00    13130247 MC-4/11/10/400 10 AMP DRIVE V20    SCHNEIDER ELECTRIC    SQUARE D   
VDM01D10AP11    DRIVE    SCHNEIDER ELECTRIC       
VDM01D10AQ00    13130247 MC-4/11/10/400 10 AMP DRIVE V22    SCHNEIDER ELECTRIC       
VDM01D22AL00    DRIVE    SCHNEIDER ELECTRIC       
VDM01D22AQ00    13130254 MC-4/11/22/400 22 AMP DRIVE V22    SCHNEIDER ELECTRIC    SQUARE D   
VDM01D50AA00    DRIVE    SCHNEIDER ELECTRIC       
VDM01U15AA00    DRIVE    SCHNEIDER ELECTRIC       
VDM01U15AQ00    DRIVE    SCHNEIDER ELECTRIC       
VDM01U30AH00    DRIVE    SCHNEIDER ELECTRIC       
VDM01U30AP00    13130245 MC-4/11/03/400 3 AMP DRIVE V20    SCHNEIDER ELECTRIC    SQUARE D   
VDM01U30AQ00    DRIVE PAC    SCHNEIDER ELECTRIC    ESMI   
VDM01U50AQ00    DRIVE    SCHNEIDER ELECTRIC       
VF1B024MQ    CONTROLLER    SCHNEIDER ELECTRIC    TELEMECANIQUE   
VF1B048    DC DRIVE    SCHNEIDER ELECTRIC    TELEMECANIQUE   
VIA0701D31A0000    ISH070/60011/0/1/00/0/10/00/00 SRVO/DRV    SCHNEIDER ELECTRIC    ELAU AG   
VIL2C111AW0AA00    INTERGRATED SERVO MOTRO/DRIVE - ASEPTIC VERSION    SCHNEIDER ELECTRIC       
VJDFNDTGSV45M    DRIVE V4.5 FACILITY NO CABLE    SCHNEIDER ELECTRIC       
VR1AB115S093    DRIVE    SCHNEIDER ELECTRIC    TELEMECANIQUE   
VR1AH9H    DRIVE    SCHNEIDER ELECTRIC    TELEMECANIQUE   
VR1AH9HQ9BP    DRIVE POWER MODULE 46AMP 380V    SCHNEIDER ELECTRIC    TELEMECANIQUE   
VR1AH9HQ9BPS048    DRIVE    SCHNEIDER ELECTRIC    TELEMECANIQUE   
VR1AH9HQ9BP-S083    VR1AH9HQ9BPS083 - GRADIVAR DRIVE    SCHNEIDER ELECTRIC    TELEMECANIQUE   
VR1AH9HQ9BP-S184    DRIVE POWER MODULE AC 46AMP 240/380V 50/60HZ 3PH    SCHNEIDER ELECTRIC    TELEMECANIQUE   
VRIAH9HQPBP    DRIVE    SCHNEIDER ELECTRIC    TELEMECANIQUE   
VSD07-EPM    ADJUSTABLE SPEED DRIVE CONTROLLER EPM 6VDC 150MA    SCHNEIDER ELECTRIC    SQUARE D   
VSD07-U07-P10    AC DRIVE 120/208/240VAC 10AMP 0.25HP 1PHASE    SCHNEIDER ELECTRIC    SQUARE D   
VSD-07-U09-N40    DRIVE AC 1/2HP 460V    SCHNEIDER ELECTRIC    TELEMECANIQUE   
VSD-07-U09-P10    DRIVE CONTROLLER 1/2HP 120-240VAC 1PH 0.37KW    SCHNEIDER ELECTRIC    TELEMECANIQUE   
VSD07-U09-P20    DRIVE CONTROLLER 208-240VAC .5HP 1-3PHASE 26W    SCHNEIDER ELECTRIC    SQUARE D   
VSD07-U18-M20    DRIVE CONTROLLER 208-240VAC 1HP .75KW 3PHASE    SCHNEIDER ELECTRIC    SQUARE D   
VSD07-U18-N40    DRIVE 1HP .75KW 400/480VAC 2.4-2.8AMP 48-62HZ    SCHNEIDER ELECTRIC    SQUARE D   
VSD07-U18P20    DRIVE VARIABLE SPEED 1HP 230V    SCHNEIDER ELECTRIC    SQUARE D   
VSD-07-U18-S60    DRIVE AC 1HP 460V    SCHNEIDER ELECTRIC    TELEMECANIQUE   
VSD07-U29-M20    DRIVE 230VAC 2HP 3PHASE    SCHNEIDER ELECTRIC    SQUARE D   
VSD07U29N40    AC DRIVE    SCHNEIDER ELECTRIC    SQUARE D   
VSD07-U41-M20    DRIVE 3HP    SCHNEIDER ELECTRIC    SQUARE D   
VSD17D12S66    DRIVE 10HP 12.5AMP 3PHASR 480/590V 50/60HZ    SCHNEIDER ELECTRIC    SQUARE D   
VSD17-U09-M26    DRIVE MODULE 2.5/2.2AMP 200/230V 1/2HP NEMA 1 3PH    SCHNEIDER ELECTRIC    SQUARE D   
VSD17U18M26    DRIVE    SCHNEIDER ELECTRIC    SQUARE D   
VSD17-U18N46    DRIVE 1HP 2.4AMP 400/480VAC 3PHASE NEMA 1    SCHNEIDER ELECTRIC    TELEMECANIQUE   
hohem iSteady V2 Gimbal Stabilizer for Smartphone,3-Axis Handheld Professional Video Stabilizers with Grip AI Tracking Type-C Reverse Charging Adjustable LED Video Light for Vlog Live YouTube TikTok
hohem iSteady V2 Gimbal Stabilizer for Smartphone,3-Axis Handheld Professional Video Stabilizers with Grip AI Tracking Type-C Reverse Charging Adjustable LED Video Light for Vlog Live YouTube TikTok
PHOTO    SKU    BRAND    DESCRIPTION    CONDITION    Pacdrive MC-4-11-22-400 Servo Drive Elau 24VDC Schneider Electric  NMP
C600/10/1/1/00    C600/10/1/1/00    ELAU    PACDRIVE C600 CONTROLLER C600/10/1/1/1/00 PROCESSOR INTEL PENTIUM M 1.6 GHZ RAM 256 MB FLASH MEMORY 32 MB   
SH055/80009/0/0/00/00/00/11/00    SH055/80009/0/0/00/00/00/11/00    ELAU    ELAU MOT SH055 PACDRIVE   
VW3E3056R010    VW3E3056R010    SCHNEIDER    FIBLE OPTIQUE SERCOS II, LONGU 1M    Schneider Electric Elau Mc-4/11/22/400 Servo Drive
ISH070/60011/0/1/00/0/00/00/00    ISH070/60011/0/1/00/0/00/00/00    ELAU    CHNEIDER ELECTRIC ELAU VIA0701D11A0000 SERVO DRIVE   
MAX-4/11/03/128/08/1/0/00    MAX-4/11/03/128/08/1/0/00    ELAU    The equipment with type Variat / Démarr is manufactured by ELAU and commercialized under the reference MAX-4/11/03/128/08/1/0/00.The equipment alternative reference is : MAX41103128081000. This product comes from the PACDRIVE range. We guarantee the equipment in all the services offered for 12 months.   
SM-100-40-050-P1-45-M1-B1    SM-100-40-050-P1-45-M1-B1    ELAU    The equipment with type Mot is manufactured by ELAU and commercialized under the reference SM-100-40-050-P1-45-M1-B1.The equipment alternative reference is : SM10040050P145M1B1. This product comes from the PACDRIVE range. We guarantee the equipment in all the services offered for 12 months.   
ISH100/30058/0/0/00/0/00/10/00    ISH100/30058/0/0/00/0/00/10/00    ELAU    VIA1003C02A0000 PACDRIVE SERVO MOTOR 5.80 NM INPUT 1 MAX. 680VDC 3.60A; INPUT 2 24VDC 0.20A; 3000 MIN-1   
Standard Process Immuplex - Whole Food Immune Support and Antioxidant Support with Chromium, Folate, Vitamin B6, Copper, Selenium, Vitamin A - 150 Capsules
Standard Process Immuplex - Whole Food Immune Support and Antioxidant Support with Chromium, Folate, Vitamin B6, Copper, Selenium, Vitamin A - 150 Capsules
2341924-2 - HEAT EXCHANGER,737NGS    ELAU PAC DRIVE MC-4, SERVO AMPLIFER, 13130254, MC-4/11/22/400
Ultimate Office AdjustaView 10-Pocket Wall Reference Organizer with Easy-Load Pockets and Mounting Screws
Ultimate Office AdjustaView 10-Pocket Wall Reference Organizer with Easy-Load Pockets and Mounting Screws
234-02-0001-001IR-01    234-02-0001-001IR-02    234-02-0001-001IR-03
234-02-0001-001IR-04    234-02-0006-001    234-04-0025-001(X)
234-040GF    234-048-9003    234-05-0005-001(X)
234-05-0014-001A    234-056-9002    234-072-9009
234-149    234-3A    234-3A
23400    2340004    2340004
 TYPE: ZSK 320 F200                                                                                                     Manufacturer: COPERION                                                                                     主要里面的配件 2    ASSEMBLY ATACHMENT FOR SCREW SHAFT,                                                 PART NO.: 20130801,                                                                                                      DRAWING NO.: 50994-881H280
PEV-1/4-B. 1073-M443 festo    REDUCING BUSHING,                                                                                                   PART NO.: 20002070
Mains filter 68
MC-4 16, 63
MC-4 / 05 A 39
MC-4 / 1.5 A 37
MC-4 / 10 A 40
MC-4 / 22 A 41
MC-4 / 50 A 42
vulcan calstat
PHOTO    SKU    BRAND    DESCRIPTION    CONDITION
C600/10/1/1/1/00    C600/10/1/1/1/00    ELAU    PACDRIVE C600 CONTROLLER C600/10/1/1/1/00 PROCESSOR INTEL PENTIUM M 1.6 GHZ RAM 256 MB FLASH MEMORY 32 MB   
MC-4/11/22/400    MC-4/11/22/400    ELAU    PACDRIVE MC4 22 A 400 VAC MC-4/11/22/400.   
C400/A8/1/1/1/10    C400/A8/1/1/1/10    ELAU    PACDRIVE CONTROLLER C400 A8 REF VCA08AACA0AP00 PROCESSOR INTEL PENTIUM M 600 MHZ RAM 256 MB FLASH MEMORY 32 MB   
VDM01U30AA00    VDM01U30AA00    TELEMECANIQUE    PACDRIVE MC4 MC-4/11/03/400 3A 400 VAC   
PS-5 POWER SUPPLY ISH    PS-5 POWER SUPPLY ISH    TELEMECANIQUE    PAC DRIVE POWER SUPPLY PS-5 PS5   
VDM01U50AH00    VDM01U50AH00    TELEMECANIQUE    PACDRIVE MCA 5 A 230 VAC MC-4/11/05/230   
VDM01U50AK00    VDM01U50AK00    TELEMECANIQUE    PACDRIVE MCA 5 A 230 VAC MC-4/11/05/230   
VW3E2060R150    VW3E2060R150    SCHNEIDER    PACDRIVE CABLE COD SINCOS SM070   
VW3E1067R100    VW3E1067R100    SCHNEIDER    CABLE MOT SM70/100 POUR MC-4, 1,5MM2,   
VCA06AAAA0AA00    VCA06AAAA0AA00        PACDRIVE CONTROLLER C200; C200/A2/1/1/1/002 SERCOS SLAVES ST-PC VEGA CPU 128 MB RAM / 128 MB COMPACT FLASH REF VCA06AAAA0AA00 HW: 2JA3233012 FW: V00.24.XX   
MC-4/11/03/400    MC-4/11/03/400    ELAU    PACDRIVE MC4 MC-4/11/03/400 3A 400 VAC   
C400/10/1/1/1/00    C400/10/1/1/1/00    ELAU    PACKDRIVE C400 CONTROLLER C400/10/1/1/1/00   
SM100/40/050/P0/45/S1/B1    SM100/40/050/P0/45/S1/B1    ELAU    SM MOTORS PACDRIVE SM100 HOLDING TORQUE AMBIENT COOLING [NM] 4.8 RATED SPEED [UPM] 4000 PEAK TORQUE [NM] 16   
MAX4/11/03/128/99/1/1/00    MAX4/11/03/128/99/1/1/00    ELAU    CONTROLLER PAC DRIVE MAX4 PROFIVE T5 INTEL PII 266 MHZ 32 RAM FLASH MEMORY 128MB  THAN 8 AXES MASTER ENCODER 2 ANALOG INPUTS.   
13130266-001    13130266-001    ELAU    DB-5 DISTRIBUTION BOX ISH   
SM100-40-050-P0-44-S1-B1    SM100-40-050-P0-44-S1-B1    ELAU    SM MOTORS PACDRIVE SM100 HOLDING TORQUE AMBIENT COOLING [NM] 4.8 RATED SPEED [UPM] 4000 BRAKE   
VDM01D10AK00    VDM01D10AK00    TELEMECANIQUE    PACDRIVE MC4 MC-4/11/10/400 10 A 400 VAC   
VIA0702D02A0000    VIA0702D02A0000        PACK DRIVE SERVO MOTOR IS070 6000 TR-1 70 MM FLANGE 1.7 NM HYBRID CONNECTOR EN CODER SINCOS SINCOS SKM 36 WITHOUT HOLDING BRAKE   
MC-4/11/01/400    MC-4/11/01/400    ELAU    PACDRIVE MC4 1 A 400 VAC VDM01U15AA00   
MC-4/11/05/230    MC-4/11/05/230    ELAU    PACDRIVE MCA 5 A 230 VAC   
MAX-4/11/03/128/08/1/1/00    MAX-4/11/03/128/08/1/1/00    ELAU    CONTROLLER PAC DRIVE MAX4 PROFIVE T5 INTEL PII 266 MHZ 32 RAM FLASH MEMORY 16 MB 8 AXES MASTER ENCODER EVALUATION 2 ANALOG INPUTS   
VW3E1111R075    VW3E1111R075    TELEMECANIQUE    SH POWER CABLE E-MO-111 SH-MOTOR 1.5 7.5M..   
MAX-4/11/03/032/99/1/1/00    MAX-4/11/03/032/99/1/1/00    ELAU    SCHNEIDER MAX-4 PAC DRIVE HW: G474A8 PROFIBUS   
VDM01D10AQ00    VDM01D10AQ00    ELAU    PACDRIVE MC-4 /11/22/400 VDM01D10AQ00 ELAU HW E0R63 SW 00.22.XX   
MAX4/11/03/016/08/1/1/00    MAX4/11/03/016/08/1/1/00    ELAU    CONTROLLER PAC DRIVE MAX4 PROFIVE T5 INTEL PII 266 MHZ 32 RAM FLASH MEMORY 16 MB 8 AXES MASTER ENCODER EVALUATION 2 ANALOG INPUTS   
MAX-4/11/01/008/08/1//100    MAX-4/11/01/008/08/1/1/00    ELAU    CONTROLLER PAC DRIVE MAX4 PROFIVE T5 INTEL PII 266 MHZ 32 RAM FLASH MEMORY 8 MB 8 AXES MASTER ENCODER EVALUATION 2 ANALOG INPUTS   
SM-100/40/050/P0/45/S1/B0/04    SM-100/40/050/P0/45/S1/B0/04    ELAU    SM MOTORS PACDRIVE SM100 HOLDING TORQUE AMBIENT COOLING [NM] 4.8 RATED SPEED [UPM] 4000 PEAK TORQUE [NM] 16   
SM 100-50-030-P0-45-S1-B1    SM 100-50-030-P0-45-S1-B1    ELAU    PACDRIVE SM MOTOR SPEED 5000 TR-1 SIZE 100 MM TORQUE 3.0 NM SMOOTH SHAFT IP 64 WITH BRACKE ENCODER SINCOS SINGLE ITURN   
SM-100-50-030-P0-45-M1-B1    SM-100-50-030-P0-45-M1-B1    SCHNEIDER    PACDRIVE SM MOTOR SPEED 5000 TR-1 SIZE 100 MM TORQUE 3.0 NM SMOOTH SHAFT IP 64 WITH BRACKE ENCODER SINCOS MULTITURN   
ISH100/30025/0/1/00/0/00/00/00    ISH100/30025/0/1/00/0/00/00/00        PACDRIVE SERVO MOTOR 5.80 NM INPUT 1 MAX. 680VDC 3.60A; INPUT 2 24VDC 0.20A; 3000 MIN-1   
13130265    13130265    ELAU    PAC DRIVE POWER SUPPLY PS-5 PS5   
C400/A8/1/1/1/00    C400/A8/1/1/1/00    ELAU    PACDRIVE CONTROLLER C400 A8 PROCESSOR INTEL PENTIUM M 600 MHZ RAM 256 MB FLASH MEMORY 32 MB   
VDM01U30AQ00    VDM01U30AQ00    SCHNEIDER ELECTRIC    PACDRIVE MC4 MC-4/11/03/400 3A 400 VAC HW E0Q603 FW V00.22XX   
VBO03S00    VBO03S00    ELAU    PACDRIVE DB-5 DISTRIBUTION BOX ISH   
SM 100-50-030-P1-45-S1-B0    SM 100-50-030-P1-45-S1-B0    ELAU    PACDRIVE SERVO MOTOR 11A 400V 2.6NM   
SM 100-40-050-P0-55-S1-B0    SM 100-40-050-P0-55-S1-B0    ELAU    SM MOTORS PACDRIVE SM100 HOLDING TORQUE AMBIENT COOLING [NM] 4.8 RATED SPEED [UPM] 4000 PEAK TORQUE [NM] 16   
MC-4/11/10/400    MC-4/11/10/400    ELAU    PACDRIVE MC4 MC-4/11/10/400 10 A 400 VAC.   
C200/10/1/1/1/00    C200/10/1/1/1/00    ELAU    C200 PACDRIVE CONTROLLER C200/10/1/1/1/00 PROCESSOR ST-PC VEGA RAM 128 MB FLASH MEMORY 32 MB   
VCA08AAAA0AA00    VCA08AAAA0AA00    ELAU    PACDRIVE CONTROLLER C400 A8 PROCESSOR INTEL PENTIUM M 600 MHZ RAM 256 MB FLASH MEMORY 32 MB   
BT-4/ENC1    BT-4/ENC1    ELAU    BUS TERMINAL   
SH070/60020/0/0/00/00/00/10/22    SH070/60020/0/0/00/00/00/10/22    SCHNEIDER ELECTRIC    HIGH PERFORMANCE SERVOMOTOR SH070 1.4 NM 6000 TR/MIN 3X 400VAC   
VIA0702D02F0000    VIA0702D02F0000    TELEMECANIQUE    PACDRIVE SERVOMOTOR VIA0702D02F0000   
VIA0703D11F0000    VIA0703D11F0000    TELEMECANIQUE       
VDM01D10AL00    VDM01D10AL00    ELAU    PACDRIVE MC4 MC-4/11/10/400 10 A 400 VAC FW:V00.16.XX   
LMC212CAA10000    LMC212CAA10000    TELEMECANIQUE    CONTROL PROGRAMMABLE L MC212, 12AXES   
SM100/40/080/P2/45/S1/B0    SM100/40/080/P2/45/S1/B0    ELAU    The equipment with type Mot is manufactured by ELAU and commercialized under the reference SM100/40/080/P2/45/S1/B0.The equipment alternative reference is : SM10040080P245S1B0. This product comes from the PACDRIVE range. We guarantee the equipment in all the services offered for 12 months.   
ISH070-60017-0-1-00-0-10-10-00    ISH070-60017-0-1-00-0-10-10-00    ELAU    The equipment with type Mot is manufactured by ELAU and commercialized under the reference ISH070-60017-0-1-00-0-10-10-00.The equipment alternative reference is : ISH0706001701000101000. This product comes from the PACDRIVE range. We guarantee the equipment in all the services offered for 12 months.   
15154223130    15154223130    ELAU    The equipment with type Accessoires is manufactured by ELAU and commercialized under the reference 15154223130. This product comes from the PACDRIVE range. We guarantee the equipment in all the services offered for 12 months.   
SH31003P12F2000    SH31003P12F2000        SERVO MOTOR SH3 100 8NM;KEY;MULTI;BRAKE;90°CONN.IP54/IP65;6K RPM   
ISH100/30058/0/0/00/0/00/00/00    ISH100/30058/0/0/00/0/00/00/00        PACDRIVE SERVO MOTOR 5.80 NM INPUT 1 MAX. 680VDC 3.60A; INPUT 2 24VDC 0.20A; 3000 MIN-1   
C200/A2/1/1/1/00    C200/A2/1/1/1/00        PACDRIVE CONTROLLER C200; C200/A2/1/1/1/002 SERCOS SLAVES ST-PC VEGA CPU 128 MB RAM / 128 MB COMPACT FLASH REF VCA06AAAA0AA00 HW: 2JA3233012 FW: V00.24.XX   
VCA08AACA0AP00    VCA08AACA0AP00        AUTOMATE PROGRAMMABLE C400; 8 AXES; SW V   
VCA07AAAA0AA00    VCA07AAAA0AA00    SCHNEIDER    PACKDRIVE C400 CONTROLLER C400/10/1/1/1/00 FW 00.24.XX   
MC-4/11/10/100    MC-4/11/10/100    ELAU    PACDRIVE MC4 10A 100 VAC   
ISH100/30025/0/0/00/0/00/10/00    ISH100/30025/0/0/00/0/00/10/00    ELAU    PACDRIVE SERVO MOTOR 5.80 NM INPUT 1 MAX. 680VDC 3.60A; INPUT 2 24VDC 0.20A; 3000 MIN-1   
MAX4/11/02/016/08/0/0/00    MAX4/11/02/016/08/0/0/00    ELAU    8 AXIS   
PACDRIVE C400/10/1/1/1/00    PACDRIVE C400/10/1/1/1/00    ELAU    PACKDRIVE C400 CONTROLLER C400/10/1/1/1/00   
SH070/60030/0/1/00/00/00/01    SH070/60030/0/1/00/00/00/01    ELAU    ELAU MOT SH070 PACDRIVE   
KT 10592    KT 10592    ELAU    BATTERY 3 V LITHIUM RENATA CR2450N   
VW3E2060-R120    VW3E2060-R120    SCHNEIDER ELECTRIC    The equipment with type Accessoires is manufactured by SCHNEIDER ELECTRIC and commercialized under the reference VW3E2060-R120.The equipment alternative reference is : VW3E2060R120. This product comes from the PACDRIVE range. We guarantee the equipment in all the services offered for 12 months.   
VW3E700500000    VW3E700500000    ELAU    The equipment with type Carte is manufactured by ELAU and commercialized under the reference VW3E700500000. This product comes from the PACDRIVE range. We guarantee the equipment in all the services offered for 12 months.   
15154127130    15154127130    ELAU    The equipment with type Accessoires is manufactured by ELAU and commercialized under the reference 15154127130. This product comes from the PACDRIVE range. We guarantee the equipment in all the services offered for 12 months.   
SH31004P12F2000    SH31004P12F2000        SERVO MOTOR SH3 100 10NM;KEY;MULTI;BRAKE;90°CONN.IP54/IP65;6K RPM. SERVO MOTOR SH3 100 10NM;KEY;MULTI;BRAKE;90°CONN.IP54/IP65;6K RPM   
SH100/50030/0/0/00/00/00/11/00    SH100/50030/0/0/00/00/00/11/00    SCHNEIDER    SCHNEIDER PACDRIVE SH MOTOR 5000 TR/MIN 3AC 400V ENCODER SKM36 BRAKE   
MAX4/11/03/016/99/1/1/00    MAX4/11/03/016/99/1/1/00    ELAU    CONTROLLER PAC DRIVE MAX4 PROFIVE T5 INTEL PII 266 MHZ 32 RAM FLASH MEMORY 16 MB 8 AXES MASTER ENCODER EVALUATION 2 ANALOG INPUTS   
13130262    13130262    ELAU    PACDRIVE C600 CONTROLLER C600/10/1/1/1/00 PROCESSOR INTEL PENTIUM M 1.6 GHZ RAM 256 MB FLASH MEMORY 32 MB   
SH070/60030/0/0/00/00/00/00    SH070/60030/0/0/00/00/00/00    ELAU    ELAU MOT SH070 PACDRIVE   
SM100-30-80-P1-45-S1-B0    SM100-30-80-P1-45-S1-B0    ELAU    SM SERVO MOTOR SIZE 100 MM IP65 3000 TR/MIN TORQUE 8 NM SINCOS SINGLE TOURN   
13130260    13130260    ELAU    PACDRIVE C200/A2/1/1/1/00   
VIA1402B21F0000    VIA1402B21F0000    TELEMECANIQUE    VIA1402B21F0000   
VIA0702D01A0000    VIA0702D01A0000    TELEMECANIQUE    PACK DRIVE SERVO MOTOR IS070 6000 TR-1 70 MM FLANGE 1.7 NM HYBRID CONNECTOR EN CODER SINCOS SKS 36 WITHOUT HOLDING BRAKE   
VIA0702D12F0000    VIA0702D12F0000    ELAU    SERVOMOT PACDRIVE M REF 66012202-008 INPUT 1 680VDC 2.5 AMP INPUT 2 24VDC 0.7 AMP 6000 TR/MIN 7.6 NM   
SM 070-60-020-P0-45-M1-B1    SM 070-60-020-P0-45-M1-B1    ELAU    PACDRIVE SM-MOTOR SM 070-60-020-P0-45-M1-B1   
ISH070/60017/0/1/00/0/00/11/00    ISH070/60017/0/1/00/0/00/11/00    ELAU    SERVOMOT PACDRIVE M REF 66012202-008 INPUT 1 680VDC 2.5 AMP INPUT 2 24VDC 0.7 AMP 6000 TR/MIN 7.6 NM   
SH100/50030/0/0/00/00/10/00/00    SH100/50030/0/0/00/00/10/00/00    SCHNEIDER    MOT ELAU   
SM-140/30/120/P1/45/S1/B1    SM-140/30/120/P1/45/S1/B1    ELAU    SM MOTORS PACDRIVE SM140 HOLDING TORQUE AMBIENT COOLING [NM] 11 RATED SPEED [UPM] 3000 BRAKE   
VIA1003C01F0000    VIA1003C01F0000        PACDRIVE SERVO MOTOR 5.80 NM INPUT 1 MAX. 680VDC 3.60A; INPUT 2 24VDC 0.20A; 3000 MIN-1   
VIA1003C11F0000    VIA1003C11F0000        PACDRIVE SERVO MOTOR 5.80 NM INPUT 1 MAX. 680VDC 3.60A; INPUT 2 24VDC 0.20A; 3000 MIN-1   
SH070/600/20/0/1/00/00/00/00/00    SH070/600/20/0/1/00/00/00/00/00        SERVO MOTOR SH3 070 2;2NM;KEY;SINGLE;NOBRAKE;90°CONN.IP54/IP65;8K RPM   
VIA1003C11A0000    VIA1003C11A0000        PACDRIVE SERVO MOTOR 5.80 NM INPUT 1 MAX. 680VDC 3.60A; INPUT 2 24VDC 0.20A; 3000 MIN-1   
13130247    13130247    ELAU    MC-4/11/10/400 PACDRIVE 13130247 10A SERVO DRIVE   
VPM02D20AA00    VPM02D20AA00    TELEMECANIQUE    PACDRIVE PS-5 POWER SUPPLY ISH PS-5/10/20/400/00   
SH070/60030/0/0/00/00/00/01/00    SH070/60030/0/0/00/00/00/01/00    ELAU    ELAU MOT SH070 PACDRIVE   
SM140-30-120-P0-45-M1-B1    SM140-30-120-P0-45-M1-B1    ELAU    PACDRIVE SM-MOTOR SM140-30-120-P0-45-M1-B1   
MC-4/10/50/400    MC-4/10/50/400    ELAU    ELAU PACDRIVE 50 AMP MC-4/10/50/400   
SM 100-50-030-P0-45-S1-B0    SM 100-50-030-P0-45-S1-B0    ELAU    PACDRIVE SM MOTOR SPEED 5000 TR-1 SIZE 100 MM TORQUE 3.0 NM SMOOTH SHAFT IP 64 WITHOUT BRACKE ENCODER SINCOS SINGLE ITURN   
SH070/60010/0/0/00/00/00/10/00    SH070/60010/0/0/00/00/00/10/00    ELAU    ELAU MOT SH PACDRIVE 1 NM RATED MOTOR SPEED 6000 NIN-1   
VW3E1120R010    VW3E1120R010    SCHNEIDER    The equipment with type Accessoires is manufactured by SCHNEIDER and commercialized under the reference VW3E1120R010. This product comes from the PACDRIVE range. We guarantee the equipment in all the services offered for 12 months.   
SH100/40080/0/0/00/00/00/01    SH100/40080/0/0/00/00/00/01        ELAU PACDRIVE SERVO MOTOR SH3 100 8NM;NOKEY;SINGLE;BRAKE;90°CONN.IP54/IP65;6K RPM   
MAX4/11/03/016/08/0/1/00    MAX4/11/03/016/08/0/1/00        PACDRIVE CONTROLLER MAX-4 8 AXES PII 266MHZ 16 MBITS MEMORY 2 ANALOG INPUT    
MAX4/11/01/008/08/0/0/00    MAX4/11/01/008/08/0/0/00    ELAU    PACDRIVE MAX-4 8 AXES RJ-45 ETHERNET CONNECTOR 8MB FLASH MEMORY NO MASTER ENCODER EVALUATION   
SM-140/30/120/P1/45/S1/B0    SM140/30/120/P1/45/S1/B0    ELAU    PACDRIVE PACDRIVE SM-140/30/120/P1/45/S1/B0   
VCA09AAAA0AA00    VCA09AAAA0AA00    ELAU    PACDRIVE C600 CONTROLLER C600/10/1/1/1/00 PROCESSOR INTEL PENTIUM M 1.6 GHZ RAM 256 MB FLASH MEMORY 32 MB   
MAX4/11/03/032/08/1/1/00    MAX4/11/03/032/08/1/1/00    ELAU    CONTROLLER PAC DRIVE MAX4 PROFIVE T5 INTEL PII 266 MHZ 32 RAM FLASH MEMORY 16 MB 8 AXES MASTER ENCODER EVALUATION 2 ANALOG INPUTS   
SH30702S0001101    SH30702S0001101    SCHNEIDER ELECTRIC    ELAU PACDRIVE SERVO MOTOR SH30702S0001101   
VDM01D22AL00    VDM01D22AL00    ELAU    PACDRIVE MC4 22 A 400 VAC MC-4/11/22/400   
DB-5    DB-5    TELEMECANIQUE    13130266-001 DISTRIBUTION BOX ISH   
BT-4/DIO1    BT-4/DIO1    ELAU    BUS TERMINAL   
SH140/30330/0/1/00/00/00/000/03    SH140/30330/0/1/00/00/00/000/03    ELAU    SERVO MOTOR PACDRIVE SH140/30330/0/1/00/00/00/000/03   
VIA0703D02F0000    VIA0703D02F0000    TELEMECANIQUE    PACDRIVE SERVO MOTOR ISH070/60022/0/0/00/0/00/11/00 680 VDC 2.70 AMP 6000 IN-1   
1e1b9-a
2340118-1-1    2340128-1    2340144-2
2340144-3    2340186-2    2340356-1
2340358-1    2340359-1    23404-65 and 65/80
2340400-1    2340400-1    23406
2340628-1    2340628-2    2340628-3
2340630-1    2340630-2    2340630-3
2340632-1    2340632-2    2340632-3
2340634-2
DJI RS 2 Combo - 3-Axis Gimbal Stabilizer for DSLR and Mirrorless Cameras, Nikon, Sony, Panasonic, Canon, Fuji, 10lbs Tested Payload, 1.4” Full-Color Touchscreen, Carbon Fiber Construction, Black
DJI RS 2 Combo - 3-Axis Gimbal Stabilizer for DSLR and Mirrorless Cameras, Nikon, Sony, Panasonic, Canon, Fuji, 10lbs Tested Payload, 1.4” Full-Color Touchscreen, Carbon Fiber Construction, Black
Type : PQR48_0-250_MICROA; Degree of protection : IP52; Variant : PQR48; Measured variable : current DEBNAR
IB81-FCMTTFT DEBEM
IB251P-HTDID DEBEM
IB81-FCMTTFT DEBEM
IB81-FCMTTF  BOXER 81 PVDF DEBEM
STD-BSPDN50PN1.6 DDRDT
MS3057-24A DDK
MS3057-24A DDK
PLC0615A850C DCM sistemes
DCM-DOM1410-630C DCM sistemes
3378 DAYTRONIC
42518C(4Z518C) DAYTON
TYPE TRANSROL 89X3-15-R-440 DAVID
TYPE TRANSROL 70X2.9-15-M+ R-620 DAVID
TCD-19-133X4-30-M-620 DAVID
TYPE TRANSROL 133X4-30-620 DAVID
DAT1040 DATEXEL
DAT2065 10+30VDC DATEXEL
DAT5023IAC/A DATEXEL
S51-PA-5-C01-PK No:033088 DATASENSOR
S5-5-C10-97 DATASENSOR
515PA-5-M01-PX DATASENSOR
1S-12-D1-03 DATASENSOR
S50-PA-5-E01-PP DATASENSOR
S40-PH-5-M03-PH DATASENSOR
515PA-5-M01-PX DATASENSOR
SR21- AR   SH1694 DATASENSOR
S40-PH-5-M03-PH DATASENSOR
SR21- AR   SH1694 DATASENSOR
515PA-5-M01-PX DATASENSOR
PA0054长3M DATAPAQ
PA0054 长3M DATAPAQ
PA0054 DATAPAQ
DS2-05-07-045-JV DATALOGIC
S3R-G5 DATALOGIC
MATRIX 210 213-100 S/N:C15F05203 DATALOGIC
GRYPHOND4130SD DATALOGIC
PM8300D DATALOGIC
CAB-DS03-S DATALOGIC
OF-42ST-20 DATALOGIC
S100-PR-5-D00-PK/S060000061 DATALOGIC
CS-AI-03-U-03 DATALOGIC
S60-PA-5-B01-PP DATALOGIC
BOS S50-PL-5 C01-PP DATALOGIC
S8-PR-3-W03-PP DATALOGIC
SM-PR-2-D30-PP DATALOGIC
S100-PR-5-D00-PK/S060000061 DATALOGIC
CAB-DS03-S DATALOGIC
TL50-W-815+cable DATALOGIC
S50-MA-5-E01-PP DATALOGIC
SM-PR-2-D30-PP DATALOGIC
S3R-G5 DATALOGIC
MATRIX210N 212-010 DATALOGIC
S60-PA-5-B01-PP DATALOGIC
DS6400-100-010 DATALOGIC
S7-6-E-P DATALOGIC
GRYPHON D4130 SD B800GD4130 DATALOGIC
DX8200A-3011 S/N C15D02771 110-240Vac 0.4-0.2A 50-60HZ DATALOGIC
M-3010 433MHZ 10-30VDC 1A DATALOGIC
TL46-W-815 DATALOGIC
S15-PA-5-C11-PK DATALOGIC
SCM7B34-01RTD Pt100±100°CIn1-5VOUT DATAFORTH
CAH 150 C 21153227411 DANOTHERM
ZH3163150414 CBH 165 C H 414 DANOTHERM
R5217210401-10R/200W DANOTHERM
CBR-V 210 D T 281 DANOTHERM
33R A5 642 DANOTHERM
9-1216-260 DANLY
9-1220-11_Danly_DieMax XL_9.3N/MM DANLY
D31FTE02CC4NF0035 DANIELI
Type: KEY009   Code:328200629   S/N:P01502330.0  30.037 DANIELI
EBR40(O/N5112000021) DANIELI
SERVOSTARTM603 SN:S60300 Danaher Motion
63025-01-A LS5F DANAHER
63025-01 LS5 F DANAHER
63025-01-A LS5F DANAHER
63025-01-A LS5F DANAHER
DBL3N00130-OR2-000-S40  SN:0915399570 DANAHER
DBL3N00130-OR2-000-S40  SN:0915399570 DANAHER
63025-01-A LS5F DANAHER
63025-01-A LS5F DANAHER
DBL3N00130-OR2-000-S40  SN:0915399570 DANAHER
MAS2600-G30-10-0/00 DAMCOS
MAS2600-G30-10-1/2P DAMCOS
CUSTOMER: GRUPO GUAYANA 525, C.A
RIF: J410104414
Velocity Sensor  High Temperature, Configurable

Output (in mV/ips): 150 mV/ips, 2-Pin Connector

Metrix

5485C

329A3529P001
NGS  2341924-2
MAS2600 G20-08-V/2P DAMCOS
MAS2600-G20-07-0/00 DAMCOS
KLS22/68 DAMCOS
MAS2600-G40-03-0/00 DAMCOS
E910 DAMALINI
CZF:33247211856306
TYPE-FV3.0DALEMANS DALEMANS
VZ50C24RHX-10 DAIKIN
TT2-91A00F2 INPUT 0~500VDC OUTPUT 4~20mADC 220VAC 50HZ DAIICHI
TT2-91A00F2 INPUT 0~500VDC OUTPUT 4~20mADC 220VAC 50HZ DAIICHI
DJI Mavic Mini Drone FlyCam Quadcopter with 2.7K Camera 3-Axis Gimbal GPS 30min Flight Time (Reed)
DJI Mavic Mini Drone FlyCam Quadcopter with 2.7K Camera 3-Axis Gimbal GPS 30min Flight Time (Reed)
238.00
Amat 1040-01153 Meter Press 0-30 Psi Dhi Rpm3 G0030,
Amat 1040-01153 Meter Press 0-30 Psi Dhi Rpm3 G0030,
5,900.00  17d 2h
DHI 122672 / U02672 Rev A  PCB for DHI RPM3 G0030 REFERENCE PRESSURE MONITOR
DHI 122672 / U02672 Rev A PCB for DHI RPM3 G0030 REFERENCE PRESSURE MONITOR
CT94271C/02 Field Bus Control Module
DHI 3156064 Rev.B W24717-012 PCB for DHI RPM3 G0030 REFERENCE PRESSURE MONITOR
DHI 3156064 Rev.B W24717-012 PCB for DHI RPM3 G0030 REFERENCE PRESSURE MONITOR
925.00 24d 11h
 
DHI 122672 / U02672 Rev A PCB for DHI RPM3 G0030 REFERENCE PRESSURE MONITOR
 DANAHER 63025-01-A
  Cooper B3100-10


Gas Springs A17577


Gas Springs A17412


Gas Springs A12757


Gas Springs A12757


Aerotech HDZ1L


Gas Springs 8432-I


Albion R-62


Fath 098A080ZS


Kennametal CCGT3254HP


Clampco 853-200


Misumi HCHJS65


Magnet-Schultz GMHX030X00D0224


Unbrako 10426


ACE GS28-500-CCR-1200


Southco P2-42


Caddy 4010300EG


Federal-Mogul 471413


Barry C1050-HDS


Stabilus 082716


ACE GS22-350-AA-1300


Gas Springs GS-15-100-CC-R-180


ACE GS-28-500-CC-R100


Holo-Krome (76388)


Holo-Krome (76368)


Vlier S-50P


Clic #28


Fath 103992


Unbrako 07614


Cooper B3100-6


ACE AGS15-100-CC-V-400


SUSPA C16-18833


Footmaster GD-100


Kennametal CCMT3252MP


Southco C3-805


Albion 16LD0580


Suspa C16-03670A


Suspa C16-24411


Gas Springs 28-200-CC-M


WMI WGD-60S


Faultless FTL56


Wheel Master WIS-40


Stauff 6508PP


Cooper B3100-12


Cooper B3100-14


Hudson LPBT-1CS


Brighton-Best 241085


PPI KC60F


Holo-Krome (76372)


Marson SB6-14


Cooper B3100-4


S&W BNYLD36


Fath 098AG080


DeStaCo 317-S


Associated 020-068


Southco A7


S&W SSW-1


Kennametal SPEB322


Southco A7-10-301-30


Saginaw SCE-LPD2


Norma 12-20


Ceam CI000829NIK00


B-Line B3100-8


Stanley 81-0565


Unistrut 032M036


AMPG STR60214C05


SPDHardware SPD-GSNI-5100-30


Rittal SV3079


Sti 44506-4730


Suncor C0122-MC08


DE-STA-CO 2013-U
CC-E/RTD refer to table 0-10 V, 0-20 mA,
4-20 mA 1SVR 011 701 R25001) 1
single-function
CC-E RTD/V
CC-E RTD/I
CC-E RTD/I
PT100
0...100 °C
0-10 V
0-20 mA
4-20 mA
1SVR 011 730 R2500
1SVR 011 731 R1200
1SVR 011 732 R1300
联轴器摩擦片组件,No:15006098,位
号:25K4010,21K8400,22K8400,供应商:CWP,制造
商:CWPsunjinghe 2007.06.29updated联轴器摩擦片,位
号:25K4010,21K8400,22K8400sunjinghe
2007.02.26updated联轴器摩擦片,位
号:21KM8400A,22KM8400A

2 109984
螺杆轴封,No:20437405,图号:50982-569H1,设备类型:ZSK350,
位号:21X8400,22X8400,制造商:CWPSUNJINGHE
2007.04.24UPDATED螺杆轴封,位
号:21Extruder,22Extruder20101103 sjh update 位
号:21K8400/22K8400

3 110409
螺杆轴密封,No:20432788,图号:50982-563H1,位号:25X4010,
设备类型:ZSK280-320,制造商:CWPSUNJINGHE
2007.04.24UPDATED螺杆轴密封,No:20432789,DWG:50982-
563H1,位号:25X4010,制造商:CWPUPDATE 160615
SJHNO:22004123,50982-676H1

4 121119
切刀转子,No:50992-2123,SECCO订单
号:04DESHY26SECCO707,供应商:CWP,制造商:CWP,位
号:25Z4040update 181221 sjh切刀转子,No:50992-
2123,SECCO订单号:04DESHY26SECCO707,供应商:CWP,制造
商:CWP20101103 sjh update 位号:25K4010
CC-E RTD/V
CC-E RTD/I
CC-E RTD/I
PT100
-50...+50 °C
0-10 V
0-20 mA
4-20 mA
1SVR 011 733 R1400
1SVR 011 734 R1500
1SVR 011 735 R1600

CC-E RTD/V
CC-E RTD/I
CC-E RTD/I
PT100
0...300 °C
0-10 V
0-20 mA
4-20 mA
1SVR 011 736 R1700
1SVR 011 737 R1000
1SVR 011 738 R2100

CC-E RTD/V
CC-E RTD/I
CC-E RTD/I
PT100
-50...+250 °C
0-10 V
0-20 mA
4-20 mA
1SVR 011 739 R2200
1SVR 011 740 R0700
1SVR 011 741 R2400

Supply voltage: 110-240 V AC
universal
CC-E/RTD refer to table 0-10 V, 0-20 mA,
4-20 mA 1SVR 011 706 R2200 1
single-function
CC-E RTD/V
CC-E RTD/I
CC-E RTD/I

1SVR 011 788 R2400
1SVR 011 789 R2500
1SVR 011 790 R2200

CC-E RTD/V
CC-E RTD/I
CC-E RTD/I
PT100
-50...+50 °C
0-10 V
0-20 mA
4-20 mA
1SVR 011 791 R1700
1SVR 011 792 R1000
1SVR 011 793 R1100

CC-E RTD/V
CC-E RTD/I
CC-E RTD/I

1SVR 011 794 R1200
1SVR 011 795 R1300
1SVR 011 796 R1400

CC-E RTD/V
CC-E RTD/I
CC-E RTD/I
PT100
-50...+250 °C
0-10 V
0-20 mA
4-20 mA
1SVR 011 797 R1500
1SVR 011 798 R2600
1SVR 011 799 R2700

Andrew 31769-5-3/4


Carr Lane CL-150-SPC

CC-E-RTD-V-1SVR011730R2500
Fab-tech 55014-7


Tinnerman PS188007SOH
silicon wafer 12” 300mm copper pattern reclaim
silicon wafer 12” 300mm copper pattern reclaim
50.00  11d 17h
Digilent Digilab D2SB System Board
Digilent Digilab D2SB System Board
50.00 0 Bids or 6d 23h
Vintage 4" silicon wafer with Microprocessors - From 1980s and Case is Included
Top-Rated Plus Seller Vintage 4" silicon wafer with Microprocessors - From 1980s and Case is Included
27.50 26d 17h
SMC  THERMO-CON INR-244-634B for semiconductor chiller SEM-I-955
SMC  THERMO-CON INR-244-634B for semiconductor chiller SEM-I-955
2,999.90 29d 5h
lot of 35 Silicon Wafer 2 1/4" inches
lot of 35 Silicon Wafer 2 1/4" inches
50.00 24d 18h
Huntington Labs - L-2220-1 - Linear Motion Feedthrough - Push/Pull Acuation
Huntington Labs - L-2220-1 - Linear Motion Feedthrough - Push/Pull Acuation
145.00  29d 10h
TURCK BC10-QF5,5-RP6X2/S932 2620141 Capacitive Proximity Sensor BC10-QF5
Top-Rated Plus Seller TURCK BC10-QF5,5-RP6X2/S932 2620141 Capacitive Proximity Sensor BC10-QF5
84.99 16d 20h
Historic 1.5" silicon wafer - Vintage 1960s DTL FCH211 by Mullard of the UK
Top-Rated Plus Seller Historic 1.5" silicon wafer - Vintage 1960s DTL FCH211 by Mullard of the UK
92.50 17d 16h
ASML  4022.486.57153 Coil Assembly SEM-I-994=9G22
ASML  4022.486.57153 Coil Assembly SEM-I-994=9G22
799.90  29d 4h
ASML  4022.646.87461 or 4022.646.87462 or 4022.640.67512 SEM-I-957=P1
ASML  4022.646.87461 or 4022.646.87462 or 4022.640.67512 SEM-I-957=P1
1,299.90  29d 3h
Tokyo Electron Limited P-12xl Aqa-k09-typ2 Chiller
Tokyo Electron Limited P-12xl Aqa-k09-typ2 Chiller
3,299.99  2d 13h
ASML WSZSCB  4022.470.24273 SEM-I-867=9G22
ASML WSZSCB  4022.470.24273 SEM-I-867=9G22
299.90 29d 4h
ASML  4022.636.8506 ATWT WTC CABINET WSE BOX 1 ASSY SEM-I-993=9G22
ASML  4022.636.8506 ATWT WTC CABINET WSE BOX 1 ASSY SEM-I-993=9G22
299.90 29d 4h
Neslab CFT-33 Air Cooled Recirculating Chiller  Tag #42
Neslab CFT-33 Air Cooled Recirculating Chiller Tag #42
1,350.00 25d 17h
Shin-Etsu Model FOUP 300EX, Wafer Carrier FOUP 300 mm, 12",  OLD STOCK
Shin-Etsu Model FOUP 300EX, Wafer Carrier FOUP 300 mm, 12",  OLD STOCK
540.00 19d 17h
Entegris HA-200 HA200 w/XT201-0402 200 mm UV Wafer Carrier Transport Storage Box
Entegris HA-200 HA200 w/XT201-0402 200 mm UV Wafer Carrier Transport Storage Box
44.75 7d 22h
3M cold shrink connector insulator 8425-7
Top-Rated Plus Seller 3M cold shrink connector insulator 8425-7
10.00  29d 12h
EQUIPE,PRI,BROOKS PRE-200 200mm ALIGNER BELT, 1SET(5 EA) FOR OVERHAUL OR REPAIR
EQUIPE,PRI,BROOKS PRE-200 200mm ALIGNER BELT, 1SET(5 EA) FOR OVERHAUL OR REPAIR
999.99 20d 1h
NOS TD162N16KOF Power Block  Module / Eupec
NOS TD162N16KOF Power Block Module / Eupec
55.00  29d 18h
Applied AMAT ENDURA XP 0010-29842 ASSY 300mm DBR High Temp Belt Wrist Titanium
Applied AMAT ENDURA XP 0010-29842 ASSY 300mm DBR High Temp Belt Wrist Titanium
545.00 20d 14h
Tylan General Cdl-11so6 0-10 Torr
Tylan General Cdl-11so6 0-10 Torr
119.95  12h 12m
IMTEC ACCULINE ACCUBATH Quartz PN# 10-000-1969 BATH PROCESS TANK 9KW 208V.
IMTEC ACCULINE ACCUBATH Quartz PN# 10-000-1969 BATH PROCESS TANK 9KW 208V.
4,500.00  29d 21h
8 inch (200 mm) silicon wafers polished solar mechanical epi semiconductor
8 inch (200 mm) silicon wafers polished solar mechanical epi semiconductor
550.00 5d 14h
PTFE Fluoro Wafer Dipper 3 inch
Top-Rated Plus Seller PTFE Fluoro Wafer Dipper 3 inch
169.95 7d 13h
MDC VACUUM PRODUCTS BLM-275-4-03 SPEC D Linear Motion Feedthrough 2 3/4" Flange
MDC VACUUM PRODUCTS BLM-275-4-03 SPEC D Linear Motion Feedthrough 2 3/4" Flange
729.99 24d 21h
Koganei 299-4E2 Solenoid Valve, AC100V, 452437
Koganei 299-4E2 Solenoid Valve, AC100V, 452437
75.00 17d 17h
NIDEC SANKYO Robot Controller  SC3400 HP SEM-I-959=9C45
NIDEC SANKYO Robot Controller  SC3400 HP SEM-I-959=9C45
4,999.90 29d 4h
Moly Disilicide MoSi2 Kiln Element 1800 degrees C Set of 2
Moly Disilicide MoSi2 Kiln Element 1800 degrees C Set of 2
200.00 20d 1h
Granville Phillips Micro-Ion Module 354019-TD-T
Granville Phillips Micro-Ion Module 354019-TD-T
99.00 22d 13h
25X 4" Silicon Wafer Cincinnati Milacron N/P 2.43-2.97 Ohm-cm Epi 12-14 um
25X 4" Silicon Wafer Cincinnati Milacron N/P 2.43-2.97 Ohm-cm Epi 12-14 um
120.00 1d 22h
PL2303TA USB to TTL RS232 Module Upgrade Module USB to Serial Port Download SH
PL2303TA USB to TTL RS232 Module Upgrade Module USB to Serial Port Download SH
3.70  28d 7h
27-034223-00 /trazar 10e-1match 5kw 150mmspd / Novellus
27-034223-00 /trazar 10e-1match 5kw 150mmspd / Novellus
4,000.97  15d 16h
KF40 to KF16 Stainless Steel Reducer -Welded-
KF40 to KF16 Stainless Steel Reducer -Welded-
12.50 22d 3h
Suppression Power Supply / Hunting Hivolt
Suppression Power Supply / Hunting Hivolt
392.00  4d 18h
Factory Sealed 10 Disco Blades Diamond Dicing Saw Blade Nbc-zh 204j - 35hddd
Factory Sealed 10 Disco Blades Diamond Dicing Saw Blade Nbc-zh 204j - 35hddd
70.00  18d 4h
Nikon 4S008-061 Relay Board PCB ALGAF-PROCESS-A Nikon NSR System  Working
Nikon 4S008-061 Relay Board PCB ALGAF-PROCESS-A Nikon NSR System  Working
912.17  10d 14h
Hitachi EVAC CONT UNIT Power Distribution Module S-9300 CD SEM  Working
Hitachi EVAC CONT UNIT Power Distribution Module S-9300 CD SEM  Working
1,212.08  4d 13h
7176 Tokyo Electron Pcb Tsbc-v30b, 808-520440-1 881-520440-1
7176 Tokyo Electron Pcb Tsbc-v30b, 808-520440-1 881-520440-1
612.27  21d 5h
Asyst 4001-1354-01, SMIF Pod, for wafer Casette transport, 150mm, Hoop 402867
Asyst 4001-1354-01, SMIF Pod, for wafer Casette transport, 150mm, Hoop 402867
195.00 12d 15h
Mks 740b-15956----s 50 Psig Baratron Pressure Transducer Ss Fvcr Fitting
Mks 740b-15956----s 50 Psig Baratron Pressure Transducer Ss Fvcr Fitting
155.00  8d 0h
Minarik MicroMaster WP6311-AAAA Controller
Minarik MicroMaster WP6311-AAAA Controller
189.00  12d 15h
AMAT, Cassette Handler, 0010-70001, 200mm, 471-KM
AMAT, Cassette Handler, 0010-70001, 200mm, 471-KM
5,000.00  3d 2h
Elmo Motion Control PIC-6/200 Servo Amplifier Lot of 3
Elmo Motion Control PIC-6/200 Servo Amplifier Lot of 3
199.00 9d 14h
Asahi D2990 Liner Pulsemotor Controller PCB Card Nikon 4S014-178 4S211-430-4
Asahi D2990 Liner Pulsemotor Controller PCB Card Nikon 4S014-178 4S211-430-4
1,506.17  18h 34m
Swagelok SS-HFM3B-VCR4-P 316L VIM-VAR Inline High Purity Gas Regulator 1/4" VCR
Swagelok SS-HFM3B-VCR4-P 316L VIM-VAR Inline High Purity Gas Regulator 1/4" VCR
149.99 8d 21h
Silicon Wafer 8" Reclaimed Copper 001
Silicon Wafer 8" Reclaimed Copper 001
35.00  14d 22h
Dip Cdn497 0660-00090 Rev001 Fw: 3.015 Hw: 3.001 0660-00091 Rev001 Hw: 4.001
Dip Cdn497 0660-00090 Rev001 Fw: 3.015 Hw: 3.001 0660-00091 Rev001 Hw: 4.001
1,900.00 12d 19h
Interloop Temperature I/O Card Module 237/238
Interloop Temperature I/O Card Module 237/238
44.99  14d 21h
A193-55M-0515 Entegris / Fluoroware 125mm 25 Slot, Solar / Square Wafer Carrier
A193-55M-0515 Entegris / Fluoroware 125mm 25 Slot, Solar / Square Wafer Carrier
185.00 1d 14h
Entegris H20-551 9-Slot 2" Wafer Chip Tray and Cover - Bare Die CSP LOT OF 10
Entegris H20-551 9-Slot 2" Wafer Chip Tray and Cover - Bare Die CSP LOT OF 10
51.00 30.60 3d 18h
135-0301// Smc Xgt311-50336-1f-x489 Slit Valve Asis
135-0301// Smc Xgt311-50336-1f-x489 Slit Valve Asis
450.00 22d 5h
Nikon 4b990-541 (center Detection Sensor Unit  )  Nsr
Nikon 4b990-541 (center Detection Sensor Unit ) Nsr
300.00  3d 19h
Proteus Industries 98004SN2P1 Flow Meter 5VDC= 2.5GPM  Metal
Proteus Industries 98004SN2P1 Flow Meter 5VDC= 2.5GPM  Metal
125.00 14d 13h
1226 Omron Aux Power Timer H5cl-a
1226 Omron Aux Power Timer H5cl-a
50.10  5d 6h
MKS 901P-11040 Loadlock Transducer W/ Aluminum Clamp
MKS 901P-11040 Loadlock Transducer W/ Aluminum Clamp
65.00  21d 19h
AMAT 0240-27291 Kit, HW for Dura TTN Adapter, 3690-02697, 3690-03130, 323258
AMAT 0240-27291 Kit, HW for Dura TTN Adapter, 3690-02697, 3690-03130, 323258
150.00 8d 18h
331-0301// Lam Research 715-460214-003 6inch Chamver Body []
331-0301// Lam Research 715-460214-003 6inch Chamver Body []
4,000.00 18d 3h
C01-0315 Entegris / Fluoroware Tweezers 127mm Teflon / ETFE
C01-0315 Entegris / Fluoroware Tweezers 127mm Teflon / ETFE
45.00 4d 16h
Silicon Wafer Round, Single Sided Polished, High Purity Monocrystalline Silicon
Silicon Wafer Round, Single Sided Polished, High Purity Monocrystalline Silicon
40.00  9d 20h
1270-01041 / Sw Temperature Control 50-350 Deg F A / Burling Instruments Inc
1270-01041 / Sw Temperature Control 50-350 Deg F A / Burling Instruments Inc
1,046.65  18d 19h
3M 1278 1 in X 144 YD Circuit Plating Tape Blue 2.8mil (1 roll)
3M 1278 1 in X 144 YD Circuit Plating Tape Blue 2.8mil (1 roll)
19.99  7d 22h
426-0501// Fusion Acu/pcu/mcu 250711 Touch Screen [/fast]
426-0501// Fusion Acu/pcu/mcu 250711 Touch Screen [/fast]
1,000.00 23d 1h
Lam Research Air Lift Cylinder 715-007595-002 Rev D
Lam Research Air Lift Cylinder 715-007595-002 Rev D
199.99  11d 22h
26153 Applied Materials Mca+ Heater, Snnf, 8", Esc 0010-03254 (refurbished)
26153 Applied Materials Mca+ Heater, Snnf, 8", Esc 0010-03254 (refurbished)
50,000.00  28d 8h
7473 Asml Pcb Amplifier Module/card Svg 4022.436.8512
7473 Asml Pcb Amplifier Module/card Svg 4022.436.8512
668.00  3d 5h
4s018-787/alg-ace Pcb/nikon
4s018-787/alg-ace Pcb/nikon
350.99  10d 15h
Yamamoto MS61L Differential Pressure Switch
Top-Rated Plus Seller Yamamoto MS61L Differential Pressure Switch
24.99 22d 13h
Svg Control Panel 8826
Svg Control Panel 8826
750.00  8d 16h
C20-0215 Entegris / Fluoroware "Labware" Tweezers Round Tip 127mm Teflon / PFA
C20-0215 Entegris / Fluoroware "Labware" Tweezers Round Tip 127mm Teflon / PFA
65.00 16d 23h
SVG WJ 912850-001 Belt with 30 day warranty
SVG WJ 912850-001 Belt with 30 day warranty
1,900.00 20d 16h
EPak 4in. (100MM )Wafer Process Cassette and Box Set - Black
EPak 4in. (100MM )Wafer Process Cassette and Box Set - Black
60.00 9d 12h
 Factory Sealed 10 Disco Blades Diamond Dicing Saw Blade Nbc-zh 203j - 27hcdd
 Factory Sealed 10 Disco Blades Diamond Dicing Saw Blade Nbc-zh 203j - 27hcdd
50.00  2d 8h
COMET Vacuum capacitor  CTMN-50DAC/30-VK 45-55pF discolored SEM-I-933=2L12-1
COMET Vacuum capacitor  CTMN-50DAC/30-VK 45-55pF discolored SEM-I-933=2L12-1
49.90 17d 1h
Blm-023252x03 / Ctl 6541 Pcb / Advantest
Blm-023252x03 / Ctl 6541 Pcb / Advantest
1,200.00  8d 2h
425-58-002 / Crossover, W/o Plug / Atmi Systems
425-58-002 / Crossover, W/o Plug / Atmi Systems
1,342.00  29d 2h
0010-22490 / Fast Data Collector / Applied Materials Amat
0010-22490 / Fast Data Collector / Applied Materials Amat
1,260.00  16h 56m
Applied Materials - 0100-09175 - Teos Interlock Bd. Assy.
Applied Materials - 0100-09175 - Teos Interlock Bd. Assy.
514.00 9d 13h
Koganei - F-sav070-3w - Valve
Koganei - F-sav070-3w - Valve
400.00 3d 16h
Applied Materials - 0040-20054 - Insulator Ar, Mix
Applied Materials - 0040-20054 - Insulator Ar, Mix
300.00 4d 13h
4"x25" Watlow Silicone Flexible Heating Strip 040250c1 120volts 500watts 89260
Top-Rated Plus Seller 4"x25" Watlow Silicone Flexible Heating Strip 040250c1 120volts 500watts 89260
80.00  26d 18h
Fluoroware H44-02 4" Wafer Top Tray Cover - 50 Pack - Entegris H44-02-5401 -
Fluoroware H44-02 4" Wafer Top Tray Cover - 50 Pack - Entegris H44-02-5401 -
102.00 51.00  3d 17h
SEREN AT100J 9400370002  / Free international Shipping
SEREN AT100J 9400370002 / Free international Shipping
1,999.00 25d 4h
Robitech - 980-1023 - Pcb, Front Plate, Regulator
Robitech - 980-1023 - Pcb, Front Plate, Regulator
50.00  7d 14h
0020-30347 / Cylinder, External / Applied Materials Amat
0020-30347 / Cylinder, External / Applied Materials Amat
387.24  6d 18h
Kalrez O-Ring K#110 Compound 4079
Kalrez O-Ring K#110 Compound 4079
19.80  26d 14h
Hitachi Kokusai CX3202 Furnace Gas Controller Made in Japan
Hitachi Kokusai CX3202 Furnace Gas Controller Made in Japan
1,999.99 5d 15h
0040-32543 /ring,magnet,lower/ Applied Materials
0040-32543 /ring,magnet,lower/ Applied Materials
4,000.97  18d 20h
Nikon - 23137a - Pcb
Nikon - 23137a - Pcb
200.00  4d 16h
NUMATICS - 225-272B - Pneumatic Valve
NUMATICS - 225-272B - Pneumatic Valve
200.00 4d 12h
Perkin Elmer - 851-8220-011 - Pcb
Perkin Elmer - 851-8220-011 - Pcb
250.00 28d 18h
1504260 / Pcb Atp Board Assy Brd# 7500-5190-02 / Axcelis Technologies
1504260 / Pcb Atp Board Assy Brd# 7500-5190-02 / Axcelis Technologies
1,630.20  23d 23h
Spectra-Physics 7300-L4 / 7310 7300 Laser Diode Module w/ 7310 Remote & Cables
Spectra-Physics 7300-L4 / 7310 7300 Laser Diode Module w/ 7310 Remote & Cables
799.99  29d 22h
Mrc Chamber Blank Off Kdf0776
Mrc Chamber Blank Off Kdf0776
199.00  8d 14h
Smc Pfm711s-c8l-a-m Digital Flow Switch
Smc Pfm711s-c8l-a-m Digital Flow Switch
50.00 17d 10h
632630130.00 / Pcb / Asm America Inc
632630130.00 / Pcb / Asm America Inc
250.99  20d 16h
Applied Materials - 0140-09285 - Harness Assy Turbo Interconnect
Applied Materials - 0140-09285 - Harness Assy Turbo Interconnect
120.00 3d 16h
Lam - 2800392 - Dual Driver Module
Lam - 2800392 - Dual Driver Module
170.00 29d 13h
Lam - 766-000434-001 - 3 Way 24v, Kip P/n 141031
Lam - 766-000434-001 - 3 Way 24v, Kip P/n 141031
150.00 29d 18h
Lam - 714-000409-001 - Mount  Solenoid Entr/exit Stat
Lam - 714-000409-001 - Mount Solenoid Entr/exit Stat
40.00 7d 12h
Tokyo Electron Bottom Electrode 8" Esc(3ga-up) 1810-120707-15
Tokyo Electron Bottom Electrode 8" Esc(3ga-up) 1810-120707-15
1,200.00  7d 2h
344-0403// Amat Applied 3690-02126 Scr Mach Skt Hd 6-32x7/8l Sst Sil Pld
344-0403// Amat Applied 3690-02126 Scr Mach Skt Hd 6-32x7/8l Sst Sil Pld
40.00 20d 0h
321-0402// AMAT APPLIED 3320-02242 GSKT 1.33 CFF OFHC Cu []
321-0402// AMAT APPLIED 3320-02242 GSKT 1.33 CFF OFHC Cu []
20.00 22d 5h
0020-70271 / Pivot, One Piece Left / Applied Materials Amat
0020-70271 / Pivot, One Piece Left / Applied Materials Amat
359.70  18d 16h
AUGUST TECHNOLOGY CORP P/N 706765 Board
AUGUST TECHNOLOGY CORP P/N 706765 Board
199.90 28d 5h
Fusion  Semiconductor Systems Microlite
Fusion Semiconductor Systems Microlite
219.99  29d 22h
381-600338-3 / 308-600338-2, Indexer I/o Board / Tokyo Electron Tel
381-600338-3 / 308-600338-2, Indexer I/o Board / Tokyo Electron Tel
200.99  28d 16h
Lam - 2004189 - Bkt Vlv Mt
Lam - 2004189 - Bkt Vlv Mt
100.00 6d 13h
Applied Materials - 0020-02104 - Sealing Panel, Small, Remata Module E
Applied Materials - 0020-02104 - Sealing Panel, Small, Remata Module E
50.00 6d 13h
Neos N64080-2dssy Driver With Cable
Neos N64080-2dssy Driver With Cable
629.99  29d 22h
Wafer Fixture Plates
Wafer Fixture Plates
1,500.00  21d 16h
Schroff 33021-102 VME Systembus J1 PCB Card E11035551 Untested AS-IS
Schroff 33021-102 VME Systembus J1 PCB Card E11035551 Untested AS-IS
459.18  24d 21h
Angar/asco - 8262a143 - Shut Off Valve
Angar/asco - 8262a143 - Shut Off Valve
50.00 28d 11h
Fabco-air - E-221-x -
Fabco-air - E-221-x -
50.00 29d 19h
Lam - 678-009571-001 - Heater Blanket
Lam - 678-009571-001 - Heater Blanket
100.00 12h 44m
Bimba - Br-012.25-c  Mj - Cylinder
Bimba - Br-012.25-c Mj - Cylinder
100.00 4d 12h
Applied Materials - 0020-32836 - Hinge, Removable, Right, Slit Valve
Applied Materials - 0020-32836 - Hinge, Removable, Right, Slit Valve
60.00 5d 13h
Lam - 2200368 - 345 Wide Tip Arm Assy
Lam - 2200368 - 345 Wide Tip Arm Assy
50.00 5d 15h
Applied Materials - 0140-09003 - Harness, Dc Power Supply
Applied Materials - 0140-09003 - Harness, Dc Power Supply
80.00 5d 18h
Gems - 70821 - Flow Switch
Gems - 70821 - Flow Switch
100.00 29d 19h
Lam - 714-006690-001 - Bracket  Elec. Inte
Lam - 714-006690-001 - Bracket Elec. Inte
100.00 3d 18h
Applied Materials - 0050-37678 - Weldment Spool 4.69"
Applied Materials - 0050-37678 - Weldment Spool 4.69"
90.00 3d 19h
Applied Materials - 0140-09102 -
Applied Materials - 0140-09102 -
60.00 3d 19h
Applied Materials - 0720-02836 - Connship Ca Clamp, 675 Dia Die Cast Shel
Applied Materials - 0720-02836 - Connship Ca Clamp, 675 Dia Die Cast Shel
60.00 5d 14h
Tokin Lf-260n Noise Filter ,
Tokin Lf-260n Noise Filter ,
60.00  13d 16h
Gordon Phanton Ceiling Fan (LF Panel) Model:771167
Gordon Phanton Ceiling Fan (LF Panel) Model:771167
400.00  20d 17h
MRC A114265 Quad 1000 RF Deck Sputter Power Supply 3500 VDC Eimac SK-4063-500Z
MRC A114265 Quad 1000 RF Deck Sputter Power Supply 3500 VDC Eimac SK-4063-500Z
3,060.00 1,530.00  26d 1h
Eaton - 7121-0012-0002 - Shutter, Rotary, 2x0.25 In Slit
Eaton - 7121-0012-0002 - Shutter, Rotary, 2x0.25 In Slit
39.99 13d 21h
Applied Materials - 0021-70233 -
Applied Materials - 0021-70233 -
120.00 6d 16h
Teradyne Ma626  Circuit Board Assy
Teradyne Ma626 Circuit Board Assy
219.99  29d 22h
Lambda LDS-P-15 DC Regulated Power Supply  Working
Lambda LDS-P-15 DC Regulated Power Supply  Working
207.12  1d 20h
Tokyo Electron TEL RF Terminator PCB 3M81-015728-15 W/A0 PCB Ke-3 Advantest
Tokyo Electron TEL RF Terminator PCB 3M81-015728-15 W/A0 PCB Ke-3 Advantest
519.99  18d 23h
St5918l3008-a / Stepper Motor, 3.0v, 3.0a / Nanotec International Gmbh
St5918l3008-a / Stepper Motor, 3.0v, 3.0a / Nanotec International Gmbh
175.99  2d 15h
Lam - 715-160164-003
Lam - 715-160164-003
40.00 9d 14h
Dow 11087531 X Visionpad Ad 6000 Window Fd1 30.5" Acao;g01 Amat Cmp,
Dow 11087531 X Visionpad Ad 6000 Window Fd1 30.5" Acao;g01 Amat Cmp,
800.00 20d 7h
Nikon Wafer Center Table NSR-S204B Step-and-Repeat Working Spare
Nikon Wafer Center Table NSR-S204B Step-and-Repeat Working Spare
3,511.18  28d 19h
STEC SEC-4400M Mass Flow Controller, MFC, AR, 500 SCCM, Calibrated, 423523
STEC SEC-4400M Mass Flow Controller, MFC, AR, 500 SCCM, Calibrated, 423523
750.00 5d 20h
Applied Materials - 99-16105-02 - Strap
Applied Materials - 99-16105-02 - Strap
100.00 10d 13h
Georg Fischer - 161.346.304 - True Union Ball Valve
Georg Fischer - 161.346.304 - True Union Ball Valve
75.00 10d 14h
Bellows   Kf50 Flex Line 36"
Bellows Kf50 Flex Line 36"
39.00  15d 15h
999-9999// Amat Applied 0021-38791 (delivery 28 Days) Insert [2nd ]
999-9999// Amat Applied 0021-38791 (delivery 28 Days) Insert [2nd ]
1,200.00 24d 5h
4s018-752an/rmdrvx4 Pcb/nikon
4s018-752an/rmdrvx4 Pcb/nikon
1,500.82  15d 14h
Millipore Waferguard Filter 0.1 micron, W/2 O-Rings. 423070
Millipore Waferguard Filter 0.1 micron, W/2 O-Rings. 423070
120.00 23d 18h
Analog devices RTI-1260  DATA ACQUISITION INTERFACE
Analog devices RTI-1260 DATA ACQUISITION INTERFACE
59.00 12d 0h
690-7461-001 / Wire Flexure / Svg
690-7461-001 / Wire Flexure / Svg
20.82  2d 13h
140-0301// Amat Applied 0242-13441 Applied Matrials Components
140-0301// Amat Applied 0242-13441 Applied Matrials Components
3,000.00 3h 39m
Eaton - 1749640 - Magnetic Deflector Magnet
Eaton - 1749640 - Magnetic Deflector Magnet
80.00 11d 12h
For Asm 02-326696d01 Assy-qtz-side Tc Thermocouple
For Asm 02-326696d01 Assy-qtz-side Tc Thermocouple
299.99  2h 34m
Cable meter
Cable meter
500.00  23d 14h
474631 / Asher / Axcelis
474631 / Asher / Axcelis
22,000.82  24d 18h
Nikon 4S008-099 Power Amplifier PCB Card MIS-POWAMP3 NSR-S204B System Working
Nikon 4S008-099 Power Amplifier PCB Card MIS-POWAMP3 NSR-S204B System Working
1,410.17  17d 19h
Power House Power Supply with Accurate Dual Readout
Power House Power Supply with Accurate Dual Readout
150.00 8d 14h
VAT 62034-KA18-1005 Angle Isolation Valve
VAT 62034-KA18-1005 Angle Isolation Valve
330.00  2d 8h
Rotec DIG 32-IS I/O module
Rotec DIG 32-IS I/O module
259.99  1d 15h
Rockwell Automation Samsung  MMC_BDP081PNB Motion Board+ MMC_CA20
Rockwell Automation Samsung MMC_BDP081PNB Motion Board+ MMC_CA20
249.90 17d 7h
Aixcon Surface GSNX No. 94-3075 Part No. 1700.2021 Date 04/2000
Aixcon Surface GSNX No. 94-3075 Part No. 1700.2021 Date 04/2000
2,500.00 15d 0h
Novazonic Model SS-12 STAINLESS STEEL METAL "HOTPOT"
Novazonic Model SS-12 STAINLESS STEEL METAL "HOTPOT"
660.00  16h 39m
Varian E11121170 Rev G Hall Probe Interface Amat
Varian E11121170 Rev G Hall Probe Interface Amat
3,499.00  17d 11h
0240-33231,854373-006 /6'' Susceptor Calibration Kit/amat
0240-33231,854373-006 /6'' Susceptor Calibration Kit/amat
4,500.97  22d 20h
Daiichi Components Se07-16w Rev D Power Supply 2300w *un Surplus*
Daiichi Components Se07-16w Rev D Power Supply 2300w *un Surplus*
4,999.00  2d 10h
NIHON KOSHUHA AMC-9501-CONT5 Controller Ver 5.502H8
NIHON KOSHUHA AMC-9501-CONT5 Controller Ver 5.502H8
650.00  11d 15h
MicroJoin B-4200-B SERIES
MicroJoin B-4200-B SERIES
9,375.00  14d 19h
605071/kit-pwrspply Rtrft-24 Vdc Tis 300-124/axcelis/traco Power
605071/kit-pwrspply Rtrft-24 Vdc Tis 300-124/axcelis/traco Power
150.82  17d 12h
Electro Powerpacs Model 1173 E.Leitz Model 05026 AWM-F-2-1-002
Electro Powerpacs Model 1173 E.Leitz Model 05026 AWM-F-2-1-002
50.00  11d 15h
Nikon  -  4S018-388  -  ADDRV2X2  -  Circuit Board
Nikon - 4S018-388 - ADDRV2X2 - Circuit Board
1,125.00  15d 0h
Best Me500va
Best Me500va
899.00  26d 20h
MKS INSTRUMENTS 971B UniMag Transduser 971B-21020-0007
MKS INSTRUMENTS 971B UniMag Transduser 971B-21020-0007
70.00 19d 11h
Hpo Block
Hpo Block
1,150.00  9d 22h
Rofin TH800VIX DIO-144 Rev A Data Acquisition Board DIO144
Rofin TH800VIX DIO-144 Rev A Data Acquisition Board DIO144
250.00  12d 14h
Nikon NSR FIAAF Process PCB 4S007-667-A
Nikon NSR FIAAF Process PCB 4S007-667-A
500.00 450.00 6d 10h
mdc uhv insulator seal corp 5x k type thermocouple vacuum feedthrough conflat
mdc uhv insulator seal corp 5x k type thermocouple vacuum feedthrough conflat
600.00  22h 28m
Lambda Qualidyne 284BE1A-1715 28001BFM Power Supply KLA 5100  - Pulled From Work
Lambda Qualidyne 284BE1A-1715 28001BFM Power Supply KLA 5100 - Pulled From Work
74.99  3d 15h
Philips 5322 694 15486   SPECTRUM _2 CONTROL CARD
Philips 5322 694 15486 SPECTRUM _2 CONTROL CARD
705.50  6d 14h
KYOSAN,  / RFK50Z-TE2 / 5kW RF GENERATOR, RF Unit
KYOSAN,  / RFK50Z-TE2 / 5kW RF GENERATOR, RF Unit
1,598.00 28d 10h
Epak 150mm Process Wafer Storage Box -  - Double Bagged - Green
Epak 150mm Process Wafer Storage Box -  - Double Bagged - Green
10.00  23d 14h
AMAT 0050-06249 Weldment MNF 2 Final Valves Offset, Fujikin Ultima, 451694
AMAT 0050-06249 Weldment MNF 2 Final Valves Offset, Fujikin Ultima, 451694
950.00 23d 16h
Chrom Tech Series II Isocratic Pumps P-2010B
Chrom Tech Series II Isocratic Pumps P-2010B
999.90 26d 0h
Fujikin 2-way Manual Diaphragm Valve 316l Stainless Steel ¼”  Mvcr
Fujikin 2-way Manual Diaphragm Valve 316l Stainless Steel ¼” Mvcr
54.50  3d 22h
FUJIKIN PNEUMATIC VALVE O.P. 3.5 ~ 7 kgf / cm²G 316L SS Type N.C.
FUJIKIN PNEUMATIC VALVE O.P. 3.5 ~ 7 kgf / cm²G 316L SS Type N.C.
24.50  4d 22h
Vitronics 1336 Non-foc Infrared Thermal Processing System
Vitronics 1336 Non-foc Infrared Thermal Processing System
4,900.00  25d 17h
PHILLIPS 4022 332 86721 a CPU 2000 5322 216 04445 CPU_000081  /  Free Shipping
PHILLIPS 4022 332 86721 a CPU 2000 5322 216 04445 CPU_000081 / Free Shipping
899.00 26d 5h
176-0504// Amat Applied 4020-01061 Fltr In-ln Gas 6101psig 1/4-gskt Mlin []
176-0504// Amat Applied 4020-01061 Fltr In-ln Gas 6101psig 1/4-gskt Mlin []
300.00 5d 3h
LAM Research 715-011913-080 Baffle Lower 8"
LAM Research 715-011913-080 Baffle Lower 8"
260.00  29d 21h
4 Inch Single Wafer Carrier Case (Pack of 10), Polypropylene, Cleanroom Class 10
4 Inch Single Wafer Carrier Case (Pack of 10), Polypropylene, Cleanroom Class 10
80.95 14d 12h
Epak 150mm Process Wafer Storage Box -  - Double Bagged - Yellow
Epak 150mm Process Wafer Storage Box -  - Double Bagged - Yellow
10.00  12d 1h
1  Pl Pwb 104350-001 Rev J, 7102 Motherboard Assembly 105547 **make Offer**
1  Pl Pwb 104350-001 Rev J, 7102 Motherboard Assembly 105547 **make Offer**
129.99  29m 22s
Asml 4022.435.60004fsd Library Module Assy Pepperl+fuchs 419365 Mlv20-8-h-2376
Asml 4022.435.60004fsd Library Module Assy Pepperl+fuchs 419365 Mlv20-8-h-2376
6,499.00  29d 2h
Philips 5322 694 15292   POSITION CONTROL CARD
Philips 5322 694 15292 POSITION CONTROL CARD
705.50  6d 15h
Philips 5322 694 15234 XR TUBE INTERFACE CARD
Philips 5322 694 15234 XR TUBE INTERFACE CARD
535.50  6d 13h
 LAM RESEARCH Electrode, Lower 790 6-Inch - 81-63330R / 715-9122-6
 LAM RESEARCH Electrode, Lower 790 6-Inch - 81-63330R / 715-9122-6
1,495.00  1d 4h
Dip Cdn494 Pcb Card
Dip Cdn494 Pcb Card
999.95  21d 13h
Sonosys Mgm 028010 (mpm 0118080 / Mpm 0118080)
Sonosys Mgm 028010 (mpm 0118080 / Mpm 0118080)
1,499.00 5d 3h
1501990 / Pcb Assy Keyboard / Eaton
1501990 / Pcb Assy Keyboard / Eaton
1,212.00  11d 0h
St1 10-310 / Ac Servo St1 10-310 Amplifier / Socapel
St1 10-310 / Ac Servo St1 10-310 Amplifier / Socapel
725.98  20d 15h
SVG 99-38150-02 Shuttle Arm Assembly, 6.19 Random 90 Series
SVG 99-38150-02 Shuttle Arm Assembly, 6.19 Random 90 Series
874.99  2d 12h
70K33979-2, 128 Pin Extender Card
70K33979-2, 128 Pin Extender Card
1,000.00  10d 21h
IFM EFECTOR 0J5048 Photoelectric Sensor
IFM EFECTOR 0J5048 Photoelectric Sensor
55.00  16d 14h
352-0402// Amat Applied 0020-10485 Plunger, Interlock
352-0402// Amat Applied 0020-10485 Plunger, Interlock
20.00 24d 5h
Ev Group Type 1 Piu X1163 211105 Pcb
Ev Group Type 1 Piu X1163 211105 Pcb
300.00  5d 18h
 Nordson Dage Wire Bond Tester Pull Hook 76 um dia. (0.003 inch) 4000-HK-6-3
 Nordson Dage Wire Bond Tester Pull Hook 76 um dia. (0.003 inch) 4000-HK-6-3
27.50 28d 18h
Tokyo Electron Tel Lp2511t-hl Lamp *un*
Tokyo Electron Tel Lp2511t-hl Lamp *un*
299.00  8d 15h
STEC SEC-4400M Mass Flow Controller MFC O2, 400 SCCM, 424306
STEC SEC-4400M Mass Flow Controller MFC O2, 400 SCCM, 424306
795.00 10d 22h
RSF-Elektronik ZEV-25-KA [2*P-59.5]
RSF-Elektronik ZEV-25-KA [2*P-59.5]
100.00  25d 18h
176-0104// Amat Applied 0050-37710 Applied Matrials Components [asis]
176-0104// Amat Applied 0050-37710 Applied Matrials Components [asis]
200.00 11d 23h
Hf 74032-89-43 Rev H Asst No 125280-004
Hf 74032-89-43 Rev H Asst No 125280-004
499.00 12d 18h
Semiconductor Systems F/MM 363-9511
Semiconductor Systems F/MM 363-9511
100.00  12d 16h
KLA AIT UV 188859 4 Channel PWM Motor Driver 294420  or 198331
KLA AIT UV 188859 4 Channel PWM Motor Driver 294420 or 198331
1,899.00  1d 17h
421-0501// Sanyo Denki Pmm-ba-5601 Controller [/fast]
421-0501// Sanyo Denki Pmm-ba-5601 Controller [/fast]
400.00 22d 2h
441-0303// Oriental Motor 5rk40ra-25la (unclean) Motor [/fast]
441-0303// Oriental Motor 5rk40ra-25la (unclean) Motor [/fast]
70.00 28d 6h
Metra 70-8114 for Toyota 20 Pin Connector With P& P For Aswc & Audio Aux In
Metra 70-8114 for Toyota 20 Pin Connector With P& P For Aswc & Audio Aux In
21.07 17d 8h
Berkeley Bam-832 Turbo
Berkeley Bam-832 Turbo
400.00  7d 0h
Schumacher 1730-3009 Rev G Control Board
Schumacher 1730-3009 Rev G Control Board
320.95  23d 0h
Y60-0861 /  I 4 X & Y Stage Bar Mirrors  / Canon
Y60-0861 / I 4 X & Y Stage Bar Mirrors / Canon
1,602.34  19d 17h
1503230 / Pcb Antilog A/d (1403230) / Axcelis Technologies
1503230 / Pcb Antilog A/d (1403230) / Axcelis Technologies
4,200.82  19d 13h
Magnalube-G PTFE Grease for PCB Equipment: 1x 14.5 oz
Magnalube-G PTFE Grease for PCB Equipment: 1x 14.5 oz
13.99  11d 16h
0100-20003, Applied Material DIO PCB
0100-20003, Applied Material DIO PCB
650.00 20d 15h
AMAT Disk Universal Holder Pad Conditioner, 5/pack   (S3410044N)  p/n 0190-02550
AMAT Disk Universal Holder Pad Conditioner, 5/pack (S3410044N) p/n 0190-02550
50.00  12d 20h
444-0201// Oriental Motor Ph533-nb Motor []
444-0201// Oriental Motor Ph533-nb Motor []
200.00 7d 3h
430-0302// Nsd Vre-p028-s030 Motor []
430-0302// Nsd Vre-p028-s030 Motor []
100.00 4d 5h
0811541 / Gripper, Wafer 100/8" / Lam Research
0811541 / Gripper, Wafer 100/8" / Lam Research
960.68  26d 18h
Philips FEI DLCB module 4022 192 71092
Philips FEI DLCB module 4022 192 71092
949.99  1d 15h
Thermo SSCM Sensor Control Board 132497-02 Rev 9 13249900
Thermo SSCM Sensor Control Board 132497-02 Rev 9 13249900
1,000.00  27d 23h
 w/ Mounting Hardware SMC Cylinder CDQSL16-78. 5-DCJ1528J
 w/ Mounting Hardware SMC Cylinder CDQSL16-78. 5-DCJ1528J
149.99 6d 21h
Amat Applied Materials 0010-21465 Magnet Assy Ti Dwa Source 1 Durasource
Amat Applied Materials 0010-21465 Magnet Assy Ti Dwa Source 1 Durasource
7,500.00 4,275.00  14d 20h
Macom M7047-2 Processor Board PCB Card Untested As-Is
Macom M7047-2 Processor Board PCB Card Untested As-Is
2,001.12  24d 21h
Met One A2432 Manifold Controller 2083049-02
Met One A2432 Manifold Controller 2083049-02
23.81 4d 22h
KOKUSAI 3162321 PCB ASSY 30 6 CHAN OVTEMP 3162321 Board
KOKUSAI 3162321 PCB ASSY 30 6 CHAN OVTEMP 3162321 Board
129.99 23d 22h
Analogee Interface Board with 14 day warranty
Analogee Interface Board with 14 day warranty
495.00 20d 20h
Full-wave rectifier
Full-wave rectifier
32.00  10d 12h
Cvc Products Vmf-11 135 Watts 120 V Kamlrok
Top-Rated Plus Seller Cvc Products Vmf-11 135 Watts 120 V Kamlrok
299.00  18d 14h
Fujikin  Digital Flow Control System, FCS-DN-4WS-798
Fujikin Digital Flow Control System, FCS-DN-4WS-798
900.00  6h 9m
Innotec Group FPO-231 PCB Board, Firing Circuit,  Assy 92-00272-00
Innotec Group FPO-231 PCB Board, Firing Circuit, Assy 92-00272-00
179.99  29d 16h
Qty (2) Fujikin Valves O.P. 0.34 ~ 0.69MPa Type N.C. 316L
Qty (2) Fujikin Valves O.P. 0.34 ~ 0.69MPa Type N.C. 316L
69.88 17d 17h
Fluoroware Silicon Wafer Cassette Carrier PA195-60M-0613, 6", 150mm - CLEAN ROOM
Fluoroware Silicon Wafer Cassette Carrier PA195-60M-0613, 6", 150mm - CLEAN ROOM
77.99 8d 21h
Hine Design Hine Arm Rev 303 Ser.# 005228 Assy 778-2h
Hine Design Hine Arm Rev 303 Ser.# 005228 Assy 778-2h
499.00  18d 5h
Dow 11114902 X Visionpad Ad 6000 Window Fd1 30.5" Acaq;g01 Amat Cmp,
Dow 11114902 X Visionpad Ad 6000 Window Fd1 30.5" Acaq;g01 Amat Cmp,
800.00 20d 6h
409-0102// Tel 3281-000173-14 3208-000173-13 Board [/fast]
409-0102// Tel 3281-000173-14 3208-000173-13 Board [/fast]
200.00 15d 4h
Weltek 24d Screen Printer
Weltek 24d Screen Printer
1,650.00  21d 18h
Hine Design  Pcb  855-2423     Assy 778-2i
Hine Design Pcb 855-2423 Assy 778-2i
100.00  23d 18h
114-0101// Amat Applied 0190-70086 Ae Advanced Generator [not Working]
114-0101// Amat Applied 0190-70086 Ae Advanced Generator [not Working]
4,000.00 3d 23h
VAT PB - 2 Adaptive Pressure Controller Board 610PB - 26NM -0002
VAT PB - 2 Adaptive Pressure Controller Board 610PB - 26NM -0002
1,388.00  20d 5h
Irvine Optical UltraStation 3.B   Model 2
Irvine Optical UltraStation 3.B Model 2
1,999.99  29d 14h
0040-23777 / Adapter Dome, Clamped Preclean 300mm / Amat
0040-23777 / Adapter Dome, Clamped Preclean 300mm / Amat
840.97  3d 14h
2856972-11 / Asm Dpc Controller / Asm America Inc
2856972-11 / Asm Dpc Controller / Asm America Inc
1,310.99  24d 22h
3037838 / Iwaki, Fd-2 Driver , Pump Dc24v /  Iwaki
3037838 / Iwaki, Fd-2 Driver , Pump Dc24v / Iwaki
1,450.82  15h 20m
Nikon 4S001-070 Power Supply Card PCB PW-NE NSR-S202A  Working
Nikon 4S001-070 Power Supply Card PCB PW-NE NSR-S202A  Working
804.18  10d 12h
Disco ES MOELH180--A MOELH180A Flow Meter (Lower Nozzle,2L)
Disco ES MOELH180--A MOELH180A Flow Meter (Lower Nozzle,2L)
73.27  7d 3h
Pair of 2 x Infotrend 9270CmSASCab3 Cable for Raid System Connector
Pair of 2 x Infotrend 9270CmSASCab3 Cable for Raid System Connector
99.99  21d 20h
Lam Research 710-492161-001 810-492161-001 Multiplexer Transceiver Pcb Board
Lam Research 710-492161-001 810-492161-001 Multiplexer Transceiver Pcb Board
599.00  27d 8h
SVG Thermco 5 position Heater Jacket Controller 604499-01 ,  VTR 7000
SVG Thermco 5 position Heater Jacket Controller 604499-01 , VTR 7000
850.00 18d 15h
419-0202// Cti 8039645g005 Jgauge []
419-0202// Cti 8039645g005 Jgauge []
120.00 15d 6h
Tokyo Electron Ecc2 Controller Limited 659010a
Tokyo Electron Ecc2 Controller Limited 659010a
600.95  7d 17h
HP Binary Interface Board 10746-60001 C
HP Binary Interface Board 10746-60001 C
799.99  10d 18h
BTU Engineering System Microcontroller Assy 3161951 Rev 4/7
BTU Engineering System Microcontroller Assy 3161951 Rev 4/7
49.99 23d 23h
Everett Charles Technologies 22-5965 Cleaning Brush Kit
Everett Charles Technologies 22-5965 Cleaning Brush Kit
18.99 19d 23h
126-0304// Amat Applied 0680-01213 Cb Mag Therm 1p 240vac 30a Bolt-on Qo []
126-0304// Amat Applied 0680-01213 Cb Mag Therm 1p 240vac 30a Bolt-on Qo []
30.00 25d 5h
A-83439-2 / Low Level Interface / Zmisc
A-83439-2 / Low Level Interface / Zmisc
500.00  10d 16h
1951418 / Slider Piston Cable Assy Ctas12x5 / Applied Materials Amat
1951418 / Slider Piston Cable Assy Ctas12x5 / Applied Materials Amat
343.35  20d 15h
Thyracont VSP63MA4 Vacuum Transducer
Thyracont VSP63MA4 Vacuum Transducer
100.00  1d 19h
105195001 / Xp Current Integrator / Varian
105195001 / Xp Current Integrator / Varian
9,500.88  19d 22h
0020-34338/tower Loadlock Cover 29 Slot Storage Elevator /applied Materials Amat
0020-34338/tower Loadlock Cover 29 Slot Storage Elevator /applied Materials Amat
4,720.66  10d 19h
9090-01331 / Chassis , Qx+pfs & Arc Psu / Applied Materials
9090-01331 / Chassis , Qx+pfs & Arc Psu / Applied Materials
8,550.00  12d 17h
Alcatel 2021i 14 CFM REBUILT
Alcatel 2021i 14 CFM REBUILT
1,395.00  7d 15h
Matrix Model 105 Installation and Operation Manual
Top-Rated Plus Seller Matrix Model 105 Installation and Operation Manual
175.00  1d 13h
APTECH Regulator AP1802S 3PW FV8 GA
APTECH Regulator AP1802S 3PW FV8 GA
49.99 4d 22h
Scp 326-031-1 3152 Subambient Controller 583-055-1a 777
Scp 326-031-1 3152 Subambient Controller 583-055-1a 777
500.00 17d 0h
APPLIED MATERIALS 0021-53456 Al, FLUX OPTIMIZER MONOLITHIC, BB AMAT *CLEANED*
APPLIED MATERIALS 0021-53456 Al, FLUX OPTIMIZER MONOLITHIC, BB AMAT *CLEANED*
749.00  28d 10h
0100-35243, Ips Signal Board
0100-35243, Ips Signal Board
4,770.00  4d 19h
Uu2/teee/tx/ct/t/871 / Pump, Diaphragm, Unitech Uu2, W/dampener / Tencarva
Uu2/teee/tx/ct/t/871 / Pump, Diaphragm, Unitech Uu2, W/dampener / Tencarva
3,500.82  19d 15h
Internix PF810-ASEHT Robot Teach Pendant Profort810 with Cable Working Spare
Internix PF810-ASEHT Robot Teach Pendant Profort810 with Cable Working Spare
707.12  4d 13h
K-space Associates Ksa Bandit Wafer And Film Temperature Monitor Light Source
K-space Associates Ksa Bandit Wafer And Film Temperature Monitor Light Source
1,999.99  24d 20h
Patlite Wme-afb 24v Ac/dc, 1.2w/1stack
Patlite Wme-afb 24v Ac/dc, 1.2w/1stack
70.00 8d 13h
4s050-557an / Switching Regulator / Nikon
4s050-557an / Switching Regulator / Nikon
485.82  12d 19h
01-15-02270 / Assy,reticle Slider,wide Field / Ultratech
01-15-02270 / Assy,reticle Slider,wide Field / Ultratech
1,050.00  11d 15h
4s019-061 / Processor Card Pcb Avdrvx4ve / Nikon
4s019-061 / Processor Card Pcb Avdrvx4ve / Nikon
799.88  26d 0h
Horibastec Sec-4600r Mass Flow Controller 100 Lm
Horibastec Sec-4600r Mass Flow Controller 100 Lm
220.07  29d 15h
Ultratech stepper 03-20-04940 5 Axis stage Ash PCB + 00784-02 SLAVE VME Rev C
Ultratech stepper 03-20-04940 5 Axis stage Ash PCB + 00784-02 SLAVE VME Rev C
399.99  19d 20h
MSPL LFC-7300-21222-122-501 Liquid Flow Controller
Top-Rated Plus Seller MSPL LFC-7300-21222-122-501 Liquid Flow Controller
1,499.99  4d 2h
Schumacher Ufdli Chemical Delivery System  1497-00113c
Schumacher Ufdli Chemical Delivery System 1497-00113c
1,000.00  5d 15h
Ds2143-000042-11 / Heater, Manifold Gpd / Tokyo Electron Tel
Ds2143-000042-11 / Heater, Manifold Gpd / Tokyo Electron Tel
8,820.00  12d 15h
11004390 / Flat & Notch Sensor Assy / Axcelis
11004390 / Flat & Notch Sensor Assy / Axcelis
6,580.97  8d 18h
99-04013-02 / Stepping Motor Linear Actuator-hot Plate / Svg
99-04013-02 / Stepping Motor Linear Actuator-hot Plate / Svg
350.03  13d 18h
Balzers QME064, QMA064 Quadrpole Analyzer Sensor w/Gauge Controller
Balzers QME064, QMA064 Quadrpole Analyzer Sensor w/Gauge Controller
350.00  6d 19h
TEL Tokyo Electron 2981-600652-W1 CSS #01 Board PCB 2908-600652-11  Working
TEL Tokyo Electron 2981-600652-W1 CSS #01 Board PCB 2908-600652-11  Working
403.18  26d 13h
Lot of 20 EAO 51.971.02 switch contact block
Lot of 20 EAO 51.971.02 switch contact block
300.00 25d 19h
0020-08677 / (end) Shield Upper Grounded / Applied Materials Amat
0020-08677 / (end) Shield Upper Grounded / Applied Materials Amat
1,824.77  8d 21h
Ultron Systems UH120 Semi-Automatic Wafer/Substrate Fracturer
Ultron Systems UH120 Semi-Automatic Wafer/Substrate Fracturer
6,499.99  29d 4h
Asm As 03102934-02
Asm As 03102934-02
249.00 6d 2h
Raith Escosy/Motor Control
Top-Rated Plus Seller Raith Escosy/Motor Control
149.99  15d 21h
Pfeiffer/Balzers TCV 103/PM Valve Control
Pfeiffer/Balzers TCV 103/PM Valve Control
210.00  6d 19h
Kitz Sct / Type D.a. Scv Bellows Valve Fkm-seat / Scv
Kitz Sct / Type D.a. Scv Bellows Valve Fkm-seat / Scv
550.82  23d 20h
0040-49775 / Gas Line Assembly 6g / Applied Materials Amat
0040-49775 / Gas Line Assembly 6g / Applied Materials Amat
354.11  19d 13h
45100652 / Regulator / Veriflo Valves Parker
45100652 / Regulator / Veriflo Valves Parker
471.80  27d 15h
UMG Technologies PLT-15750 Board Support 2 Leg 2.8 Tab
Top-Rated Plus Seller UMG Technologies PLT-15750 Board Support 2 Leg 2.8 Tab
175.99  29d 12h
Exclusive Design EDC Digital Signal I/O Board 16682 Rev. A
Exclusive Design EDC Digital Signal I/O Board 16682 Rev. A
499.99  22d 4h
RF Control PCB 880-46-000 MRC board
RF Control PCB 880-46-000 MRC board
350.00  19d 19h
Liebert Acv208d100rk Surge Protection System
Liebert Acv208d100rk Surge Protection System
180.95  25d 12h
ESI S & R Interface Module Board CKT ASSY 42356 J
Top-Rated Plus Seller ESI S & R Interface Module Board CKT ASSY 42356 J
19.95  11d 23h
Ultratech Stepper Alignment Controller Board 0553-626200 Rev. N & 626000 626100
Ultratech Stepper Alignment Controller Board 0553-626200 Rev. N & 626000 626100
1,499.99  10d 18h
Ultratech Stepper Alignment Controller Board 0553-626200 Rev. F
Ultratech Stepper Alignment Controller Board 0553-626200 Rev. F
1,499.99  10d 18h
Ultratech Stepper 5 Axis Focus A/D Board 03-20-01955 Rev. C
Ultratech Stepper 5 Axis Focus A/D Board 03-20-01955 Rev. C
799.99  10d 18h
HP Binary Interface PCB 10746-60001
HP Binary Interface PCB 10746-60001
849.99  10d 18h
Clippard Minimatic "X" Couplers, # 15002-5 Brass 5 count
Clippard Minimatic "X" Couplers, # 15002-5 Brass 5 count
5.99 17d 20h
Pro Soft Technology ProLinx 4500-PDP PCB Rev 1.2, ProLinx 4150
Top-Rated Plus Seller Pro Soft Technology ProLinx 4500-PDP PCB Rev 1.2, ProLinx 4150
167.19  16d 14h
CFOP extension cable assy 2M a-9817-0302-01 RQ:031120
CFOP extension cable assy 2M a-9817-0302-01 RQ:031120
350.00 10d 11h
141-0501// Amat Applied 0140-20693 Harness Assy  Main Connect Shutter
141-0501// Amat Applied 0140-20693 Harness Assy  Main Connect Shutter
60.00 9d 0h
Nsph-55c3, Nisso Tool Chemical Pump Nse Haruna
Nsph-55c3, Nisso Tool Chemical Pump Nse Haruna
2,000.97  13d 17h
Daifuku 7a01000ha2 Rm/tfe/cov  Unit Controller
Daifuku 7a01000ha2 Rm/tfe/cov Unit Controller
120.95  27d 23h
*PREOWNED* AMTECH Ultraweld 200/250 VAC + Warranty & Fast Shipping!
*PREOWNED* AMTECH Ultraweld 200/250 VAC + Warranty & Fast Shipping!
399.00  19d 12h
 LAM 679-022427-012 Sensor TC Single J Type ID: 1003489 REV B
 LAM 679-022427-012 Sensor TC Single J Type ID: 1003489 REV B
78.00 18d 1h
Fei Reticle 23602
Fei Reticle 23602
4,500.00  7d 18h
344-0501// Amat Applied 0020-09264 Cover, Seal
344-0501// Amat Applied 0020-09264 Cover, Seal
20.00 9d 0h
141-0702// Amat Applied 0140-09173 Harns Assy,ext Sensor Cb
141-0702// Amat Applied 0140-09173 Harns Assy,ext Sensor Cb
20.00 20d 2h
KyTek KT2002 2-Channel Display
Top-Rated Plus Seller KyTek KT2002 2-Channel Display
52.48  18d 17h
Tokyo Electron TS3280-000017-13 Controller Hot Chuck Yamanashi Denki HCC-001-A
Tokyo Electron TS3280-000017-13 Controller Hot Chuck Yamanashi Denki HCC-001-A
595.79 27d 11h
Ultratech 2957766 Monitor Left Hand Position MR00063618
Ultratech 2957766 Monitor Left Hand Position MR00063618
350.00 4d 13h
Edwards A52844413 Q Controller Interface
Edwards A52844413 Q Controller Interface
500.00  4d 16h
Faulhaber Mini Motor Sa Swiss Made 4909 16/5 262:1 K1096 106046
Faulhaber Mini Motor Sa Swiss Made 4909 16/5 262:1 K1096 106046
130.00  2d 18h
Nikon 4S064-376-4 Controller
Nikon 4S064-376-4 Controller
1,200.00 13d 5h
105398-02 / Base, Heater, (w/o Water Loop) / Aviza Technology
105398-02 / Base, Heater, (w/o Water Loop) / Aviza Technology
3,500.82  24d 11h
0880-00015 / Encoder Head Ms 50.06 M / Applied Materials Amat
0880-00015 / Encoder Head Ms 50.06 M / Applied Materials Amat
2,500.03  22d 15h
Eaton   Beam Shutter Interface    0567-0240-0001
Eaton Beam Shutter Interface 0567-0240-0001
250.00  21d 18h
Platform Assy  Unload   5885-0005-0001
Platform Assy Unload 5885-0005-0001
250.00  21d 17h
SMC ROTARY PNWEUMATIC ACTUATOR ECDRA1BW63-180 MAX PRESS 1.0 MPa 145 PSI
SMC ROTARY PNWEUMATIC ACTUATOR ECDRA1BW63-180 MAX PRESS 1.0 MPa 145 PSI
75.00 0 Bids 6d 12h
Smc Nvj114y
Smc Nvj114y
28.00  27d 21h
Ultratech stepper 03-20-01995 BD STAGE ASH 5 AXIS Rev D + 00784 SLAVE VME Rev C
Ultratech stepper 03-20-01995 BD STAGE ASH 5 AXIS Rev D + 00784 SLAVE VME Rev C
699.99  10d 18h
Ultratech stepper 03-20-01995 BD STAGE ASH 5 AXIS Rev D + 00784 SLAVE VME Rev A
Ultratech stepper 03-20-01995 BD STAGE ASH 5 AXIS Rev D + 00784 SLAVE VME Rev A
699.99  10d 18h
Nordson EFD 900 Precision Fluid Dispenser w/Foot Pedal & Accessories ~ NICE!!
Nordson EFD 900 Precision Fluid Dispenser w/Foot Pedal & Accessories ~ NICE!!
233.75  15d 21h
Edwards iTim E73+A1+T1+SER D37421000 24V DC Vacuum Control Unit
Top-Rated Plus Seller Edwards iTim E73+A1+T1+SER D37421000 24V DC Vacuum Control Unit
399.99  1d 16h
Nikon 4S018-169-F Processor PCB Card ALG-INF NSR-S204B Step-and-Repeat
Nikon 4S018-169-F Processor PCB Card ALG-INF NSR-S204B Step-and-Repeat
1,008.17  29d 12h
Kakizaki KTB-3002B FOUP 25 Capacity 300mm 12" Polycarbonate Wafer Carrier
Kakizaki KTB-3002B FOUP 25 Capacity 300mm 12" Polycarbonate Wafer Carrier
44.99  19d 1h
Vac Gas Purification System
Vac Gas Purification System
8,999.00  13d 14h
Eco Clair Osr-b300
Eco Clair Osr-b300
7,950.00  13d 15h
SEA Marine Seapanel Nav. Light Interconnect. Panel ()
SEA Marine Seapanel Nav. Light Interconnect. Panel ()
2,500.00  3d 0h
Stp-h1301l1b / Turbo Pump 1d85-090320-tm / Seiko Seiki
Stp-h1301l1b / Turbo Pump 1d85-090320-tm / Seiko Seiki
11,040.60  13d 16h
1-823764-01 / Plate / Tsm Hitachi
1-823764-01 / Plate / Tsm Hitachi
1,350.00  16h 41m
Yamamoto MS61L Differential Pressure Switch
Yamamoto MS61L Differential Pressure Switch
105.00  13d 18h
Iras Iras Robot 5684-000047-12 (tel / Lithius)
Iras Iras Robot 5684-000047-12 (tel / Lithius)
3,200.00 13d 6h
176-0203// Balzers Bpv15125 Valve []
176-0203// Balzers Bpv15125 Valve []
60.00 11d 2h
970637 / 970636, Firing Card / Watkins Johnson
970637 / 970636, Firing Card / Watkins Johnson
238.99  27d 18h
0.727.0010 / 727 Ti Stand / Metrohm
0.727.0010 / 727 Ti Stand / Metrohm
250.99  16d 17h
Ph554-a2 / 5 Phase Stepping Motor, Dc 0.75a / Vexta
Ph554-a2 / 5 Phase Stepping Motor, Dc 0.75a / Vexta
250.99  2d 19h
Applied Materials 0195-14651 Cioc Controller/ Control Panel Amat Ej8760-1006
Applied Materials 0195-14651 Cioc Controller/ Control Panel Amat Ej8760-1006
6,999.00  15d 14h
*preowned & Tested* Sas Air Cleaning Specialist 6" Exhaust W/ Adapter + Warranty
*preowned & Tested* Sas Air Cleaning Specialist 6" Exhaust W/ Adapter + Warranty
400.00  9d 13h
otherm DeviceNet Mini8 otherm /  Free Expedited Shipping
otherm DeviceNet Mini8 otherm / Free Expedited Shipping
299.00 1d 2h
Bg3-3004 / Bg3-3005 Bh8-2309-01 Damper Cd5 / Canon
Bg3-3004 / Bg3-3005 Bh8-2309-01 Damper Cd5 / Canon
1,260.00  20d 16h
Fbpcb-0245 / Hard Drive, Dicer (dfd-651) / Disco
Fbpcb-0245 / Hard Drive, Dicer (dfd-651) / Disco
913.55  27d 17h
Heraeus 11575575 63939 Quartz Cap 777
Heraeus 11575575 63939 Quartz Cap 777
250.00 13d 0h
ASML  4022.472.27805 CCMGP SEM-I-614=7B13
ASML  4022.472.27805 CCMGP SEM-I-614=7B13
299.90 4d 1h
352-0502// Smc Vz412 Smc Solenoid Valve []
352-0502// Smc Vz412 Smc Solenoid Valve []
40.00 10d 4h
Model 877-500-00 Rev. D ET Bin Number Controller
Model 877-500-00 Rev. D ET Bin Number Controller
69.99 3d 19h
George Fischer 735208636: Tee, Equal Plain PN16 SYGEF 63mm
George Fischer 735208636: Tee, Equal Plain PN16 SYGEF 63mm
40.00 17d 19h
Bm31801lo8 /assy Node Cntrlr Vxfr Enet /  Pri
Bm31801lo8 /assy Node Cntrlr Vxfr Enet / Pri
400.98  6d 18h
Applied Materials 0021-09548 BALL SCREW ASSY, Screw Assembly
Applied Materials 0021-09548 BALL SCREW ASSY, Screw Assembly
675.00  15d 13h
Axeclis Techologies 075342 Rf Detecor Module
Axeclis Techologies 075342 Rf Detecor Module
250.07  4d 1h
Smc Pfm51-02-1 Flow Sensor.,
Smc Pfm51-02-1 Flow Sensor.,
50.00  23h 10m
Balzers AG BG 448 094B/BS PCB
Balzers AG BG 448 094B/BS PCB
198.00  5d 17h
Nikon 4S008-061 Process Control Board PCB ALGAF-PROCESS-A NSR-S205C Spare
Nikon 4S008-061 Process Control Board PCB ALGAF-PROCESS-A NSR-S205C Spare
1,507.19  6d 18h
Ultratech Stepper 503.265702 / Semifusion model 150 stage servo controller
Ultratech Stepper 503.265702 / Semifusion model 150 stage servo controller
299.99  10d 18h
UTHE Bond Quality Monitor (BQM) , Part # 07575
UTHE Bond Quality Monitor (BQM) , Part # 07575
395.00  9d 16h
710-650099-20, Pcb, Digital Processor Kla
710-650099-20, Pcb, Digital Processor Kla
1,400.98  23d 18h
W-2036 / Flowmeter 30 L/min Analog Out 4~20ma Dc24v / Tokyo Keisco Co Ltd
W-2036 / Flowmeter 30 L/min Analog Out 4~20ma Dc24v / Tokyo Keisco Co Ltd
120.00  21d 15h
E15000580 / Pulsed Power Light Curtain / Varian
E15000580 / Pulsed Power Light Curtain / Varian
150.82  6d 19h
Sel-g-4ac-100b.60.60 / Super Sel Controller Type G / Iai
Sel-g-4ac-100b.60.60 / Super Sel Controller Type G / Iai
320.00  18d 16h
Horiba Stec Z500 Sec-z512mgx Gas: N2 F/r: 300sccm Digital Mass Flow Device
Horiba Stec Z500 Sec-z512mgx Gas: N2 F/r: 300sccm Digital Mass Flow Device
200.00  16d 9h
Varian E11121250 Fiber Optic Network Interface *powers Up Successfully*
Varian E11121250 Fiber Optic Network Interface *powers Up Successfully*
999.00  4d 9h
Dec-3185a P3185a Pcb
Dec-3185a P3185a Pcb
249.00 19d 5h
Svg 99-80039-07 Indexer Board 777
Svg 99-80039-07 Indexer Board 777
250.00 7d 0h
70512599000 / Frame Assy With 5 Boards Sgs / Applied Materials
70512599000 / Frame Assy With 5 Boards Sgs / Applied Materials
6,400.97  15d 18h
MTCS S12-DN Temperature Controller, 423668
MTCS S12-DN Temperature Controller, 423668
250.00 10d 18h
Turck VB 80.5-2 Multi Box U0218-03
Top-Rated Plus Seller Turck VB 80.5-2 Multi Box U0218-03
123.19  24d 13h
Programmed test Sources D310 Frequency Synthesizer  Tag # 17
Programmed test Sources D310 Frequency Synthesizer Tag # 17
1,500.00  16d 14h
Brooks Sla7950s Wf6 5 Sccm Mass Flow Controller ,
Brooks Sla7950s Wf6 5 Sccm Mass Flow Controller ,
299.00  28d 1h
Fujikin Pneumatic Valve 095129   N.o.
Fujikin Pneumatic Valve 095129 N.o.
20.00  4d 19h
Gai/lantek Liu-s Circuit Board Gl170 Revision A
Top-Rated Plus Seller Gai/lantek Liu-s Circuit Board Gl170 Revision A
89.99  15h 26m
Pfj-n161u / Idec 16 Dc Input Unit Source Expansion / Idec Izumi Corpor
Pfj-n161u / Idec 16 Dc Input Unit Source Expansion / Idec Izumi Corpor
184.99  18d 13h
Nova 510-20000-03 XE Illumination
Nova 510-20000-03 XE Illumination
1,999.99  12d 21h
Ep1435 / Spacer Tin / Zmisc
Ep1435 / Spacer Tin / Zmisc
1,485.00  9d 16h
Moore Technologies 523-1034-000, 523-1036-000, 523-1035, TRANSDUCER BOARD
Moore Technologies 523-1034-000, 523-1036-000, 523-1035, TRANSDUCER BOARD
179.77 11d 5h
Wonik 1105-101196-51 Fin Pedestal Quartz 110510119651
Wonik 1105-101196-51 Fin Pedestal Quartz 110510119651
699.00 1d 18h
0020-01125 / Wground Plate, Poly / Applied Materials Amat
0020-01125 / Wground Plate, Poly / Applied Materials Amat
1,602.30  23d 16h
MILLIPORE  CTFZ01TPE  0.05 Um   BRAND
MILLIPORE CTFZ01TPE 0.05 Um BRAND
250.00 1d 16h
Granville Phillips 303040-25, Dual Convectron Cable, 25 feet
Granville Phillips 303040-25, Dual Convectron Cable, 25 feet
365.00 4d 21h
Ll116x-e / Lamp Quatronix 116 Lmp 11000 / Cascade Laser Corp
Ll116x-e / Lamp Quatronix 116 Lmp 11000 / Cascade Laser Corp
205.62  22h 26m
NSK SH200520AND1-01PN1 LINEAR MOTION GUIDE 52cm,
NSK SH200520AND1-01PN1 LINEAR MOTION GUIDE 52cm,
130.00  24d 23h
6191-0724 /assy Pulse Measurement Board/ Canon
6191-0724 /assy Pulse Measurement Board/ Canon
3,800.97  18d 18h
D101a-93-1215-001 / Direct Rotary Drive Kollmorgen / Applied Materials
D101a-93-1215-001 / Direct Rotary Drive Kollmorgen / Applied Materials
2,200.00  21d 13h
15-183816-00 / Shwrhd,const Density,iald(c3 Ffw) / Novellus Systems Inc / Lam
15-183816-00 / Shwrhd,const Density,iald(c3 Ffw) / Novellus Systems Inc / Lam
3,050.82  12h 5m
Bgr-018822 / Board, Vio Ifa (t5365) / Advantest
Bgr-018822 / Board, Vio Ifa (t5365) / Advantest
3,500.82  5d 12h
The Barden Corp Barden Precision Bearings 100H 0-9 P21D  ID-AWM-F-5-1-006
The Barden Corp Barden Precision Bearings 100H 0-9 P21D ID-AWM-F-5-1-006
25.00  11d 17h
8809 Tokyo Electron Pcb, Tvb0004-1/147con Board 3281-000203-11
8809 Tokyo Electron Pcb, Tvb0004-1/147con Board 3281-000203-11
1,300.00  10d 0h
Trumpf PCB Distributor 6 Applied Materials  0463638
Trumpf PCB Distributor 6 Applied Materials 0463638
179.99  4d 19h
SVG 80039-01 Indexer Board PCB 99-8039-01 RT-050510-01
SVG 80039-01 Indexer Board PCB 99-8039-01 RT-050510-01
125.00 13h 14m
Tokyo Electron CT1986-000233-11 Water Leak Sensor #07 500
Tokyo Electron CT1986-000233-11 Water Leak Sensor #07 500
125.00 25d 17h
Malema Sensor M-50-511-10-018 Flow Switch
Malema Sensor M-50-511-10-018 Flow Switch
50.95  27d 18h
Proteus Industries  100bsp Fluid Flow Switch
Proteus Industries 100bsp Fluid Flow Switch
99.95  4d 22h
Kokusai #fasc 10s33 Auto Shaft Straightner
Kokusai #fasc 10s33 Auto Shaft Straightner
12,500.00  8d 18h
341-0402// Amat Applied 0020-20274 Clamp Holder, Source []
341-0402// Amat Applied 0020-20274 Clamp Holder, Source []
60.00 26d 5h
FEI 17250-F 17250F Gas Injection Controller
FEI 17250-F 17250F Gas Injection Controller
2,889.00  22d 1h
Applied Materials 0041-12192 Reflector Plate 300mm Radiance Amat *refurbished*
Applied Materials 0041-12192 Reflector Plate 300mm Radiance Amat *refurbished*
3,299.00  16d 4h
Semifusion Stage Servo Controller 820721 model 150
Semifusion Stage Servo Controller 820721 model 150
199.99  14d 20h
Mks 260ps-7 Power Supply
Mks 260ps-7 Power Supply
199.95  26d 21h
Bellows;1917660,plunger Bellows
Bellows;1917660,plunger Bellows
1,827.00  5d 3h
Whedco IMC-4230-1-B Motor Controller 78003981/9707
Top-Rated Plus Seller Whedco IMC-4230-1-B Motor Controller 78003981/9707
799.99  12d 18h
Schurter FMAD-0937-8010 Power Line Filter
Schurter FMAD-0937-8010 Power Line Filter
612.11  11d 15h
R01561 00007507 Power Interface 777
R01561 00007507 Power Interface 777
150.00 4d 0h
Btu Engineering Corp 3161171 Board 777
Btu Engineering Corp 3161171 Board 777
150.00 9d 15h
2506637-21 / 2506637-01, Processor Board / Asm America Inc
2506637-21 / 2506637-01, Processor Board / Asm America Inc
199.99  18d 20h
Harness Assy, 005661-00, 451806
Harness Assy, 005661-00, 451806
450.00 1d 14h
4309261 / Gauge,pressure,30 / Wika
4309261 / Gauge,pressure,30 / Wika
106.56  1d 19h
August Technology 706622 Rev 01 706623 3D1 Z Vel Loop Board with 14 day warranty
August Technology 706622 Rev 01 706623 3D1 Z Vel Loop Board with 14 day warranty
495.00 16d 19h
MIDI Ingenierie MI-452 Microstep Bipolar Driver
MIDI Ingenierie MI-452 Microstep Bipolar Driver
195.00 4d 3h
Cilian 700116 REV F Power Control Board SG-0181
Top-Rated Plus Seller Cilian 700116 REV F Power Control Board SG-0181
114.39  15d 14h
SemiLab Model WT-85 Wafer LifeTime Scanner
SemiLab Model WT-85 Wafer LifeTime Scanner
4,995.00  6d 16h
Omega CNi3243 i-Series 1/32 DIN Temperature/Pressure Controller
Omega CNi3243 i-Series 1/32 DIN Temperature/Pressure Controller
151.45 24d 21h
17a7184 / Chuck-2 / Eaton
17a7184 / Chuck-2 / Eaton
110.25  9d 17h
Lil Ol' Groovemaker
Lil Ol' Groovemaker
17.96  25d 12h
TEL CPHP General Chamber
TEL CPHP General Chamber
9,350.00  12d 14h
553157800/ Cmptr,ctc With Digi Board / Lam
553157800/ Cmptr,ctc With Digi Board / Lam
8,500.97  25d 18h
Utopi-020mx / Minertia, Motor Drive Unit Rm Series Ugrmem-01sakoe / Yaskawa
Utopi-020mx / Minertia, Motor Drive Unit Rm Series Ugrmem-01sakoe / Yaskawa
254.55  25d 19h
MILLIPORE  FILTER,  WGVL40S01,  wafergaurd
MILLIPORE FILTER, WGVL40S01, wafergaurd
68.00 14h 26m
Ultratech Stepper MVS Interface Board 2244i 03-15-02860 Rev. A
Ultratech Stepper MVS Interface Board 2244i 03-15-02860 Rev. A
599.99  10d 18h
T161444 Advantest WUN-H36499 Interface Module
T161444 Advantest WUN-H36499 Interface Module
200.00  12d 13h
DC HOT CHUCK POWER SUPPLY  MC SYSTEMS m/n 8834 +++++ POWER TESTED+++++
DC HOT CHUCK POWER SUPPLY MC SYSTEMS m/n 8834 +++++ POWER TESTED+++++
485.00  15d 16h
0641-0829-01) 7310-2423-01 7100-5628-01 7310-4666-01 ?) Pyrometer. DCP Rework
0641-0829-01) 7310-2423-01 7100-5628-01 7310-4666-01 ?) Pyrometer. DCP Rework
2,200.00  9d 17h
Applied Materials 1270-01036 Switch Limit DPDT 24V Straight Plunge DT-2RS1-A7
Applied Materials 1270-01036 Switch Limit DPDT 24V Straight Plunge DT-2RS1-A7
36.00  16d 13h
August Technology 704314 Rev A Door Interlock Board with 14 day warranty
August Technology 704314 Rev A Door Interlock Board with 14 day warranty
495.00 26d 20h
Wotan Neust DC Output PCB Circuit Board 24V/0.2A Part# 028012-1027
Wotan Neust DC Output PCB Circuit Board 24V/0.2A Part# 028012-1027
564.88  18d 13h
HB7820106 Board
HB7820106 Board
77.85  21d 1h
 Rorze RD-023MS Microstepping Motor Driver
 Rorze RD-023MS Microstepping Motor Driver
400.00  26d 1h
Texas Instruments NVSRAM Manual Adapter Board 1210B
Texas Instruments NVSRAM Manual Adapter Board 1210B
149.99 19d 20h
Leybold TW 701 Turbo Pump, 800051V0025, 59V48000rpm
Leybold TW 701 Turbo Pump, 800051V0025, 59V48000rpm
7,500.00 24d 18h
Stainless Steel 8-Inch CF Rotatable Blank Flange w/ 20 Thru-Holes
Stainless Steel 8-Inch CF Rotatable Blank Flange w/ 20 Thru-Holes
120.00  16d 17h
Novellus 15-122178-00N  RING CARRIER UPPER SPINDLE
Novellus 15-122178-00N RING CARRIER UPPER SPINDLE
255.00 8d 19h
Ultratech Stepper Reticle LIB Breakout Board 03-15-04607 Rev. A
Ultratech Stepper Reticle LIB Breakout Board 03-15-04607 Rev. A
249.99  10d 18h
ASML  4022.664.25702 FTSU 4022.478.00196,4022.478.00198 SEM-I-311=6B57
ASML  4022.664.25702 FTSU 4022.478.00196,4022.478.00198 SEM-I-311=6B57
699.90  21d 9h
FESTO  ASML 4022.666.92411 CS.1414336.A CP-5114372 SEM-I-586=9A38
FESTO  ASML 4022.666.92411 CS.1414336.A CP-5114372 SEM-I-586=9A38
499.90 5d 5h
FESTO valve Manifold  ASML 4022.666.00652 CS.1414336.A SEM-I-584=9A38
FESTO valve Manifold  ASML 4022.666.00652 CS.1414336.A SEM-I-584=9A38
499.90 5d 5h
Accel Column / Accelerator Column
Accel Column / Accelerator Column
4,000.00  17d 18h
Millipore RGEN-02 Pump ,TEL CT5011-000005-13
Millipore RGEN-02 Pump ,TEL CT5011-000005-13
1,688.00  14d 6h
0100-20070 Pcb Assembly Mag/lamp Distb
0100-20070 Pcb Assembly Mag/lamp Distb
475.00  28d 14h
103-0601// Amat Applied 3620-00159 Controller,tmp,exc120 []
103-0601// Amat Applied 3620-00159 Controller,tmp,exc120 []
2,340.00 13d 4h
Cyber Systems 7030-103-1 VMEbus Digital Servo Module (KeneticSystems CY70310131)
Top-Rated Plus Seller Cyber Systems 7030-103-1 VMEbus Digital Servo Module (KeneticSystems CY70310131)
49.95 21d 1h
MKS 226 226A-30074 Range 13.332 kPa 24VDC Differential Pressure Transducer
Top-Rated Plus Seller MKS 226 226A-30074 Range 13.332 kPa 24VDC Differential Pressure Transducer
199.99 5d 12h
03-81817-00 / W Pcb, Cmos Cpu Promless / Amat
03-81817-00 / W Pcb, Cmos Cpu Promless / Amat
1,592.49  28d 18h
70512550000 / Board Assy Mis1 / Applied Materials Amat
70512550000 / Board Assy Mis1 / Applied Materials Amat
1,641.54  1d 16h
Branson C03448 Board 777
Branson C03448 Board 777
150.00 8d 0h
19-00155-01 /heater,bb 150mm Block/ Novellus Systems Inc
19-00155-01 /heater,bb 150mm Block/ Novellus Systems Inc
5,995.00  1d 15h
Custom Green Tape Cutter
Custom Green Tape Cutter
1,950.00  21d 18h
Ultratech 1052-680300 Load Rollers/Pulley Drive 30878 1052680300 (Pack Of 4)
Ultratech 1052-680300 Load Rollers/Pulley Drive 30878 1052680300 (Pack Of 4)
55.95 9d 13h
Md-5501 / Pm Driver / Melec
Md-5501 / Pm Driver / Melec
2,148.30  28d 23h
VAT 07512-VA24-AAZ1/0039 A-274100 Rectangular Door L-VAT
VAT 07512-VA24-AAZ1/0039 A-274100 Rectangular Door L-VAT
500.00  23d 19h
Jst Dt921e Switch Panel Tested In Working Order Free Shipping
Jst Dt921e Switch Panel Tested In Working Order Free Shipping
100.00  23d 15h
otherm Controls 6551R10-050041 Input Isolator 65510514531
otherm Controls 6551R10-050041 Input Isolator 65510514531
401.12  4d 13h
Semprex 17-2358.20 Motor Controller  Working
Semprex 17-2358.20 Motor Controller  Working
807.12  23d 20h
Kocat Inc. Bpsg 16s Dry Scrubber, P/n Dry-teos-30
Kocat Inc. Bpsg 16s Dry Scrubber, P/n Dry-teos-30
5,200.00  24d 13h
00319708A111119423 AMAT Applied Materials Cover Ring, Cleaned and Bagged
00319708A111119423 AMAT Applied Materials Cover Ring, Cleaned and Bagged
170.00 11d 8h
Axcelis 17192410 Suppresion Plate 777
Axcelis 17192410 Suppresion Plate 777
100.00 5d 23h
Lsa Cleanpart Amat 00-685951-00 Table Shield 5in 777
Lsa Cleanpart Amat 00-685951-00 Table Shield 5in 777
45.00 22d 23h
Scp 8700 Liquid Dispenser Module 583-020-1a 777
Scp 8700 Liquid Dispenser Module 583-020-1a 777
100.00 22d 23h
Scp 3107a Process Timer Controller 777
Scp 3107a Process Timer Controller 777
50.00 23d 23h
999-0008 Time Process Controller Semifab 777
999-0008 Time Process Controller Semifab 777
100.00 8d 0h
Bruce Btu Engineering Corp 3161411 Board Assembly 777
Bruce Btu Engineering Corp 3161411 Board Assembly 777
100.00 9d 15h
Balzers Contact Flange
Balzers Contact Flange
99.99  10d 16h
Edwards Pump Blower Qmb500f
Edwards Pump Blower Qmb500f
2,499.99  12d 0h
0020-24914 / Cover, 8" Ring / Applied Materials
0020-24914 / Cover, 8" Ring / Applied Materials
499.03  14d 19h
141-0503// Amat Applied 0150-09919 Cable Assembly Ozonator N2 Mfc
141-0503// Amat Applied 0150-09919 Cable Assembly Ozonator N2 Mfc
40.00 4h 54m
TeleFrank GmbH TEP110-24 AC-DC Converter with 30 day warranty
TeleFrank GmbH TEP110-24 AC-DC Converter with 30 day warranty
195.00 16d 18h
Sec-7330m / Mfc 200sccm (cf) / Stec
Sec-7330m / Mfc 200sccm (cf) / Stec
250.99  18d 19h
 Rexroth R150231085 Ballnut
 Rexroth R150231085 Ballnut
349.99  9d 16h
Athena Temperature Controller IMPD15
Top-Rated Plus Seller Athena Temperature Controller IMPD15
99.99 24d 16h
Balzers IA 420 BG 541 179-S ION ADAPTER CARD PCB, IA420 for PRO420 800-T
Balzers IA 420 BG 541 179-S ION ADAPTER CARD PCB, IA420 for PRO420 800-T
230.30  26d 18h
*PREOWNED* Hitachi MBN27-2 Backplane Board PCB M-511E + Warranty!
*PREOWNED* Hitachi MBN27-2 Backplane Board PCB M-511E + Warranty!
175.00  21d 12h
Network Technologies Incorporated 335st-2u-u Nodemux
Network Technologies Incorporated 335st-2u-u Nodemux
249.99  4d 19h
VAT VACUUM GATE VALVE  Tag #74
VAT VACUUM GATE VALVE Tag #74
950.00  14d 12h
10-153079-00 / Tube Assy. Ilds, 3 Ch, Ctg, Vtr / Lam Research Corporation
10-153079-00 / Tube Assy. Ilds, 3 Ch, Ctg, Vtr / Lam Research Corporation
750.03  22d 20h
Aspect Systems Inc, Recipe Module
Aspect Systems Inc, Recipe Module
99.00 17d 20h
401-0302// Mac 45a-ba1-ddca-1ba Valve
401-0302// Mac 45a-ba1-ddca-1ba Valve
69.00 1d 1h
AMAT 0040-09080 High Purity VCR Tee fitting Female X Male X Male 1/4 VCR
AMAT 0040-09080 High Purity VCR Tee fitting Female X Male X Male 1/4 VCR
49.00  24d 14h
7200-0945-01 + 7200-0982-03 D Robot Effector Robot ARM AG Associates Heatpulse
7200-0945-01 + 7200-0982-03 D Robot Effector Robot ARM AG Associates Heatpulse
2,700.00  9d 13h
AMAT 0040-22024 Adapter, 13" S/B Source, 451965
AMAT 0040-22024 Adapter, 13" S/B Source, 451965
1,500.00  24d 20h
ePAK 4" 104mm Single Wafer Carrier ECT4-100 Lid, Base, Spring Lot of 10  4901
ePAK 4" 104mm Single Wafer Carrier ECT4-100 Lid, Base, Spring Lot of 10  4901
76.00 5d 19h
Air Products Gasguard 250 Version 4.1
Air Products Gasguard 250 Version 4.1
200.00  16d 15h
 LAM Shielded Cable 833-016952-012 REV. A
 LAM Shielded Cable 833-016952-012 REV. A
78.00 18d 1h
SMI ID Slicing Blades 22" OD x 8"  ID ( 1-Blade)
SMI ID Slicing Blades 22" OD x 8" ID ( 1-Blade)
75.00  6d 17h
7100-5747-01B RING, SLIP-FREE 6 Inch
7100-5747-01B RING, SLIP-FREE 6 Inch
1,500.00 2d 18h
Material Support Resources 0107121-302 Inner Tube Support
Material Support Resources 0107121-302 Inner Tube Support
508.12  10d 17h
HVA High Vacuum Aparatus 3870-01576W Turbo / Cryo Gate Assembly * AMAT Gate Assy
HVA High Vacuum Aparatus 3870-01576W Turbo / Cryo Gate Assembly * AMAT Gate Assy
299.00  16h 45m
Applied Materials Schem No. 7802-D-5354 AFC I/O Board and Faceplate
Applied Materials Schem No. 7802-D-5354 AFC I/O Board and Faceplate
449.99  11d 14h
 SEALED * Veriflo Parker 735W Series 48600812 Regulator Max Inlet 3500 PSI
 SEALED * Veriflo Parker 735W Series 48600812 Regulator Max Inlet 3500 PSI
75.00  20d 19h
Vat 0520-00131, Vat Motor, Dps  Actr Stepper Motor For Vat Series
Vat 0520-00131, Vat Motor, Dps Actr Stepper Motor For Vat Series
6,480.00  8d 0h
Perkin Elmer barcode Assy 851-7435-002 / 851-8435-002 Rev B
Perkin Elmer barcode Assy 851-7435-002 / 851-8435-002 Rev B
140.00  17d 14h
 Aera PI-98 FCPI981CBAX9TAA 10000 SCCM N2 Mass Flow Controller
Top-Rated Plus Seller  Aera PI-98 FCPI981CBAX9TAA 10000 SCCM N2 Mass Flow Controller
270.74  16d 20h
Calweld 839-068732-002 Wldmt,he,input Sem-i-138=2m24
Calweld 839-068732-002 Wldmt,he,input Sem-i-138=2m24
299.95  18d 20h
Trumpf Nnb 2238658, Hpdlmc, 2246443, 2246442 Hpdl Sem-i-326
Trumpf Nnb 2238658, Hpdlmc, 2246443, 2246442 Hpdl Sem-i-326
499.90  10d 4h
TRUMPF NNB 2246443, Kabelsatz NS_EMO_Auftrennung_HPDLMC SEM-I-350
TRUMPF NNB 2246443, Kabelsatz NS_EMO_Auftrennung_HPDLMC SEM-I-350
499.90  20d 10h
Asml Nib 4022.642.37471 Sem-i-404=7b13
Asml Nib 4022.642.37471 Sem-i-404=7b13
299.90  7d 5h
ADVANCE HICV-G130T17-139PN  Diaphragm Pump, MODEL 37454
ADVANCE HICV-G130T17-139PN Diaphragm Pump, MODEL 37454
299.99  18h 58m
Applied Materials 0190-01973 Deublin 971-600
Applied Materials 0190-01973 Deublin 971-600
570.00 18d 2h
Anelva 833-5770 Rotary Motion Feed Thru, VMT-3844, Farmon ID 412528
Anelva 833-5770 Rotary Motion Feed Thru, VMT-3844, Farmon ID 412528
44.00  12d 17h
Perkin-Elmer 677-7099-001 Circuit Board PCB Card A5
Perkin-Elmer 677-7099-001 Circuit Board PCB Card A5
289.99  9d 15h
Veriflo 43700659 959100W3PXFSMMF Regulator
Veriflo 43700659 959100W3PXFSMMF Regulator
150.00  15d 21h
Applied Materials IR Sensor PCB Board, ASSY 0100-1401, SCH 0130-1401
Applied Materials IR Sensor PCB Board, ASSY 0100-1401, SCH 0130-1401
374.99  12d 11h
Rexroth 1172-200-00 Star. Belt Drive Fixture
Rexroth 1172-200-00 Star. Belt Drive Fixture
275.00  21d 18h
Vwr 1601 , 9070500
Vwr 1601 , 9070500
2,950.00  18d 14h
Kurt J Lesker Molybdenum Target,  Mo, 85% / 3.00 Dia. x .125 Thick, Part# EJTMOX
Kurt J Lesker Molybdenum Target, Mo, 85% / 3.00 Dia. x .125 Thick, Part# EJTMOX
93.15  29d 17h
4s086-527-1 / Relay Amp Type All /   Nikon
4s086-527-1 / Relay Amp Type All / Nikon
3,200.82  5d 15h
Lot of 10 Applied Materials 0190-12476 RTT LAMP
Lot of 10 Applied Materials 0190-12476 RTT LAMP
722.00  12d 8h
Tokyo Electron 1110-316736-12 Stopper Half Moon 111031673612
Tokyo Electron 1110-316736-12 Stopper Half Moon 111031673612
150.00 12d 15h
Jst Dt921e Switch Panel
Jst Dt921e Switch Panel
99.95  20d 0h
Oriental Motor Pmm33a Mg20 0.35a Stepping Motor
Oriental Motor Pmm33a Mg20 0.35a Stepping Motor
80.07  2d 13h
Radoll Panelmaster Model 145 W/ Manual
Radoll Panelmaster Model 145 W/ Manual
1,500.00  23d 19h
4" MKS 155-1100P-24VDC High Vacuum Pneumatic Angle Valve ISO NW100 Flanges 150
4" MKS 155-1100P-24VDC High Vacuum Pneumatic Angle Valve ISO NW100 Flanges 150
105.00  24d 19h
Turck BSMV BKFDK 14-M18-755-2/S6553/S1057, ID #: U-15501, Versa Fast Cordset
Turck BSMV BKFDK 14-M18-755-2/S6553/S1057, ID #: U-15501, Versa Fast Cordset
50.00 2m 42s
112-0601// Amat Applied 0040-70149 Weldment Arm Source
112-0601// Amat Applied 0040-70149 Weldment Arm Source
1,200.00 1d 0h
*PREOWNED* Hitachi MBN13-2 Backplane Board PCB M-511E + Warranty!
*PREOWNED* Hitachi MBN13-2 Backplane Board PCB M-511E + Warranty!
145.00  21d 12h
fgm240128d-fwx1 display 22138531
fgm240128d-fwx1 display 22138531
569.99  20d 10h
ASML Exciter Lamp 859-0515-006-A  Working
ASML Exciter Lamp 859-0515-006-A  Working
727.09  28d 18h
Millipore Corp Controller, Photo Cool W2501cc01
Millipore Corp Controller, Photo Cool W2501cc01
349.99 5d 20h
Rvsi 52493 & 375501 & 51828 & 49335
Rvsi 52493 & 375501 & 51828 & 49335
1,349.00  24d 3h
Fei 20638 Gis, Iee Insulator Gas Injection System 20095 18098 403527245851
Fei 20638 Gis, Iee Insulator Gas Injection System 20095 18098 403527245851
3,999.00  14d 14h
LAM Research 853-017230-1-C
LAM Research 853-017230-1-C
2,500.00  3d 19h
Tokyo Electron 1181-000253-1b Pcb Assy Mc-31044a ,
Tokyo Electron 1181-000253-1b Pcb Assy Mc-31044a ,
1,600.00  2h 11m
VAT 0310X-CA24-AKK2/0015 A-261200 VAT Rectangular Insert/Door
VAT 0310X-CA24-AKK2/0015 A-261200 VAT Rectangular Insert/Door
500.00  24d 11h
421251100 / Qearmotor,7.5 Hp,invrtr Duty / Lam Research
421251100 / Qearmotor,7.5 Hp,invrtr Duty / Lam Research
2,865.97  2d 17h
AMAT Applied Materials Controller Interface Assembly Control IGL SCR Chamber
AMAT Applied Materials Controller Interface Assembly Control IGL SCR Chamber
3,499.99  7d 19h
50412411000 /frame Assy Etps/ Applied Materials
50412411000 /frame Assy Etps/ Applied Materials
5,000.97  22d 18h
Electrogrip DR5A Electrostatic Grip Controller
Electrogrip DR5A Electrostatic Grip Controller
150.00  24d 17h
0020-090660, Applied Materials, CHAMBER BODY
0020-090660, Applied Materials, CHAMBER BODY
13,000.00  18d 15h
Jst Dt921v Switch Panel Tested Working Condition
Jst Dt921v Switch Panel Tested Working Condition
60.00  23d 15h
SCP    3107A   Process Timer   583-057-1A.
SCP    3107A   Process Timer   583-057-1A.
60.00  4d 23h
Scientific Technologies XIBM MS4336 Minisafe
Scientific Technologies XIBM MS4336 Minisafe
500.00  6d 19h
Magnos Inc 1625 Pcb 1884 1625a Card Board Varian 104434001
Magnos Inc 1625 Pcb 1884 1625a Card Board Varian 104434001
799.00  13d 6h
EPIX 4Meg Video board Rev. 3.5B with 14 day warranty
EPIX 4Meg Video board Rev. 3.5B with 14 day warranty
950.00 15d 17h
Amat 0020-34171, Plate Perf 100-150mm Nitride Non Anodize
Amat 0020-34171, Plate Perf 100-150mm Nitride Non Anodize
2,992.00  1d 17h
Almatec / Diaphragm Pump, Almatec Pfa/ptfa / Zmisc
Almatec / Diaphragm Pump, Almatec Pfa/ptfa / Zmisc
500.00  9d 21h
Sienna Technologies Inc, A11711000-01 Board
Sienna Technologies Inc, A11711000-01 Board
199.95  26d 0h
323-0201// Amat Applied 3700-02365 Oring Id 14.975 Csd .210 Chemr
323-0201// Amat Applied 3700-02365 Oring Id 14.975 Csd .210 Chemr
850.00 15d 0h
August Technology 704417 SIO Video Trigger Board with 14 day warranty
August Technology 704417 SIO Video Trigger Board with 14 day warranty
950.00 26d 20h
ASML  4022.451.8773.1 4022.481.43795 SEM-I-613=7B13
ASML  4022.451.8773.1 4022.481.43795 SEM-I-613=7B13
199.90 12d 3h
Exclusive Design EDC Digital Signal I/O Board 16689
Exclusive Design EDC Digital Signal I/O Board 16689
124.99  13d 15h
0010-38743, Applied Materials, Assy, Optical Recess Endpoint Module
0010-38743, Applied Materials, Assy, Optical Recess Endpoint Module
9,800.00  18d 13h
0021-21890 / Ring, Deposition, 8 Jmf, Ti/tin, Htesc / Applied Materials Amat
0021-21890 / Ring, Deposition, 8 Jmf, Ti/tin, Htesc / Applied Materials Amat
1,737.19  21d 18h
0010-03166/002 / 200mm Cass't Hndlr Basic Assy,mnl Fend,p/applied Materials Amat
0010-03166/002 / 200mm Cass't Hndlr Basic Assy,mnl Fend,p/applied Materials Amat
2,982.68  26d 23h
St028 / Sensor Assy,capacitive,t3/t1 Transfer Head,semix,st028 / Semix
St028 / Sensor Assy,capacitive,t3/t1 Transfer Head,semix,st028 / Semix
2,626.30  13d 14h
0010-09616 / Assy, 150mm Susceptor, Universal Chamber / Applied Materials Amat
0010-09616 / Assy, 150mm Susceptor, Universal Chamber / Applied Materials Amat
2,220.33  14d 14h
Bb81055336 / Pwa Power Distribution / Varian
Bb81055336 / Pwa Power Distribution / Varian
2,220.00  14d 14h
PIA/E2 V3.0 1052042 Prodrive Board Module 6538-1302-4801
PIA/E2 V3.0 1052042 Prodrive Board Module 6538-1302-4801
299.98  25d 3h
8338 Applied Materials Assy, Pcb, Chamber Set Interconnect 0100-37858
8338 Applied Materials Assy, Pcb, Chamber Set Interconnect 0100-37858
2,634.85  1d 1h
Amat Applied Materials Degas Chf 0060-02044
Amat Applied Materials Degas Chf 0060-02044
450.00  26d 16h
Itegrated Designs Pn 1-140-132, Tube, 40 Stk Vent, Idi 300/310
Itegrated Designs Pn 1-140-132, Tube, 40 Stk Vent, Idi 300/310
1.24 15d 15h
Pn 99-03179, Harn Assy, Alm
Pn 99-03179, Harn Assy, Alm
2.22 29d 12h
KUHNKE SP769.0060/SP768.0066/SP760.0028(2)/SP760.0029 GASBOX PARTS.
KUHNKE SP769.0060/SP768.0066/SP760.0028(2)/SP760.0029 GASBOX PARTS.
500.00  3d 19h
323-0201// Amat Applied 3700-01425 Oring Id 14.984 Csd .139 Kalre []
323-0201// Amat Applied 3700-01425 Oring Id 14.984 Csd .139 Kalre []
460.00 11d 5h
Applied Materials 3690-01884 QTY 18 Silver Plated Screws
Applied Materials 3690-01884 QTY 18 Silver Plated Screws
40.00 27d 7h
Cambridge Applied Systems Ncc-323 Viscosity Moitoring System 100 - 10,000cp
Cambridge Applied Systems Ncc-323 Viscosity Moitoring System 100 - 10,000cp
2,450.00  21d 18h
Riken Keiki Rkp-62069 Gas Monitor Lot Of 2
Riken Keiki Rkp-62069 Gas Monitor Lot Of 2
100.07  20d 17h
Edwards Temperature Management System P/n.aa01390 , Removed From Working Machine
Edwards Temperature Management System P/n.aa01390 , Removed From Working Machine
400.00  16d 3h
Compart/hytron 220-32268-000 2-port 1-1/8 C-seal 22032268000 Brand
Compart/hytron 220-32268-000 2-port 1-1/8 C-seal 22032268000 Brand
25.00  25d 16h
Applied Materials 0020-10771 Plate Perf 150mm Oxide Amat *un, Sealed*
Applied Materials 0020-10771 Plate Perf 150mm Oxide Amat *un, Sealed*
749.00  8h 44m
AMAT 0190-01982 SWITCH, WATER 3/8" HOSE/W ANALOG OUT 2 G/Min 95027006S24P1
AMAT 0190-01982 SWITCH, WATER 3/8" HOSE/W ANALOG OUT 2 G/Min 95027006S24P1
199.00  18h 17m
Tescom , 64-3661KRK32
Tescom , 64-3661KRK32
250.00 16d 21h
Edwards Freeze Fracturing and Etching Unit
Edwards Freeze Fracturing and Etching Unit
160.00  15d 21h
Mhdb083a1a02 / Ac Servo Driver Input 200-240v 3ph 3.1a Output 116v5.6a/panasonic
Mhdb083a1a02 / Ac Servo Driver Input 200-240v 3ph 3.1a Output 116v5.6a/panasonic
1,895.00  25d 16h
Hrg002-w-x069 / Thermo Cooler / Smc
Hrg002-w-x069 / Thermo Cooler / Smc
3,940.03  23d 17h
Harness Assy, Turbo Pump, 93-1315-01, 451809
Harness Assy, Turbo Pump, 93-1315-01, 451809
295.00 1d 14h
Y14204000 / Temperature Management System / Edwards
Y14204000 / Temperature Management System / Edwards
420.68  28d 15h
0620-07506 / S2 Driver Main And Control Ac Pwr 208v / Marinco
0620-07506 / S2 Driver Main And Control Ac Pwr 208v / Marinco
310.00  2d 22h
211252730 Cable Harness, 4999, 450700
211252730 Cable Harness, 4999, 450700
25.00 19d 14h
Tokyo Electron 2110-329885-11 Heat Thermal Insulator TEL DS2110-329885-11
Tokyo Electron 2110-329885-11 Heat Thermal Insulator TEL DS2110-329885-11
98.75 14d 13h
*PREOWNED* Yashibi IP-252 88,6 Connector Interface PCB Board + Warranty!
*PREOWNED* Yashibi IP-252 88,6 Connector Interface PCB Board + Warranty!
55.00  16d 15h
Tegal 9XX PCB, 99-165-003 REV.J, NOS
Top-Rated Plus Seller Tegal 9XX PCB, 99-165-003 REV.J, NOS
300.00  28d 15h
VG Quadrupoles Model 100D with Cable
Top-Rated Plus Seller VG Quadrupoles Model 100D with Cable
175.00  3d 17h
AMAT 0200-18081 Ring, 200mm, SNNF, SMLFLT, ULTIM, 233-0670-36, 424269
AMAT 0200-18081 Ring, 200mm, SNNF, SMLFLT, ULTIM, 233-0670-36, 424269
575.00 20d 21h
DFT Track Selector PCB STK RHBU-021
DFT Track Selector PCB STK RHBU-021
499.99  10d 18h
320-0201// Copyright Radisys 61-0595-40 Board P133w/enet [asis]
320-0201// Copyright Radisys 61-0595-40 Board P133w/enet [asis]
4,000.00 8d 0h
0240-20520 / Consumable Kit,8" Al/ti Snnf/ Applied Materials
0240-20520 / Consumable Kit,8" Al/ti Snnf/ Applied Materials
800.98  29d 18h
1070 Applied Materials Susceptor Calib Disp Assy 0010-09063
1070 Applied Materials Susceptor Calib Disp Assy 0010-09063
328.36  4d 7h
SVC Shuttle Arm Assembly
SVC Shuttle Arm Assembly
489.99  3d 15h
156-0401// Amat Applied 4315-0009 Applied Matrials Components Asis
156-0401// Amat Applied 4315-0009 Applied Matrials Components Asis
80.00 22d 0h
Millipore WCDS000F2 Dispense Pump Controller NOS
Millipore WCDS000F2 Dispense Pump Controller NOS
720.00  3d 15h
LAM Pneumatic Manifold, V100-97-11A, SMC, 423717
LAM Pneumatic Manifold, V100-97-11A, SMC, 423717
450.00 19d 19h
20 LM76 Inc L408-6 Ceramic Coated Liner Motion Bearings, 422374
20 LM76 Inc L408-6 Ceramic Coated Liner Motion Bearings, 422374
250.00 29d 18h
Eaton NZM 1 4713B NZM B1 160A Triple Pole NZMB1-A160 Circuit Breaker
Eaton NZM 1 4713B NZM B1 160A Triple Pole NZMB1-A160 Circuit Breaker
150.00  16d 6h
Hs190002 / Fluid Sensor-ptfe Encap Sensor H / Novellus Systems Inc
Hs190002 / Fluid Sensor-ptfe Encap Sensor H / Novellus Systems Inc
350.68  16d 16h
VILLA PRECISION Model FAST 2410V  Glass Scriber
VILLA PRECISION Model FAST 2410V Glass Scriber
9,500.00  21d 18h
Aera FC-PA7810C-BA Mass Flow Controller, MFC, Ar, 20 SLM, 451774
Aera FC-PA7810C-BA Mass Flow Controller, MFC, Ar, 20 SLM, 451774
550.00 26d 19h
Aera FC-PA7800C-BA Mass Flow Controller MFC, SiH4 2 SLM, AMAT 3030-16235, 451783
Aera FC-PA7800C-BA Mass Flow Controller MFC, SiH4 2 SLM, AMAT 3030-16235, 451783
450.00 27d 15h
E0r057586b / I/0 Board / Zmisc
E0r057586b / I/0 Board / Zmisc
1,674.90  6d 20h
0020-21219-002 / Mounting Plate / Applied Materials Amat
0020-21219-002 / Mounting Plate / Applied Materials Amat
2,000.00  16d 15h
7410297-1 / Dust Tray, Rev C / Wonik Quartz International
7410297-1 / Dust Tray, Rev C / Wonik Quartz International
1,750.00  3d 17h
30712630000 / Vbm Assy / Amat
30712630000 / Vbm Assy / Amat
1,546.71  9d 16h
Ceib-1011 / If-a Board Pcb 60a Comparable 2-vc-15260 Slot 1 With Exchange     / Dns
Ceib-1011 / If-a Board Pcb 60a Comparable 2-vc-15260 Slot 1 With Exchange / Dns
1,995.03  27d 14h
Se20b103 / Pc Control (rack-360gw) / Sosul
Se20b103 / Pc Control (rack-360gw) / Sosul
3,000.99  18d 20h
04-712190-01 / Shield, Chamber, Upper, Varian 3290 / Varian
04-712190-01 / Shield, Chamber, Upper, Varian 3290 / Varian
2,300.00  29d 17h
70312536400 / (s)mod.scan Current Amp / Applied Materials Amat
70312536400 / (s)mod.scan Current Amp / Applied Materials Amat
2,200.71  29d 18h
80696-001-e / Pcs Ram Module / Varian
80696-001-e / Pcs Ram Module / Varian
1,600.00  13d 21h
852-017500-350 / Monitor Display / Lam Research Corporation
852-017500-350 / Monitor Display / Lam Research Corporation
3,911.77  25d 20h
02010-aa24-1008 / Valve, Vat / Vat
02010-aa24-1008 / Valve, Vat / Vat
2,450.00  29d 16h
70512529000 / Mod. Fine Shift Brd / Applied Materials Amat
70512529000 / Mod. Fine Shift Brd / Applied Materials Amat
1,762.53  1d 14h
0020-29457 / Transfer Side Clamp Ring, 6, Smf, Ti, E/e 3.404mm / Amat
0020-29457 / Transfer Side Clamp Ring, 6, Smf, Ti, E/e 3.404mm / Amat
1,620.61  1d 16h
Aera FC-PA7800C-BA Mass Flow Controller MFC, CH4, 1 SLM, AMAT 3030-16240, 451786
Aera FC-PA7800C-BA Mass Flow Controller MFC, CH4, 1 SLM, AMAT 3030-16240, 451786
550.00 27d 16h
AMAT 0040-22024 Adapter, 13" S/B Source, 451961
AMAT 0040-22024 Adapter, 13" S/B Source, 451961
1,500.00  24d 20h
0100-76091, Applied Materials Pcb Assy
0100-76091, Applied Materials Pcb Assy
525.00  8d 22h
713-074511-001 Holder, Lifter Pin - Loadlock
713-074511-001 Holder, Lifter Pin - Loadlock
35.00  21d 17h
Advance NC469S-6FV-N Type 62465 3/4" Pneumatic Actuated 2-Way Valve, RS1113
Advance NC469S-6FV-N Type 62465 3/4" Pneumatic Actuated 2-Way Valve, RS1113
295.00 25d 19h
Veriflo 43200627 Sensym EXACT Pressure Transducer, EXD250V1B2, 452073
Veriflo 43200627 Sensym EXACT Pressure Transducer, EXD250V1B2, 452073
295.00 6d 18h
Swagelok SS-DLFR4-P Diaphragm Valve Assy w/ SS-BNVCR4-C Bellows Valve, 452408
Swagelok SS-DLFR4-P Diaphragm Valve Assy w/ SS-BNVCR4-C Bellows Valve, 452408
595.00 22h 7m
CKD HVB41 48VDC Valve w Cable
CKD HVB41 48VDC Valve w Cable
75.00  22d 18h
G Ai-tronics Corporation 69489-001 Terminal P.c.b. Assy. Single & Multi Use
G Ai-tronics Corporation 69489-001 Terminal P.c.b. Assy. Single & Multi Use
142.50  1d 13h
Bm24312l02 / Pcb / Zmisc
Bm24312l02 / Pcb / Zmisc
150.00  14d 20h
3160710e / Bruce I/o Board / Bruce Systems
3160710e / Bruce I/o Board / Bruce Systems
146.75  16d 20h
400-34141-02 / Panel Station Center Touch Control / Excelteq Inc
400-34141-02 / Panel Station Center Touch Control / Excelteq Inc
164.00  23h 44m
Drytek / Lam Lower Electrode Microwave Assy 2301838
Top-Rated Plus Seller Drytek / Lam Lower Electrode Microwave Assy 2301838
1,999.20  19d 13h
Rix Rotary Union Type Mfc2p-10656e,
Rix Rotary Union Type Mfc2p-10656e,
623.20  18d 17h
Svg 99-80295-01 Power Supply Safety Reset Board Pcb Pc Rev F
Svg 99-80295-01 Power Supply Safety Reset Board Pcb Pc Rev F
339.99  13d 18h
Uti Instruments 04020 Rf Generator Model 2221
Uti Instruments 04020 Rf Generator Model 2221
429.99  8d 15h
AMAT 678200 Lower Heat Shield
AMAT 678200 Lower Heat Shield
2,000.00  25d 18h
8177 Applied Materials Pcb Chamber Set Interface Board (0110-02071) 0100-02071
8177 Applied Materials Pcb Chamber Set Interface Board (0110-02071) 0100-02071
2,220.89  19d 6h
 Parker A-Lok 12PC12-316 Port Ponnector 316SS, 3/4" Tube Stub / Ferrule
 Parker A-Lok 12PC12-316 Port Ponnector 316SS, 3/4" Tube Stub / Ferrule
9.99 28d 12h
AF/ σ SIGMA AF/ σ-M  /  Free International Shipping
AF/ σ SIGMA AF/ σ-M / Free International Shipping
1,699.00 24d 3h
Meidan uPIBOC-III UA021/221A Industrial Computer
Meidan uPIBOC-III UA021/221A Industrial Computer
299.00  6d 0h
Omron Ly2 Item 750162 E2
Omron Ly2 Item 750162 E2
10.00  15d 19h
Amat Applied 0150-35112 C/a, Ac Pwr, 5 Phase Driver To Pcb
Amat Applied 0150-35112 C/a, Ac Pwr, 5 Phase Driver To Pcb
30.00  2d 15h
9" (Diameter) x 0.5" (Thick) Clear Round Glass Disc (or Quartz?)
9" (Diameter) x 0.5" (Thick) Clear Round Glass Disc (or Quartz?)
64.97  5d 12h
Applied Materials 0021-86211 Ring Cover
Applied Materials 0021-86211 Ring Cover
770.00  8d 12h
Nikon 4S065-473
Nikon 4S065-473
1,300.00 13d 4h
03-70057-00 / Wuniversal Address Board W/proms / Applied Materials
03-70057-00 / Wuniversal Address Board W/proms / Applied Materials
1,249.14  28d 14h
Applied Materials 0200-35296 Plug, Inner, Gas Feed, Dps A3 Amat *un, Sealed*
Applied Materials 0200-35296 Plug, Inner, Gas Feed, Dps A3 Amat *un, Sealed*
99.00  29d 5h
Amat 715-330161-002 Shield B.a.c Thk
Amat 715-330161-002 Shield B.a.c Thk
256.00  29d 19h
1550-0001-3001  ELECTRODE EXTRACTION  NV 6200 or NV3206
1550-0001-3001 ELECTRODE EXTRACTION  NV 6200 or NV3206
350.00  4d 17h
128-0901// Amat Applied 3700-02322 Sealassy 15.135id X .280w Fluoroloy W/ss
128-0901// Amat Applied 3700-02322 Sealassy 15.135id X .280w Fluoroloy W/ss
220.00 23d 3h
V.G. Quadruploes Ltd. Model 603 RF Controller
V.G. Quadruploes Ltd. Model 603 RF Controller
3,975.00  15d 18h
Applied Materials 0040-76577 Weight 300mm 5zone Profiler Amat *un, Sealed*
Applied Materials 0040-76577 Weight 300mm 5zone Profiler Amat *un, Sealed*
2,999.00  2d 13h
E11080470 / Switch / Varian
E11080470 / Switch / Varian
4,500.68  22h 58m
Atmoscan Tube Closure Mechanism,
Atmoscan Tube Closure Mechanism,
1,500.00  17h 32m
Integrated Designs Chemical Dispense Card A to D 1-139-010
Integrated Designs Chemical Dispense Card A to D 1-139-010
399.00  21d 11h
Stancor P-8689 Auto Transformer input 115V/230V,
Stancor P-8689 Auto Transformer input 115V/230V,
250.00 2d 20h
0021-04268 / Gas Distribution Plate, P+, 133 Hole / Applied Materials Amat
0021-04268 / Gas Distribution Plate, P+, 133 Hole / Applied Materials Amat
833.85  21d 19h
0020-28140 / Shield, 6 Degas With 12.9 , Non-txt / Applied Materials Amat
0020-28140 / Shield, 6 Degas With 12.9 , Non-txt / Applied Materials Amat
894.00  27d 18h
P510172 / Donaldson Purgehood Filter, Asml / Donaldson Company, Inc
P510172 / Donaldson Purgehood Filter, Asml / Donaldson Company, Inc
839.99  28d 15h
0020-21707 / Lifter, 8 In / Applied Materials Amat
0020-21707 / Lifter, 8 In / Applied Materials Amat
820.99  15d 18h
6MBI30F-060, Fuji Electric, 30A 600V IGBT MODULE
6MBI30F-060, Fuji Electric, 30A 600V IGBT MODULE
189.89  12d 18h
0040-24228 / Shld Rf Htr Btm 1.574 / Applied Materials Amat
0040-24228 / Shld Rf Htr Btm 1.574 / Applied Materials Amat
1,385.41  1d 22h
99-85004-04 / Pcb Assy Mdu Panel / Systems Chemistry
99-85004-04 / Pcb Assy Mdu Panel / Systems Chemistry
1,355.98  2d 14h
50412572300 / Attenuator Board / Applied Materials Amat
50412572300 / Attenuator Board / Applied Materials Amat
1,347.24  2d 14h
70412569000 / (s)mod. Overlay Board / Applied Materials Amat
70412569000 / (s)mod. Overlay Board / Applied Materials Amat
1,288.38  2d 15h
61941-00 / Chamber Dump 110 Degree / Varian
61941-00 / Chamber Dump 110 Degree / Varian
1,140.00  5d 14h
70312533000 / (s)mod. E/o Cpu Board / Applied Materials Amat
70312533000 / (s)mod. E/o Cpu Board / Applied Materials Amat
1,389.75  1d 22h
70312544000 / (s)mod. E/o Remote Int. / Applied Materials Amat
70312544000 / (s)mod. E/o Remote Int. / Applied Materials Amat
1,030.05  5d 18h
Thermco LP CVD Front  Flange, 150/156mm,
Thermco LP CVD Front Flange, 150/156mm,
2,500.00 24d 17h
*PREOWNED* Yashibi YCB-014 Board + Warranty & Fast Shipping!
*PREOWNED* Yashibi YCB-014 Board + Warranty & Fast Shipping!
55.00  17d 10h
5915 Kensington Pcb Door Opener Processor W/ 77-4000-6110-03 I/o Bd 4000-6109-03
5915 Kensington Pcb Door Opener Processor W/ 77-4000-6110-03 I/o Bd 4000-6109-03
3,161.82  12d 9h
KLA Instruments 710-678434-00 Sensor Output Module
KLA Instruments 710-678434-00 Sensor Output Module
299.00  22d 4h
Spectrum 760059 760056 P/N: 014204-020 Board
Spectrum 760059 760056 P/N: 014204-020 Board
299.00 5d 15h
3 Applied Materials AMAT Cable Harness Assemblies 150-37003/150-37009/140-36294
3 Applied Materials AMAT Cable Harness Assemblies 150-37003/150-37009/140-36294
28.99  18d 14h
Ncdy2s15h-0600 / Cylinder; / Smc
Ncdy2s15h-0600 / Cylinder; / Smc
380.00  21d 16h
Esec 694.0913/01 769.0907/02 Board for Esec 2008HS3PLUS D-163
Esec 694.0913/01 769.0907/02 Board for Esec 2008HS3PLUS D-163
349.30  20d 22h
Watts DT93A  Low Water Cutoff Control
Watts DT93A Low Water Cutoff Control
150.00  18d 19h
Applied Materials 0041-14084 0021-47728 0021-47654 0021-87366 0021-26855 Magnet
Applied Materials 0041-14084 0021-47728 0021-47654 0021-87366 0021-26855 Magnet
11,999.00  16d 13h
Applied Materials 0041-09027 Lla/ 0041-40164 Amat
Applied Materials 0041-09027 Lla/ 0041-40164 Amat
1,999.00  25d 9h
Thermco H2 Anneal Flange, 225/235mm, 144023-001C3,
Thermco H2 Anneal Flange, 225/235mm, 144023-001C3,
2,500.00 24d 17h
IVS PC980124 Pneumatic Control Circuit Board
IVS PC980124 Pneumatic Control Circuit Board
75.00 20d 18h
Acca Machine 225667-0001, 451667
Acca Machine 225667-0001, 451667
295.00 19d 20h
AMAT 0040-13578 Hose Return Right, Chilled FA, Bellows, Cryo, Vacuum, 451871
AMAT 0040-13578 Hose Return Right, Chilled FA, Bellows, Cryo, Vacuum, 451871
350.00 13d 16h
Applied Materials 0010-30804 Crescent Assy Vd, Desica 300mm *un, Sealed*
Applied Materials 0010-30804 Crescent Assy Vd, Desica 300mm *un, Sealed*
4,999.00  23d 12h
Amat Ampule Tmp Controller Bd 0020-10842
Amat Ampule Tmp Controller Bd 0020-10842
2,499.99  29d 23h
Applied Materials 0190-26463 Temperature Controller Yamatake Sensing Control
Applied Materials 0190-26463 Temperature Controller Yamatake Sensing Control
4,599.00  27d 17h
TEL Tokyo Electron 2910-339905-11 Arm  (12") Buffer,  old Stock
Top-Rated Plus Seller TEL Tokyo Electron 2910-339905-11 Arm (12") Buffer,  old Stock
360.00  4d 17h
Leybold 150CSV Turbomolecular Turbovac Pump150 CSV w/ Covers
Leybold 150CSV Turbomolecular Turbovac Pump150 CSV w/ Covers
1,000.00  14d 17h
Opal 50312460000 ETPS Assembly AMAT Applied Materials SEMVision cX  Working
Opal 50312460000 ETPS Assembly AMAT Applied Materials SEMVision cX  Working
1,503.11  24d 13h
323-0302// Amat Applied 0010-30230 Assembly,h.o.t. Analyzer Cartridge
323-0302// Amat Applied 0010-30230 Assembly,h.o.t. Analyzer Cartridge
600.00 28d 5h
346-0101// Amat Applied 0020-34048 Support, Bellows
346-0101// Amat Applied 0020-34048 Support, Bellows
1,500.00 4d 5h
Nordiko A01490 Clamp Bellows
Nordiko A01490 Clamp Bellows
149.99  10d 18h
Amat 0020-77944 Base Plate Mount ,
Amat 0020-77944 Base Plate Mount ,
700.00  16d 23h
Crossing Automation Configurable I/O, Asyst, 451666
Crossing Automation Configurable I/O, Asyst, 451666
450.00 19d 20h
Asyst 4001-6927-01 Cassette Platform, SMIF, Indexer, 200mm, 451758
Asyst 4001-6927-01 Cassette Platform, SMIF, Indexer, 200mm, 451758
450.00 26d 14h
Target Base, One piece, MU, 828-69-600, 451766
Target Base, One piece, MU, 828-69-600, 451766
650.00 26d 17h
Aera FC-PA7810C-BA Mass Flow Controller, MFC, N2O 1 SLM, AMAT 3030-16654, 451781
Aera FC-PA7810C-BA Mass Flow Controller, MFC, N2O 1 SLM, AMAT 3030-16654, 451781
650.00 26d 21h
Horiba STEC IV-2410AV-02H Injection Valve, AMAT 0190-36237, 451861
Horiba STEC IV-2410AV-02H Injection Valve, AMAT 0190-36237, 451861
450.00 3d 18h
Brooks 5896B19A Readout Mass Flow Controller, 5896, 421166
Brooks 5896B19A Readout Mass Flow Controller, 5896, 421166
295.00 8d 21h
MKS PDR-5B-BCD Power Supply Readout, PDR-5B, 421179
MKS PDR-5B-BCD Power Supply Readout, PDR-5B, 421179
650.00 9d 19h
Tylan RO-20A Readout Box, 421163
Tylan RO-20A Readout Box, 421163
450.00 13d 16h
OGURA CLUTCH RNB 0.2G Clutch Brake
OGURA CLUTCH RNB 0.2G Clutch Brake
199.00 9d 19h
Allen-Bradley Kinetix 6000 Line Interface Module, 2904-BL02, BULLETIN, 423535
Allen-Bradley Kinetix 6000 Line Interface Module, 2904-BL02, BULLETIN, 423535
950.00 6d 16h
OMEGA ENGINEERING Flow Control FM-8506
OMEGA ENGINEERING Flow Control FM-8506
59.99  5d 2h
Applied Materials AMAT Custom Elbow, 0050-09377
Applied Materials AMAT Custom Elbow, 0050-09377
195.00  29d 8h
Asyst 4001-6908-01 Cassette, Loader, Platform, SMIF 452360
Asyst 4001-6908-01 Cassette, Loader, Platform, SMIF 452360
695.00 29d 16h
T.E.M. Filter,  #TEM-815-6 Gas Filter
T.E.M. Filter, #TEM-815-6 Gas Filter
225.00 7d 15h
Straight Manifold, KF40, Vacuum Foreline Tube, 1621-263-12 412997
Straight Manifold, KF40, Vacuum Foreline Tube, 1621-263-12 412997
150.00 17d 20h
AMAT Applied Materials #0680-01370 CBMAG 1P 250VAC 10A Circuit Breakers  
AMAT Applied Materials #0680-01370 CBMAG 1P 250VAC 10A Circuit Breakers
74.50  29d 17h
1953  2 Applied Materials (01-81912-00 & 01-81912-00/C) Analog Interface Units
1953 2 Applied Materials (01-81912-00 & 01-81912-00/C) Analog Interface Units
3,000.00  5d 23h
Carlisle 1-3636-601-5224 Semi-Flex 601 RF Cable 24" Male - Male 18GHz 50Ohm
Carlisle 1-3636-601-5224 Semi-Flex 601 RF Cable 24" Male - Male 18GHz 50Ohm
24.99 4d 17h
X13650736-12 - 0211161623 - REV J 1213 TE Board
X13650736-12 - 0211161623 - REV J 1213 TE Board
69.99  6d 10h
44253-01 / Rs232c To F/o Converter / Genus
44253-01 / Rs232c To F/o Converter / Genus
300.00  13d 16h
99-80308-01 / Mount-mce Pcb Dc Dist Assy / Svg
99-80308-01 / Mount-mce Pcb Dc Dist Assy / Svg
510.30  12d 16h
99-90288 / Pcb-cpu Card Ignal Conditioner / Systems Chemistry
99-90288 / Pcb-cpu Card Ignal Conditioner / Systems Chemistry
628.95  5d 19h
0100-00192 / Wsense Amp Board Assy / Applied Materials Amat
0100-00192 / Wsense Amp Board Assy / Applied Materials Amat
597.76  6d 15h
Stepping Motor  Vexta   Uph566-a   5phase
Stepping Motor Vexta Uph566-a 5phase
50.00  8d 15h
Trazar Srn2 / 02-255013-00  Fixed Match
Trazar Srn2 / 02-255013-00 Fixed Match
2,300.00 23d 4h
Kongsberg Marine TERMINAL BOARD, VOTING, P/N 37937588
Kongsberg Marine TERMINAL BOARD, VOTING, P/N 37937588
299.00  6d 4h
Aera FC-PA7800C-BA Mass Flow Controller, MFC, Ar, 5 SLM, AMAT 3030-16225, 451789
Aera FC-PA7800C-BA Mass Flow Controller, MFC, Ar, 5 SLM, AMAT 3030-16225, 451789
625.00 27d 17h
Advance NC469S-6FV-N Type 62465 3/4" Pneumatic Actuated 2-Way Valve, RS1114
Advance NC469S-6FV-N Type 62465 3/4" Pneumatic Actuated 2-Way Valve, RS1114
295.00 25d 19h
Asyst 4001-6908-01 Cassette, Loader, Platform, SMIF 452361
Asyst 4001-6908-01 Cassette, Loader, Platform, SMIF 452361
695.00 29d 16h
715-140287-003 / Ring Clamp Upper Electrode / Lam Research Corporation
715-140287-003 / Ring Clamp Upper Electrode / Lam Research Corporation
803.25  28d 17h
0020-23044 / Shield, Tin, Lower / Applied Materials Amat
0020-23044 / Shield, Tin, Lower / Applied Materials Amat
866.25  27d 21h
Hermos TLG TLG-00-4447  Transponder Reader QUAAS96 N5GTLG G750 733J ()
Top-Rated Plus Seller Hermos TLG TLG-00-4447 Transponder Reader QUAAS96 N5GTLG G750 733J ()
35.99 4d 10h
Amat 0200-10522 Insulator, Non-pwr Side, Alumina, Dpa ,
Amat 0200-10522 Insulator, Non-pwr Side, Alumina, Dpa ,
650.00  14d 2h
BOC Edwards D37420000 Local Control Vacuum Module iTIM E73+A1+T1
BOC Edwards D37420000 Local Control Vacuum Module iTIM E73+A1+T1
150.00  27d 10h
0021-07271 / Blocker Ch Lid He/he Sacvd 300mm Prod / Applied Materials Amat
0021-07271 / Blocker Ch Lid He/he Sacvd 300mm Prod / Applied Materials Amat
1,016.03  5d 18h
26-82454 / Base, Pivot, Cap, Upper, 8300 / Amat
26-82454 / Base, Pivot, Cap, Upper, 8300 / Amat
96.77  29d 14h
Perkins-Elmer Control Panel Chamber 1
Perkins-Elmer Control Panel Chamber 1
306.00 144.84  22d 22h
Cajon Swagelok R321-6-X-12 FMR Bellows
Cajon Swagelok R321-6-X-12 FMR Bellows
125.00  23d 1h
Parker Veriflow, Series 06-442VFVF
Parker Veriflow, Series 06-442VFVF
30.00 16d 20h
Whitey/Swagelok, SS-6BVCR8-SC11
Whitey/Swagelok, SS-6BVCR8-SC11
30.25 16d 20h
Parker Veriflow, Series 18E-VFVF
Parker Veriflow, Series 18E-VFVF
35.00 16d 20h
Parker Veriflow, Series 18E-VMVM
Parker Veriflow, Series 18E-VMVM
35.00 16d 20h
Thermco 5204 225/235 LP CVD Front  Flange, 128094-002D6,
Thermco 5204 225/235 LP CVD Front Flange, 128094-002D6,
2,900.00  8d 18h
Tie Down Media Storage 100023984 Qty 2
Tie Down Media Storage 100023984 Qty 2
189.00  5d 18h
Shimadzu E1-2003M1 Turbopump Controller
Shimadzu E1-2003M1 Turbopump Controller
1,070.00  16d 13h
Hine Design Process Chamber Elevator Asyst
Hine Design Process Chamber Elevator Asyst
1,999.88  4d 21h
AMAT Applied Materials #0040-02859 BRKTTERMINAL BLOCKISO XFMR REV:+P1  
AMAT Applied Materials #0040-02859 BRKTTERMINAL BLOCKISO XFMR REV:+P1
89.50  29d 17h
Waferplate Hp90 Hot Plate
Waferplate Hp90 Hot Plate
2,795.00  20h 29m
Gvi 63p / Pneumatic Gate Valve / Boc Edwards
Gvi 63p / Pneumatic Gate Valve / Boc Edwards
790.62  15d 20h
Applied Materials AMAT VCR Elbow Weldment, 0050-84245
Applied Materials AMAT VCR Elbow Weldment, 0050-84245
145.00  29d 8h
SM955LPN0S11 Pneumatic Valve, 54012513, 452304
SM955LPN0S11 Pneumatic Valve, 54012513, 452304
30.00 26d 18h
SM955LPN0S11 Pneumatic Valve, 54012513, 452305
SM955LPN0S11 Pneumatic Valve, 54012513, 452305
30.00 26d 18h
SM955LPN0S11 Pneumatic Valve, 54012513, 452306
SM955LPN0S11 Pneumatic Valve, 54012513, 452306
30.00 26d 19h
Data Logic S5-5-G8-02 Photoelectric Sensor, 452646
Data Logic S5-5-G8-02 Photoelectric Sensor, 452646
60.00 11d 18h
SM955LPN0S11 Pneumatic Valve, 54012513, 452307
SM955LPN0S11 Pneumatic Valve, 54012513, 452307
30.00 26d 19h
Manhattan M13190 Cable W/ Connectivity Plug, 452399
Manhattan M13190 Cable W/ Connectivity Plug, 452399
30.00 23d 21h
HITACHI 2SK410  RF Transistor  Working
HITACHI 2SK410 RF Transistor  Working
29.00  27d 21h
Cleanpart Set Anodized Type Iii Wdo Pla 715-032012-116-0002
Cleanpart Set Anodized Type Iii Wdo Pla 715-032012-116-0002
3,899.00  4d 19h
0020-24719 / Cover Ring 8 101 Al Coverage / Applied Materials Amat
0020-24719 / Cover Ring 8 101 Al Coverage / Applied Materials Amat
1,000.00  5d 19h
CKD USG3-X0693 Valve, 451674
CKD USG3-X0693 Valve, 451674
195.00 20d 14h
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve, 45100583, 451732
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve, 45100583, 451732
195.00 25d 14h
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve, 45100583, 451735
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve, 45100583, 451735
195.00 25d 15h
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve, 45100583, 451737
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve, 45100583, 451737
195.00 25d 15h
404-0302// Burkert 766-083423-001 Lam Solenoid Valve Burkert Fkm Ms [asis]
404-0302// Burkert 766-083423-001 Lam Solenoid Valve Burkert Fkm Ms [asis]
50.00 4d 7h
130-0701// Amat Applied 0010-70058 (#3) Stor Elev Assy, 29 Posn
130-0701// Amat Applied 0010-70058 (#3) Stor Elev Assy, 29 Posn
1,500.00 20d 0h
83367-001-b / Memory Module / Varian
83367-001-b / Memory Module / Varian
1,200.03  13d 17h
Bruce Technologies INTEMPO-S Intelligent Temperature Controller, Model 7640
Bruce Technologies INTEMPO-S Intelligent Temperature Controller, Model 7640
950.00  4d 21h
Kesington 4000A Servo Positioning Controller
Kesington 4000A Servo Positioning Controller
699.00  26d 13h
 ASM PN: 83-125001A80 / 70065-00044A Motor SPGR Board 70065-00044
 ASM PN: 83-125001A80 / 70065-00044A Motor SPGR Board 70065-00044
720.95 29d 22h
KURT LESKER     QF320-SAVR     Centering Ring with Fluorocarbon O-Ring    
KURT LESKER QF320-SAVR Centering Ring with Fluorocarbon O-Ring
85.00  14d 1h
Bir-021764 / Board, Pg, Cont. (h,p) / Advantest
Bir-021764 / Board, Pg, Cont. (h,p) / Advantest
1,900.62  8d 17h
720-20977-000 / Blower Assembly With 720-22994-000 / Kla Tencor
720-20977-000 / Blower Assembly With 720-22994-000 / Kla Tencor
2,498.03  4d 12h
TEL 381-639388-A STP I/F and Elevator Board, MDK-794V-0
TEL 381-639388-A STP I/F and Elevator Board, MDK-794V-0
299.99  4d 16h
TEL 1381-645732-16 I/F Opepane Connection Board #2, MDK-794V-0
TEL 1381-645732-16 I/F Opepane Connection Board #2, MDK-794V-0
299.99  4d 16h
Millipore Tylan Fc-280-sav-4v, 100 Sccm N2. "in The Box"
Millipore Tylan Fc-280-sav-4v, 100 Sccm N2. "in The Box"
340.00  2d 15h
0010-09068 / Assy, Llc Cover / Applied Materials Amat
0010-09068 / Assy, Llc Cover / Applied Materials Amat
2,351.13  22d 18h
Nd04-5008-0 / Psh-1043-nf-b Heater Power Unit R2 /  Shimaden
Nd04-5008-0 / Psh-1043-nf-b Heater Power Unit R2 / Shimaden
2,758.66  28d 16h
Enoch Engineering # 2800-00021/oem # 718-094523-262 6" Esc
Enoch Engineering # 2800-00021/oem # 718-094523-262 6" Esc
1,600.00  9d 20h
Applied Materials 0100-14000 Leak Detector Backplane, 0130-14000, REV A
Applied Materials 0100-14000 Leak Detector Backplane, 0130-14000, REV A
174.99  9d 12h
Scientific TechnologiesLight Curatin Controller  MS4324B-2
Scientific TechnologiesLight Curatin Controller MS4324B-2
250.00 6d 19h
Ft-800w-w211 / Turbo Pump Turbomolecular Pump Turbo / Mitsubishi
Ft-800w-w211 / Turbo Pump Turbomolecular Pump Turbo / Mitsubishi
6,502.03  5d 14h
602005291601 / Sys Iv, Heat Exchanger / Thermofisher Scientific
602005291601 / Sys Iv, Heat Exchanger / Thermofisher Scientific
12,000.82  1d 13h
Smc Regulator  Pneumatic Electro  SMC   ITV2050-33F2N / 5B2-81H WITHOUT CABLE
Smc Regulator Pneumatic Electro SMC ITV2050-33F2N / 5B2-81H WITHOUT CABLE
150.00  10d 12h
Applied Materials AKT1600 Robot 0010-66412
Applied Materials AKT1600 Robot 0010-66412
6,000.00 29d 5h
Applied Materials AKT1600 Robot 0020-65254
Applied Materials AKT1600 Robot 0020-65254
6,000.00 29d 5h
Advanced Controls TruDril 2500 - 5 Spindle Machine
Advanced Controls TruDril 2500 - 5 Spindle Machine
4,500.00  6d 16h
Asyst 3 Channel Controller CMSII 9700-5819-01 REV.4 free ship
Asyst 3 Channel Controller CMSII 9700-5819-01 REV.4 free ship
699.00 20d 4h
Hughes/avio/nippon Reflow Machine
Hughes/avio/nippon Reflow Machine
2,500.00  2d 16h
Photo Sciences Inc. Zyomyx 5" PC 0207 Pillar Chip
Photo Sciences Inc. Zyomyx 5" PC 0207 Pillar Chip
20.08 20d 19h
 4022.486.18103 VACUUM OUTPUT SENSOR SEM-I-749=9G21
 4022.486.18103 VACUUM OUTPUT SENSOR SEM-I-749=9G21
149.90 19d 10h
321-0303// Amat Applied 0090-35731 (#1) Stpr Mtr Driver, Asp+ Enhanced Lif Asis
321-0303// Amat Applied 0090-35731 (#1) Stpr Mtr Driver, Asp+ Enhanced Lif Asis
1,500.00 6d 6h
Kalrez Sahara O-Ring AS-568 K#242 Compound:8085  Nom: 3.984 X 0.139 in
Kalrez Sahara O-Ring AS-568 K#242 Compound:8085 Nom: 3.984 X 0.139 in
80.00  5d 15h
BIONEER MyGenie96 Thermal Block 100-220V 50-60HZ 800W
BIONEER MyGenie96 Thermal Block 100-220V 50-60HZ 800W
950.00  11d 6h
SMC Quick Change Filter Model FQ1011N-04-R002N-X109
SMC Quick Change Filter Model FQ1011N-04-R002N-X109
299.00 14h 22m
500-900792-00 / Motor Assy,z,500-900792-00 / Zmisc
500-900792-00 / Motor Assy,z,500-900792-00 / Zmisc
965.00  9d 14h
In651-02-a  / Controller, Suck Back Valve / Smc.
In651-02-a / Controller, Suck Back Valve / Smc.
1,390.00  26d 19h
0270-76927 / Fixture, Deposition Ring, 8" Snnf, Htesc / Applied Materials Amat
0270-76927 / Fixture, Deposition Ring, 8" Snnf, Htesc / Applied Materials Amat
1,329.07  21d 13h
3870-02020 / Valve Turbo Isolation Valve / Mks
3870-02020 / Valve Turbo Isolation Valve / Mks
894.60  27d 18h
0150-20011 (lot Of 2) / Cable Assy, Loader Pneu- Matic Interconn / Amat
0150-20011 (lot Of 2) / Cable Assy, Loader Pneu- Matic Interconn / Amat
1,216.44  28d 15h
17063730 / Lift Ring Vacuum Disk /  Eaton
17063730 / Lift Ring Vacuum Disk / Eaton
1,500.68  3d 23h
21016400052 / Scan Rotation / Applied Materials Amat
21016400052 / Scan Rotation / Applied Materials Amat
1,370.13  2d 14h
E1502350 / Ps Controller / Uti
E1502350 / Ps Controller / Uti
1,295.82  10d 14h
Xya-50-m9na / Unit High Vacuum V/v / Smc
Xya-50-m9na / Unit High Vacuum V/v / Smc
969.00  26d 17h
77-604-0100280-03 / F2 Deflection Driver F2ddu-d +-17v / 6.5v +-160v / Hmi
77-604-0100280-03 / F2 Deflection Driver F2ddu-d +-17v / 6.5v +-160v / Hmi
1,160.68  15d 2h
0150-20008 / Cable Assy, Convectron Interconnect I 25 / Applied Materials Amat
0150-20008 / Cable Assy, Convectron Interconnect I 25 / Applied Materials Amat
1,173.93  22d 15h
0150-20010 (lot Of 2) / Cable Assy, Pneumatic Interconnect 25ft / Amat
0150-20010 (lot Of 2) / Cable Assy, Pneumatic Interconnect 25ft / Amat
1,216.44  28d 15h
0020-21945 / Pocket Plate Al203 8" Preclean / Applied Materials Amat
0020-21945 / Pocket Plate Al203 8" Preclean / Applied Materials Amat
825.30  28d 16h
678639 / Wpcb Rh Lift Control / Applied Materials Amat
678639 / Wpcb Rh Lift Control / Applied Materials Amat
805.73  28d 17h
0150-20558 / Cable Assy,remote Dc Power Intcnt-75ft / Applied Materials Amat
0150-20558 / Cable Assy,remote Dc Power Intcnt-75ft / Applied Materials Amat
774.99  28d 22h
0150-02106 / Cable Assy, Pwr Wire Clr, Ch C/d, Loc A / Applied Materials Amat
0150-02106 / Cable Assy, Pwr Wire Clr, Ch C/d, Loc A / Applied Materials Amat
764.70  28d 22h
225058 / Ring, Left Waferrelease / Applied Materials Amat
225058 / Ring, Left Waferrelease / Applied Materials Amat
1,260.68  9d 21h
70312539000 / (s)mod. System Cpu Boar / Applied Materials Amat
70312539000 / (s)mod. System Cpu Boar / Applied Materials Amat
1,393.02  1d 22h
Sc2013pte / Sample Cone / Spectron, Inc
Sc2013pte / Sample Cone / Spectron, Inc
1,320.00  2d 15h
Imagraph / Pci-videomixer, 760058 / Grohmann
Imagraph / Pci-videomixer, 760058 / Grohmann
1,097.68  5d 16h
M 222.20 / Motor , Complete Assy / Grohmann
M 222.20 / Motor , Complete Assy / Grohmann
1,077.27  5d 16h
360-22048-000 / Rgltr, Bp, 1, Pvdf Ctd, 0-30psi, F / Celerity
360-22048-000 / Rgltr, Bp, 1, Pvdf Ctd, 0-30psi, F / Celerity
904.91  6d 16h
A&N Air Operated Vacuum High Purity Stainless BallValve
A&N Air Operated Vacuum High Purity Stainless BallValve
500.00  21d 18h
130-0401// Amat Applied 0100-09084 Board
130-0401// Amat Applied 0100-09084 Board
250.00 29d 7h
Ulvac DTC-21K Single Phase Pump
Ulvac DTC-21K Single Phase Pump
249.95  1d 18h
tok RF generator SS-300 & RF generator Power Supply
tok RF generator SS-300 & RF generator Power Supply
500.00 10d 22h
Horibastec Pac-d2 V1.0
Horibastec Pac-d2 V1.0
499.99 16d 19h
AMAT 0040-60413 Face Plate T/N (NI) NIKKOSHI032
AMAT 0040-60413 Face Plate T/N (NI) NIKKOSHI032
1,200.00  28d 6h
Tokyo Elctron Limited Tel 3880-200152-11 Mica Heater , Cap-en-380-l
Tokyo Elctron Limited Tel 3880-200152-11 Mica Heater , Cap-en-380-l
6,888.00  15d 6h
1-808747-b / Flange Base / Hitachi
1-808747-b / Flange Base / Hitachi
2,040.62  19d 18h
99-80461-01 / Pcb Assy System Pwr Sply 9x / Svg
99-80461-01 / Pcb Assy System Pwr Sply 9x / Svg
2,880.00  13d 18h
Advance NC469S-6FV-N Type 62465 3/4" Pneumatic Actuated 2-Way Valve, RS1110
Advance NC469S-6FV-N Type 62465 3/4" Pneumatic Actuated 2-Way Valve, RS1110
295.00 25d 19h
Data Logic S5-5-G8-02 Photoelectric Sensor, 452648
Data Logic S5-5-G8-02 Photoelectric Sensor, 452648
60.00 11d 18h
CKD USG3-X0693 Valve, 451681
CKD USG3-X0693 Valve, 451681
195.00 20d 14h
99-43009-01 / Assy Valve Plate Cp / Svg
99-43009-01 / Assy Valve Plate Cp / Svg
1,852.80  4d 18h
0040-13378 / Wing,rt,enhanced Hp / Applied Materials Amat
0040-13378 / Wing,rt,enhanced Hp / Applied Materials Amat
4,140.00  17d 18h
99-80270-01 / Pcb-sensor Multiplexer / Svg
99-80270-01 / Pcb-sensor Multiplexer / Svg
1,577.40  13d 20h
E19009250 / Feed Thru Rotary Right Hand / Varian E19009250
E19009250 / Feed Thru Rotary Right Hand / Varian E19009250
4,250.66  20d 21h
0100-00496 / Power Control Assembly / Applied Materials Amat
0100-00496 / Power Control Assembly / Applied Materials Amat
4,316.40  22d 20h
0020-01121 / W Ground Ring, Poly / Applied Materials Amat
0020-01121 / W Ground Ring, Poly / Applied Materials Amat
1,857.36  27d 18h
02014 / Spectra 02014 / Spectra
02014 / Spectra 02014 / Spectra
2,500.82  4d 19h
00-671872-00 / Valve,manifold,pneumatic,24vdc,11dsa415b013t / Novellus
00-671872-00 / Valve,manifold,pneumatic,24vdc,11dsa415b013t / Novellus
1,816.50  18d 13h
Kmfa-046358 / Plate Pvc / Semes America Inc
Kmfa-046358 / Plate Pvc / Semes America Inc
3,300.00  21d 12h
0100-40024 / Wpcba,loadlock Interconn / Applied Materials Amat
0100-40024 / Wpcba,loadlock Interconn / Applied Materials Amat
1,860.63  14d 20h
0100-40030 / Pcba, Bias Metrology Bd / Applied Materials Amat
0100-40030 / Pcba, Bias Metrology Bd / Applied Materials Amat
1,644.81  15d 21h
0100-40039 / Pcb Assy, High Den Plas Ch / Applied Materials Amat
0100-40039 / Pcb Assy, High Den Plas Ch / Applied Materials Amat
2,268.00  15d 22h
0010-32221 / Assembly Rough Throttle Valve Drive 300mm / Amat
0010-32221 / Assembly Rough Throttle Valve Drive 300mm / Amat
3,542.82  11d 14h
90-00334a / Wand Ceramic 12''    / Applied Ceramics
90-00334a / Wand Ceramic 12'' / Applied Ceramics
3,500.82  13d 14h
106882 / Pcb,ptg,megatest 106882,q2/62 / Zmisc
106882 / Pcb,ptg,megatest 106882,q2/62 / Zmisc
1,700.00  1d 14h
115909 / Magniflow Motor, Pump, Centrifugal Bsm-4.2-30 / Celerity
115909 / Magniflow Motor, Pump, Centrifugal Bsm-4.2-30 / Celerity
3,249.69  23d 21h
E19288010 / Repair, Rot Vac Vac Feedthru Rh, Ferrofluidics / Varian
E19288010 / Repair, Rot Vac Vac Feedthru Rh, Ferrofluidics / Varian
2,912.66  7d 17h
Bg3-3521 / Fzana If Pcb  Bh8-2091-03 / Canon
Bg3-3521 / Fzana If Pcb Bh8-2091-03 / Canon
2,130.00  20d 0h
Bg3-3357 /  Bh8-2344  Bg83559  Urs Cd Pcb  / Canon
Bg3-3357 / Bh8-2344 Bg83559 Urs Cd Pcb / Canon
1,590.00  20d 0h
0010-b8742 / Kvr9785 Fan Assy / Applied Materials Amat
0010-b8742 / Kvr9785 Fan Assy / Applied Materials Amat
2,400.68  26d 1h
Bir-027598 /  Pcb, Sc Sense Control / Advantest
Bir-027598 / Pcb, Sc Sense Control / Advantest
2,400.62  8d 20h
0100-00356 / Pcb Assembly,tanox Ii Tlds Control Distr / Applied Materials Amat
0100-00356 / Pcb Assembly,tanox Ii Tlds Control Distr / Applied Materials Amat
2,053.56  22d 19h
1994170-502 / Pca Relay Board Stack / Delta
1994170-502 / Pca Relay Board Stack / Delta
1,580.68  18d 19h
0010-09297 / Assy 15 Volt Power Supply / Applied Materials Amat
0010-09297 / Assy 15 Volt Power Supply / Applied Materials Amat
2,620.99  18d 16h
Pdr-0048100a-00 (lot Of 6) / Amat Smc Modules Batch No. 7100984 / Servotronicx
Pdr-0048100a-00 (lot Of 6) / Amat Smc Modules Batch No. 7100984 / Servotronicx
2,500.03  24d 17h
ASM Lithography  LEVEL SLAVE ACTUATOR ASSY SERV.502.28165
ASM Lithography LEVEL SLAVE ACTUATOR ASSY SERV.502.28165
2,999.99  1d 9h
Aera FC-PA7810C-BA Mass Flow Controller, MFC, N2 10 SLM, AMAT 3030-16228, 451769
Aera FC-PA7810C-BA Mass Flow Controller, MFC, N2 10 SLM, AMAT 3030-16228, 451769
550.00 26d 19h
Aera FC-PA7810C-BA Mass Flow Controller, MFC, N2O 5 SLM, AMAT 3030-16224, 451780
Aera FC-PA7810C-BA Mass Flow Controller, MFC, N2O 5 SLM, AMAT 3030-16224, 451780
550.00 26d 21h
Aera FC-PA7800C-BA Mass Flow Controller, MFC, Ar, 5 SLM, AMAT 3030-16225, 451790
Aera FC-PA7800C-BA Mass Flow Controller, MFC, Ar, 5 SLM, AMAT 3030-16225, 451790
625.00 27d 17h
MILLIPORE Intelliflow MFC, 100 SCCM, NH3, FSDAE100F305
MILLIPORE Intelliflow MFC, 100 SCCM, NH3, FSDAE100F305
475.00  23d 8h
123-0402// Brooks 002-2692-01 Facet Node Breakout []
123-0402// Brooks 002-2692-01 Facet Node Breakout []
600.00 15d 2h
Komatsu Gr-712 Heat Exchange Power Supply Intel
Komatsu Gr-712 Heat Exchange Power Supply Intel
999.99  14d 16h
Case of (10) Probe Points MC Systems Inc Model 1011
Case of (10) Probe Points MC Systems Inc Model 1011
42.00  8d 22h
(1) ASM / AIT / fht 02-195700-01 Assembly Cable A12 K602 REV D
(1) ASM / AIT / fht 02-195700-01 Assembly Cable A12 K602 REV D
38.00 18d 1h
Filter Cartridge ASM 1024-615-01 / 4001-7257-02
Filter Cartridge ASM 1024-615-01 / 4001-7257-02
11.00 11d 15h
2013656-001 / Chamber Body Aviza Ald / Aviza Technology
2013656-001 / Chamber Body Aviza Ald / Aviza Technology
12,502.03  22d 20h
0021-12063 / Shield, One Piece, 190mm T-s / Applied Materials Amat
0021-12063 / Shield, One Piece, 190mm T-s / Applied Materials Amat
3,168.44  23d 16h
0242-09104 / Kit, Htr, Basic Fc, Wxz / Applied Materials Amat
0242-09104 / Kit, Htr, Basic Fc, Wxz / Applied Materials Amat
2,547.33  13d 16h
Ds2110-226739-11 / Heater,panel (3911) / Tokyo Electron Tel
Ds2110-226739-11 / Heater,panel (3911) / Tokyo Electron Tel
2,438.21  13d 22h
0020-30203 / Pedestal, Sxtal, 200mm, Notch / Applied Materials Amat
0020-30203 / Pedestal, Sxtal, 200mm, Notch / Applied Materials Amat
2,214.75  14d 15h
0040-01419 / Housing, Arm Ecp / Applied Materials Amat
0040-01419 / Housing, Arm Ecp / Applied Materials Amat
2,469.13  13d 22h
0021-09983 / Plate, Gas Dist. Heweb 200mm / Applied Materials Amat
0021-09983 / Plate, Gas Dist. Heweb 200mm / Applied Materials Amat
2,423.20  13d 22h
AMAT, DPS Poly DOME Match,0010-36900, 3155086
AMAT, DPS Poly DOME Match,0010-36900, 3155086
4,900.00  21d 0h
VSTC CPU XVB-101B / Free Expedited Shipping
VSTC CPU XVB-101B / Free Expedited Shipping
999.00 9d 4h
Disco Photo Interrupter Sensor, P/n Dbbc0990002-00, 2 Each, Nos
Disco Photo Interrupter Sensor, P/n Dbbc0990002-00, 2 Each, Nos
225.00  27d 17h
Edc Exclusive Design Co Force Gauge
Edc Exclusive Design Co Force Gauge
369.99  24d 17h
Approx 120 Molex 99024-0018 pieces
Top-Rated Plus Seller Approx 120 Molex 99024-0018 pieces
71.20 29d 13h
160296-0001 Power Cable Assy, FCC to Robot, 451644
160296-0001 Power Cable Assy, FCC to Robot, 451644
550.00 18d 21h
70311590100 / Board Assy Vacuum Drive / Applied Materials Amat
70311590100 / Board Assy Vacuum Drive / Applied Materials Amat
401.56  13d 18h
220690018 / Air Cyl Cdq2b50-75dc / Applied Materials Amat
220690018 / Air Cyl Cdq2b50-75dc / Applied Materials Amat
284.49  15d 16h
Drytek Quad Bottom Chamber Ceramic 2100988
Top-Rated Plus Seller Drytek Quad Bottom Chamber Ceramic 2100988
479.20  11d 16h
V wire: 2mm diameter 6+" long
V wire: 2mm diameter 6+" long
25.00 20d 23h
Candela 4 Channel ADC Plus Assy 0624 Made in USA
Candela 4 Channel ADC Plus Assy 0624 Made in USA
725.00  7d 21h
Allen Integrated AP15222 Digital Output PC Board, 16 Relay, 40 Solenoid
Allen Integrated AP15222 Digital Output PC Board, 16 Relay, 40 Solenoid
139.99  10d 19h
Allen Integrated AP15222-1 Digital Output PC Board, 16 Relay, 40 Solenoid
Allen Integrated AP15222-1 Digital Output PC Board, 16 Relay, 40 Solenoid
139.99  10d 18h
Fabco-Air The Pancake Line FPS-1409-4 Air Cylinder
Fabco-Air The Pancake Line FPS-1409-4 Air Cylinder
79.99  10d 18h
4s018-567-1 / Relay Board Pcb Wl3sen4 / Nikon
4s018-567-1 / Relay Board Pcb Wl3sen4 / Nikon
900.66  24d 13h
Pcb 00077-806 , 605-012620-001
Pcb 00077-806 , 605-012620-001
3,100.00  19d 18h
9151 / O-wait / Tsenglabs International
9151 / O-wait / Tsenglabs International
550.99  18d 14h
Engelhardt GmbH SNMB-1 Module Power Supply MSNMB203 98030985
Top-Rated Plus Seller Engelhardt GmbH SNMB-1 Module Power Supply MSNMB203 98030985
199.98  1d 15h
Leybold Inficon Transpector Xpr 2 Model: Xpr Tk 100 (0792y)   T13-c13
Leybold Inficon Transpector Xpr 2 Model: Xpr Tk 100 (0792y) T13-c13
180.00  17d 16h
Advance AV-250-11S Air Operation Valve 452281
Advance AV-250-11S Air Operation Valve 452281
495.00 25d 17h
Koganei RAPS5-100-S Rotary Activator 452285
Koganei RAPS5-100-S Rotary Activator 452285
295.00 25d 18h
Cidtec Mvc9212d4x1-20 Camera / Controller System 0088-9413
Cidtec Mvc9212d4x1-20 Camera / Controller System 0088-9413
1,099.99  13d 16h
0040-32680 / Plate,clamp Lid / Applied Materials Amat
0040-32680 / Plate,clamp Lid / Applied Materials Amat
3,181.71  4d 18h
AMAT Applied Materials 0020-20390 ARM FROG LEG REV L, NOS
Top-Rated Plus Seller AMAT Applied Materials 0020-20390 ARM FROG LEG REV L, NOS
520.00  11d 13h
Applied Materials AMAT 0270-20044 Tool Calibration Orienter 8"
Applied Materials AMAT 0270-20044 Tool Calibration Orienter 8"
250.00  7d 18h
Veriflo 3-Way Diaphragm Valve, 452679
Veriflo 3-Way Diaphragm Valve, 452679
150.00 15d 20h
AMAT 0020-22170 SST Cover Shield, 416532
AMAT 0020-22170 SST Cover Shield, 416532
125.00 17d 17h
Ham-let HMB1-4VKLC-GM4GF4GF4-2.35 Diaphragm Valve, N/C, 60-90 PSI, 452459
Ham-let HMB1-4VKLC-GM4GF4GF4-2.35 Diaphragm Valve, N/C, 60-90 PSI, 452459
150.00 23d 18h
Gas Valve Assy, Parker Veriflo 43800528, APtech AP3625NS, SPANS Gauge, 452687
Gas Valve Assy, Parker Veriflo 43800528, APtech AP3625NS, SPANS Gauge, 452687
150.00 16d 18h
Omron Dc12-24v 10c3dr-d-v2 Controller
Omron Dc12-24v 10c3dr-d-v2 Controller
140.00  13d 20h
Simplimatic Automation Model 2662 Tool
Simplimatic Automation Model 2662 Tool
999.99  14d 16h
Little Giant 00121447 00097598 Z-world Davis CA USA
Little Giant 00121447 00097598 Z-world Davis CA USA
175.00 19d 14h
Candela 4 Channel Analog ASSY 0470 REV 3 Made in USA
Candela 4 Channel Analog ASSY 0470 REV 3 Made in USA
375.00  7d 19h
Applied Materials ASAP Board 0100-A0009 0100-A0030
Applied Materials ASAP Board 0100-A0009 0100-A0030
2,900.00 4d 19h
Screen HLS-MC1A PC-97040A
Screen HLS-MC1A PC-97040A
119.00  22d 1h
346-0101// Amat Applied 0020-34048 Support, Bellows 2nd Source
346-0101// Amat Applied 0020-34048 Support, Bellows 2nd Source
1,000.00 4d 5h
AMAT Textured Alloy Handle CS AT 70
Top-Rated Plus Seller AMAT Textured Alloy Handle CS AT 70
44.99 7d 20h
0010-10893, 150mm Susceptor assy
0010-10893, 150mm Susceptor assy
4,300.00  22d 16h
Philips FEI 4022 192 70971 XAIB Board Scanning Electron Microscope XL DualBeams
Philips FEI 4022 192 70971 XAIB Board Scanning Electron Microscope XL DualBeams
315.25  1d 8h
Svg 99-16075-01 Stepper Motor Assy Hurts Model Pas
Svg 99-16075-01 Stepper Motor Assy Hurts Model Pas
835.00  8d 16h
61202210 / Ethernet Pcb Assy / Zmisc
61202210 / Ethernet Pcb Assy / Zmisc
300.00  13d 19h
Rdqkb25-25-dcn882nn / Cyl, Compact, Air Cushion / Smc
Rdqkb25-25-dcn882nn / Cyl, Compact, Air Cushion / Smc
280.68  28d 19h
220499 / Plate Wafer Mounting / Applied Materials
220499 / Plate Wafer Mounting / Applied Materials
350.66  18h 5m
483-14364-00 / Sensor Assembly Level 4 / Applied Materials Amat
483-14364-00 / Sensor Assembly Level 4 / Applied Materials Amat
556.92  29d 1h
0020-23209 / Lift Hoop, 8 Inch Wide Body / Applied Materials Amat
0020-23209 / Lift Hoop, 8 Inch Wide Body / Applied Materials Amat
386.40  15d 15h
714-412438-001 / Bracket / Lam Research Corporation
714-412438-001 / Bracket / Lam Research Corporation
450.68  22d 23h
03-78669-00 / Do P.c. Bd. Sink Schem Ref 06 78669 00 / Applied Materials
03-78669-00 / Do P.c. Bd. Sink Schem Ref 06 78669 00 / Applied Materials
689.97  28d 14h
3161161 / Btu System I/o Board / Btu Engineering
3161161 / Btu System I/o Board / Btu Engineering
320.65  16d 20h
C1993 30302 / Sltt Exec Board, Bd Exec Prog Tt Bm303030100 / Precision Robots
C1993 30302 / Sltt Exec Board, Bd Exec Prog Tt Bm303030100 / Precision Robots
385.00  4d 19h
0020-20292 / Shipping Brackets / Applied Materials Amat
0020-20292 / Shipping Brackets / Applied Materials Amat
462.16  9d 18h
99-80334-01 / Pcb-90s End Station Motherboard Assy / Svg
99-80334-01 / Pcb-90s End Station Motherboard Assy / Svg
384.30  14d 14h
Bh8-0109-02 / Farmon Id 412500 Board / Canon
Bh8-0109-02 / Farmon Id 412500 Board / Canon
400.99  10d 20h
70410229300 / Board Assy Vcr/g Cpu, Opal 702 Ep70210220100 / Applied Materials
70410229300 / Board Assy Vcr/g Cpu, Opal 702 Ep70210220100 / Applied Materials
649.67  4d 22h
0100-00195 / Wendpt Interface/smoothe Pcb / Applied Materials Amat
0100-00195 / Wendpt Interface/smoothe Pcb / Applied Materials Amat
618.00  5d 21h
0021-76690 / Desposition Ring / Applied Materials Amat
0021-76690 / Desposition Ring / Applied Materials Amat
425.25  13d 17h
Data Logic S5-5-G8-02 Photoelectric Sensor, 452647
Data Logic S5-5-G8-02 Photoelectric Sensor, 452647
60.00 11d 18h
Aera FC-PA7810C-BA Mass Flow Controller, MFC, N2 10 SLM, AMAT 3030-16228, 451771
Aera FC-PA7810C-BA Mass Flow Controller, MFC, N2 10 SLM, AMAT 3030-16228, 451771
550.00 26d 19h
AMAT 0020-22170 SST Cover Shield w/ Spacer, 416594
AMAT 0020-22170 SST Cover Shield w/ Spacer, 416594
150.00 17d 17h
Y5304902 / Pcb, Dsf Vme Interface / Biorad Micromeasurements
Y5304902 / Pcb, Dsf Vme Interface / Biorad Micromeasurements
375.99  10d 20h
St-519 / Circuit Board 12257 / Datel
St-519 / Circuit Board 12257 / Datel
330.99  17d 19h
Applied Materials AMAT Transducer 0040-56140
Applied Materials AMAT Transducer 0040-56140
100.00  9d 0h
Harrington SPM88 SPM-88 1/2 Inch Union PTFE Fittings Adapters  2 Count Lot
Harrington SPM88 SPM-88 1/2 Inch Union PTFE Fittings Adapters 2 Count Lot
59.99 12d 21h
 Ampenol D38999/24wc35sn Connector D38999 24wc35sn D3899924wc35sn
 Ampenol D38999/24wc35sn Connector D38999 24wc35sn D3899924wc35sn
33.99  14d 13h
 Ampenol Ms3476w14-4s Connector Ms3476w144s Ms3476w14 4s
 Ampenol Ms3476w14-4s Connector Ms3476w144s Ms3476w14 4s
27.99  14d 13h
653b-13064 / Valve R Throttle / Mks
653b-13064 / Valve R Throttle / Mks
765.00  10d 17h
64-116835-a400 / Gear Motor / Nematrue
64-116835-a400 / Gear Motor / Nematrue
799.50  6d 20h
360-22256-000 / Reg, Backpressure, Dome Loaded / Celerity
360-22256-000 / Reg, Backpressure, Dome Loaded / Celerity
824.96  7d 19h
Em0197 / Em0197,bellows Shaft Assy / Ips-tech
Em0197 / Em0197,bellows Shaft Assy / Ips-tech
875.00  12d 16h
601-17175 / Laser, Pilot (ab Lasers) (10000-243) / Ab Lasers, Inc
601-17175 / Laser, Pilot (ab Lasers) (10000-243) / Ab Lasers, Inc
949.20  6d 15h
0050-36604 / Line,lower Exhaust,rtp Chmbr / Applied Materials Amat
0050-36604 / Line,lower Exhaust,rtp Chmbr / Applied Materials Amat
819.56  6d 19h
Applied Materials 3700-02756 Qty 10
Applied Materials 3700-02756 Qty 10
299.00  8d 19h
Deublin Sr0143, 465060/b0920 Applied Materials 3770-00035
Deublin Sr0143, 465060/b0920 Applied Materials 3770-00035
670.00  21d 14h
246983 / Assembly Hot Plate / Axcelis
246983 / Assembly Hot Plate / Axcelis
3,215.00  16d 15h
0020-23027 / Indexer Housing, Right / Applied Materials Amat
0020-23027 / Indexer Housing, Right / Applied Materials Amat
7,070.00  16d 16h
Bm30060l01/g / Exec Controller Pcb / Pri
Bm30060l01/g / Exec Controller Pcb / Pri
4,500.82  4d 19h
601-17060 / M-3 Head (ab Lasers) (10200-090 Lasert / Ab Lasers
601-17060 / M-3 Head (ab Lasers) (10200-090 Lasert / Ab Lasers
4,521.00  26d 17h
42635-00  /  Controller, E500/e220  /  Genus
42635-00 / Controller, E500/e220 / Genus
6,500.82  2d 18h
99-66566-01 /    Exhaust Flow Controller And Pcb (99-80315-01) / Svg
99-66566-01 / Exhaust Flow Controller And Pcb (99-80315-01) / Svg
5,513.00  4d 18h
600059-06 / Pcb, T/c Amplifier / Ag Associates
600059-06 / Pcb, T/c Amplifier / Ag Associates
4,999.99  7d 20h
0040-18080 / Ring,gas Nozzle, Hdpcvd / Applied Materials Amat
0040-18080 / Ring,gas Nozzle, Hdpcvd / Applied Materials Amat
5,303.94  22d 16h
0190-20040-d / Uc27 24 Nce / Applied Materials Amat
0190-20040-d / Uc27 24 Nce / Applied Materials Amat
5,000.99  13d 17h
0040-03439 / Faceplate Center Hole 23 Sacvd 300mm Pro / Applied Materials Amat
0040-03439 / Faceplate Center Hole 23 Sacvd 300mm Pro / Applied Materials Amat
5,230.85  25d 14h
Computer Nsx-series Whs / Computer Pc For Nsx-series / August Technology
Computer Nsx-series Whs / Computer Pc For Nsx-series / August Technology
4,500.03  25d 16h
VEM Mo Target 42S0003R
VEM Mo Target 42S0003R
621.00  12d 13h
 Parker Veriflo 54016459  Regulator SQ130E-1003PXFS8FS8F IF3.7
 Parker Veriflo 54016459 Regulator SQ130E-1003PXFS8FS8F IF3.7
152.00  4d 16h
Idec Izumi HG-MK1027 CC Hand Pendant Controller Advantest T6671E
Idec Izumi HG-MK1027 CC Hand Pendant Controller Advantest T6671E
1,299.99 13d 21h
CKD HBA-T20P SET, RKC, Heater Block Alarm Module, HBA-T20P Set
CKD HBA-T20P SET, RKC, Heater Block Alarm Module, HBA-T20P Set
500.00  25d 22h
Applied Materials AMAT H2 Flow Switch Assy, 0090-01356
Applied Materials AMAT H2 Flow Switch Assy, 0090-01356
1,985.00  8d 10h
Drytek / Lam Door Control Interface, P/N 2801077, Assy # 28001078
Top-Rated Plus Seller Drytek / Lam Door Control Interface, P/N 2801077, Assy # 28001078
225.00  12d 13h
Motion Engineering eXMP-EXP-LCF 1007-0066 REV 2 A039-0001
Motion Engineering eXMP-EXP-LCF 1007-0066 REV 2 A039-0001
30.00  17d 1h
Ulvac DC-7017SI II DC Power Supply
Ulvac DC-7017SI II DC Power Supply
2,498.98  14d 19h
Unit Instruments: UFC-1100A MFC.  Range: 50 SCCM   Gas: SiH2Cl2  <
Unit Instruments: UFC-1100A MFC. Range: 50 SCCM Gas: SiH2Cl2 <
64.99  29d 16h
Applied Materials AMAT VCR Weldment, 0050-39874
Applied Materials AMAT VCR Weldment, 0050-39874
165.00  29d 8h
MTS BOARD ADDA 498.65 ASSY D477747 WITH SMALL PCBs 490072-04A 478492-02A FREE
MTS BOARD ADDA 498.65 ASSY D477747 WITH SMALL PCBs 490072-04A 478492-02A FREE
600.00 6d 5h
Fujikin 316L-P Diaphragm Valve, APWYM000, 454751, 1/4" VCR, 452084
Fujikin 316L-P Diaphragm Valve, APWYM000, 454751, 1/4" VCR, 452084
195.00 6d 20h
Fujikin 316L Diaphragm Valve, APWYM000, 454751, 1/4" VCR, 452086
Fujikin 316L Diaphragm Valve, APWYM000, 454751, 1/4" VCR, 452086
195.00 6d 21h
4361  Applied Materials 0100-00192  Sense AMP Boards Assy.
4361 Applied Materials 0100-00192 Sense AMP Boards Assy.
3,800.00  19d 18h
Amat Applied Materials 0242-40778 Kit Retrofit Final Lines W/ Frc Ch C Sect
Amat Applied Materials 0242-40778 Kit Retrofit Final Lines W/ Frc Ch C Sect
649.99  29d 11h
Econ-023100 / Purge Controller, Automatic, Ver. 1.16 / Atmi Systems
Econ-023100 / Purge Controller, Automatic, Ver. 1.16 / Atmi Systems
9,625.00  7d 15h
AMAT Applied Materials 4500491 Paddle with 3 Rods EMAX CT+
AMAT Applied Materials 4500491 Paddle with 3 Rods EMAX CT+
250.00  10d 22h
USHIO GP-PIO-A 940314 9705032/U00, DLCU 930921 / Free Expedited Shipping
USHIO GP-PIO-A 940314 9705032/U00, DLCU 930921 / Free Expedited Shipping
339.00 4d 3h
FhG-IBMT Sa#111-2009 lens type 2008_B Transducer
FhG-IBMT Sa#111-2009 lens type 2008_B Transducer
2,500.00 27d 19h
0020-51915 / Liner, Rev004 / Applied Materials Amat
0020-51915 / Liner, Rev004 / Applied Materials Amat
2,600.00  10d 0h
61844 / Ball, Ntn Bearing / Elges
61844 / Ball, Ntn Bearing / Elges
3,000.99  10d 21h
110512766 / 296mm Cover Ring (twas) / Varian
110512766 / 296mm Cover Ring (twas) / Varian
2,500.99  24d 15h
Applied Materials PWB ASSY, CHOPPER DRIVE 0100-00011
Applied Materials PWB ASSY, CHOPPER DRIVE 0100-00011
700.00  7d 6h
Millipore GEN-2 Plus Variable Rate Dispense Controller WGEN22CN0 Accessory -
Millipore GEN-2 Plus Variable Rate Dispense Controller WGEN22CN0 Accessory -
225.00  22h 41m
Pcb Assy, Opto Switch Amat 0100-09042 H21b1 *usa Seller*
Pcb Assy, Opto Switch Amat 0100-09042 H21b1 *usa Seller*
28.25  1d 15h
Nikon Optistation
Nikon Optistation
5,999.00  13d 20h
Drytek/Lam  ASIQ RF/PUMP INTERFACE PCB, ASSY 2800921, P/N 2800920,
Top-Rated Plus Seller Drytek/Lam ASIQ RF/PUMP INTERFACE PCB, ASSY 2800921, P/N 2800920,
380.00  8d 15h
Fusion PWB ASSY, 2491251 Rev A
Fusion PWB ASSY, 2491251 Rev A
36.25  21d 15h
Mec Tech Inc Mec300008-1049r Load Assembly Amat Applied Materials 2334-35107
Mec Tech Inc Mec300008-1049r Load Assembly Amat Applied Materials 2334-35107
409.99  22d 14h
Mcb-hr032 Pcb With Dc-dc Converters Power Supply Controller Pcb
Mcb-hr032 Pcb With Dc-dc Converters Power Supply Controller Pcb
449.99  7d 16h
316-0201// Amat Applied 0020-42285 Plate,blocker 8" Ec Wxz
316-0201// Amat Applied 0020-42285 Plate,blocker 8" Ec Wxz
800.00 5d 4h
Onoda Ozinator OR-800Z OzoneRex Ozone Generator 95505-21
Onoda Ozinator OR-800Z OzoneRex Ozone Generator 95505-21
3,900.00  28d 12h
0100-11015 Power Driver Board, REV B, Sch. Ref. 0130-11015
0100-11015 Power Driver Board, REV B, Sch. Ref. 0130-11015
119.99  28d 15h
Mosaids Systems M349011 Memory Test Systems
Mosaids Systems M349011 Memory Test Systems
2,499.95  18d 21h
Crane Inspection Control Interlock System Inspect Logic Model 5700 (2)
Crane Inspection Control Interlock System Inspect Logic Model 5700 (2)
975.00 18d 12h
Applied Materials AMAT 0200-35428 RIB INSERT 3" COOL DOWN, LOT OF 5, NOS
Applied Materials AMAT 0200-35428 RIB INSERT 3" COOL DOWN, LOT OF 5, NOS
180.00  20d 17h
Unit Ufc-1020 Motorola-phx Gas: Hcl 1 Snm,
Unit Ufc-1020 Motorola-phx Gas: Hcl 1 Snm,
1,000.00  2d 3h
MCT Micro Component Technology 4600 H/C Handler Manual 1987 Edition
Top-Rated Plus Seller MCT Micro Component Technology 4600 H/C Handler Manual 1987 Edition
59.98  14d 22h
LAM Pneumatic Manifold, V100-97-11A, SMC, 423718
LAM Pneumatic Manifold, V100-97-11A, SMC, 423718
450.00 19d 19h
Amat 0650-01068 Cmptr Programming Console ,
Amat 0650-01068 Cmptr Programming Console ,
1,300.00  4d 22h
TEL 381-639007-5 Indexer Connection Board
TEL 381-639007-5 Indexer Connection Board
274.99  4d 16h
0190-09454 / Assy, Dcvd Endpoint Detector */ Applied Materials Amat
0190-09454 / Assy, Dcvd Endpoint Detector */ Applied Materials Amat
4,070.83  23h 2m
7100-6443-01c / Ring, Slip Free Sic, Ez-dtc / Applied Materials Amat
7100-6443-01c / Ring, Slip Free Sic, Ez-dtc / Applied Materials Amat
1,800.00  1d 20h
7100-6361-01a / Assy, Tray, Ceramic Shield 5" Ez-dtc  /  Metron
7100-6361-01a / Assy, Tray, Ceramic Shield 5" Ez-dtc / Metron
2,400.00  3d 21h
Mqma022s2g /rotation Motor Cfne0113587 / Panasonic
Mqma022s2g /rotation Motor Cfne0113587 / Panasonic
2,500.82  15d 14h
0040-82011 /      Pumping Plate Afeol 300mm / Applied Materials Amat 0040-82011
0040-82011 / Pumping Plate Afeol 300mm / Applied Materials Amat 0040-82011
2,640.88  16d 14h
Am9090-01393 / Controller, Loop Pxp41w / Applied Materials Amat
Am9090-01393 / Controller, Loop Pxp41w / Applied Materials Amat
1,750.26  16d 20h
E11095112  /  Analog-digital I/o Interface  /  Varian
E11095112 / Analog-digital I/o Interface / Varian
3,500.82  7d 23h
0021-09759 / Faceplate / Applied Materials Amat
0021-09759 / Faceplate / Applied Materials Amat
3,651.66  17d 11h
99-45453-01 / Controller-flow Coat Mod, Poly / Svg
99-45453-01 / Controller-flow Coat Mod, Poly / Svg
3,200.82  21d 10h
1500830 / Current / Voltage Converter Pcb Assy / Eaton
1500830 / Current / Voltage Converter Pcb Assy / Eaton
3,100.20  6d 19h
99-80269-01 / 90s Shuttle Controller Interface Pcb / Svg
99-80269-01 / 90s Shuttle Controller Interface Pcb / Svg
3,259.80  13d 18h
Ju110xp0 / Reali Ball Bearing Slim / Kaydon
Ju110xp0 / Reali Ball Bearing Slim / Kaydon
2,623.40  29d 16h
0020-31216 / Clamp Ring 125/121mm 3 Flt Heweb / Amat
0020-31216 / Clamp Ring 125/121mm 3 Flt Heweb / Amat
2,600.68  29d 20h
0021-03076 / Ring, Chamber / Applied Materials Amat
0021-03076 / Ring, Chamber / Applied Materials Amat
2,079.77  11d 16h
81-ec017 / Cpu, W/ Interface Boards / Lam Research Corporation
81-ec017 / Cpu, W/ Interface Boards / Lam Research Corporation
2,200.82  14d 20h
7100-5222 / A. G. Assoc. Ems Single Support Pcb Heatpulse / Ag Associates
7100-5222 / A. G. Assoc. Ems Single Support Pcb Heatpulse / Ag Associates
2,600.99  14h 3m
716-803156-004cu / Focus, Br Cvr Dfc 300mm / Lam Research Corp.
716-803156-004cu / Focus, Br Cvr Dfc 300mm / Lam Research Corp.
3,295.03  4d 21h
9090-01095 / Fai Controller Chassis Qx+ / Applied Materials Amat
9090-01095 / Fai Controller Chassis Qx+ / Applied Materials Amat
4,260.00  15d 16h
43623-01 / Cup, Bottom Catch / Svg
43623-01 / Cup, Bottom Catch / Svg
2,560.00  17d 16h
Bir-021765 / Board, Alpg (h,p) / Advantest
Bir-021765 / Board, Alpg (h,p) / Advantest
1,800.62  15d 18h
Bgr-021964 / 10v Pps Control Pcb / Advantest
Bgr-021964 / 10v Pps Control Pcb / Advantest
1,600.62  15d 20h
Bgr-019486 / Board,dc (h) / Advantest
Bgr-019486 / Board,dc (h) / Advantest
1,800.62  16d 21h
Bgr-016794 / Dpu I/f Analog Pcb / Advantest
Bgr-016794 / Dpu I/f Analog Pcb / Advantest
1,800.62  17d 1h
0190-09400 / Susceptor Assy T2 Welded, 125 Mm So/n / Applied Materials Amat
0190-09400 / Susceptor Assy T2 Welded, 125 Mm So/n / Applied Materials Amat
2,289.00  24d 19h
0010-09614 / Susceptor .125mm 0190-09349 / Applied Materials Amat
0010-09614 / Susceptor .125mm 0190-09349 / Applied Materials Amat
2,400.68  24d 21h
A121382m / Bp Heater 125mm Mxb Tab / Tokyo Electron Tel
A121382m / Bp Heater 125mm Mxb Tab / Tokyo Electron Tel
1,825.68  2d 0h
0100-09298 / Esc Hv Controller / Applied Materials Amat
0100-09298 / Esc Hv Controller / Applied Materials Amat
2,350.82  4d 17h
02-113639-00 / Assy,mod Cntrl,p166/64 / Novellus
02-113639-00 / Assy,mod Cntrl,p166/64 / Novellus
3,980.82  4d 19h
02-127708-00 / Assy,mod Cntrl,p166/64m,+24v / Novellus
02-127708-00 / Assy,mod Cntrl,p166/64m,+24v / Novellus
2,750.82  4d 19h
0050-10584 / Wldment, Liq. Final Valve / Applied Materials Amat
0050-10584 / Wldment, Liq. Final Valve / Applied Materials Amat
2,158.20  4d 15h
Sppa51a41105 / Motor / Faulhaber
Sppa51a41105 / Motor / Faulhaber
1,817.05  21d 13h
Aera FC-PA7810C-BA Mass Flow Controller, MFC, Ar, 20 SLM, 451772
Aera FC-PA7810C-BA Mass Flow Controller, MFC, Ar, 20 SLM, 451772
550.00 26d 19h
E15002460 /  Analog I /o  /  Varian
E15002460 / Analog I /o / Varian
2,500.82  4d 23h
Bm23995r  /      Programmable Pcb /  Pri
Bm23995r / Programmable Pcb / Pri
2,500.82  8d 0h
50412578300 / Board Assy Clc/insight (ep70412576000) / Applied Materials Amat
50412578300 / Board Assy Clc/insight (ep70412576000) / Applied Materials Amat
3,774.66  17d 11h
0010-16575 / Assembly Source Filter Module 300mm Enab / Applied Materials Amat
0010-16575 / Assembly Source Filter Module 300mm Enab / Applied Materials Amat
3,612.66  17d 11h
0010-00135 / 60v Power Supply Assy 8300c / Applied Materials Amat
0010-00135 / 60v Power Supply Assy 8300c / Applied Materials Amat
3,500.82  21d 11h
0020-04695 / Rear Housing, Beamstop / Applied Materials Amat
0020-04695 / Rear Housing, Beamstop / Applied Materials Amat
3,700.82  21d 13h
Opc-2503d / Pcb Board (a2503d10, Opc-2503d, A2503d10-013) / Daifuku
Opc-2503d / Pcb Board (a2503d10, Opc-2503d, A2503d10-013) / Daifuku
2,500.82  21d 14h
823 / Tel Transition Unit / Tokyo Electron Tel
823 / Tel Transition Unit / Tokyo Electron Tel
4,120.66  28d 14h
Bm30060l01/g / Exec Controller Pcb / Pri
Bm30060l01/g / Exec Controller Pcb / Pri
2,220.82  29d 20h
0040-07545 / 300mm Remote Ac Box /applied Materials
0040-07545 / 300mm Remote Ac Box /applied Materials
1,650.98  22d 16h
0040-36299 / Bellows;0040-36299,weldment Lower Giga-f / Applied Materials Amat
0040-36299 / Bellows;0040-36299,weldment Lower Giga-f / Applied Materials Amat
1,635.73  11d 16h
Sc755a040-08 / Digital Programmable Position Controller / Pacific Scientific
Sc755a040-08 / Digital Programmable Position Controller / Pacific Scientific
1,925.00  15h 13m
Es1810-329079-11 / R Covr Scrw B-al Respray / Tokyo Electron Tel
Es1810-329079-11 / R Covr Scrw B-al Respray / Tokyo Electron Tel
1,873.90  7d 16h
710-658172-20 / Y-interploator C, Ph3 90 / Kla Tencor
710-658172-20 / Y-interploator C, Ph3 90 / Kla Tencor
2,580.00  14d 19h
0040-33590 / Plate, Base, Mounting Nova / Amat
0040-33590 / Plate, Base, Mounting Nova / Amat
3,858.60  26d 21h
0240-23182 / Lam Kit Shield 6" Coh 13" Src W/b / Lam Research Corporation
0240-23182 / Lam Kit Shield 6" Coh 13" Src W/b / Lam Research Corporation
1,540.82  4d 15h
0200-09762, 1021-14-252 / Ring, Clamping,notch,al 200mm, 1.38 Ht,fi / Amat
0200-09762, 1021-14-252 / Ring, Clamping,notch,al 200mm, 1.38 Ht,fi / Amat
2,158.20  4d 16h
1b80-002816-11 / Ld Uld Add On Board 32-32 / Tokyo Electron Tel 1b80-002816-11
1b80-002816-11 / Ld Uld Add On Board 32-32 / Tokyo Electron Tel 1b80-002816-11
2,751.66  1d 16h
Bgr-017418 /  Hv-pps Pcb / Advantest
Bgr-017418 / Hv-pps Pcb / Advantest
1,800.62  8d 18h
Bir-021587 / Tg Sub Pcb  / Advantest
Bir-021587 / Tg Sub Pcb / Advantest
1,800.62  15d 22h
Bir-025679 /     Tg Core Pcb / Advantest
Bir-025679 / Tg Core Pcb / Advantest
1,800.62  16d 22h
Bir-021588 /     Board,tg Core(h,p)  / Advantest
Bir-021588 / Board,tg Core(h,p) / Advantest
2,200.62  16d 22h
710-655651-20 / Cornerturn 3 Pcb / Kla-tencor
710-655651-20 / Cornerturn 3 Pcb / Kla-tencor
4,000.00  28d 14h
110725525 / Platen Ring (gb) / Varian
110725525 / Platen Ring (gb) / Varian
3,000.99  24d 15h
41378-00 /  Pcb, Psi Control / Genus
41378-00 / Pcb, Psi Control / Genus
2,200.00  23d 16h
Tel Gas-hw-i/l-a 8sec Ttl-t0016 2180-020400-11 2108/ 2181-020129-11 Pcb Board
Tel Gas-hw-i/l-a 8sec Ttl-t0016 2180-020400-11 2108/ 2181-020129-11 Pcb Board
899.00  2d 17h
AMAT 1200-01067 RELAY CNTACTOR ABB 300 Amp 24VAC Coil  EH160   EH-160
AMAT 1200-01067 RELAY CNTACTOR ABB 300 Amp 24VAC Coil EH160  EH-160
429.00  11d 17h
Svg Control Panel Assy 99-06620-xx
Svg Control Panel Assy 99-06620-xx
799.00  26d 20h
Lasertec C-100753B Processor PCB Card EDGE DET Y C-100752B EDGE_DET2
Lasertec C-100753B Processor PCB Card EDGE DET Y C-100752B EDGE_DET2
1,802.19  23d 18h
110-0301// Advanced 3152436-355gpinnacle
110-0301// Advanced 3152436-355gpinnacle
10,000.00 8d 5h
Ham-let 2LD8Q-57358 Shut Off Valve, 1/2" VCR, 452492
Ham-let 2LD8Q-57358 Shut Off Valve, 1/2" VCR, 452492
275.00 11d 15h
Ham-let 2LD8Q-57358 Shut Off Valve, 1/2" VCR, 452493
Ham-let 2LD8Q-57358 Shut Off Valve, 1/2" VCR, 452493
275.00 11d 15h
Ushio USH-100FCL Super High Pressure Mercury Lamp USH-1000FCL
Ushio USH-100FCL Super High Pressure Mercury Lamp USH-1000FCL
45.00  18d 0h
03-81830-00 / W Pcb, Prom Promless / Applied Materials Amat
03-81830-00 / W Pcb, Prom Promless / Applied Materials Amat
644.19  28d 19h
Bm70070 / Pri Pcb, Motor Driver Board / Pri
Bm70070 / Pri Pcb, Motor Driver Board / Pri
300.99  24d 16h
150-00125 / Flowmeter,teflon,5-125 Cc/min.,semix Sog,150-00125 / Futurestar
150-00125 / Flowmeter,teflon,5-125 Cc/min.,semix Sog,150-00125 / Futurestar
489.25  11d 20h
KOLLMORGEN SERVO STAR CD Model:PRDrHP720SNz-65 SERVO CONTROLLER CR06703-R
KOLLMORGEN SERVO STAR CD Model:PRDrHP720SNz-65 SERVO CONTROLLER CR06703-R
199.00  16d 15h
Cleanpart Set Anodized Type Iii Wdo Pla 715-032012-116-0005
Cleanpart Set Anodized Type Iii Wdo Pla 715-032012-116-0005
3,899.00  4d 19h
Silver 99.99%, 57mm diameter x 0.1mm thick
Silver 99.99%, 57mm diameter x 0.1mm thick
125.00 15d 23h
BTI Bruce Technologies Model 7900 Atmospheric Controller,
BTI Bruce Technologies Model 7900 Atmospheric Controller,
2,500.00  9d 18h
Amat Optical Lense 35410/294 Left
Amat Optical Lense 35410/294 Left
599.99 7h 54m
026182 / Electrode Upper 100-25-50 Al / Lam Research Corporation
026182 / Electrode Upper 100-25-50 Al / Lam Research Corporation
912.36  27d 17h
545-5504     / Pcb, Int/ptm / Hitachi
545-5504 / Pcb, Int/ptm / Hitachi
475.68  1d 20h
545-5505 / Pcb, Rs232c / Hitachi
545-5505 / Pcb, Rs232c / Hitachi
375.68  1d 21h
545-5512 / Pcb, Panel/if / Hitachi
545-5512 / Pcb, Panel/if / Hitachi
350.68  1d 21h
545-5517 / Pcb, Pmcont / Hitachi
545-5517 / Pcb, Pmcont / Hitachi
452.68  1d 22h
566-5509 / Pcb, Def-cnhr / Hitachi
566-5509 / Pcb, Def-cnhr / Hitachi
480.68  20d 17h
Wrst-02nx168s / Waveguide Assy For Tel Tokyo Electron / Nihon Koshuha
Wrst-02nx168s / Waveguide Assy For Tel Tokyo Electron / Nihon Koshuha
552.03  5d 19h
566-5504 / Pcb, Cpu / Hitachi
566-5504 / Pcb, Cpu / Hitachi
480.68  20d 16h
545-5523 / Pcb Panel Assy, Evac Panel / Hitachi
545-5523 / Pcb Panel Assy, Evac Panel / Hitachi
500.68  20d 20h
545-5524 / Pcb Panel Assy, S/l Panel / Hitachi
545-5524 / Pcb Panel Assy, S/l Panel / Hitachi
500.68  20d 20h
0040-48541 / Adapter, Upper, With Bushing, 8 Long, S / Applied Materials Amat
0040-48541 / Adapter, Upper, With Bushing, 8 Long, S / Applied Materials Amat
15,062.03  11d 15h
Now Technologies ND-EP-6-T Extended Probe, 20 Liter, Tube End,
Now Technologies ND-EP-6-T Extended Probe, 20 Liter, Tube End,
929.99  2d 12h
Lam Research Transport Multiplexer 710-492005-001
Lam Research Transport Multiplexer 710-492005-001
2,199.99  23d 15h
Sierra Tecmax ST-741 Spinner
Sierra Tecmax ST-741 Spinner
30.00  27d 15h
527-34271-04 / Pcb Track Control Module / Excelteq Inc
527-34271-04 / Pcb Track Control Module / Excelteq Inc
545.62  22d 19h
0020-28357 / Clamp Ring 6 / Applied Materials Amat
0020-28357 / Clamp Ring 6 / Applied Materials Amat
585.00  17d 18h
3270011e / Scp Controller, Pim Assy Interface Module / Scp
3270011e / Scp Controller, Pim Assy Interface Module / Scp
350.99  23d 13h
0100-01950 / Arc Interrupt B Pcb 0020-53074 /  Amat
0100-01950 / Arc Interrupt B Pcb 0020-53074 / Amat
447.50  2d 15h
Alfa Aesar 11064 Aluminum Wire, 1.0mm (0.04in) Dia, annealed, 10m
Alfa Aesar 11064 Aluminum Wire, 1.0mm (0.04in) Dia, annealed, 10m
150.00  20d 19h
Matrix 105 Matrix 106 Matrix 302 Matrix 303 Transport Controller PN 1000-003
Matrix 105 Matrix 106 Matrix 302 Matrix 303 Transport Controller PN 1000-003
499.00 17d 2h
Atc Recirculator Chiller K917003
Atc Recirculator Chiller K917003
2,999.99  5d 13h
Asyst 3200-4348-05 PCBA, Door Node, 451649
Asyst 3200-4348-05 PCBA, Door Node, 451649
650.00 19d 14h
APPLIED MATERIALS 0090-00457 Lower Exhaust Sensor
APPLIED MATERIALS 0090-00457 Lower Exhaust Sensor
388.00  26d 12h
AMAT Applied Materials 8300 Cathode Cover, 150 mm, 0020-04518/A
Top-Rated Plus Seller AMAT Applied Materials 8300 Cathode Cover, 150 mm, 0020-04518/A
399.00  12d 17h
Applied Materials AMAT Pressure Switch, 1270-00140
Applied Materials AMAT Pressure Switch, 1270-00140
1,695.00  27d 7h
Parker, Digital MFC, 701-AAASVPRX
Parker, Digital MFC, 701-AAASVPRX
1,500.00  13d 19h
OKUYO DMS-HB1-Z09 WDMS030 Optical Data Transmission Device
OKUYO DMS-HB1-Z09 WDMS030 Optical Data Transmission Device
85.00  3d 6h
Pressure Controls Inc.modl Lcd-2m+20 Pressure Sen. Range Up To 2000 Psig
Pressure Controls Inc.modl Lcd-2m+20 Pressure Sen. Range Up To 2000 Psig
99.99 16d 14h
Ham-let HM20 4VKLO GF4 150 PSI Shut Off Valve, 452455
Ham-let HM20 4VKLO GF4 150 PSI Shut Off Valve, 452455
150.00 6d 15h
Ham-let HM20 4VKLO GF4 150 PSI Shut Off Valve, 452485
Ham-let HM20 4VKLO GF4 150 PSI Shut Off Valve, 452485
150.00 6d 15h
Ham-let HM20 4VKLO GF4 150 PSI Shut Off Valve, 452487
Ham-let HM20 4VKLO GF4 150 PSI Shut Off Valve, 452487
150.00 6d 15h
Ham-let HM20 4VKLO GF4 150 PSI Shut Off Valve, 452456
Ham-let HM20 4VKLO GF4 150 PSI Shut Off Valve, 452456
150.00 6d 15h
ASM 1019-563-01 Plate Sealing C Seal Valve
ASM 1019-563-01 Plate Sealing C Seal Valve
200.00  20d 21h
Tel Hdd Unit P/n 3m87-023251-15
Tel Hdd Unit P/n 3m87-023251-15
799.00 28d 8h
Leybold AG 200.81.080 Temp-Box Controller, 451967
Leybold AG 200.81.080 Temp-Box Controller, 451967
150.00 23d 18h
Leybold AG 200.81.080 Temp-Box Controller, 451968
Leybold AG 200.81.080 Temp-Box Controller, 451968
150.00 23d 18h
Leybold AG 200.81.080 Temp-Box Controller, 451970
Leybold AG 200.81.080 Temp-Box Controller, 451970
150.00 23d 18h
Leybold AG 200.81.080 Temp-Box Controller, 451972
Leybold AG 200.81.080 Temp-Box Controller, 451972
150.00 23d 18h
Leybold AG 200.81.080 Temp-Box Controller, 451973
Leybold AG 200.81.080 Temp-Box Controller, 451973
150.00 23d 18h
Leybold AG 200.81.080 Temp-Box Controller, 451974
Leybold AG 200.81.080 Temp-Box Controller, 451974
150.00 23d 19h
Leybold AG 200.81.080 Temp-Box Controller, 451975
Leybold AG 200.81.080 Temp-Box Controller, 451975
150.00 23d 19h
Leybold AG 200.81.080 Temp-Box Controller, 451976
Leybold AG 200.81.080 Temp-Box Controller, 451976
150.00 23d 19h
Leybold AG 200.81.080 Temp-Box Controller, 451977
Leybold AG 200.81.080 Temp-Box Controller, 451977
150.00 23d 19h
Leybold AG 200.81.080 Temp-Box Controller, 451979
Leybold AG 200.81.080 Temp-Box Controller, 451979
150.00 23d 20h
Leybold AG 200.81.080 Temp-Box Controller, 451980
Leybold AG 200.81.080 Temp-Box Controller, 451980
150.00 23d 20h
Leybold AG 200.81.080 Temp-Box Controller, 451981
Leybold AG 200.81.080 Temp-Box Controller, 451981
150.00 23d 20h
Leybold AG 200.81.080 Temp-Box Controller, 451982
Leybold AG 200.81.080 Temp-Box Controller, 451982
150.00 23d 20h
Leybold AG 200.81.080 Temp-Box Controller, 451983
Leybold AG 200.81.080 Temp-Box Controller, 451983
150.00 23d 20h
Leybold AG 200.81.080 Temp-Box Controller, 451986
Leybold AG 200.81.080 Temp-Box Controller, 451986
150.00 23d 20h
Leybold AG 200.81.080 Temp-Box Controller, 452000
Leybold AG 200.81.080 Temp-Box Controller, 452000
150.00 4d 16h
Leybold AG 200.81.080 Temp-Box Controller, 452002
Leybold AG 200.81.080 Temp-Box Controller, 452002
150.00 4d 17h
Leybold AG 200.81.080 Temp-Box Controller, 452004
Leybold AG 200.81.080 Temp-Box Controller, 452004
150.00 4d 18h
Leybold AG 200.81.080 Temp-Box Controller, 452028
Leybold AG 200.81.080 Temp-Box Controller, 452028
150.00 4d 18h
Swagelok SS-BNV51-2C, Bellows Valve, 452144
Swagelok SS-BNV51-2C, Bellows Valve, 452144
125.00 12d 16h
Swagelok SS-HBVCR4-CM Bellows Valve Assembly, 452150
Swagelok SS-HBVCR4-CM Bellows Valve Assembly, 452150
150.00 12d 17h
Applied Materials 0040-92503 Rf Electrode
Applied Materials 0040-92503 Rf Electrode
770.00  8d 13h
 Amat Applied Materials 0050-41863 Rev-001
 Amat Applied Materials 0050-41863 Rev-001
169.99  9d 12h
Aera FC-PA7810C-BA Mass Flow Controller, MFC, Ar, 20 SLM, 451773
Aera FC-PA7810C-BA Mass Flow Controller, MFC, Ar, 20 SLM, 451773
550.00 26d 19h
Leybold AG 200.81.080 Temp-Box Controller, 451984
Leybold AG 200.81.080 Temp-Box Controller, 451984
150.00 23d 20h
Swagelok SS-BNV51-2C, Bellows Valve, 452147
Swagelok SS-BNV51-2C, Bellows Valve, 452147
125.00 12d 17h
asm germany controller notch aligner
Top-Rated Plus Seller asm germany controller notch aligner
89.99 4d 18h
Yaskawa SGDV-5R5A21A Servopack Servo Driver, 452640
Yaskawa SGDV-5R5A21A Servopack Servo Driver, 452640
495.00 11d 16h
TOYO Corporation INTERFACE BOARD A040-0277-10 Rev. B  / Free Expedited Shipping
TOYO Corporation INTERFACE BOARD A040-0277-10 Rev. B / Free Expedited Shipping
899.00 10d 4h
TOYO Corporation MAIN BOARD A040-0278-10 Rev. B  / Free Expedited Shipping
TOYO Corporation MAIN BOARD A040-0278-10 Rev. B / Free Expedited Shipping
999.00 10d 4h
 Thermo Vacuum Generators/ASM PN 6131050A P3000 MK2 Drive Assembly
 Thermo Vacuum Generators/ASM PN 6131050A P3000 MK2 Drive Assembly
9,999.99  3d 20h
SMC NVV5J3-UIB 950063 NVV5J3-ULB 950061 Solenoid Manifold Ass'bly NVJ3233 NVJ114
SMC NVV5J3-UIB 950063 NVV5J3-ULB 950061 Solenoid Manifold Ass'bly NVJ3233 NVJ114
99.95 14d 21h
Konics KN-2300 KN2300 Controller
Konics KN-2300 KN2300 Controller
73.27  4d 12h
Applied Materials AMAT Pressure Gauge Assy, 3310-01249
Applied Materials AMAT Pressure Gauge Assy, 3310-01249
2,950.00  29d 8h
Tokyo Electron 3D10-100912-11 Plate EXH Hole 1.5-14050-0.5 Y
Tokyo Electron 3D10-100912-11 Plate EXH Hole 1.5-14050-0.5 Y
999.95  14d 19h
Novellus 16-029857-00 Evaporator Top, Swagelok 316LV Micro-Fit, 1/2" VCR, 452260
Novellus 16-029857-00 Evaporator Top, Swagelok 316LV Micro-Fit, 1/2" VCR, 452260
150.00 22d 20h
Novellus 16-029857-00 Evaporator Top, Swagelok 316LV Micro-Fit, 1/2" VCR, 452265
Novellus 16-029857-00 Evaporator Top, Swagelok 316LV Micro-Fit, 1/2" VCR, 452265
150.00 22d 21h
AC Power Supply 0-15 VOLTS, 453276
AC Power Supply 0-15 VOLTS, 453276
150.00 6d 14h
VAT Valve Heater
VAT Valve Heater
500.00  19d 18h
Allen Integrated AP15211 Digital / Analog PC Board, 12 Digital, 8 Analog
Allen Integrated AP15211 Digital / Analog PC Board, 12 Digital, 8 Analog
89.99  10d 18h
Applied Materials AMAT 0240-10128 Kit, Process Start Up Per Chamber, WCVD
Applied Materials AMAT 0240-10128 Kit, Process Start Up Per Chamber, WCVD
225.00  7d 18h
+ Burling 3240-k5-2-0003 Supply Volts 24 V Ac Type K
+ Burling 3240-k5-2-0003 Supply Volts 24 V Ac Type K
286.00  13d 15h
JEL Controller Module 04430-00501
JEL Controller Module 04430-00501
2,500.00 4d 18h
Drytek Quad J Type Upper Electrode Assembly, P/N 2301728,
Top-Rated Plus Seller Drytek Quad J Type Upper Electrode Assembly, P/N 2301728,
720.00  19d 13h
D116058004 / D116058100, D116059001, Pcb Assy, Microprocessor Board / Mrc
D116058004 / D116058100, D116059001, Pcb Assy, Microprocessor Board / Mrc
720.99  10d 20h
Rsd7738 / Analog I/o Input Output Pcb Circuit Board / Robotrol Corp
Rsd7738 / Analog I/o Input Output Pcb Circuit Board / Robotrol Corp
350.99  19d 14h
21016400120 / Power Supply +24v 6.9a (pa-3n-4-6sg/001) / Applied Materials Amat
21016400120 / Power Supply +24v 6.9a (pa-3n-4-6sg/001) / Applied Materials Amat
507.50  12d 16h
Q803-324-b / Block Valve / Compact
Q803-324-b / Block Valve / Compact
450.68  24d 19h
0150-20013 / Cable Assy, Chamber A/b Interconnect, 25 / Applied Materials Amat
0150-20013 / Cable Assy, Chamber A/b Interconnect, 25 / Applied Materials Amat
489.19  11d 20h
2s013-068- / Pcb 2s700-553- For Optistation 3 / Nikon
2s013-068- / Pcb 2s700-553- For Optistation 3 / Nikon
450.03  12d 20h
ETI Systems 400211 Joystick with 30 day warranty
ETI Systems 400211 Joystick with 30 day warranty
195.00 4d 20h
Nikon 4S019-061 Interface Control Card PCB AVDRVX4VE  Working
Nikon 4S019-061 Interface Control Card PCB AVDRVX4VE  Working
1,911.18  28d 18h
SMC CDQ2WA32-15-DA Cylinder Short Stroke Lot of 2
SMC CDQ2WA32-15-DA Cylinder Short Stroke Lot of 2
175.00 18d 20h
Fei Grid Fps Grid-1 18098 Rev.a
Fei Grid Fps Grid-1 18098 Rev.a
1,199.99 13d 0h
Tel-epd  Se2000 Cont Box   3d80-001570-23
Tel-epd Se2000 Cont Box 3d80-001570-23
2,999.00  3d 9h
112-088 / Motor-indexer Drive / Svg
112-088 / Motor-indexer Drive / Svg
950.82  17d 14h
MKS TL248 CDN Test Box
Top-Rated Plus Seller MKS TL248 CDN Test Box
399.99  6d 23h
342-0202// Amat Applied 0050-10163 Tube Weldment, Turbo Purge Vcr []
342-0202// Amat Applied 0050-10163 Tube Weldment, Turbo Purge Vcr []
200.00 4d 7h
CKD AGD01V-X0001 Valve, Type N.C., 451599
CKD AGD01V-X0001 Valve, Type N.C., 451599
110.00 16d 18h
Swiss Clamps, EVAC, 451697
Swiss Clamps, EVAC, 451697
50.00 23d 18h
Kdf0816 Chain 25ft
Kdf0816 Chain 25ft
280.00  6d 16h
03-83601-00 / W Pcb, Mother / Applied Materials Amat
03-83601-00 / W Pcb, Mother / Applied Materials Amat
12,000.99  9d 12h
672532 / Assy Memory Bd / Applied Materials Amat
672532 / Assy Memory Bd / Applied Materials Amat
4,350.99  9d 14h
600366 / Automatic Temperature Control / Applied Materials Amat
600366 / Automatic Temperature Control / Applied Materials Amat
8,900.99  28d 15h
0010-09263 / Assy, Pneumatic Manifold Basic / Applied Materials Amat
0010-09263 / Assy, Pneumatic Manifold Basic / Applied Materials Amat
4,500.82  5d 13h
E11087930 / Common Io Assembly / Varian
E11087930 / Common Io Assembly / Varian
INTERKING

K35DG0
4s587-667-2 / Linear Motor Controller / Yaskawa
4s587-667-2 / Linear Motor Controller / Yaskawa
5,100.98  29d 16h
H4-4135 / Prgm. Logic Control Vextra W/4-2 Phase Drives / Advantest
H4-4135 / Prgm. Logic Control Vextra W/4-2 Phase Drives / Advantest
4,500.82  14h 31m
H4-4137 / Prgm. Logic Control Vextra  / Advantest
H4-4137 / Prgm. Logic Control Vextra / Advantest
4,500.82  14h 41m
3d05-350028-11 / Insulator Esc Enclosure / Tokyo Electron Tel
3d05-350028-11 / Insulator Esc Enclosure / Tokyo Electron Tel
7,672.77  17d 19h
0010-15816 / Assembly, Gvde, Dpn 200mm / Applied Materials
0010-15816 / Assembly, Gvde, Dpn 200mm / Applied Materials
6,000.00  18d 13h
1035588001 /    Dose Processor Assy / Varian
1035588001 / Dose Processor Assy / Varian
7,500.82  21d 20h
0200-39132 / Cover 8", Heaterdxz, Patterned / Applied Materials Amat
0200-39132 / Cover 8", Heaterdxz, Patterned / Applied Materials Amat
6,750.82  4d 15h
42486-00    / Injector Vacuum Controller /  Genus
42486-00 / Injector Vacuum Controller / Genus
5,500.00  23d 15h
42570-00 /  Electrode Manipulator  /  Genus
42570-00 / Electrode Manipulator / Genus
7,500.82  23d 15h
Lpd-box (phase2) / Lpd#2 Overheat Detection / Rkc Instrument Inc
Lpd-box (phase2) / Lpd#2 Overheat Detection / Rkc Instrument Inc
4,597.88  24d 0h
02-377211-00  / Srd Four Stage Rf Assy With Bnc / Novellus 200159110 200182041
02-377211-00 / Srd Four Stage Rf Assy With Bnc / Novellus 200159110 200182041
5,207.88  24d 0h
600369 / W Automatic Temp Contro / Applied Materials Amat
600369 / W Automatic Temp Contro / Applied Materials Amat
5,500.82  15h 26m
459235 / 300mm Module Power Distribution / Eaton
459235 / 300mm Module Power Distribution / Eaton
4,932.66  17d 12h
41777-01  /  Controller, E500/e220  /  Genus
41777-01 / Controller, E500/e220 / Genus
5,500.66  2d 21h
030-001059 / Car, Inner Depo Shield Recoat / Nxedge
030-001059 / Car, Inner Depo Shield Recoat / Nxedge
5,500.82  13d 17h
715-001841-001 / Gap Adjust Housing / Lam Research Corporation
715-001841-001 / Gap Adjust Housing / Lam Research Corporation
5,526.50  3d 13h
0010-10286 / Heater, Assy, 8 Inch, Sr, Wxz / Applied Materials
0010-10286 / Heater, Assy, 8 Inch, Sr, Wxz / Applied Materials
9,000.00  12d 18h
42487-00 / 3a14 Accelerator Vacuum Controller / Genus Incorporated
42487-00 / 3a14 Accelerator Vacuum Controller / Genus Incorporated
4,550.82  1d 19h
0190-34492 / Comet Automatch P/n 20031426 13.66mhz / Applied Materials Amat
0190-34492 / Comet Automatch P/n 20031426 13.66mhz / Applied Materials Amat
6,000.00  14d 17h
Bpp8 / Assembly,batch Transfer Replcmnt,recif / Recif Inc
Bpp8 / Assembly,batch Transfer Replcmnt,recif / Recif Inc
6,000.00  17d 15h
9699448s003 / Turbo-v 2000 Ice C.u. / Varian
9699448s003 / Turbo-v 2000 Ice C.u. / Varian
5,500.26  20d 17h
0010-01922 / Assy, Biased Electrode Ac Box / Applied Materials Amat
0010-01922 / Assy, Biased Electrode Ac Box / Applied Materials Amat
10,917.00  20d 17h
0710100 / Pcb Vme 101 / Vme
0710100 / Pcb Vme 101 / Vme
4,500.82  4d 21h
Aera FC-PA7800C-BA Mass Flow Controller MFC CH4 100 SCCM AMAT 3030-16236, 451787
Aera FC-PA7800C-BA Mass Flow Controller MFC CH4 100 SCCM AMAT 3030-16236, 451787
650.00 27d 16h
120-22470-000 / Module, Input, 4, Analog / Celerity
120-22470-000 / Module, Input, 4, Analog / Celerity
423.41  13d 15h
77-604-0100120-03 /  Fdwgu-d Fast Deflection Waverform Generator  / Hmi
77-604-0100120-03 / Fdwgu-d Fast Deflection Waverform Generator / Hmi
1,068.68  17d 23h
Integrated Flow Systems SR4-120-4MVMV-HT-35 Pressure Regulator, Inlet 45PSIG
Integrated Flow Systems SR4-120-4MVMV-HT-35 Pressure Regulator, Inlet 45PSIG
219.99  12h 5m
001-0201// Amat Applied Tc Thhn Or Thwn 12 Awg 6/c Cors Sunlight Resistant
001-0201// Amat Applied Tc Thhn Or Thwn 12 Awg 6/c Cors Sunlight Resistant
500.00 29d 4h
Hine Design Robot Prealinger
Hine Design Robot Prealinger
2,500.00  8d 18h
690-7460-001 / Wire, Fixture-y1 / Svg
690-7460-001 / Wire, Fixture-y1 / Svg
230.00  2d 13h
Danaher Motion PCB P/N: 1007-0105 REV 2. SynQnet Interface Device  Lot of 2
Danaher Motion PCB P/N: 1007-0105 REV 2. SynQnet Interface Device Lot of 2
199.00 7d 18h
Brooks 5896B11A Readout Mass Flow Controller, 5896, 421169
Brooks 5896B11A Readout Mass Flow Controller, 5896, 421169
250.00 4d 21h
Brooks 5896A1A Readout Mass Flow Controller, 5896, 421170
Brooks 5896A1A Readout Mass Flow Controller, 5896, 421170
250.00 8d 20h
Fujikin 316L Pneumatic Solenoid Valve, L# AG7X6000, C# 023718, 1/4" VCR, 452233
Fujikin 316L Pneumatic Solenoid Valve, L# AG7X6000, C# 023718, 1/4" VCR, 452233
45.00 19d 16h
Fujikin 316L Pneumatic Solenoid Valve, L# AGBDR000, C# 023718, 1/4" VCR, 452236
Fujikin 316L Pneumatic Solenoid Valve, L# AGBDR000, C# 023718, 1/4" VCR, 452236
45.00 19d 19h
Fujikin 316L Pneumatic Solenoid Valve, L# DCTG00, C# 023718, 1/4" VCR, 452238
Fujikin 316L Pneumatic Solenoid Valve, L# DCTG00, C# 023718, 1/4" VCR, 452238
45.00 19d 20h
Ebmpapst 3212 J/2H4P Fan 452315
Ebmpapst 3212 J/2H4P Fan 452315
45.00 27d 16h
Fujikin 316L Pneumatic Solenoid Valve, L# DD0E00, C# 023718, 1/4" VCR, 452235
Fujikin 316L Pneumatic Solenoid Valve, L# DD0E00, C# 023718, 1/4" VCR, 452235
45.00 19d 19h
Fujikin 316L Pneumatic Solenoid Valve, L# DD0E00, C# 023718, 1/4" VCR, 452237
Fujikin 316L Pneumatic Solenoid Valve, L# DD0E00, C# 023718, 1/4" VCR, 452237
45.00 19d 20h
Allen-Bradley 140-MN-0250 Manual Motor Starter, Circuit Breaker, 452718
Allen-Bradley 140-MN-0250 Manual Motor Starter, Circuit Breaker, 452718
15.00 6d 15h
Drytek / Lam Flow/He Interface Board, 2800606
Top-Rated Plus Seller Drytek / Lam Flow/He Interface Board, 2800606
180.00  26d 16h
MJC BAW-0173 Board
MJC BAW-0173 Board
449.90 4d 5h
Nikon SFK-MTR-X8 Backplane Interface Board PCB NSR System  Working
Nikon SFK-MTR-X8 Backplane Interface Board PCB NSR System  Working
1,911.18  23d 18h
Nikon FOC-STC-5V Processor Board PCB NSR System  Working
Nikon FOC-STC-5V Processor Board PCB NSR System  Working
2,511.18  23d 15h
Polytron Regulated Power Supply P32-1/22
Polytron Regulated Power Supply P32-1/22
29.99  10d 19h
AMAT O-Ring, 3700-01658, , Sealed
AMAT O-Ring, 3700-01658, , Sealed
5.00  13d 23h
TEL Tokyo Electron D3.0 P35.6 W-LB N Shield Depo Cleaned  Working
TEL Tokyo Electron D3.0 P35.6 W-LB N Shield Depo Cleaned  Working
3,004.15  24d 19h
0190-33634 / Toyota T600 Dry Pump / Applied Materials Amat
0190-33634 / Toyota T600 Dry Pump / Applied Materials Amat
3,950.82  2d 21h
0020-23042 / Adapter Source 13" / Applied Materials Amat
0020-23042 / Adapter Source 13" / Applied Materials Amat
2,289.00  20d 17h
Solder Feed Tube Part 6072155317/PO2 for MRO Manufacturing Soldering NOS Qty 5
Solder Feed Tube Part 6072155317/PO2 for MRO Manufacturing Soldering NOS Qty 5
40.00  3d 15h
Applied Materials/AMAT Ti Clamp Ring 8" (Part Number: 0020-20962)
Applied Materials/AMAT Ti Clamp Ring 8" (Part Number: 0020-20962)
250.00  23d 14h
105-0401// Amat Applied 0190-06874 Applied Matrials Components
105-0401// Amat Applied 0190-06874 Applied Matrials Components
1,800.00 11d 4h
99-43012-01 / Assy Arm Random 7in.z / Svg
99-43012-01 / Assy Arm Random 7in.z / Svg
1,450.98  27d 20h
Rear Upper Cross Piece 7580, Lot of 4
Rear Upper Cross Piece 7580, Lot of 4
300.00  4d 19h
Aera FC-PA7810C-BA MFC, NF3 (0.5), 5 SLM, AMAT 3030-16227, 451791
Aera FC-PA7810C-BA MFC, NF3 (0.5), 5 SLM, AMAT 3030-16227, 451791
450.00 27d 17h
600366 / Automatic Temperature Control / Applied Materials Amat
600366 / Automatic Temperature Control / Applied Materials Amat
8,897.67  9d 13h
Nikon 4S008-056-A AIS Sensor Board PCB AISsns-X4 NSR System  Working
Nikon 4S008-056-A AIS Sensor Board PCB AISsns-X4 NSR System  Working
2,003.18  4d 14h
Lasertec C-100849A Processor PCB Card DEF-PROC C-100848A Working Surplus
Lasertec C-100849A Processor PCB Card DEF-PROC C-100848A Working Surplus
1,702.19  24d 13h
ASM 1045-426-01 SGL Element SUSC Heater Emerald  Untested As-Is
ASM 1045-426-01 SGL Element SUSC Heater Emerald  Untested As-Is
2,005.14  23d 12h
ASM 23 27 20330.11 Rev. B EPI PCB Control Circuit Board
ASM 23 27 20330.11 Rev. B EPI PCB Control Circuit Board
399.99 3d 20h
Mks 225a-26796 Differential Transducer
Mks 225a-26796 Differential Transducer
79.99  24d 20h
iselROBOTIK IPA-812-VS3-1-625 ALIGNER
iselROBOTIK IPA-812-VS3-1-625 ALIGNER
2,999.00 16d 5h
139-0301// Amat Applied 0190-41508 3155132-013 Navigator-3013 Advanced Rf Asis
139-0301// Amat Applied 0190-41508 3155132-013 Navigator-3013 Advanced Rf Asis
3,000.00 1d 4h
Fusion PWB ASSY, 099581, Rev A
Fusion PWB ASSY, 099581, Rev A
36.25  21d 15h
Svg Wafer Indexer Assembly
Svg Wafer Indexer Assembly
2,750.00  28d 17h
ULVAC ROBOT ULSTA300 UL3-050 UL3C-037 UL3-059 Actuator
ULVAC ROBOT ULSTA300 UL3-050 UL3C-037 UL3-059 Actuator
2,999.00 11d 2h
 Cos Resistivity Meter Gc-96r Dc24v
 Cos Resistivity Meter Gc-96r Dc24v
399.00 11d 23h
4467  Applied Materials P/N: 0010-00135 Power Supply Assy.
4467 Applied Materials P/N: 0010-00135 Power Supply Assy.
2,500.00  11d 15h
Setra Model GCT 225, Pressure Transducer, P/N 225130CPAC411B1
Setra Model GCT 225, Pressure Transducer, P/N 225130CPAC411B1
550.00 7d 16h
Kyosan Electric Hpk102i-te1 Dc Powers Supply Wa8580
Kyosan Electric Hpk102i-te1 Dc Powers Supply Wa8580
2,199.95  1d 13h
Lighthouse 402995205 Universal Manifold 32-Port for Particle Monitor Controller
Top-Rated Plus Seller Lighthouse 402995205 Universal Manifold 32-Port for Particle Monitor Controller
314.99  18d 1h
50412100000 / Sgs Ps Assy / Applied Materials
50412100000 / Sgs Ps Assy / Applied Materials
2,000.98  16d 18h
4419  Applied Materials CMS-458 (0190-00064) wPneumatic Panel 8300 EASE
4419 Applied Materials CMS-458 (0190-00064) wPneumatic Panel 8300 EASE
2,650.00  4d 20h
0040-86768 / Gasbox, Blocker Bypass, Heated Producer 300mm/ Applied Materials
0040-86768 / Gasbox, Blocker Bypass, Heated Producer 300mm/ Applied Materials
3,000.82  16d 18h
0010-23612 /cover Clear W/label / Applied Materials
0010-23612 /cover Clear W/label / Applied Materials
2,000.00  11d 20h
Eaton Axcelis 0298-0069-0001 Chamber Unload Arm 4"
Eaton Axcelis 0298-0069-0001 Chamber Unload Arm 4"
399.99  17d 14h
Applied Materials 0010-28024 Head Sweep Assembly XY-FR-E131178
Applied Materials 0010-28024 Head Sweep Assembly XY-FR-E131178
1,699.95  16d 20h
MTCS S11-EN Temperature Controller, 423667
MTCS S11-EN Temperature Controller, 423667
250.00 10d 18h
Amat Applied Materials vacuun fitting 0050-45479
Amat Applied Materials vacuun fitting 0050-45479
369.99  24d 18h
Amat Applied Materials Atn Exh Cham 0050-33704
Amat Applied Materials Atn Exh Cham 0050-33704
499.99  3d 13h
Amat Applied Materials Exhaust Rtp Chmbr 0050-36606
Amat Applied Materials Exhaust Rtp Chmbr 0050-36606
299.99  6d 14h
Part 81176 Clamp Bracket (Pack of 6)
Part 81176 Clamp Bracket (Pack of 6)
98.38 26d 12h
Part 81176 Clamp Bracket (Pack of 3)
Part 81176 Clamp Bracket (Pack of 3)
52.61 26d 12h
AMAT Applied Materials Susceptor 12" 200mm * 0020-49269 Rev.003
AMAT Applied Materials Susceptor 12" 200mm * 0020-49269 Rev.003
150.00  10d 18h
4253621 / Wika 111.16 1.5" 200kpa 1/8" Npt Cbm Uc E33000191 / Wika
4253621 / Wika 111.16 1.5" 200kpa 1/8" Npt Cbm Uc E33000191 / Wika
400.00  13d 15h
40979-1133 / Kawasaki 1hl-11 Board And Cable Connector Panel / Dns
40979-1133 / Kawasaki 1hl-11 Board And Cable Connector Panel / Dns
500.00  8d 18h
Ad2016avim-1 / Video Input Module, 16 Bnc 0305-8670-01 / Ameri. Scientific Prod
Ad2016avim-1 / Video Input Module, 16 Bnc 0305-8670-01 / Ameri. Scientific Prod
389.55  18d 17h
System-f 3041 / Pcb / Zmisc
System-f 3041 / Pcb / Zmisc
575.62  27d 21h
110300 / Pcb,pwa,pin Electronic.q2/62 / Zmisc
110300 / Pcb,pwa,pin Electronic.q2/62 / Zmisc
500.00  1d 14h
58900145r / Quartz Hoop Repaired / Rutherford Quartz
58900145r / Quartz Hoop Repaired / Rutherford Quartz
615.00  13d 14h
2-f3-90666 / Arm Lower - 200 Mm / Semes America Inc
2-f3-90666 / Arm Lower - 200 Mm / Semes America Inc
640.15  26d 21h
1100047 Rev A / Preregulator / Eaton
1100047 Rev A / Preregulator / Eaton
650.82  4d 21h
15-00554-31 / Shim 11-03 / Novellus
15-00554-31 / Shim 11-03 / Novellus
618.49  5d 21h
Pra-8 / Roller Roller H-squa / H-square Corp
Pra-8 / Roller Roller H-squa / H-square Corp
603.00  5d 22h
01-3943 / Mount Flansh, Flapper Valve - Gasonic / Novellus
01-3943 / Mount Flansh, Flapper Valve - Gasonic / Novellus
302.44  25d 14h
Ven610-037 / Belt,drive,carrage,bti,ven610-037 / Banner
Ven610-037 / Belt,drive,carrage,bti,ven610-037 / Banner
297.00  25d 20h
Y5304803 / Spare Io Input Output, Rats Interface / Biorad Micromeasurements
Y5304803 / Spare Io Input Output, Rats Interface / Biorad Micromeasurements
600.99  10d 21h
105-22003-000 / Xdcr,press,3/4,nt,0-100,4-20ma / Celerity
105-22003-000 / Xdcr,press,3/4,nt,0-100,4-20ma / Celerity
700.58  12d 14h
Udk5107nw-a2 / Driver Motor Vexta / Vexta
Udk5107nw-a2 / Driver Motor Vexta / Vexta
495.02  12d 18h
0020-76181 / Cover, Cooldown Chamber / Applied Materials Amat
0020-76181 / Cover, Cooldown Chamber / Applied Materials Amat
750.99  1d 11h
44742-01 / Gas Module Interface Pcb / Genus
44742-01 / Gas Module Interface Pcb / Genus
690.00  13d 17h
42359-01 / Bulkhead Manifold Ftc #1 / Svg
42359-01 / Bulkhead Manifold Ftc #1 / Svg
419.40  4d 14h
42359-02 / Bulkhead Manifold Ftc #2 / Svg
42359-02 / Bulkhead Manifold Ftc #2 / Svg
419.40  4d 15h
884-13-000 / Pcb, Water Interlock / Mrc
884-13-000 / Pcb, Water Interlock / Mrc
479.86  9d 17h
3270061c / Scp Mcs A/d Module / Scp
3270061c / Scp Mcs A/d Module / Scp
337.99  22d 20h
950-572-03 / Boards / Zmisc
950-572-03 / Boards / Zmisc
700.82  10d 21h
S83-135mos-8875 / Drive Motor / Zmisc
S83-135mos-8875 / Drive Motor / Zmisc
300.00  17d 17h
03-111737d01 / Asm Pcb Proportional Controller / Asm
03-111737d01 / Asm Pcb Proportional Controller / Asm
611.99  24d 15h
3000018 / 118-03p Model M Pressure Regulator 125 Psi / Watts
3000018 / 118-03p Model M Pressure Regulator 125 Psi / Watts
350.99  24d 20h
632630270.00 / Sensor Board, Fuer:x Phi Und X-chse / Asm America Inc
632630270.00 / Sensor Board, Fuer:x Phi Und X-chse / Asm America Inc
500.99  11d 13h
630030240.00 / 230030240.11, Pcb / Asm America Inc
630030240.00 / 230030240.11, Pcb / Asm America Inc
350.99  18d 20h
631230220.00 / 231230220.11, Analog Input Converter / Asm America Inc
631230220.00 / 231230220.11, Analog Input Converter / Asm America Inc
575.99  24d 17h
2013083-001 / Shield, Slot Valve, Chamber, Ald Celsior / Aviza Technology
2013083-001 / Shield, Slot Valve, Chamber, Ald Celsior / Aviza Technology
500.00  8d 16h
Ph268l-21-c6 / Stepping Motor / Vexta
Ph268l-21-c6 / Stepping Motor / Vexta
481.95  11d 21h
Bag9d-301 / Relay Unit,safety (dfd-651) / Disco
Bag9d-301 / Relay Unit,safety (dfd-651) / Disco
478.22  11d 21h
01-4942 / Ring Base Center, 15-293882-00 / Novellus
01-4942 / Ring Base Center, 15-293882-00 / Novellus
296.99  25d 21h
Cphx-drive / Servo Drive, Compumotor / Parker
Cphx-drive / Servo Drive, Compumotor / Parker
472.03  28d 14h
Pk245-01a / Stepping Motor / Vexta
Pk245-01a / Stepping Motor / Vexta
296.99  22d 22h
Nit202-t002 / Regulator, Electro Pneumatic / Smc
Nit202-t002 / Regulator, Electro Pneumatic / Smc
490.99  24d 16h
F32100336 / Solenoid Bank (12 Valves) / Smc
F32100336 / Solenoid Bank (12 Valves) / Smc
607.62  8d 18h
Nikon 4S015-002 Processor PCB Card NK852 NSR  Working
Nikon 4S015-002 Processor PCB Card NK852 NSR  Working
3,001.19  28d 19h
Harness Assy, Turbo Pump, 93-1214-001, 451807
Harness Assy, Turbo Pump, 93-1214-001, 451807
295.00 1d 14h
Gasline Assy, 316LV-P,  6LV-DAVR4-P, 74-24P2KR920-067, 01-001E, Swagelok, 452225
Gasline Assy, 316LV-P, 6LV-DAVR4-P, 74-24P2KR920-067, 01-001E, Swagelok, 452225
450.00 19d 14h
Dr. Schenk Pollux Particle Detection Unit with Manual
Dr. Schenk Pollux Particle Detection Unit with Manual
8,500.00  13d 16h
Sony 1-659-417-12 165941712 Board
Sony 1-659-417-12 165941712 Board
412.30  11d 4h
Lot Of 7  Setra C216fsm Pressure Transducers Psig 24 Vdc Free Shipping
Lot Of 7  Setra C216fsm Pressure Transducers Psig 24 Vdc Free Shipping
382.49  8d 18h
12200 Staubli Pcb, D231632.02a D231631.04b
12200 Staubli Pcb, D231632.02a D231631.04b
303.00  26d 1h
Vacuum General UltraFlo UC2-21S02 N2 0-100 SCCM MASS FLOW CONTROLLER
Vacuum General UltraFlo UC2-21S02 N2 0-100 SCCM MASS FLOW CONTROLLER
299.00 17h 48m
Mitutoyo 06aby675 Printed Circuit Board, Circuit Card
Mitutoyo 06aby675 Printed Circuit Board, Circuit Card
499.99  5d 2h
1624a0033 / Servo Motor 3500 Ext/ret Robot / Faulhaber
1624a0033 / Servo Motor 3500 Ext/ret Robot / Faulhaber
320.68  25d 16h
Lot of 21 CKD Valves MMGD-10v-AT2-L1 7F-0305  SEALED
Lot of 21 CKD Valves MMGD-10v-AT2-L1 7F-0305  SEALED
378.00  1d 23h
128-0803// Amat Applied 3700-02683 Oring Id 17.995 Csd.210 Cheraz Sc513 80 []
128-0803// Amat Applied 3700-02683 Oring Id 17.995 Csd.210 Cheraz Sc513 80 []
390.00 10d 3h
SMC MCDQ2B50-25DZ-X Cylinder 145 PSI 1.0 MPa
Top-Rated Plus Seller SMC MCDQ2B50-25DZ-X Cylinder 145 PSI 1.0 MPa
19.99  27d 16h
Nikon 4S007-959-B Detector Assembly EX-S/H-2 NSR System  Working
Nikon 4S007-959-B Detector Assembly EX-S/H-2 NSR System  Working
2,903.18  3d 19h
43015-00 / Servo Interface Pcb (43228-00,  43015-01) / Genus Incorporated
43015-00 / Servo Interface Pcb (43228-00, 43015-01) / Genus Incorporated
1,200.82  23d 16h
Bn9-7405 / Canon I4/i5 Bending Mirror / Canon
Bn9-7405 / Canon I4/i5 Bending Mirror / Canon
1,500.66  26d 15h
D-e14000570 / Pulsed Light Curtain, Xmit Drive D-e15000570  / Varian
D-e14000570 / Pulsed Light Curtain, Xmit Drive D-e15000570 / Varian
1,500.82  7d 19h
0020-15785 / Bracket, Bpsg Assembly, Ch B, Radi / Amat
0020-15785 / Bracket, Bpsg Assembly, Ch B, Radi / Amat
1,097.18  8d 14h
Abx-x234 / Pcb, 300w Source Driver Eto / Eto
Abx-x234 / Pcb, 300w Source Driver Eto / Eto
1,055.99  24d 21h
0021-39942 / Liner,inner Pump Port / Amat
0021-39942 / Liner,inner Pump Port / Amat
762.00  21d 15h
Bn9-7406 / I4 Bending Mirror / Canon
Bn9-7406 / I4 Bending Mirror / Canon
1,502.36  13d 19h
B800155 / 3850045a Board, Pcb Assy, Ept / Anorad
B800155 / 3850045a Board, Pcb Assy, Ept / Anorad
1,200.03  9d 18h
43626-01 / Support-shield Flat Round / Svg
43626-01 / Support-shield Flat Round / Svg
760.20  14d 20h
77-604-0300510-01 / Assy Electron Source Apt Driver Module (gapdrd) / Hmi
77-604-0300510-01 / Assy Electron Source Apt Driver Module (gapdrd) / Hmi
1,009.68  24d 22h
Bgr-024252x02 / Pcb, Pe, I/o / Advantest
Bgr-024252x02 / Pcb, Pe, I/o / Advantest
1,200.62  8d 17h
Bir-024397 / Pcb, Tgfc Board  A001130b Baa / Advantest
Bir-024397 / Pcb, Tgfc Board A001130b Baa / Advantest
800.62  8d 21h
716-443083-004 / Top Confinement Ring / Lam Research Corporation
716-443083-004 / Top Confinement Ring / Lam Research Corporation
1,449.77  27d 19h
0020-27309 / Cover Ring 8 Ti 101% / Applied Materials Amat
0020-27309 / Cover Ring 8 Ti 101% / Applied Materials Amat
999.03  21d 14h
0021-39721 / Cover, Cylinder Support, 300mm / Applied Materials Amat
0021-39721 / Cover, Cylinder Support, 300mm / Applied Materials Amat
794.61  28d 19h
0021-39722 / Cover Access, Water Lines, 300mm / Applied Materials Amat
0021-39722 / Cover Access, Water Lines, 300mm / Applied Materials Amat
794.61  28d 20h
C1661af-sc14750c-4rhosvmme / 1661,sc 14 Hos,250-750sccm,20 Pin/9 Pin / Celerity
C1661af-sc14750c-4rhosvmme / 1661,sc 14 Hos,250-750sccm,20 Pin/9 Pin / Celerity
792.55  28d 20h
0150-00897 / C/a, Pwr Wire, Wiring Cllr, Cha A Or B, / Applied Materials Amat
0150-00897 / C/a, Pwr Wire, Wiring Cllr, Cha A Or B, / Applied Materials Amat
1,385.02  2d 13h
17-306818-00 / Shield, Pedestal, .35 Al, Twas, Ta, Pvd 300mm / Novellus
17-306818-00 / Shield, Pedestal, .35 Al, Twas, Ta, Pvd 300mm / Novellus
1,380.60  2d 14h
0021-76183 / Ring 8, Direct Drive Robot, Corrosion Resist / Applied Materials
0021-76183 / Ring 8, Direct Drive Robot, Corrosion Resist / Applied Materials
1,300.82  2d 15h
Mpc145-c8dh4ctm / Meter Relay,20242517 / Matsushita
Mpc145-c8dh4ctm / Meter Relay,20242517 / Matsushita
1,038.00  5d 17h
99-80267-01 / Pcb, Shuttle Interface / Svg
99-80267-01 / Pcb, Shuttle Interface / Svg
844.20  6d 18h
0100-20313/chamber Interconnect Assy. 0130-20313/applied Materials Amat
0100-20313/chamber Interconnect Assy. 0130-20313/applied Materials Amat
1,250.82  1d 14h
0010-00561 / Wemission Detector / Amat
0010-00561 / Wemission Detector / Amat
1,494.39  23d 13h
670776 / Universal Address Bd Schem Ref No 7802-d-5946 / Applied Materials Amat
670776 / Universal Address Bd Schem Ref No 7802-d-5946 / Applied Materials Amat
987.54  29d 18h
9100-0029 / 1997 High Sierra Pcb 2087 / Sierra Instruments
9100-0029 / 1997 High Sierra Pcb 2087 / Sierra Instruments
1,000.00  29d 20h
0100-11030 / Pwb Assembly, Prom A / Amat
0100-11030 / Pwb Assembly, Prom A / Amat
1,450.00  25d 19h
810-000503-003 / Pcb, Match Interface / Lam
810-000503-003 / Pcb, Match Interface / Lam
999.99  3d 16h
0021-21505 / Electrical Cover 8in / Applied Materials Amat
0021-21505 / Electrical Cover 8in / Applied Materials Amat
1,370.13  15d 17h
0100-40025 / Pcba Mainframe Interconn / Applied Materials Amat
0100-40025 / Pcba Mainframe Interconn / Applied Materials Amat
1,066.02  15d 20h
0100-09094 / Box Heater Control Board / Applied Materials Amat
0100-09094 / Box Heater Control Board / Applied Materials Amat
857.20  22d 21h
28-43015-01 / 99-43005-01, Hot Plate Assy / Svg
28-43015-01 / 99-43005-01, Hot Plate Assy / Svg
1,370.00  25d 16h
99-207-004 / Pcb Micro Processor / Tegal
99-207-004 / Pcb Micro Processor / Tegal
1,200.00  7d 19h
C1661af-0121010c-4rh Vvmme / Mfc 1661 Unit Wf6 10 Sccm (non-multflo) / Celerity
C1661af-0121010c-4rh Vvmme / Mfc 1661 Unit Wf6 10 Sccm (non-multflo) / Celerity
792.55  28d 20h
C1661af-sc10010c-4rhosvmme / Mfc Unit 1661 N2 3-10 Sccm / Celerity
C1661af-sc10010c-4rhosvmme / Mfc Unit 1661 N2 3-10 Sccm / Celerity
792.55  28d 20h
C8261af-sc13250c-dcovvmms / Mfc Unit 8261,sc 13 Hov / Celerity
C8261af-sc13250c-dcovvmms / Mfc Unit 8261,sc 13 Hov / Celerity
1,128.05  5d 18h
Bgr-015467x02 / Board, Strbcont / Advantest
Bgr-015467x02 / Board, Strbcont / Advantest
1,152.92  5d 13h
AMAT ASA Board Assy SemVision cX 30712640100
AMAT ASA Board Assy SemVision cX 30712640100
399.00 5d 8h
3434  Applied Materials P/N: 0020-25197 Clamp Pring Ring Al. SMF REE
3434 Applied Materials P/N: 0020-25197 Clamp Pring Ring Al. SMF REE
950.00  10d 14h
130-0402// AMAT APPLIED 0100-09028 wPCB ASSY,LOADER INTERCO
130-0402// AMAT APPLIED 0100-09028 wPCB ASSY,LOADER INTERCO
400.00 29d 8h
321-0402// Amat Applied 3320-01026 Gskt 10 Cff Ofc Copper 2nd Source
321-0402// Amat Applied 3320-01026 Gskt 10 Cff Ofc Copper 2nd Source
200.00 7h 33m
USHIO SEEXP-CONT 9606028 931004 / Free Expedited Shipping
USHIO SEEXP-CONT 9606028 931004 / Free Expedited Shipping
299.00 4d 3h
ASM 232720190.11 REV. F 632720300.00 REV. G / Free Expedited Shipping
ASM 232720190.11 REV. F 632720300.00 REV. G / Free Expedited Shipping
299.00 16d 3h
ASM 232720110.11 REV. E 632720110.00 REV. J / Free Expedited Shipping
ASM 232720110.11 REV. E 632720110.00 REV. J / Free Expedited Shipping
299.00 16d 3h
ASM 232620014.11 REV. E 632620060.00 REV. E / Free Expedited Shipping
ASM 232620014.11 REV. E 632620060.00 REV. E / Free Expedited Shipping
299.00 16d 4h
ASM 232720140.11 REV. C 632720140.00 REV. C / Free Expedited Shipping
ASM 232720140.11 REV. C 632720140.00 REV. C / Free Expedited Shipping
289.00 16d 23h
ASM 232620022.11 REV. D 632620022.00 REV. F / Free Expedited Shipping
ASM 232620022.11 REV. D 632620022.00 REV. F / Free Expedited Shipping
289.00 16d 23h
AUGUST TECHNOLOGY NSX 105/AX1 POWER DISTRIBUTION PN 709544 Rev B AN 709545
AUGUST TECHNOLOGY NSX 105/AX1 POWER DISTRIBUTION PN 709544 Rev B AN 709545
529.00 29d 5h
Applied Materials Celerity Millipore VCR Pressure XDCR, TR0002342374, 1350-01252
Applied Materials Celerity Millipore VCR Pressure XDCR, TR0002342374, 1350-01252
1,150.00  8d 14h
National Instruments 187573C-01 with 30 day warranty
National Instruments 187573C-01 with 30 day warranty
495.00 6d 17h
Applied Materials 0040-96158 Rev004 300mm SLIT Valve Door,,US^7283
Applied Materials 0040-96158 Rev004 300mm SLIT Valve Door,,US^7283
159.00  13d 1h
Shield;17-157996-00
Shield;17-157996-00
4,320.00  8d 2h
Denso 593682-2044 Driver Board PCB Nikon NSR System  Working
Denso 593682-2044 Driver Board PCB Nikon NSR System  Working
2,008.18  17d 17h
2529  PlasmaQuest DCPS-1524 Controller
2529 PlasmaQuest DCPS-1524 Controller
450.00  8d 19h
348-0301// Amat Applied 0040-02438 Applied Matrials Components
348-0301// Amat Applied 0040-02438 Applied Matrials Components
3,000.00 7d 23h
ASM 16-404844-01 Weldment Launder Low Volume Rev.A
ASM 16-404844-01 Weldment Launder Low Volume Rev.A
2,606.18  24d 21h
Cmla11e / Pressure Transducer, 0-10 Torr. / Celerity
Cmla11e / Pressure Transducer, 0-10 Torr. / Celerity
1,197.03  16d 16h
Asm 1060-177-01 Susceptor-300mm Flat H2 Holes10 Covalent
Asm 1060-177-01 Susceptor-300mm Flat H2 Holes10 Covalent
2,125.00  24d 21h
Svg Coated Module
Svg Coated Module
4,000.00  4d 16h
Ion Systems 5024 Nilstat Static Control System Emitter Controller
Ion Systems 5024 Nilstat Static Control System Emitter Controller
140.00  21d 4h
ADC Soneplex HDSL-22 SPX2200-C1 Module Card SND1AUO
ADC Soneplex HDSL-22 SPX2200-C1 Module Card SND1AUO
89.99  10d 18h
Unit Instruments UFC-1200A MFC, Mass Flow Controller, HCL, 50 SCCM, 405469
Unit Instruments UFC-1200A MFC, Mass Flow Controller, HCL, 50 SCCM, 405469
650.00 29d 18h
Horiba STEC IV-2410AV-02H Injection Valve, AMAT 0190-36237, 451859
Horiba STEC IV-2410AV-02H Injection Valve, AMAT 0190-36237, 451859
450.00 3d 17h
Matrix 1010-0017 Process Interface Board
Matrix 1010-0017 Process Interface Board
420.00  18d 21h
Now Technologies NPDO-31-1 Nowpack Level Monitor Control Box
Now Technologies NPDO-31-1 Nowpack Level Monitor Control Box
125.00  4d 12h
C&M Technology Temp-Master Model 007 Dual Setpoint Digital Failsafe TM
C&M Technology Temp-Master Model 007 Dual Setpoint Digital Failsafe TM
189.00  28d 13h
Applied Materials 0020-87943 Rev 03 Amat *un Surplus In Box*
Applied Materials 0020-87943 Rev 03 Amat *un Surplus In Box*
259.00  12d 16h
0100-11015 Power Driver Board, Sch. Ref. 0130-11015
0100-11015 Power Driver Board, Sch. Ref. 0130-11015
119.99  28d 15h
Rpdb (224-006907-xxx) / System Intercon: 224-006907-xxx Ac Box / Lam Research
Rpdb (224-006907-xxx) / System Intercon: 224-006907-xxx Ac Box / Lam Research
6,500.82  28d 10h
Svg Thermco Systems 168615-011 Rev 5 Temperature Interface Board
Svg Thermco Systems 168615-011 Rev 5 Temperature Interface Board
799.99  14d 22h
Flourocarbon Mini-Classic Rinser/Dryer Operations Manual
Top-Rated Plus Seller Flourocarbon Mini-Classic Rinser/Dryer Operations Manual
19.95  13d 17h
AVAL DATA AVME-324F/ TSA-240 Board, Free shipping
AVAL DATA AVME-324F/ TSA-240 Board, Free shipping
249.90 13d 4h
Leybold- Trivac D4A, Rotary Vacuum Pump, REFURBISHED
Leybold- Trivac D4A, Rotary Vacuum Pump, REFURBISHED
1,395.00  21d 21h
SMIF Cassette Station Box, 8 Inch
SMIF Cassette Station Box, 8 Inch
2,500.00 17d 17h
TEL (Tokyo Electron Ltd) FNC Power Unit - No Cover
TEL (Tokyo Electron Ltd) FNC Power Unit - No Cover
600.00  10d 20h
387-00006-000 / Probe, Concen, Solids, Analog, 0.05-50 / Celerity
387-00006-000 / Probe, Concen, Solids, Analog, 0.05-50 / Celerity
16,470.00  8d 15h
139-0301// Amat Applied 0190-34238-001 3155132-008 Navigator-3013 Advanced Asis
139-0301// Amat Applied 0190-34238-001 3155132-008 Navigator-3013 Advanced Asis
3,000.00 1d 4h
139-0501// Amat Applied 0190-41508-001 3155132-013 Navigator-3013 Advanced Asis
139-0501// Amat Applied 0190-41508-001 3155132-013 Navigator-3013 Advanced Asis
3,000.00 1d 4h
FOUR AXIS Motion Controller Board P9680-R Rev.A  4001-01 Rev.B, Free shipping
FOUR AXIS Motion Controller Board P9680-R Rev.A 4001-01 Rev.B, Free shipping
219.90 12d 4h
0010-02171 / Assy, Right Hand Pivot And Bearing, Hp+/ / Applied Materials Amat
0010-02171 / Assy, Right Hand Pivot And Bearing, Hp+/ / Applied Materials Amat
1,812.89  5d 13h
670056 / Universal Address Bd Schem Ref 7802d5050 Versa Controller / Amat
670056 / Universal Address Bd Schem Ref 7802d5050 Versa Controller / Amat
2,250.82  9d 13h
682899 / Universal Address Bd Schem Ref 682896 / Applied Materials Amat
682899 / Universal Address Bd Schem Ref 682896 / Applied Materials Amat
2,250.82  9d 14h
Q64ad / A/d Converter Module, 10vac, 0-20ma / Mitsubishi
Q64ad / A/d Converter Module, 10vac, 0-20ma / Mitsubishi
1,895.82  15d 15h
0100-00022 / W Interconnect Pwb / Applied Materials Amat
0100-00022 / W Interconnect Pwb / Applied Materials Amat
1,990.82  21d 14h
4022.646.32991 /  Blade Switch   Hp (hewlett-packard) / Asml
4022.646.32991 / Blade Switch Hp (hewlett-packard) / Asml
2,499.88  22d 0h
Nry3w9260 / Ice;second-g.v.-retrofit-kit / Edwards Vacuum
Nry3w9260 / Ice;second-g.v.-retrofit-kit / Edwards Vacuum
2,685.82  26d 15h
Opc-2603a / Pcb Opc-2603a / Daifuku
Opc-2603a / Pcb Opc-2603a / Daifuku
3,586.66  17d 12h
0040-00250 / Operator Cont Panel Assy / Applied Materials Amat
0040-00250 / Operator Cont Panel Assy / Applied Materials Amat
3,495.66  17d 14h
0040-08587 / Ring Dome Mounting / Applied Materials Amat
0040-08587 / Ring Dome Mounting / Applied Materials Amat
3,850.82  19d 11h
1507440 / Pcb Assy Amu Rmt / Axcelis Technologies
1507440 / Pcb Assy Amu Rmt / Axcelis Technologies
3,850.82  19d 14h
105398-01 / Base,heater, (w/ Water Loop) / Aviza Technology
105398-01 / Base,heater, (w/ Water Loop) / Aviza Technology
4,200.82  19d 15h
0246-11697-06a8s  /  Lcd Touch Screen  Includes Product Assist Cd  /   Amat
0246-11697-06a8s / Lcd Touch Screen Includes Product Assist Cd / Amat
2,495.66  19d 20h
120-22482-000 / Controller, Pump, Centrifugal, Lc325, 100-30003 / Celerity
120-22482-000 / Controller, Pump, Centrifugal, Lc325, 100-30003 / Celerity
3,200.82  21d 12h
Bb81-000943 / Arm Robot I/f Pcb / Tvl
Bb81-000943 / Arm Robot I/f Pcb / Tvl
3,250.82  24d 10h
70514000000 / Transceiver 422 Assy / Amat
70514000000 / Transceiver 422 Assy / Amat
2,560.97  1d 17h
7kstkps72 / Servo,ps,72vdc,115/220vac / Pri
7kstkps72 / Servo,ps,72vdc,115/220vac / Pri
1,700.98  16d 18h
0190-16633 / 2 Channel Magnet Driver Kollmorgen Mag02-25021-001 / Amat
0190-16633 / 2 Channel Magnet Driver Kollmorgen Mag02-25021-001 / Amat
2,571.85  6d 20h
3d05-350027-11 / Insulator Ring,fg8 / Tokyo Electron Tel
3d05-350027-11 / Insulator Ring,fg8 / Tokyo Electron Tel
3,476.77  25d 20h
5880-1166-0001 / Pcb Plate, Deflector, Upper / Eaton
5880-1166-0001 / Pcb Plate, Deflector, Upper / Eaton
1,780.68  3d 18h
90-2705 / Frame, Pwa, Junction, Main Frame / Novellus Systems Inc
90-2705 / Frame, Pwa, Junction, Main Frame / Novellus Systems Inc
3,200.68  9d 14h
3m80-001941-12 / Trias Mu Ti/tin T2 Module Unit Pm-3 / Tokyo Electron Tel
3m80-001941-12 / Trias Mu Ti/tin T2 Module Unit Pm-3 / Tokyo Electron Tel
1,600.99  17d 20h
3d10-201358-12 / Shutter, Base-dt / Tokyo Electron Tel
3d10-201358-12 / Shutter, Base-dt / Tokyo Electron Tel
2,750.00  18d 14h
02-296356-00 / Xpr, Assy,unload Blade,ceramic Mca / Lam Research Corporation
02-296356-00 / Xpr, Assy,unload Blade,ceramic Mca / Lam Research Corporation
1,600.00  21d 16h
0190-14224-001 / Main Frame Dnet/remote Dnet Control Box / Amat
0190-14224-001 / Main Frame Dnet/remote Dnet Control Box / Amat
2,205.77  22d 15h
F3sj-a0443p14 / Safety Light Curtain / Omron
F3sj-a0443p14 / Safety Light Curtain / Omron
2,000.99  3d 20h
D1 15-a-66 / Ring Bottom End / Lam Research Corporation
D1 15-a-66 / Ring Bottom End / Lam Research Corporation
3,500.99  9d 16h
2105-322957-51 / Quartz Fins, 79088 Heraeus / Tokyo Electron Tel
2105-322957-51 / Quartz Fins, 79088 Heraeus / Tokyo Electron Tel
2,800.00  18d 21h
Sr5084-000919-11/motor(vrgs-11b60-200),assy/tokyo Electron
Sr5084-000919-11/motor(vrgs-11b60-200),assy/tokyo Electron
2,500.26  19d 13h
E19288020 / Repair, Rot Vac Vac Feedthru Rh, Ferrofluidics / Varian
E19288020 / Repair, Rot Vac Vac Feedthru Rh, Ferrofluidics / Varian
2,500.82  22d 14h
70247-003221 / Laser Class I / Applied Materials Amat
70247-003221 / Laser Class I / Applied Materials Amat
4,200.03  7d 15h
4249-e922 / Power Supply Module 4ch 12v 1.5a Fujitsu / Canon
4249-e922 / Power Supply Module 4ch 12v 1.5a Fujitsu / Canon
1,580.82  12d 11h
Em31116 / Motor,supere 1hp 1750rpm 230-460v 2.9-1.45a / Baldor
Em31116 / Motor,supere 1hp 1750rpm 230-460v 2.9-1.45a / Baldor
1,750.82  13d 21h
0020-31163 / Ring, Focusing, Alum, 200mm, Prsp 3 / Applied Materials Amat
0020-31163 / Ring, Focusing, Alum, 200mm, Prsp 3 / Applied Materials Amat
2,540.82  14d 10h
30059-4a / Pcb Control Assy / Nikon
30059-4a / Pcb Control Assy / Nikon
1,585.82  23d 12h
C176a03 / Nq-101 Artnovo With 2789/c.02 Driver Sbim Mother Board / Eles
C176a03 / Nq-101 Artnovo With 2789/c.02 Driver Sbim Mother Board / Eles
2,200.82  23d 12h
4022.483.30982 / Cover Red Lockdown / Asml
4022.483.30982 / Cover Red Lockdown / Asml
2,200.82  26d 14h
Cepb-0014 / Power Supply Breaker Assy Dns 60a With Exchange / Dns Dai Nippon
Cepb-0014 / Power Supply Breaker Assy Dns 60a With Exchange / Dns Dai Nippon
2,500.82  28d 11h
0136440-000 / Tstd, Pcb Assy, Flat Finder Pwr Drvr / Kla Tencor
0136440-000 / Tstd, Pcb Assy, Flat Finder Pwr Drvr / Kla Tencor
2,090.50  14h 53m
115909 / Magniflow Motor, Pump, Centrifugal Bsm-4.2-30 / Celerity
115909 / Magniflow Motor, Pump, Centrifugal Bsm-4.2-30 / Celerity
3,500.82  19d 11h
3d10-200897-11 / Shield Depo Y0 Recoat / Tokyo Electron Tel
3d10-200897-11 / Shield Depo Y0 Recoat / Tokyo Electron Tel
3,500.82  21d 11h
4s007-953-a / Relay Board Pcb Wl3mot5 / Nikon
4s007-953-a / Relay Board Pcb Wl3mot5 / Nikon
3,500.82  24d 12h
080680-031  /  Ram Memory Module, Pcs Cm4501  /  Varian
080680-031 / Ram Memory Module, Pcs Cm4501 / Varian
1,690.82  2d 21h
Ds2110-130756-11 / Cap Sus316 / Tokyo Elctron
Ds2110-130756-11 / Cap Sus316 / Tokyo Elctron
2,500.82  16d 20h
5012-000062-11, Amc-d Amc-d-x1 / Pcb With Exchange / Tel
5012-000062-11, Amc-d Amc-d-x1 / Pcb With Exchange / Tel
2,200.98  16d 19h
0021-09506 Rev.p2 / Mounting Ring / Applied Materials
0021-09506 Rev.p2 / Mounting Ring / Applied Materials
1,602.66  19h 1m
3m80-001272-12 / Trias Mu Ti/tin T2 Module Unit Pm-2 / Tokyo Electron Tel
3m80-001272-12 / Trias Mu Ti/tin T2 Module Unit Pm-2 / Tokyo Electron Tel
1,600.00  16d 20h
02-275643-00 / Hdsioc E84 Awc Rev-afirmware Version 4.72 / Novellus Systems Inc
02-275643-00 / Hdsioc E84 Awc Rev-afirmware Version 4.72 / Novellus Systems Inc
1,649.00  17d 16h
Es1d05-300190-12 / Ring Insulator A7 La4 Or 1d05-300190-12 / Tokyo Electron Tel
Es1d05-300190-12 / Ring Insulator A7 La4 Or 1d05-300190-12 / Tokyo Electron Tel
1,833.16  6d 19h
0010-b8740 / Clt Air Fan Pack Controller Unit / Applied Materials Amat
0010-b8740 / Clt Air Fan Pack Controller Unit / Applied Materials Amat
3,200.82  22d 21h
716-069709-053 / Flex E 2300 Ceramic Chamber Ring And Quartz / Lam Research Cor
716-069709-053 / Flex E 2300 Ceramic Chamber Ring And Quartz / Lam Research Cor
2,700.68  25d 15h
810-65060 / Lower Shield / Lam Research Corporation
810-65060 / Lower Shield / Lam Research Corporation
3,000.99  19h 16m
344-0203// Amat Applied 0040-76368 Manifold Short
344-0203// Amat Applied 0040-76368 Manifold Short
600.00 22d 3h
2513285-21 / 2513285-01, Pwba Display Selector / Asm America Inc
2513285-21 / 2513285-01, Pwba Display Selector / Asm America Inc
250.99  24d 19h
Gy24010gn / Power Supply 200-240v / Shindengen
Gy24010gn / Power Supply 200-240v / Shindengen
225.03  4d 18h
Ps466-01a / Stepping Motor 4-phase 6.0v 1.2a 1.8deg Step / Mycom
Ps466-01a / Stepping Motor 4-phase 6.0v 1.2a 1.8deg Step / Mycom
275.68  6d 0h
Aldpfom-t1 / Digital Input Module / Algo
Aldpfom-t1 / Digital Input Module / Algo
240.68  15d 20h
Mqma042c1c / Ac Servo Motor With Gearhead / Panasonic
Mqma042c1c / Ac Servo Motor With Gearhead / Panasonic
750.03  25d 15h
EATON NOVELLUS 372-45020-1 FLOATING DRIVE 200mm
EATON NOVELLUS 372-45020-1 FLOATING DRIVE 200mm
189.99  8d 15h
Applied Materials AMAT 0020-20499 Window, Protective
Applied Materials AMAT 0020-20499 Window, Protective
150.00  17d 18h
Fujikin 316L-P Diaphragm Valve AQ2GF000 422648 Swagelok 6LVV-P 1/4" VCR, 452081
Fujikin 316L-P Diaphragm Valve AQ2GF000 422648 Swagelok 6LVV-P 1/4" VCR, 452081
195.00 6d 20h
Furon V2-F812-NC Valve, 1114029, RS1186
Furon V2-F812-NC Valve, 1114029, RS1186
175.00 20d 19h
AMAT 3700-02329 Chemraz 520 O-Ring .299 CSD .103 CZ 90 Duro White seal, 413194
AMAT 3700-02329 Chemraz 520 O-Ring .299 CSD .103 CZ 90 Duro White seal, 413194
40.00 12d 20h
10 Parker 3/8 HHP-SS, 01HP-6C, Hollow Hex Plug Adapters. 416506
10 Parker 3/8 HHP-SS, 01HP-6C, Hollow Hex Plug Adapters. 416506
50.00 2d 21h
B & G Enterprises 01-100-01  Multi Controller 0110001
B & G Enterprises 01-100-01  Multi Controller 0110001
3,500.00  7d 17h
Applied Materials Setra Millipore Press XDCR,TR3000020325 1350-01317 1350-00054
Applied Materials Setra Millipore Press XDCR,TR3000020325 1350-01317 1350-00054
1,375.00  8d 14h
0100-20003, Applied Material DIO PCB
0100-20003, Applied Material DIO PCB
995.00  19d 19h
Origa Sweden Sensor cable 970590300180 Three sensors to one connector
Origa Sweden Sensor cable 970590300180 Three sensors to one connector
25.99 20d 14h
ASML 4022.436.8112,4022.436.8113 D0037166 SE,,USA6691
ASML 4022.436.8112,4022.436.8113 D0037166 SE,,USA6691
159.00  28d 1h
ASML 4022.436.8158,D0037225 SE,,USA6692
ASML 4022.436.8158,D0037225 SE,,USA6692
179.00  28d 1h
Horiba Advanced Techno HE-960H-TM-S 24V DC 10W TMAH Meter Concentration Monitor
Top-Rated Plus Seller Horiba Advanced Techno HE-960H-TM-S 24V DC 10W TMAH Meter Concentration Monitor
250.00 5d 17h
Amat 0090-02527 0100-01577 Chamber Distribution Pcb Board Card As00363-03
Amat 0090-02527 0100-01577 Chamber Distribution Pcb Board Card As00363-03
3,499.00  29d 10h
Amat 0040-51942 Cover, Central Dist. Gas Feed, 300mm Dpn ,
Amat 0040-51942 Cover, Central Dist. Gas Feed, 300mm Dpn ,
350.00  24d 3h
Shinko Electric 3ASSYC805500 Processor Board PCB M165A VHT5-1-1  Working
Shinko Electric 3ASSYC805500 Processor Board PCB M165A VHT5-1-1  Working
1,609.18  17d 17h
Tylan MFC FC-2950, HCL 500Sccm, Refurbished with Certifications
Tylan MFC FC-2950, HCL 500Sccm, Refurbished with Certifications
300.00 23d 12h
NOS Festo Valve MVH-5-1/8B-VI 114900
NOS Festo Valve MVH-5-1/8B-VI 114900
170.00 7d 12h
653b-2-50-2 /     Throttle Ctrl Vlv 653b-2-50-2 / Gasonic / Mks
653b-2-50-2 / Throttle Ctrl Vlv 653b-2-50-2 / Gasonic / Mks
500.98  24d 15h
03-80333-01 / End Station Cpu Pcb 90s / Svg
03-80333-01 / End Station Cpu Pcb 90s / Svg
600.98  1d 18h
0020-30418 / Ring, Top, 8 , Ext Cath, Dc, Poly / Applied Materials Amat
0020-30418 / Ring, Top, 8 , Ext Cath, Dc, Poly / Applied Materials Amat
650.99  9d 19h
0020-18280 / Shaft, Driven Thv Hdp-cvd / Applied Materials Amat
0020-18280 / Shaft, Driven Thv Hdp-cvd / Applied Materials Amat
574.43  8d 18h
Nikon 4S008-117-A Sensor Interface Board PCB ALGAF-S/A-X4+ NSR System
Nikon 4S008-117-A Sensor Interface Board PCB ALGAF-S/A-X4+ NSR System
2,010.18  23d 18h
Nikon 4S008-116-A Sensor Interface Board PCB ALGAF-S/D-X4+ NSR System
Nikon 4S008-116-A Sensor Interface Board PCB ALGAF-S/D-X4+ NSR System
2,010.18  23d 18h
Ckd Gamd402-x0194-03 Pneumatic Actuated Chemical Valves 0-0.3 Mpa
Ckd Gamd402-x0194-03 Pneumatic Actuated Chemical Valves 0-0.3 Mpa
374.99  22d 14h
2-vc-41047 / Dns Relay Assy / Dns
2-vc-41047 / Dns Relay Assy / Dns
1,000.00  8d 18h
715-065876-001 / Flange From Lam 2300 Flex Ex+ / Lam Research Corporation
715-065876-001 / Flange From Lam 2300 Flex Ex+ / Lam Research Corporation
1,203.26  28d 0h
Swagelock, SS-8C-VCR-10
Swagelock, SS-8C-VCR-10
30.00 16d 20h
Square D Contactor DLS31.22CU DLS31 8502 24 Volt
Square D Contactor DLS31.22CU DLS31 8502 24 Volt
95.99 22d 16h
15-029544-00 / Chmbr,spd-s / Novellus Systems Inc
15-029544-00 / Chmbr,spd-s / Novellus Systems Inc
9,950.82  28d 13h
Fta 200 / Multi-fluid Programmable Analyzer / First Ten Anqstroms
Fta 200 / Multi-fluid Programmable Analyzer / First Ten Anqstroms
10,000.00  26d 20h
0290-09018 / Heat Exchanger Configure / Applied Materials Amat
0290-09018 / Heat Exchanger Configure / Applied Materials Amat
13,050.00  9d 16h
0190-76129 / Wemc Comp Heater Pump1200w 50/60hz Water Cooled / Applied Materials
0190-76129 / Wemc Comp Heater Pump1200w 50/60hz Water Cooled / Applied Materials
6,871.00  9d 1h
110003 Rev E / Hvps Controller High Voltage Power Supply / Eaton
110003 Rev E / Hvps Controller High Voltage Power Supply / Eaton
8,550.00  12d 16h
685-017705-605 / Lrc027/remote Ac. Control Box / Peninsula Control Panels
685-017705-605 / Lrc027/remote Ac. Control Box / Peninsula Control Panels
6,800.03  24d 20h
130-0303// AMAT APPLIED 0100-09146 wPCBA CENTERFINDER 5,6,8
130-0303// AMAT APPLIED 0100-09146 wPCBA CENTERFINDER 5,6,8
400.00 21d 2h
Smc Crbuw30 180se Rotary Actuator Fast Shipping!~warranty~
Smc Crbuw30 180se Rotary Actuator Fast Shipping!~warranty~
60.00  24d 13h
VAT 213416, Bellows Feed through Compl. 452096
VAT 213416, Bellows Feed through Compl. 452096
495.00 7d 17h
017-0710-01 Cable Assy, 451652
017-0710-01 Cable Assy, 451652
150.00 19d 15h
Celerity LR250 LR250-4-1-1-24 Display Controller
Celerity LR250 LR250-4-1-1-24 Display Controller
850.00  20d 15h
Tokyo Electron Pcb Ttld10-12 F-di_32 3880-200112-11
Tokyo Electron Pcb Ttld10-12 F-di_32 3880-200112-11
749.00  16d 21h
Applied Materials Swagelok SS-4BY-1C-6226, 3870-01738
Applied Materials Swagelok SS-4BY-1C-6226, 3870-01738
795.00  25d 8h
(1) ESI Controller Board CKT ASSY 24953
Top-Rated Plus Seller (1) ESI Controller Board CKT ASSY 24953
9.95 12d 0h
otherm DeviceNet Mini8  /  Free Expedited Shipping
otherm DeviceNet Mini8 / Free Expedited Shipping
299.00 26d 2h
Mcd9116anmeh11 / Test Resist Filter .02um / Pall
Mcd9116anmeh11 / Test Resist Filter .02um / Pall
480.68  1d 0h
0020-22362 / 6 Lifter Tc / Applied Materials Amat
0020-22362 / 6 Lifter Tc / Applied Materials Amat
490.31  15d 17h
E15001400 / Air Pressure Sensor / Varian
E15001400 / Air Pressure Sensor / Varian
320.68  23h 29m
Orbotech Optrotech EP 306 11049 Controller Board
Orbotech Optrotech EP 306 11049 Controller Board
333.00  3d 7h
OC Oerlikon 102080772 CHUCK CONTR. 1xgas without RF 4500520417H004
OC Oerlikon 102080772 CHUCK CONTR. 1xgas without RF 4500520417H004
2,000.00  8d 6h
WJ Manufacturing 8" Nitrogen Shield P/N: 918032-001
WJ Manufacturing 8" Nitrogen Shield P/N: 918032-001
500.00  19d 16h
Rudolf A18079-C A/D Converter Analog Digital Board PCB Card  Working
Rudolf A18079-C A/D Converter Analog Digital Board PCB Card  Working
806.14  23d 12h
EDC Exclusive Design Co. 100 Disk Cleaning System
EDC Exclusive Design Co. 100 Disk Cleaning System
1,799.99  6d 18h
347-0402// Amat Applied 0020-34131 Base,rf
347-0402// Amat Applied 0020-34131 Base,rf
200.00 14d 10h
Donaldson LITHOGUARD particulate chemical filtration P 198475
Donaldson LITHOGUARD particulate chemical filtration P 198475
500.00  26d 18h
GEMS Stainless Steel Float Sensor 217317  AMAT Applied Materials
GEMS Stainless Steel Float Sensor 217317  AMAT Applied Materials
320.99 2d 12h
Tel Tokyo  U1600B10 Backboard LED with Cables
Tel Tokyo U1600B10 Backboard LED with Cables
25.85 18d 20h
FutureStar FX623-12F-12F-95   FSI
FutureStar FX623-12F-12F-95  FSI
199.00  21d 21h
ASM 2841436-01 Reactor Touchscreen
ASM 2841436-01 Reactor Touchscreen
3,007.18  25d 1h
Nikon 4S013-382 Backplane Board PCB STGPWTX4A with 4S005-346-1 NSR System
Nikon 4S013-382 Backplane Board PCB STGPWTX4A with 4S005-346-1 NSR System
1,207.18  7d 15h
MKS Sensor Integration Platform AS00213-01 100-240VAC 0.5Amax 50-60Hz
MKS Sensor Integration Platform AS00213-01 100-240VAC 0.5Amax 50-60Hz
599.00 23d 5h
1501620 / Pcb, Data Transceiver    / Eaton
1501620 / Pcb, Data Transceiver / Eaton
696.00  23h 23m
0020-26973/8 Ti Shutter Disk/applied Materials Amat
0020-26973/8 Ti Shutter Disk/applied Materials Amat
450.82  1d 14h
2-a14626-01 /    Thermocouple Au / Hitachi
2-a14626-01 / Thermocouple Au / Hitachi
300.00  6d 0h
149492 / Temperature Panel Meter 5w 24v Model / Virtronics
149492 / Temperature Panel Meter 5w 24v Model / Virtronics
450.26  19d 23h
E17379810 / Varian Plate. Mounting, Spect. /  Varian
E17379810 / Varian Plate. Mounting, Spect. / Varian
450.82  3d 18h
99j0640 / 5 Valve Manifold / Mks
99j0640 / 5 Valve Manifold / Mks
292.99  7d 16h
70010431 / Turbo Safety I/o / Pri
70010431 / Turbo Safety I/o / Pri
300.00  16d 20h
Ms-10s / 10" Super Vga Color Monitor / Ltd Materials Llc
Ms-10s / 10" Super Vga Color Monitor / Ltd Materials Llc
500.00  20d 20h
Pb02807 / Pcb Z&r Axis Amp Pcb Eps 9784570442 Bm06241 / Brooks
Pb02807 / Pcb Z&r Axis Amp Pcb Eps 9784570442 Bm06241 / Brooks
423.00  4d 16h
9699-0224 / 9699-0224,htr,#4,pipe 1 / Mks
9699-0224 / 9699-0224,htr,#4,pipe 1 / Mks
395.40  12d 15h
0100-09117 / Dio Fuse Bd. Rev. B / Amat
0100-09117 / Dio Fuse Bd. Rev. B / Amat
389.85  15d 14h
3161670 / Btu Interface Processor Board / Btu Engineering
3161670 / Btu Interface Processor Board / Btu Engineering
350.00  16d 21h
2506416-21 / Asm Power Supply Pcb Card / Asm America Inc
2506416-21 / Asm Power Supply Pcb Card / Asm America Inc
352.85  26d 18h
Crb 1bw50-270s / Actuator Rotary / Smc
Crb 1bw50-270s / Actuator Rotary / Smc
300.00  19d 18h
Idlah1800 / Motherboard / Recif Inc
Idlah1800 / Motherboard / Recif Inc
346.55  21d 15h
Bm05752r/a / Pri Pcb Sensor Encoder Board / Pri
Bm05752r/a / Pri Pcb Sensor Encoder Board / Pri
489.99  24d 16h
Bm06465re / Pcb: Ram Ii (old# Fm7-181) / Pri
Bm06465re / Pcb: Ram Ii (old# Fm7-181) / Pri
450.66  24d 17h
0020-48305 / Cover Ring Ti With Cleancoat 300mm Esip Ta / Applied Materials
0020-48305 / Cover Ring Ti With Cleancoat 300mm Esip Ta / Applied Materials
525.99  29d 13h
121-141 / Transducer,flow Velocity / Svg
121-141 / Transducer,flow Velocity / Svg
549.15  13d 19h
3200394 / Valve - Iso (no Sensor) / Eaton
3200394 / Valve - Iso (no Sensor) / Eaton
289.80  14d 18h
Bgd-022462 / Sd-board / Advantest
Bgd-022462 / Sd-board / Advantest
300.62  8d 1h
9100525 Diamond Wheel / Disco 9100525 Disco Rs-01-3-40/60-na-c
9100525 Diamond Wheel / Disco 9100525 Disco Rs-01-3-40/60-na-c
502.66  28d 16h
05-5142-01 / Heat Band 8" / Novellus
05-5142-01 / Heat Band 8" / Novellus
485.82  10d 16h
0555200 / Vitronics Relay Adapt Pcb / Vitronics
0555200 / Vitronics Relay Adapt Pcb / Vitronics
675.68  23d 22h
0021-15693 / Plate,blank-off,300mm Chamber / Applied Materials Amat
0021-15693 / Plate,blank-off,300mm Chamber / Applied Materials Amat
708.50  29d 16h
Model B-100y / 184355-012 34-0011 Lamp-assy Bright / Thermco
Model B-100y / 184355-012 34-0011 Lamp-assy Bright / Thermco
651.00  4d 21h
879-0262-002 / Pcb Shutter Interlock Assy / Asml
879-0262-002 / Pcb Shutter Interlock Assy / Asml
599.55  6d 14h
0021-22586 / Shutter Disk, 8 B101 / Applied Materials Amat
0021-22586 / Shutter Disk, 8 B101 / Applied Materials Amat
470.56  11d 22h
Bb81-000943-11 / Pcb R Robot-arm I/f / Tvl
Bb81-000943-11 / Pcb R Robot-arm I/f / Tvl
330.00  20d 20h
Fds15-50 / Power Supply T5365p 15v50a / Advantest
Fds15-50 / Power Supply T5365p 15v50a / Advantest
302.50  25d 12h
0020-22413 / Lower Cover, Slit Valve Bellows / Applied Materials Amat
0020-22413 / Lower Cover, Slit Valve Bellows / Applied Materials Amat
288.41  26d 17h
0021-37053a / Bracket / Applied Materials Amat
0021-37053a / Bracket / Applied Materials Amat
285.82  26d 18h
Amdz1-6bus-2 / Valve-air Ckd /  Ckd Corporation
Amdz1-6bus-2 / Valve-air Ckd / Ckd Corporation
284.41  26d 19h
Fs810.1 / Controller / Em Industries
Fs810.1 / Controller / Em Industries
504.35  12d 16h
81710-04225-010 / Pcb Pneumatic Control / Oerlikon
81710-04225-010 / Pcb Pneumatic Control / Oerlikon
330.62  24d 20h
Nry0wp544 /cvd, Edt, Wdp:pcws Pmp Rack Manifold / Uppro Ltd
Nry0wp544 /cvd, Edt, Wdp:pcws Pmp Rack Manifold / Uppro Ltd
390.00  2d 23h
0992-60148 / Baffle, Quartz / Axcelis Technologies
0992-60148 / Baffle, Quartz / Axcelis Technologies
417.03  14d 16h
Ap-1052b / Indexer Pcb Assy / Dns Dai Nippon
Ap-1052b / Indexer Pcb Assy / Dns Dai Nippon
475.98  16h 26m
4v1-p4k-12ac-ssv-pe / P-series High Purity Bellos Valve / Parker
4v1-p4k-12ac-ssv-pe / P-series High Purity Bellos Valve / Parker
350.00  13d 18h
0020-50009 / Shipping Cover Plate Blue 300mm / Applied Materials Amat
0020-50009 / Shipping Cover Plate Blue 300mm / Applied Materials Amat
355.99  6d 15h
99-80304-02 /    Receiver-handler Interface Pcb / Svg
99-80304-02 / Receiver-handler Interface Pcb / Svg
329.40  4d 18h
W25001 / Controller-pump Polyimide Photo / Miilipore
W25001 / Controller-pump Polyimide Photo / Miilipore
300.00  4d 18h
0010-03270 / Hose Assy, 1/2 Lower Basrering/exh Tp Sp / Amat
0010-03270 / Hose Assy, 1/2 Lower Basrering/exh Tp Sp / Amat
527.12  4d 20h
1201550 / Lin Brg Mod / Axcelis Technologies
1201550 / Lin Brg Mod / Axcelis Technologies
464.68  19d 14h
2506513-21 / Asm Processor Pcb Card / Asm America Inc
2506513-21 / Asm Processor Pcb Card / Asm America Inc
422.85  26d 18h
Pb39882 / Brake Override Pcb, K39882 / Pri
Pb39882 / Brake Override Pcb, K39882 / Pri
455.82  1d 16h
0021-23056 / Outer Cover, 200mm Profiler / Amat
0021-23056 / Outer Cover, 200mm Profiler / Amat
651.00  4d 15h
5010200 / Opto 22 Pcb Assy Brain Pamux / Opto 22
5010200 / Opto 22 Pcb Assy Brain Pamux / Opto 22
395.82  10d 15h
0020-92430 / Plate,driver Mntg Adaptor,ultima Hdpcvd / Amat
0020-92430 / Plate,driver Mntg Adaptor,ultima Hdpcvd / Amat
395.82  11d 14h
2506459-21 / Pcb, Basic Dpc / Asm America Inc
2506459-21 / Pcb, Basic Dpc / Asm America Inc
395.99  12d 15h
Msma041a43 / Ac Servo Motor W/ Gearhead Vrkf-9c-400 / Panasonic
Msma041a43 / Ac Servo Motor W/ Gearhead Vrkf-9c-400 / Panasonic
619.00  13d 16h
03-00123-04 / Cable Assy,ca17 / Novellus Systems Inc
03-00123-04 / Cable Assy,ca17 / Novellus Systems Inc
289.77  13d 21h
Bm70052 / Pri Pcb, Ram I, Version 2 / Pri
Bm70052 / Pri Pcb, Ram I, Version 2 / Pri
550.99  24d 16h
Bm70030rb / Pcb, Cpu, 85 Pr, Kx00002, 64k / Pri
Bm70030rb / Pcb, Cpu, 85 Pr, Kx00002, 64k / Pri
375.99  24d 17h
1350-00141 / Xdcr Press Abs 50torr 1/2fvcr +/-15vdc 1 / Amat
1350-00141 / Xdcr Press Abs 50torr 1/2fvcr +/-15vdc 1 / Amat
476.00  1d 15h
951837 / Base M10 5 Slot / Novellus Systems Inc
951837 / Base M10 5 Slot / Novellus Systems Inc
364.65  15d 15h
0100-a3571 / Swift Image Processing Assy Board / Applied Materials Amat
0100-a3571 / Swift Image Processing Assy Board / Applied Materials Amat
365.68  19d 23h
Bgo-022241x06 / Advantest Pcb / Advantest
Bgo-022241x06 / Advantest Pcb / Advantest
480.62  8d 21h
0100-90650 / Pwba, Wheel Current /  Applied Materials Amat
0100-90650 / Pwba, Wheel Current / Applied Materials Amat
513.99  24d 14h
00012 / Rev B Pcb Board / Pri
00012 / Rev B Pcb Board / Pri
685.82  27d 13h
011039050 / Ldt Position Sensing System Electronic Box / Mts
011039050 / Ldt Position Sensing System Electronic Box / Mts
385.68  28d 20h
3300-92089 / Feedthrough / Applied Materials Amat
3300-92089 / Feedthrough / Applied Materials Amat
687.77  4d 13h
3D10-200153-15 / INSULATOR HOLDER Ring 300mm Cleaned / TOKYO ELETRON TEL
3D10-200153-15 / INSULATOR HOLDER Ring 300mm Cleaned / TOKYO ELETRON TEL
500.00  11d 13h
0220-22964 / Kit 8'' 101 G12 / Applied Materials Amat
0220-22964 / Kit 8'' 101 G12 / Applied Materials Amat
378.00  18d 11h
0020-22852 / Shield, Preclean 8 / Applied Materials Amat
0020-22852 / Shield, Preclean 8 / Applied Materials Amat
350.03  19d 14h
0100-09145 / Pcb, Rs232 Video Interconnect Brd / Applied Materials Amat
0100-09145 / Pcb, Rs232 Video Interconnect Brd / Applied Materials Amat
295.82  26d 14h
Sppac014 / Encoder-motor Finger Z / Bei Ideacod
Sppac014 / Encoder-motor Finger Z / Bei Ideacod
282.00  26d 22h
Kuhnke D56ror-n-ds9420 Unmp
Kuhnke D56ror-n-ds9420 Unmp
291.00  19d 13h
TEL (Tokyo Electron Ltd) FNC Power Unit
TEL (Tokyo Electron Ltd) FNC Power Unit
700.00  10d 20h
1-a18283-^a / Xt Ge Removal Fixture / Tsm Hitachi
1-a18283-^a / Xt Ge Removal Fixture / Tsm Hitachi
800.00  21d 15h
715-000049 / Lam Upper Electrode Seal 200mm / Lam Research Corporation
715-000049 / Lam Upper Electrode Seal 200mm / Lam Research Corporation
909.99  18d 20h
Air Products Gasguard 287-606310 Analog/Switch Input Interface Box
Air Products Gasguard 287-606310 Analog/Switch Input Interface Box
29.99  25d 13h
Mks 103170027sh 317 Convection Enhanced Pirani Sensor Kf25 Nw25
Mks 103170027sh 317 Convection Enhanced Pirani Sensor Kf25 Nw25
167.99  25d 15h
017-0710-01 Cable Assy, 451651
017-0710-01 Cable Assy, 451651
150.00 19d 15h
AMAT 0140-01001 Harness Turbo Purge, 451715
AMAT 0140-01001 Harness Turbo Purge, 451715
150.00 24d 15h
50422100000 /sgs Ps Assy / Applied Materials
50422100000 /sgs Ps Assy / Applied Materials
1,000.97  22d 18h
326-0302// Amat Applied 0040-00456 Cable Fiber Optic 20 Ft
326-0302// Amat Applied 0040-00456 Cable Fiber Optic 20 Ft
480.00 23d 5h
SCI Solid Controls 428-4001 Firing Controller PCB Card 428-399 8024-0137
SCI Solid Controls 428-4001 Firing Controller PCB Card 428-399 8024-0137
806.18  2d 18h
Kla 710-806061-01
Kla 710-806061-01
1,750.00  10d 19h
ASM 232720100.11 REV. B 632720100.00 REV. C / Free Expedited Shipping
ASM 232720100.11 REV. B 632720100.00 REV. C / Free Expedited Shipping
289.00 16d 23h
Lower Electrode Assembly, Focus LAM P/N 853-090133-006
Lower Electrode Assembly, Focus LAM P/N 853-090133-006
2,375.00  12d 1h
(12) Quartz Stepped Pins ASM 16-187152B01 NOS
(12) Quartz Stepped Pins ASM 16-187152B01 NOS
125.00 7d 18h
TEL 381-639175-2 Exhaust Alarm Board
TEL 381-639175-2 Exhaust Alarm Board
259.99  17h 33m
TEL 381-639052-4 M.A. Unit Connection Board C
TEL 381-639052-4 M.A. Unit Connection Board C
224.99  1d 15h
TEL 381-639332-4 Map Terminal Board
TEL 381-639332-4 Map Terminal Board
249.99  2d 12h
Hivertec,inc Motion Controller Board HCPCI-MNT720M, Free shipping
Hivertec,inc Motion Controller Board HCPCI-MNT720M, Free shipping
419.90 3d 3h
Alcatel 27778 Control and Sensor Assembly  Working
Alcatel 27778 Control and Sensor Assembly  Working
1,000.00  24d 19h
Mr-j3-20b-pe053 / Servo Driver 200w Input 1.5 A Output 170v 0-360h / Mitsubishi
Mr-j3-20b-pe053 / Servo Driver 200w Input 1.5 A Output 170v 0-360h / Mitsubishi
1,000.00  1d 13h
3b24-000068-11 / Ffu, Assy,prb Ckc155-a001 / Kondoh Industries Limited
3b24-000068-11 / Ffu, Assy,prb Ckc155-a001 / Kondoh Industries Limited
901.26  6d 21h
CKD AGD01V-X0001 Valve, Type N.C., 451635
CKD AGD01V-X0001 Valve, Type N.C., 451635
110.00 16d 18h
Dayton 2E462A SPDT Cooling/Heating Appliance Switch, 451661
Dayton 2E462A SPDT Cooling/Heating Appliance Switch, 451661
30.00 19d 17h
Swagelok SS-44S6, Ball Valve, 452154
Swagelok SS-44S6, Ball Valve, 452154
50.00 12d 19h
Swagelok SS-45S8, Ball Valve, 452166
Swagelok SS-45S8, Ball Valve, 452166
65.00 14d 15h
Me-35a / Ac Control Welder / Miyachi
Me-35a / Ac Control Welder / Miyachi
1,623.60  4d 15h
PME 68-41 25844-100 Controller board with 14 day warranty
PME 68-41 25844-100 Controller board with 14 day warranty
1,900.00 29d 18h
0020-36751 / Bracket, Support Plate, Lift Pin / Applied Materials Amat
0020-36751 / Bracket, Support Plate, Lift Pin / Applied Materials Amat
641.57  9d 13h
Applied Materials 0020-42082 Pumping Ring 8" 200mm Fc, Wxz Amat Recycled
Applied Materials 0020-42082 Pumping Ring 8" 200mm Fc, Wxz Amat Recycled
549.00  26d 17h
APPLIED MATERIALS 0040-61258 REV 005 PLATE PUMPING 300MM AMAT * with CERT*
APPLIED MATERIALS 0040-61258 REV 005 PLATE PUMPING 300MM AMAT * with CERT*
1,199.00  19h 23m
Applied Materials FAB. 0110-09244 Rev. 1
Applied Materials FAB. 0110-09244 Rev. 1
99.99  8d 13h
Applied Materials 0200-13925
Applied Materials 0200-13925
450.00  17d 13h
Nemic Lambda HR-11F-5 Power Supply, 5V, 20A, 452249
Nemic Lambda HR-11F-5 Power Supply, 5V, 20A, 452249
150.00 20d 19h
National Instruments 182845C-01 Cable, 10 MOD to 9 DSUB, 452353
National Instruments 182845C-01 Cable, 10 MOD to 9 DSUB, 452353
150.00 28d 18h
Hitachi High Technologies 1-A04827-01 Head Piece  Surplus
Hitachi High Technologies 1-A04827-01 Head Piece  Surplus
906.15  24d 19h
8100 Elastomeric Seal 500 PSI  (3090)
Top-Rated Plus Seller 8100 Elastomeric Seal 500 PSI (3090)
57.00 22d 15h
4394  Motorola MVME 211 (P/N:W3295B01) VME Module
4394 Motorola MVME 211 (P/N:W3295B01) VME Module
600.00  28d 15h
BECKHOFF Lof of 10 KL9010 / Free Expedited Shipping
BECKHOFF Lof of 10 KL9010 / Free Expedited Shipping
269.00 29d 2h
Axcelis Post Accel Aperture - Graphite VIG Version 17122940 REV A (99489V)
Axcelis Post Accel Aperture - Graphite VIG Version 17122940 REV A (99489V)
240.00  7d 7h
Axcelis Technologies, 8 PORT SERIAL CARD, ASSY 544622
Axcelis Technologies, 8 PORT SERIAL CARD, ASSY 544622
200.00  27d 18h
Cammax Precema PPS60 Pulse Heat Controller
Cammax Precema PPS60 Pulse Heat Controller
3,500.00  18d 19h
Ultratech Stepper 05-15-04682 Rev. C Cable Assembly
Top-Rated Plus Seller Ultratech Stepper 05-15-04682 Rev. C Cable Assembly
21.99  13h 45m
SUNX EX-23 Sensor Thru-beam, Side Sens, 452630
SUNX EX-23 Sensor Thru-beam, Side Sens, 452630
12.00 9d 18h
Allen-Bradley 140-MN-0250 Manual Motor Starter, Circuit Breaker, 452716
Allen-Bradley 140-MN-0250 Manual Motor Starter, Circuit Breaker, 452716
15.00 6d 15h
Stainless Flange, Model: 586-616, 2286, 6-3/4'' W x 2'' H
Top-Rated Plus Seller Stainless Flange, Model: 586-616, 2286, 6-3/4'' W x 2'' H
38.99  13h 37m
4s599-453 / Power Supply Msc-710-2 / Tdk
4s599-453 / Power Supply Msc-710-2 / Tdk
765.98  27d 17h
C6t17fk51b / Micropump, Assembly W/ Motor,5123712/52600452272,114140.00 / Leeson
C6t17fk51b / Micropump, Assembly W/ Motor,5123712/52600452272,114140.00 / Leeson
1,185.30  3d 16h
SUSS MicroTec Probehead
SUSS MicroTec Probehead
250.00  20d 11h
 MKS HPS Products AMAT 161-0040K Roughing Valve FREE SHIPPING
 MKS HPS Products AMAT 161-0040K Roughing Valve FREE SHIPPING
305.99  28d 12h
4s018-727 / Im-com Pcb Rev A / Nikon
4s018-727 / Im-com Pcb Rev A / Nikon
6,500.82  16d 15h
6147800     /  Ems Powerr Supply  /  Varian
6147800 / Ems Powerr Supply / Varian
7,550.82  27d 23h
E15004060  /  Digital Io Relay Interface  /  Varian
E15004060 / Digital Io Relay Interface / Varian
5,500.82  4d 23h
5132-05026-d /chuck Diecast Das-2000 / Daejin Semitech
5132-05026-d /chuck Diecast Das-2000 / Daejin Semitech
6,200.97  29d 16h
0010-38023 / Assy, Ti View Lid / Applied Materials Amat
0010-38023 / Assy, Ti View Lid / Applied Materials Amat
12,694.10  4d 17h
Mwd-55ld-01, 3d80-000076-v4  / Automatch,13.56 Mhz, 100-220v 50-60hz / Tel
Mwd-55ld-01, 3d80-000076-v4 / Automatch,13.56 Mhz, 100-220v 50-60hz / Tel
5,900.00  16d 20h
219790 /    Ps15 Converter Series 900 Converter Unit / Farnell
219790 / Ps15 Converter Series 900 Converter Unit / Farnell
7,000.82  22d 13h
00070-2000-000-01 / Mega_f Motion Systems Tz Control Unit / Applied Materials
00070-2000-000-01 / Mega_f Motion Systems Tz Control Unit / Applied Materials
4,976.66  23d 13h
0010-75508 / Assy, Basic Single Slot Cooldown, Non-en / Applied Materials
0010-75508 / Assy, Basic Single Slot Cooldown, Non-en / Applied Materials
5,000.82  7d 16h
0010-19109 / Assy, Magnet , Includes 0020-01999 / Applied Materials Amat
0010-19109 / Assy, Magnet , Includes 0020-01999 / Applied Materials Amat
9,500.82  14d 21h
120871000 / Hsg Assy,outer / Lam Research Corporation
120871000 / Hsg Assy,outer / Lam Research Corporation
9,900.00  11d 18h
0242-09589 / Kit, Mxp+/emxp+ Swap,clamp Lid, 5200 / Applied Materials Amat
0242-09589 / Kit, Mxp+/emxp+ Swap,clamp Lid, 5200 / Applied Materials Amat
5,850.00  15d 14h
0215884-002 / Scd-xtr Light Source / Kla Tencor 0215884-002
0215884-002 / Scd-xtr Light Source / Kla Tencor 0215884-002
4,592.66  9d 21h
4040345 Kvr1658 / Uvision 5 Power Supply Interface Box / Applied Materials Amat
4040345 Kvr1658 / Uvision 5 Power Supply Interface Box / Applied Materials Amat
4,502.66  21d 11h
Amat  0020-26312-05-38 Upper Shield
Amat 0020-26312-05-38 Upper Shield
350.00  24d 16h
403379-xa-mega 5.3 0010-08928 / Centura Ap Mainframe / Amat
403379-xa-mega 5.3 0010-08928 / Centura Ap Mainframe / Amat
22,500.00  8d 17h
Kla 8450 Stage Assy    / Stage / Kla Tencor
Kla 8450 Stage Assy / Stage / Kla Tencor
25,005.26  21d 14h
Huttinger Tig 40/100 Dlas 41f-970804t7
Huttinger Tig 40/100 Dlas 41f-970804t7
5,000.00 14d 23h
0021-09474, Applied Materials, MOUNTING RINGS
0021-09474, Applied Materials, MOUNTING RINGS
2,000.00  13d 13h
Kip Valve 651018 1/4 24vdc Mopd 30 10w
Kip Valve 651018 1/4 24vdc Mopd 30 10w
30.00  15d 11h
AMAT RING 0020-24039 REV 03 Applied Materials
AMAT RING 0020-24039 REV 03 Applied Materials
57.00  16d 16h
0040-48770 / Block,esc Water Manifold, 300mm Hdpcvd / Applied Materials
0040-48770 / Block,esc Water Manifold, 300mm Hdpcvd / Applied Materials
1,200.00  21d 20h
0010-00563 / W24v Power Supply Lrs-56-24/ Applied Materials
0010-00563 / W24v Power Supply Lrs-56-24/ Applied Materials
1,400.98  23d 18h
Applied Materials 0100-14001 Power Interface PCB 0130-14001, REV A
Applied Materials 0100-14001 Power Interface PCB 0130-14001, REV A
99.99  9d 12h
Assy, Rf Dist, Remote Clean, C3vctr
Assy, Rf Dist, Remote Clean, C3vctr
4,950.00  21d 15h
Nikon 4S019-160 Interface Board PCB ALG-ACE2 NSR-S307E DUV 300mm  Working
Nikon 4S019-160 Interface Board PCB ALG-ACE2 NSR-S307E DUV 300mm  Working
2,006.17  5d 13h
2528 PlasmaQuest MC-200 Load-Arm & Clamp Motor Control
2528 PlasmaQuest MC-200 Load-Arm & Clamp Motor Control
450.00  8d 14h
Cambridge Absolute Filter 2T-39516093-Z285-0
Cambridge Absolute Filter 2T-39516093-Z285-0
185.00  21d 19h
CONVERTER;CT5024-000037-11,Converter, SFC-M,TEL, CT5024-000037-11
CONVERTER;CT5024-000037-11,Converter, SFC-M,TEL, CT5024-000037-11
2,160.00  6d 0h
0100-20004 / Wpcb Assy, Chamber Interconnect / Applied Materials Amat
0100-20004 / Wpcb Assy, Chamber Interconnect / Applied Materials Amat
523.20  5d 22h
Phillips 471 45632 Circuit Board PCB 2069020064  Working
Phillips 471 45632 Circuit Board PCB 2069020064  Working
806.12  24d 19h
Applied Materials 678610 DO PC Board, SCHEM # 7801-D-1010, REV A, ASSY: 678611
Applied Materials 678610 DO PC Board, SCHEM # 7801-D-1010, REV A, ASSY: 678611
89.99  12d 15h
4477  Lot 0f 4 Matheson FF4374B Full Turn Diaphragm Valves
4477 Lot 0f 4 Matheson FF4374B Full Turn Diaphragm Valves
320.00  18d 15h
Automotion Dc Bl Motor Control Alco800-010-100
Automotion Dc Bl Motor Control Alco800-010-100
249.99 1d 23h
Cosmos Gas Detector PS-4DP for Gas HCI
Cosmos Gas Detector PS-4DP for Gas HCI
219.99  4d 20h
Applied Materials 678613 DI Board Source, VersaController, 7801-D-1014 REV E
Applied Materials 678613 DI Board Source, VersaController, 7801-D-1014 REV E
89.99  11d 12h
Tel Ds027-007022-1 O-ring Du353fpe01
Tel Ds027-007022-1 O-ring Du353fpe01
403.00  7d 23h
AMAT Applied Materials 0020-99521-005 Al Alloy Shield Inner eSIP 300mm
AMAT Applied Materials 0020-99521-005 Al Alloy Shield Inner eSIP 300mm
3,005.14  23d 12h
114-0601// Amat Applied 0020-23438 Cover Housing Motorized Lift []
114-0601// Amat Applied 0020-23438 Cover Housing Motorized Lift []
70.00 12d 23h
Brooks 978-262-2900 Controller 165465R
Top-Rated Plus Seller Brooks 978-262-2900 Controller 165465R
949.99  14d 20h
Applied Materials AMAT 0190-28703 Rev01 1192921,,USA^6757
Applied Materials AMAT 0190-28703 Rev01 1192921,,USA^6757
299.00  29d 1h
FutureStar Flow Meter FX221-005-100   221005100 FSI
FutureStar Flow Meter FX221-005-100  221005100 FSI
375.00  21d 21h
402-0501// Tokyo-rikosha Vscp-60-nspy Controller [/fast]
402-0501// Tokyo-rikosha Vscp-60-nspy Controller [/fast]
600.00 11d 22h
1500302 / Pcb Status Display / Axcelis Technologies
1500302 / Pcb Status Display / Axcelis Technologies
1,100.62  24d 18h
1010-0017 / Process Interface 1986 Matrix 1010-0017 Reva / Matrix
1010-0017 / Process Interface 1986 Matrix 1010-0017 Reva / Matrix
1,210.00  24d 20h
13052-00 / Pcb Opto Isolator Digita 13052-00 / Genus Incorporated
13052-00 / Pcb Opto Isolator Digita 13052-00 / Genus Incorporated
825.62  23h 30m
Oydk-018b / Board Conn P-chem #01 / Tokyo Electron Tel
Oydk-018b / Board Conn P-chem #01 / Tokyo Electron Tel
1,100.00  7d 21h
Ct5010-599673-11 / Fork (0.8- Bsc) Cra & Cp / Tokyo Electron Tel
Ct5010-599673-11 / Fork (0.8- Bsc) Cra & Cp / Tokyo Electron Tel
1,000.00  9d 23h
700477 / Door Sliding Assy / Uti Inventory Management Solutions
700477 / Door Sliding Assy / Uti Inventory Management Solutions
1,295.82  15d 19h
Bm35945     /  72v Power/dynamic Brake Pcb  /  Pri
Bm35945 / 72v Power/dynamic Brake Pcb / Pri
1,200.82  29d 20h
E1114820 / Shorting Bar Assy/  Varian
E1114820 / Shorting Bar Assy/ Varian
1,200.82  4d 0h
100-062    /  2nd Io Pcb  /   Cybernetics Corp
100-062 / 2nd Io Pcb / Cybernetics Corp
1,205.66  18d 18h
0020-01089 / Wtray Support / Applied Materials Amat
0020-01089 / Wtray Support / Applied Materials Amat
772.09  23d 16h
Mds/prg/prg/10-30dc / Industries Mds Multifunction  / Moore Industries
Mds/prg/prg/10-30dc / Industries Mds Multifunction / Moore Industries
765.00  23d 20h
0210x-ba24-bln2 0220 / Transfer Valve Monovat / Vat
0210x-ba24-bln2 0220 / Transfer Valve Monovat / Vat
1,000.00  28d 18h
0020-85875 / Feeder;0020-85875,ni-free / Applied Materials
0020-85875 / Feeder;0020-85875,ni-free / Applied Materials
766.85  12d 17h
0100-11018 / Slot 1 Mvme 1101-1 To Dte Pcb 8300 Or 8330 / Amat
0100-11018 / Slot 1 Mvme 1101-1 To Dte Pcb 8300 Or 8330 / Amat
1,150.00  22d 17h
11362100 / Laser Mount Assembly / Allen-bradley
11362100 / Laser Mount Assembly / Allen-bradley
1,000.00  8d 17h
06-49878-01/ Tmp Cntrl Board 0-500deg C / Svg Rite Track
06-49878-01/ Tmp Cntrl Board 0-500deg C / Svg Rite Track
900.03  15d 20h
0220-22501 / Consumable Kit 6" Smf Al 8" Src / Applied Materials Amat
0220-22501 / Consumable Kit 6" Smf Al 8" Src / Applied Materials Amat
954.84  24d 21h
0020-26217 / Cover Ring / Applied Materials Amat
0020-26217 / Cover Ring / Applied Materials Amat
1,125.42  13d 20h
Tb1-z22-8 / Pcb, Quantem Controller / Ag Associates
Tb1-z22-8 / Pcb, Quantem Controller / Ag Associates
965.83  10d 16h
369 428-06 / Model: Aklida48, Sensor Head, 30v / Heidenhain
369 428-06 / Model: Aklida48, Sensor Head, 30v / Heidenhain
854.99  9d 19h
Bgr-027602 / Th, Dist, Pcb / Advantest
Bgr-027602 / Th, Dist, Pcb / Advantest
1,200.62  15d 17h
Blg-023644 / Htd (6541) Pcb  / Advantest
Blg-023644 / Htd (6541) Pcb / Advantest
1,200.62  15d 22h
0020-22515 / Clamping Ring 8, Tin Snnf, Sst / Applied Materials Amat
0020-22515 / Clamping Ring 8, Tin Snnf, Sst / Applied Materials Amat
986.09  24d 21h
Ecpe82-1 / Bernecker & Rainer Input Module Analog / B&r Auto
Ecpe82-1 / Bernecker & Rainer Input Module Analog / B&r Auto
942.99  1d 18h
Mogcta77-96i-005 / Pad / Dicso
Mogcta77-96i-005 / Pad / Dicso
962.99  7d 17h
0021-21900 / Ring, Deposition, 6 Jmf, 9mm, Alum, Hte / Applied Materials Amat
0021-21900 / Ring, Deposition, 6 Jmf, 9mm, Alum, Hte / Applied Materials Amat
1,086.10  4d 14h
0040-02154 / Enclosure Lt Esc Power Control / Applied Materials Amat
0040-02154 / Enclosure Lt Esc Power Control / Applied Materials Amat
1,250.80  4d 15h
0040-76368 / Manifold Short / Applied Materials Amat
0040-76368 / Manifold Short / Applied Materials Amat
1,420.65  4d 16h
0050-10092 / Weldment He Final Valve Liquid Injector / Applied Materials Amat
0050-10092 / Weldment He Final Valve Liquid Injector / Applied Materials Amat
1,363.59  4d 16h
Bd650a109g52 / Input Output Board / Mitsubishi
Bd650a109g52 / Input Output Board / Mitsubishi
1,250.68  12d 0h
5044-000158-11 (lot Of 6) / Pcb If Il Number 01 Tob1 076 / Tokyo Electron Tel
5044-000158-11 (lot Of 6) / Pcb If Il Number 01 Tob1 076 / Tokyo Electron Tel
980.00  13d 0h
0040-33258 / Insert, Ch Feed Thru, Short, 300mm Ticl4 / Applied Materials Amat
0040-33258 / Insert, Ch Feed Thru, Short, 300mm Ticl4 / Applied Materials Amat
1,083.00  22d 22h
824211 / Valve Sol 3-way / Applied Materials Amat
824211 / Valve Sol 3-way / Applied Materials Amat
985.39  6d 13h
715-31752-205 / Cap, Lower Electrode 5 Inch Domed (lrc901)  /  Lam Research Corp
715-31752-205 / Cap, Lower Electrode 5 Inch Domed (lrc901) / Lam Research Corp
1,160.62  25d 19h
Tmb1100 / Board, Conn Hp Chem #01 Hte-0c3-c-13 / Tokyo Electron Tel
Tmb1100 / Board, Conn Hp Chem #01 Hte-0c3-c-13 / Tokyo Electron Tel
1,400.00  7d 20h
E15005504  /    Manipulator /  Varian
E15005504 / Manipulator / Varian
950.82  5d 14h
E11095110  /  Analog/digital I/o Interface  /  Varian
E11095110 / Analog/digital I/o Interface / Varian
1,500.82  7d 15h
E11095111  /  Analog-digital I/o Interface Psi Chassis Assembly  /  Varian
E11095111 / Analog-digital I/o Interface Psi Chassis Assembly / Varian
1,500.82  7d 15h
E15000510  /  30k Deflection  /  Varian
E15000510 / 30k Deflection / Varian
950.82  20d 15h
0010-16577/ Assembly, Tc Filter Module, 300mm / Amat
0010-16577/ Assembly, Tc Filter Module, 300mm / Amat
1,250.98  4d 18h
0021-19342 / Shield, Inner 300mm Pc2, Rpc Plus / Applied Materials Amat
0021-19342 / Shield, Inner 300mm Pc2, Rpc Plus / Applied Materials Amat
1,039.14  12d 19h
2521008-01 / Assy Touchscreen / Asm America Inc
2521008-01 / Assy Touchscreen / Asm America Inc
1,300.68  24d 22h
2841436-01 / Assy Touchscreen Reactor / Asm America Inc
2841436-01 / Assy Touchscreen Reactor / Asm America Inc
1,300.68  26d 13h
713-043116-001 / Ring Shroud / Lam Research Corporation
713-043116-001 / Ring Shroud / Lam Research Corporation
1,200.99  26d 15h
473912 / Ring Reflecting,chamber Machined 0.250 Hole / Axcelis Technologies
473912 / Ring Reflecting,chamber Machined 0.250 Hole / Axcelis Technologies
1,145.82  11d 21h
02-8146121-03 / Cover, Top / Novellus
02-8146121-03 / Cover, Top / Novellus
1,200.82  9d 16h
114-099 / Valve / Svg
114-099 / Valve / Svg
1,309.35  10d 16h
Bgr-021614 / Board,sc (h) / Advantest
Bgr-021614 / Board,sc (h) / Advantest
1,235.14  8d 17h
Bgr-024404 / Fm Cntl Pcb / Advantest
Bgr-024404 / Fm Cntl Pcb / Advantest
800.62  8d 21h
Bgr-024357 / Pds, Pcb / Advantest
Bgr-024357 / Pds, Pcb / Advantest
1,200.62  15d 21h
Bir-024405  /  Afm Pcb  /  Advantest
Bir-024405 / Afm Pcb / Advantest
1,200.62  16d 19h
Applied Materials 678610 DO PC Board, SCHEM # 7801-D-1010, REV C, ASSY: 678611
Applied Materials 678610 DO PC Board, SCHEM # 7801-D-1010, REV C, ASSY: 678611
89.99  10d 14h
1994125001 / Pca    Programmed Atc2 Assy 1993241-501 / Delta
1994125001 / Pca Programmed Atc2 Assy 1993241-501 / Delta
1,200.62  16d 20h
Bgr-024252 / Pcb, Pe, Driver And Input Output  / Advantest
Bgr-024252 / Pcb, Pe, Driver And Input Output / Advantest
1,200.62  16d 22h
1-110-036 / 3-way Valve Dual Pilot / Furon
1-110-036 / 3-way Valve Dual Pilot / Furon
770.85  26d 14h
15-169249-01 / Cover, Ltm,r L/lk, Vctr / Novellus
15-169249-01 / Cover, Ltm,r L/lk, Vctr / Novellus
1,090.62  28d 22h
Wggb06s02 / Housing,cartridge,wafer Guard,wggb06s02 / Millpore
Wggb06s02 / Housing,cartridge,wafer Guard,wggb06s02 / Millpore
1,054.99  2d 17h
0020-30427 / Ring, Clamp, 8 , Ext Cath, Dc,4.4mm, .22 / Applied Materials Amat
0020-30427 / Ring, Clamp, 8 , Ext Cath, Dc,4.4mm, .22 / Applied Materials Amat
1,161.99  9d 16h
0200-9555b / Ring Focus Qtz Poly 150mm/162 / West Coast Quartz
0200-9555b / Ring Focus Qtz Poly 150mm/162 / West Coast Quartz
1,265.82  4d 16h
0270-18069 / Template, Chotherm Sheet / Applied Materials Amat
0270-18069 / Template, Chotherm Sheet / Applied Materials Amat
1,305.00  4d 20h
0240-71047 / Kit, Lift Hp 101% Retrofit / Applied Materials Amat
0240-71047 / Kit, Lift Hp 101% Retrofit / Applied Materials Amat
814.23  8d 13h
1903266-1 (lot Of 10) / Pcb Assy Ywp-ef / Tyco
1903266-1 (lot Of 10) / Pcb Assy Ywp-ef / Tyco
860.62  13d 0h
Ds027-003032-1 / Sheet Metal Ss316lt0.15 / Tokyo Electron Tel
Ds027-003032-1 / Sheet Metal Ss316lt0.15 / Tokyo Electron Tel
1,085.52  22d 21h
C8261af-sc11030c-dwhovvmms / Mfc:8261,sc 11 Hov,w Seal,10-30sccm,9pin / Celerity
C8261af-sc11030c-dwhovvmms / Mfc:8261,sc 11 Hov,w Seal,10-30sccm,9pin / Celerity
1,210.55  29d 14h
C8261af-sc12090c-dwovvmms / Mfc 8261 Unit 30-90sccm / Celerity
C8261af-sc12090c-dwovvmms / Mfc 8261 Unit 30-90sccm / Celerity
1,210.55  29d 14h
C8261af-sc14750c-dchovvmms / 8261,sc 14 Hov, C Seal,250-750sccm,9pin / Celerity
C8261af-sc14750c-dchovvmms / 8261,sc 14 Hov, C Seal,250-750sccm,9pin / Celerity
1,128.05  5d 18h
9635-0118 / Htr #2 Pipe 1 / Mks
9635-0118 / Htr #2 Pipe 1 / Mks
781.20  6d 20h
Tegal ,  RF Match
Tegal , RF Match
1,000.00  20d 11h
347-0201// Amat Applied 0020-23175 Support, Left
347-0201// Amat Applied 0020-23175 Support, Left
60.00 28d 23h
347-0201// Amat Applied 0020-23176 Support, Right
347-0201// Amat Applied 0020-23176 Support, Right
60.00 28d 23h
 GALIL DMC230 Motion Control PC Board FREE SHIPPING
 GALIL DMC230 Motion Control PC Board FREE SHIPPING
1,317.50  1d 17h
342-0202// Amat Applied 0040-09548 Cover, Window, Side Shield, Upper, Unive
342-0202// Amat Applied 0040-09548 Cover, Window, Side Shield, Upper, Unive
20.00 6d 4h
99-44649-01 /assy At Supervisor Pentium / Svg
99-44649-01 /assy At Supervisor Pentium / Svg
2,950.97  29d 19h
Drytek / Lam Interlock Protection Circuit Board. P/N 2800937 rev 2
Top-Rated Plus Seller Drytek / Lam Interlock Protection Circuit Board. P/N 2800937 rev 2
175.00  26d 15h
Applied Materials 678611 DO PC Board, SCHEM # 7801-D-1010, REV D1
Applied Materials 678611 DO PC Board, SCHEM # 7801-D-1010, REV D1
89.99  28d 17h
Applied Materials 678613 DI Board Source, VersaController, 7801-D-1014 REV E1
Applied Materials 678613 DI Board Source, VersaController, 7801-D-1014 REV E1
89.99  28d 14h
Novellus / SSI 01-17423-001 TPM CONTROLLER, 02-15581, SSI- C186EB
Novellus / SSI 01-17423-001 TPM CONTROLLER, 02-15581, SSI- C186EB
1,000.00  14d 20h
APPLIED MATERIALS 0100-35028 Serial Video Distribution Board
APPLIED MATERIALS 0100-35028 Serial Video Distribution Board
1,289.99  13d 20h
Applied Materials 0190-19897 Rev001 Flow Switch Manifold Mount,119292-1,Use^6322
Applied Materials 0190-19897 Rev001 Flow Switch Manifold Mount,119292-1,Use^6322
149.00  29d 2h
SVG 80073B Display  Board
SVG 80073B Display Board
70.00  18d 19h
Nikon 4S019-147-A Processor Control Board PCB REX-MTR NSR  Working
Nikon 4S019-147-A Processor Control Board PCB REX-MTR NSR  Working
1,906.17  26d 17h
Applied Materials AMAT Purge Tree Assembly, 0010-04669
Applied Materials AMAT Purge Tree Assembly, 0010-04669
975.00  29d 8h
Drytek / Lam 384T Temperature Sensor Cable Assembly MPS-6, P/N 2002023
Top-Rated Plus Seller Drytek / Lam 384T Temperature Sensor Cable Assembly MPS-6, P/N 2002023
175.00  11d 16h
TEL Tokyo Electron 3D81-000102-V1 Interface Board PCB TYB61C-1/TOP1
TEL Tokyo Electron 3D81-000102-V1 Interface Board PCB TYB61C-1/TOP1
430.00  19d 3h
Precision XP4-EMERALD FLANGE-MESC INTFC-300 MM ASM 16-404633-01  Surplus
Precision XP4-EMERALD FLANGE-MESC INTFC-300 MM ASM 16-404633-01  Surplus
2,507.18  24d 22h
409-0401// Tsubaki Kd2004ggs Ac Servo Driver [/fast]
409-0401// Tsubaki Kd2004ggs Ac Servo Driver [/fast]
400.00 19d 3h
SVG Silicon Valley Group 851-9947-004 DMC Booster Amplifier PCB Card Rev. H
SVG Silicon Valley Group 851-9947-004 DMC Booster Amplifier PCB Card Rev. H
310.18  22d 17h
 7640 Bruce INTEMPσS™ Intelligent Temperature Controller - 9025470-Rev 11
 7640 Bruce INTEMPσS™ Intelligent Temperature Controller - 9025470-Rev 11
2,500.00  25d 2h
Pre-Tech Finesonic Generator model PT-150MV       NICE!!    Warranty!!
Top-Rated Plus Seller Pre-Tech Finesonic Generator model PT-150MV NICE!! Warranty!!
250.00  24d 14h
2423  Lot of 6 MEC Tech MEC81105-202A Overlay Lowers
2423 Lot of 6 MEC Tech MEC81105-202A Overlay Lowers
350.00  10d 15h
TEL Tokyo Electron 2610-100723-V1 Exhaust Ring PM Y BEL  Working
TEL Tokyo Electron 2610-100723-V1 Exhaust Ring PM Y BEL  Working
803.15  23d 19h
Indexer Elevator for SVG 81,86, and 88
Indexer Elevator for SVG 81,86, and 88
900.00  20d 3h
Husky 512874 Locating Isolator Assy. (Spring)
Husky 512874 Locating Isolator Assy. (Spring)
80.00 1d 21h
Applied Materials 0021-60948 2 Zone Lower Plate Oz2z Amat *un*
Applied Materials 0021-60948 2 Zone Lower Plate Oz2z Amat *un*
599.00  23d 8h
GEORG FISCHER+GF+SYGEF 735 158 633 45° ELBOW 32mm PVDF-HP
GEORG FISCHER+GF+SYGEF 735 158 633 45° ELBOW 32mm PVDF-HP
19.99  10d 16h
PILine Controller C-867.260, 110044706, SHIPSAMEDAY
PILine Controller C-867.260, 110044706, SHIPSAMEDAY
5,750.00  11d 1h
NIKON TPC-42V-0 4S013-224 | PCB BOARD | Pre-Owned
NIKON TPC-42V-0 4S013-224 | PCB BOARD | Pre-Owned
49.99  14d 14h
Axcelis Post Accel Liner - Graphite VIG Version 17122950 REV A (99259V)
Axcelis Post Accel Liner - Graphite VIG Version 17122950 REV A (99259V)
500.00  7d 8h
0200-10377, Applied Materials, Ring Single 195mm Semi Nt Cer Dps Chmb
0200-10377, Applied Materials, Ring Single 195mm Semi Nt Cer Dps Chmb
2,200.00  23d 2h
ASM 16-332420D05 Susceptor 125mm 8.85OD XYCARB
ASM 16-332420D05 Susceptor 125mm 8.85OD XYCARB
500.00  20d 21h
Applied Materials 0020-48628 Aluminum, Ring, Edge, Stainless Steel Htr 300mm Txz
Applied Materials 0020-48628 Aluminum, Ring, Edge, Stainless Steel Htr 300mm Txz
699.00  16d 14h
SVG 99-80320-01 Microcontroller Board, 6805, Cirpro-1 25-93
SVG 99-80320-01 Microcontroller Board, 6805, Cirpro-1 25-93
89.99  16d 16h
ASML 4022.639.93004 CT WVACEIM Unit NXT  Working
ASML 4022.639.93004 CT WVACEIM Unit NXT  Working
2,004.15  24d 19h
31-15-4416 NSN: 6110-01-425-0502  EDGEWOOD CHEM. Motor Controller
31-15-4416 NSN: 6110-01-425-0502 EDGEWOOD CHEM. Motor Controller
350.00  24d 13h
SEMILAB WML-1 / WML 1 / WML1, Lifetime Tester
SEMILAB WML-1 / WML 1 / WML1, Lifetime Tester
1,038.17  14d 9h
0021-02156 / Ring, Middle, Isolator / Applied Materials Amat
0021-02156 / Ring, Middle, Isolator / Applied Materials Amat
465.98  17d 18h
130-0301// AMAT APPLIED 0100-00008 w PCB TC GAUGE
130-0301// AMAT APPLIED 0100-00008 w PCB TC GAUGE
300.00 21d 0h
Applied Materials 0200-00221 Insulator, Pinless, 8" Snnf Amat *un, Sealed*
Applied Materials 0200-00221 Insulator, Pinless, 8" Snnf Amat *un, Sealed*
699.00  19d 12h
NRF-technologies CONTROLLER NXR-02A, 3-A29449-*A  
NRF-technologies CONTROLLER NXR-02A, 3-A29449-*A
370.00  22d 14h
16215-01 / Forearm, 4.75" Pick Up Armb / Svg
16215-01 / Forearm, 4.75" Pick Up Armb / Svg
230.00  14d 16h
161-0201// Ctx Vl501 Color Monitor Asis
161-0201// Ctx Vl501 Color Monitor Asis
100.00 25d 6h
Nikon 4K578-992 SHRINC Revolver 4K578-993 NSR-S205C  Working
Nikon 4K578-992 SHRINC Revolver 4K578-993 NSR-S205C  Working
3,508.18  19d 18h
0190-76276 / Assy. Feedthru 300mm Source / Applied Materials Amat / Deublin
0190-76276 / Assy. Feedthru 300mm Source / Applied Materials Amat / Deublin
3,350.82  14d 13h
02-381649-02 / Assy,pem 2,300mm,por,sr,sbr-xt(sabre Non 3d) / Lam / Novellus
02-381649-02 / Assy,pem 2,300mm,por,sr,sbr-xt(sabre Non 3d) / Lam / Novellus
3,580.82  10h 59m
705180-001 / Sensor, 02 0-100 Ppm (csu 02) / Aviza Technology / Delta F Corp
705180-001 / Sensor, 02 0-100 Ppm (csu 02) / Aviza Technology / Delta F Corp
3,500.82  19d 13h
99-43111-01 /assy Box Elec Stacked Stn/ Svg
99-43111-01 /assy Box Elec Stacked Stn/ Svg
3,000.97  15d 17h
0021-04834 /liner,di Seal,metal R2,1/4 Thk./ Amat
0021-04834 /liner,di Seal,metal R2,1/4 Thk./ Amat
2,000.97  23d 17h
2328837-00/ Bc Eco-gripper 12 Complete / Lam
2328837-00/ Bc Eco-gripper 12 Complete / Lam
3,570.97  25d 17h
99-45958-01 Rev C / Controller Servo Hi-accel Svg Pacific Scientific
99-45958-01 Rev C / Controller Servo Hi-accel Svg Pacific Scientific
3,200.97  29d 18h
0040-02932 /base Heater 8" Enhanced O/d/ Applied Materials
0040-02932 /base Heater 8" Enhanced O/d/ Applied Materials
2,950.97  1d 17h
716-022493-031 / Ring,shadow,btm,2300,300mm / Lam
716-022493-031 / Ring,shadow,btm,2300,300mm / Lam
2,800.97  2d 16h
A57033 / Bellows /trikon/spts Technologies
A57033 / Bellows /trikon/spts Technologies
2,720.98  9d 17h
0010-15262 / 200/300 Mm Upper Ring Assy /applied Materials
0010-15262 / 200/300 Mm Upper Ring Assy /applied Materials
2,600.98  15d 16h
0021-14590 /faceplate, 300mm, Ho16 Xgen / Applied Materials
0021-14590 /faceplate, 300mm, Ho16 Xgen / Applied Materials
1,900.98  15d 18h
716-330122-003 /pl, Top, Ceramic, Fxd Gap W/shld / Lam Research
716-330122-003 /pl, Top, Ceramic, Fxd Gap W/shld / Lam Research
2,000.98  16d 16h
0040-44492 /  Mounting Ring, 300mm Dps2 / Applied Materials
0040-44492 / Mounting Ring, 300mm Dps2 / Applied Materials
2,200.98  16d 17h
0010-76402 / Storage Elevator, 8 Slot 17-4 Leadscrew / Applied Materials
0010-76402 / Storage Elevator, 8 Slot 17-4 Leadscrew / Applied Materials
2,600.98  16d 18h
15-287491-00 / Shield,cylinder,13.52 / Novellus Systems Inc
15-287491-00 / Shield,cylinder,13.52 / Novellus Systems Inc
2,800.68  20d 20h
0020-14848 / Lower Ring Shield (ulv) / Applied Materials Amat
0020-14848 / Lower Ring Shield (ulv) / Applied Materials Amat
1,850.68  8d 19h
Sr2984-001021-11 Ec49-000005-11/ Lcd Digital Electronics  /tokyo Electron
Sr2984-001021-11 Ec49-000005-11/ Lcd Digital Electronics /tokyo Electron
1,799.99  19d 12h
15-403898-00 / Plate,clear,top,vxl Ssm / Lam Research
15-403898-00 / Plate,clear,top,vxl Ssm / Lam Research
3,500.82  12h 15m
Bg9-3719 / Imf-if Pcb / Canon
Bg9-3719 / Imf-if Pcb / Canon
4,200.97  22d 16h
711001856  /magmotor Technologies, 200mm, Mag Controller/ Applied Materials
711001856 /magmotor Technologies, 200mm, Mag Controller/ Applied Materials
3,250.82  24d 18h
2325325-00 / L-dens Density Transmitter / Lam
2325325-00 / L-dens Density Transmitter / Lam
4,220.97  25d 18h
7002-200-0009 /2331459-00, Assy, Info System Front Panel, 4 Channel / Lam
7002-200-0009 /2331459-00, Assy, Info System Front Panel, 4 Channel / Lam
2,950.97  2d 17h
2348584-00 / Usfm M-1500p-t11-012-002 (low Flow) / Lam
2348584-00 / Usfm M-1500p-t11-012-002 (low Flow) / Lam
2,660.97  2d 19h
2328821-00 / Rotary Pump Motor 4 / Lam
2328821-00 / Rotary Pump Motor 4 / Lam
2,435.98  9d 16h
122644600 /assy, 5_finger Rotor, 200 Mm / Lam
122644600 /assy, 5_finger Rotor, 200 Mm / Lam
2,900.98  9d 16h
601348 / Electrode-lower Body / Lam
601348 / Electrode-lower Body / Lam
2,300.98  13d 16h
99622 / Pcb, Fmu Qmo-2607 / Keithley
99622 / Pcb, Fmu Qmo-2607 / Keithley
3,400.98  13d 19h
869-8223-001 / Pcb Microprocessor And Coprocessor / Svg
869-8223-001 / Pcb Microprocessor And Coprocessor / Svg
1,980.98  15d 17h
1975221001t / Main Power Assembly / Delta
1975221001t / Main Power Assembly / Delta
1,250.68  19d 20h
AMAT 0040-63476 ESC Chuck
AMAT 0040-63476 ESC Chuck
11,999.00  20d 7h
Fast Z Controller 001003 Rev A Ultrapointe Corp.
Fast Z Controller 001003 Rev A Ultrapointe Corp.
250.00  12d 0h
131-0701// Amat Applied 0021-09008 Cover, Uni-lid, Dsgd, Mxp
131-0701// Amat Applied 0021-09008 Cover, Uni-lid, Dsgd, Mxp
1,000.00 2d 4h
Donaldson, X009994-016-190, FILTER, P512925
Donaldson, X009994-016-190, FILTER, P512925
2,200.00  4d 23h
Applied Materials 678613 DI Board Source, VersaController, 7801-D-1014
Applied Materials 678613 DI Board Source, VersaController, 7801-D-1014
89.99  28d 14h
SVG Silicon Valley Group 851-9947-004 DMC Booster Amplifier PCB Card Rev. Q
SVG Silicon Valley Group 851-9947-004 DMC Booster Amplifier PCB Card Rev. Q
310.18  22d 20h
Applied Materials 678611 DO PC Board, SCHEM # 7801-D-1010
Applied Materials 678611 DO PC Board, SCHEM # 7801-D-1010
89.99  28d 17h
716-015597-001 / Lam Ring, Cover Wap Dfc 200mm Obsolete / Lam
716-015597-001 / Lam Ring, Cover Wap Dfc 200mm Obsolete / Lam
450.99  3d 13h
16281-802 / Nw-25-a Vacuum Block / Varian
16281-802 / Nw-25-a Vacuum Block / Varian
450.00  14d 13h
Ctr1b0042 / Probe-resisitivity / Sms
Ctr1b0042 / Probe-resisitivity / Sms
473.55  14d 14h
0021-09718 / Liner R Slit Valve / Applied Materials Amat
0021-09718 / Liner R Slit Valve / Applied Materials Amat
637.75  21d 19h
Applied Materials AMAT Modified Bellows, 0020-11931
Applied Materials AMAT Modified Bellows, 0020-11931
1,650.00  29d 8h
Asm 16-404782-01 Susceptor-150mm X .52mm Pocket-gamma
Asm 16-404782-01 Susceptor-150mm X .52mm Pocket-gamma
2,007.18  24d 21h
Neos 38080-2.5am Driver 282-1840-00b 282-2025-00a
Neos 38080-2.5am Driver 282-1840-00b 282-2025-00a
249.90 26d 3h
999-9999// Amat Applied 0040-32449 (delivery 6 Weeks) Lid,pumping [2nd ]
999-9999// Amat Applied 0040-32449 (delivery 6 Weeks) Lid,pumping [2nd ]
2,500.00 15d 23h
MTL RA1-G2048-24-PA Micro Encoder RA1G204824PA
MTL RA1-G2048-24-PA Micro Encoder RA1G204824PA
373.33 16d 16h
Wallac Interface Board DIC 1055 3760 C
Wallac Interface Board DIC 1055 3760 C
199.00  7d 17h
Emergency Bps Robot Parts
Emergency Bps Robot Parts
1,950.00  16d 22h
APPLIED MATERIALS 0150-36236 Pressure Switch
APPLIED MATERIALS 0150-36236 Pressure Switch
384.99  14d 20h
Amat 0760-01037 Cplg Union Rotary 4-port,
Amat 0760-01037 Cplg Union Rotary 4-port,
3,300.00  15d 3h
Hitachi High Technologies 1D0-0034 Interface Board PCB A4X24R Working
Hitachi High Technologies 1D0-0034 Interface Board PCB A4X24R Working
562.17  23d 21h
Asm 16-404781-01 Plate-rc-base-5mm Lip-gamma
Asm 16-404781-01 Plate-rc-base-5mm Lip-gamma
1,607.18  24d 21h
186475-000 / Ctr9642 / Thermco
186475-000 / Ctr9642 / Thermco
231.00  4d 15h
42279-03 / Pcb, Vac Controller, Panel / Genus Incorporated
42279-03 / Pcb, Vac Controller, Panel / Genus Incorporated
250.68  12d 18h
Omega, Thermocouple Scanner DPS3100 Series    AMAT # 0226-45365
Omega, Thermocouple Scanner DPS3100 Series AMAT # 0226-45365
450.00  9d 16h
0040-13379 / Arm Left Hp+ Robot / Applied Materials
0040-13379 / Arm Left Hp+ Robot / Applied Materials
3,220.97  1d 17h
NSK IF PCB JA-99001-03 NO.121 / Free Expedited Shipping
NSK IF PCB JA-99001-03 NO.121 / Free Expedited Shipping
459.00 28d 1h
PHILLIPS 4022 332 86341 e PSD INTERFACE 5322 694 16012  /  Free Shipping
PHILLIPS 4022 332 86341 e PSD INTERFACE 5322 694 16012 / Free Shipping
599.00 26d 5h
PHILLIPS 4022 332 86543 c DC-MOTOR MDCD2 5322 694 16017  /  Free Shipping
PHILLIPS 4022 332 86543 c DC-MOTOR MDCD2 5322 694 16017 / Free Shipping
599.00 26d 5h
PHILLIPS 4022 332 86611 b MULT PURP BOARD 5322 694 16024  /  Free Shipping
PHILLIPS 4022 332 86611 b MULT PURP BOARD 5322 694 16024 / Free Shipping
599.00 26d 5h
Applied Materials AMAT Parofluor O-Ring, 3700-04069
Applied Materials AMAT Parofluor O-Ring, 3700-04069
1,150.00  2d 12h
MKS Serial Gateway AS01366-10 Rev. 01
MKS Serial Gateway AS01366-10 Rev. 01
200.00  22d 7h
Variant, ConnvecTorr, P-Type Vacuum Gauge, L9090306
Variant, ConnvecTorr, P-Type Vacuum Gauge, L9090306
199.50 9d 15h
0020-45400 / Deposition Ring, 8 Inch Snnf, Esc, High Ar / Applied Materials
0020-45400 / Deposition Ring, 8 Inch Snnf, Esc, High Ar / Applied Materials
1,249.95  23d 13h
0020-24099 / Pcii Quartz Insulator / Applied Materials Amat
0020-24099 / Pcii Quartz Insulator / Applied Materials Amat
1,257.75  21d 15h
0020-26455 / Clamp Ring 6 Smf Ss 3.4mm / Applied Materials Amat
0020-26455 / Clamp Ring 6 Smf Ss 3.4mm / Applied Materials Amat
1,157.58  22d 18h
TEL 381-639449-4 I/F Pin B Connection Board, MDK-794V-0
TEL 381-639449-4 I/F Pin B Connection Board, MDK-794V-0
169.99  2d 12h
TEL 381-639413-2 I/F Sensor Board
TEL 381-639413-2 I/F Sensor Board
129.99  2d 12h
Pall Knv4000-232-01 Resolute Nozzle Outer Polypropylene
Pall Knv4000-232-01 Resolute Nozzle Outer Polypropylene
674.99  28d 14h
Tescom SK 3635 Pneumatic Actuated Packless Valve, 451611
Tescom SK 3635 Pneumatic Actuated Packless Valve, 451611
400.00 18d 17h
Asyst 4001-6908-01 Cassette, Loader, Platform, SMIF, 451762
Asyst 4001-6908-01 Cassette, Loader, Platform, SMIF, 451762
695.00 26d 16h
Dasa Tech Teach Pendant Imt-sig Rs-232c Free Ship
Dasa Tech Teach Pendant Imt-sig Rs-232c Free Ship
250.00 3d 22h
Schumacher Vmdteos 1483-2201e
Schumacher Vmdteos 1483-2201e
4,999.99  8d 11h
Swagelok SS-18VM8 Needle Valve, 451612
Swagelok SS-18VM8 Needle Valve, 451612
185.00 18d 18h
Ps466-01a X 2 / 2 Stepping Motors 4-phase 6.0v 1.2a 1.8deg Step / Mycom
Ps466-01a X 2 / 2 Stepping Motors 4-phase 6.0v 1.2a 1.8deg Step / Mycom
490.62  17d 19h
CT5014-002192-11 PCB CPU AG-0160, Baord, B/D, TEL
CT5014-002192-11 PCB CPU AG-0160, Baord, B/D, TEL
546.00  12d 0h
Ceramaseal Ceramtec 19-pin Receptacle 18900-01-kf H80084  1890001kf
Ceramaseal Ceramtec 19-pin Receptacle 18900-01-kf H80084  1890001kf
295.00  2d 15h
Harness Index Encoder 506-39753-02
Harness Index Encoder 506-39753-02
240.00  8d 7h
9010-01496 Itl / Quantum X Heat Exchanger / Applied Materials
9010-01496 Itl / Quantum X Heat Exchanger / Applied Materials
6,650.00  5d 20h
852-190027-001 / Assy,op.intfc,wall Mt,std / Lam Research Corporation
852-190027-001 / Assy,op.intfc,wall Mt,std / Lam Research Corporation
9,500.26  3d 12h
Canon BH8-2264-01 PCB BOARD
Canon BH8-2264-01 PCB BOARD
799.00 4d 5h
August Technology 701719 NSX - Power Distribution Board (8174)W
Top-Rated Plus Seller August Technology 701719 NSX - Power Distribution Board (8174)W
665.00  25d 19h
141-0703// Amat Applied 0140-09056 (#1) Harness Airflow Interlk
141-0703// Amat Applied 0140-09056 (#1) Harness Airflow Interlk
20.00 20d 4h
Bgc-024407 / Pcb, Fm Module 1g    / Advantest
Bgc-024407 / Pcb, Fm Module 1g / Advantest
420.62  8d 20h
Disco, MADPR005--H-94-1052, 6" Ceramic Table Chuck. 411759
Disco, MADPR005--H-94-1052, 6" Ceramic Table Chuck. 411759
1,750.00 1d 22h
Gespac GESMEM-12 PCB Board
Gespac GESMEM-12 PCB Board
129.99  8d 12h
Tokyo Electron TEL 3M87-038753 Controller
Tokyo Electron TEL 3M87-038753 Controller
277.00  17d 20h
AMAT 0021-01598 Face plate, Nickel TixZ
AMAT 0021-01598 Face plate, Nickel TixZ
1,200.00  28d 6h
Nikon 4S013-477 Backplane Interface Board PCB STGSTCMSCRFX4B NSR-S307E
Nikon 4S013-477 Backplane Interface Board PCB STGSTCMSCRFX4B NSR-S307E
1,207.18  10d 13h
ASM FHT 1034-315-01 Gas Distr to Gas Line Heater
ASM FHT 1034-315-01 Gas Distr to Gas Line Heater
65.21 22d 21h
Cosel 7P033-1 Power Supply 7P0331 (Pack of 3)
Cosel 7P033-1 Power Supply 7P0331 (Pack of 3)
131.09 9d 14h
Nikon 4S017-236 Backplane Interface Board PCB STMTB NSR System  Working
Nikon 4S017-236 Backplane Interface Board PCB STMTB NSR System  Working
1,201.19  27d 18h
ASM 1048-432-01 Kit Advance Purchase Elec Add Universal
ASM 1048-432-01 Kit Advance Purchase Elec Add Universal
3,107.18  24d 21h
Swagelok, SS-8-VCR-2-GR-5M
Swagelok, SS-8-VCR-2-GR-5M
180.00 18d 18h
 Asyst Fluorotrac System Tech Vlf-rd2008 *old Stock!*
 Asyst Fluorotrac System Tech Vlf-rd2008 *old Stock!*
379.97  22d 21h
Amat 0200-09121, Shield 4,5,6 Bw
Amat 0200-09121, Shield 4,5,6 Bw
672.00  21d 21h
Lesker Heater Feed Thru Eft-spl204
Lesker Heater Feed Thru Eft-spl204
120.00  18d 19h
124-0402// Amat Applied 0020-24804 Cover Ring Sst 8" 101
124-0402// Amat Applied 0020-24804 Cover Ring Sst 8" 101
800.00 11d 3h
Part 81175 Clamp Bracket (Pack of 6)
Part 81175 Clamp Bracket (Pack of 6)
104.08 26d 13h
Part 81175 Clamp Bracket (Pack of 3)
Part 81175 Clamp Bracket (Pack of 3)
55.52 26d 13h
Belden 5824637 Cable Switch Assy.
Belden 5824637 Cable Switch Assy.
49.33 16d 16h
2 Kaydon KA020XP4 Ball Bearing, AMAT 3060-10168, 452668
2 Kaydon KA020XP4 Ball Bearing, AMAT 3060-10168, 452668
175.00 14d 20h
APPLIED MATERIALS 0240-35384 2-Phase Driver
APPLIED MATERIALS 0240-35384 2-Phase Driver
374.99  7d 20h
Nickel Vanadium Ni93V7 wt%, 99.9%, 2.00" diameter x 3mm thick
Nickel Vanadium Ni93V7 wt%, 99.9%, 2.00" diameter x 3mm thick
150.00 11d 17h
Part 9101-0252-025 Power Cable Assembly 91010252025
Part 9101-0252-025 Power Cable Assembly 91010252025
252.33 20d 12h
Kurt Lesker Wide Vacuum Gauge Kjl-902056
Kurt Lesker Wide Vacuum Gauge Kjl-902056
79.99 6d 17h
MKS HEAT JACKET  Length 44"  9899-3043
MKS HEAT JACKET Length 44" 9899-3043
160.00  21d 15h
4416e   /     4 Wire To 2 Wire Dst /  Tellabs
4416e / 4 Wire To 2 Wire Dst / Tellabs
450.66  18d 18h
30612530100 / Board Assy Sra3 / Applied Materials
30612530100 / Board Assy Sra3 / Applied Materials
1,400.98  13d 17h
Bm19932 / Pb19932 Pcb / Pri
Bm19932 / Pb19932 Pcb / Pri
175.50  16d 16h
40592-00 / Tilt Scan Interface Pcb / Genus
40592-00 / Tilt Scan Interface Pcb / Genus
200.00  8d 14h
0100-00149 / Wblade Sensor Assy / Applied Materials
0100-00149 / Wblade Sensor Assy / Applied Materials
189.66  28d 14h
17447-01 / Nozzle Tebr 8x26 Pivoting Disp / Svg
17447-01 / Nozzle Tebr 8x26 Pivoting Disp / Svg
247.80  4d 14h
879-8103-002 A / Pcb,ehternat,552a 8798103002, Kkbu012 / Intel
879-8103-002 A / Pcb,ehternat,552a 8798103002, Kkbu012 / Intel
249.55  10d 20h
9760045 / Alarm Processor Model 7653 / Bruce Technologies
9760045 / Alarm Processor Model 7653 / Bruce Technologies
175.00  10d 22h
632730230.00 / D-connector Panel Sa / Asm America Inc
632730230.00 / D-connector Panel Sa / Asm America Inc
200.99  18d 20h
6461 / Temperture Controller Ecs / Ecs Technology
6461 / Temperture Controller Ecs / Ecs Technology
200.00  1d 0h
90-105480a49 / Pcb Dc Power Controller / Asm America Inc
90-105480a49 / Pcb Dc Power Controller / Asm America Inc
210.62  4d 1h
0101-0250 / Thermocouple,10x / Matrix
0101-0250 / Thermocouple,10x / Matrix
277.46  27d 17h
17129710 / Ina 14905 Upd To 17106481 (strike Plt 2) / Axcelis Technologies
17129710 / Ina 14905 Upd To 17106481 (strike Plt 2) / Axcelis Technologies
256.20  2d 17h
Moelh103 / Valve,solenoid,h103 / Disco
Moelh103 / Valve,solenoid,h103 / Disco
240.49  3d 16h
3060-01749 (set Of 2) / Brg Lin Ball 62mm Od X 40mm Id X 80mm L / Amat
3060-01749 (set Of 2) / Brg Lin Ball 62mm Od X 40mm Id X 80mm L / Amat
236.77  3d 18h
17145010 / Strap / Axcelis Technologies
17145010 / Strap / Axcelis Technologies
224.40  4d 22h
303169-005 / Vlv,mnl,2-2 Way,1/2 Ftf/pfa / Linde Electronics
303169-005 / Vlv,mnl,2-2 Way,1/2 Ftf/pfa / Linde Electronics
216.60  4d 17h
Ufc-8160 (hbr) (200 Sccm) / Ufc-8160 (hbr) (200 Sccm) / Unit
Ufc-8160 (hbr) (200 Sccm) / Ufc-8160 (hbr) (200 Sccm) / Unit
175.99  15d 19h
Ufc-8160 (ar) (100 Sccm) / Ufc-8160 (ar) (100 Sccm) / Unit
Ufc-8160 (ar) (100 Sccm) / Ufc-8160 (ar) (100 Sccm) / Unit
225.99  15d 20h
Ufc-1260a Sih2cl2 / Mfc 500 Psi Max Sih2cl2 / Unit
Ufc-1260a Sih2cl2 / Mfc 500 Psi Max Sih2cl2 / Unit
200.99  16d 15h
Bm13285 / Limit Sensor Assy-x Mtr / Brooks
Bm13285 / Limit Sensor Assy-x Mtr / Brooks
182.05  1d 18h
9635-0624 / Heater Jacket, 120v/112w / Mks
9635-0624 / Heater Jacket, 120v/112w / Mks
250.00  22d 20h
0100-00055 / Wpwb,gate Heater Control / Applied Materials Amat
0100-00055 / Wpwb,gate Heater Control / Applied Materials Amat
256.37  2d 17h
5516011 / Insulation 33 / Samsung America Inc
5516011 / Insulation 33 / Samsung America Inc
244.58  3d 15h
302759-002 / Circuit Breaker / Fsi
302759-002 / Circuit Breaker / Fsi
215.25  4d 17h
0020-76010(lot Of 10) / Pivot Pin, Wfr Cassetted / Applied Materials Amat
0020-76010(lot Of 10) / Pivot Pin, Wfr Cassetted / Applied Materials Amat
178.20  16d 15h
 JinShan QK-0023 IC Test Socket DI Press Adapter Tool FREE SHIPPING.
 JinShan QK-0023 IC Test Socket DI Press Adapter Tool FREE SHIPPING.
178.49  12d 15h
EVG CU5000791 BOND CHUCK 8"/8" Ti, 50Ám, PINS
EVG CU5000791 BOND CHUCK 8"/8" Ti, 50Ám, PINS
7,499.00  4d 12h
Siemens 3TF6933-1D Contactor 820A/600VAC  Working
Siemens 3TF6933-1D Contactor 820A/600VAC  Working
504.18  19d 17h
Applied Materials Lot Of 4 0720-08446 Conn Adapter Y Dnet Drop Fsm Fkm Fkm
Applied Materials Lot Of 4 0720-08446 Conn Adapter Y Dnet Drop Fsm Fkm Fkm
100.00 8d 2h
Amat 0200-10491 Insulator,pwr Side,alumina,dpa , 2nd
Amat 0200-10491 Insulator,pwr Side,alumina,dpa , 2nd
550.00  14d 1h
AMAT 0020-22237 Cover Ring, 8" 424116
AMAT 0020-22237 Cover Ring, 8" 424116
895.00 21d 17h
O-ring Du353tep10;2127-000003-11
O-ring Du353tep10;2127-000003-11
2,880.00  7d 6h
SCI Solid Controls 428-400 Firing Controller Board PCB Card 428-399  Working
SCI Solid Controls 428-400 Firing Controller Board PCB Card 428-399  Working
806.18  2d 18h
Ham-let HMB1-4VKLC-GM4GF4GF4-2.35 Diaphragm Valve, N/C, 60-90 PSI, 452458
Ham-let HMB1-4VKLC-GM4GF4GF4-2.35 Diaphragm Valve, N/C, 60-90 PSI, 452458
150.00 23d 17h
Ham-let HMB1-4VKLC-GM4GF4GF4-2.35 Diaphragm Valve, N/C, 60-90 PSI, 452461
Ham-let HMB1-4VKLC-GM4GF4GF4-2.35 Diaphragm Valve, N/C, 60-90 PSI, 452461
150.00 23d 18h
Ham-Let HM20 4VKLC GF4 150 PSI Shut Off Valve, 452465
Ham-Let HM20 4VKLC GF4 150 PSI Shut Off Valve, 452465
150.00 27d 15h
Ham-Let HM20 4VKLC GF4 150 PSI Shut Off Valve, 452466
Ham-Let HM20 4VKLC GF4 150 PSI Shut Off Valve, 452466
150.00 27d 15h
Ham-Let HM20 4VKLC GF4 150 PSI Shut Off Valve, 452467
Ham-Let HM20 4VKLC GF4 150 PSI Shut Off Valve, 452467
150.00 27d 15h
Ham-Let HM20 4VKLC GF4 150 PSI Shut Off Valve, 452468
Ham-Let HM20 4VKLC GF4 150 PSI Shut Off Valve, 452468
150.00 27d 15h
Ham-let HMB1-4VKLC-GM4GF4GF4-2.35 Diaphragm Valve, N/C, 60-90 PSI, 452457
Ham-let HMB1-4VKLC-GM4GF4GF4-2.35 Diaphragm Valve, N/C, 60-90 PSI, 452457
150.00 23d 17h
Ham-let HMB1-4VKLC-GM4GF4GF4-2.35 Diaphragm Valve, N/C, 60-90 PSI, 452462
Ham-let HMB1-4VKLC-GM4GF4GF4-2.35 Diaphragm Valve, N/C, 60-90 PSI, 452462
150.00 23d 18h
Ham-let HMB1-4VKLC-GM4GF4GF4-2.35 Diaphragm Valve, N/C, 60-90 PSI, 452463
Ham-let HMB1-4VKLC-GM4GF4GF4-2.35 Diaphragm Valve, N/C, 60-90 PSI, 452463
150.00 23d 18h
Ham-Let HM20 4VKLC GF4 150 PSI Shut Off Valve, 452464
Ham-Let HM20 4VKLC GF4 150 PSI Shut Off Valve, 452464
150.00 27d 15h
TDK TAS-MAIN Rev.4.30B Circuit Board TAS-CPU Rev.2.10 TAS-300 F1
TDK TAS-MAIN Rev.4.30B Circuit Board TAS-CPU Rev.2.10 TAS-300 F1
300.00  5d 5h
Asm 11-148252-02 Spares-vessel Rebuild Kit Lpv Gas Lines
Asm 11-148252-02 Spares-vessel Rebuild Kit Lpv Gas Lines
1,807.18  25d 0h
VEM Te Target 52S0003 99.99% Pure
VEM Te Target 52S0003 99.99% Pure
414.00  28d 11h
OKi Techcon Pump Cleaning Kit 5000-013-000 10002 for MRO NOS Sealed Bag
OKi Techcon Pump Cleaning Kit 5000-013-000 10002 for MRO NOS Sealed Bag
23.00  25d 19h
ASM 02-180574D01 Assembly RLL Top Cover
ASM 02-180574D01 Assembly RLL Top Cover
2,106.18  24d 21h
ASM 02-180573D01 Assembly LLL Top Cover
ASM 02-180573D01 Assembly LLL Top Cover
2,106.18  24d 21h
Applied Materials AMAT Flexible Heater Kit 75W, 115 VAC, 1”x24”  LOT OF 3
Applied Materials AMAT Flexible Heater Kit 75W, 115 VAC, 1”x24”  LOT OF 3
99.00 9d 17h
MPM Magnetic Board Support Block
MPM Magnetic Board Support Block
119.99 14d 17h
Afadc10g Pcb 449-7102 Hcd79a
Afadc10g Pcb 449-7102 Hcd79a
367.40  15d 21h
AMAT 0010-10371 Rev 004 APPLIED MATERIALS  7993-02 Rev C
AMAT 0010-10371 Rev 004 APPLIED MATERIALS 7993-02 Rev C
375.00  26d 12h
Varian E11303640 Quad Serial Chassis *powers Up Successfully*
Varian E11303640 Quad Serial Chassis *powers Up Successfully*
1,499.00  27d 13h
SVG 99-80323-01 Vacuum Sensor PC Board
SVG 99-80323-01 Vacuum Sensor PC Board
99.99  17d 12h
(Lot of 5) Surpass Industry DV-25A0 Digital Pressure Sensor Monitor Display
Top-Rated Plus Seller (Lot of 5) Surpass Industry DV-25A0 Digital Pressure Sensor Monitor Display
60.99  24d 1h
ASM 02-193179-01 Assembly Gauge Lift Pin
ASM 02-193179-01 Assembly Gauge Lift Pin
1,606.18  24d 21h
ATMI Unichem 2900
ATMI Unichem 2900
4,250.00  15d 13h
DS Techno S3L30296 Smooth Quartz Disc  Surplus
DS Techno S3L30296 Smooth Quartz Disc  Surplus
2,505.18  7d 18h
Microline Reverse Osmosis R.O. Comparator R7075
Microline Reverse Osmosis R.O. Comparator R7075
49.99  10d 18h
 Cosense/Measurement Specialties 091-20201 Transmitter/Controller??
 Cosense/Measurement Specialties 091-20201 Transmitter/Controller??
349.99 3d 20h
99-80271-01 / Mother Bd, Pcb, Sen, Multiple / Svg
99-80271-01 / Mother Bd, Pcb, Sen, Multiple / Svg
708.60  13d 20h
985-619c / Permanent Magnet Dc Gear Motor / Leeson Electric Corp.
985-619c / Permanent Magnet Dc Gear Motor / Leeson Electric Corp.
583.00  8d 19h
Swagelok SS-45S8, Ball Valve, 452165
Swagelok SS-45S8, Ball Valve, 452165
65.00 14d 15h
1000-0003 / Pcb Transport Controller / Axcelis Technologies
1000-0003 / Pcb Transport Controller / Axcelis Technologies
500.00  24d 19h
64-81813-00 / C & D Cont Display Pcb Board Module Card / Applied Materials Amat
64-81813-00 / C & D Cont Display Pcb Board Module Card / Applied Materials Amat
324.99  9d 14h
0100-02075 / Override Pcb Sa-bf3 / Applied Materials Amat
0100-02075 / Override Pcb Sa-bf3 / Applied Materials Amat
290.00  9d 15h
Tzp80-2405/stzp80-2405/s / Converter Ac/dc (tzp 80) 55438 / Axcelis Technologies
Tzp80-2405/stzp80-2405/s / Converter Ac/dc (tzp 80) 55438 / Axcelis Technologies
704.52  9d 16h
857-033622-400 / Kit,upr Fr,supports, E4, Metric Hw / Lam Research Corporation
857-033622-400 / Kit,upr Fr,supports, E4, Metric Hw / Lam Research Corporation
300.00  13d 17h
Bm18673o5 / Std Bus Io 8in 8out / Pri
Bm18673o5 / Std Bus Io 8in 8out / Pri
375.00  14d 20h
715-031752-207 / Lower Cap Electrode 6 In / Lam
715-031752-207 / Lower Cap Electrode 6 In / Lam
550.03  9d 17h
1747-l542 / Frn 11 Slc 500 Slc 5/04 Controller (120-32599-000) / Allen-bradley
1747-l542 / Frn 11 Slc 500 Slc 5/04 Controller (120-32599-000) / Allen-bradley
596.85  16d 15h
0020-32963 / Cover,back,hv Center,esc /  Applied Materials Amat
0020-32963 / Cover,back,hv Center,esc / Applied Materials Amat
350.54  23d 19h
B0054100 / Shibaura, Lai0120 Pcb / Shibatec
B0054100 / Shibaura, Lai0120 Pcb / Shibatec
363.99  21d 17h
0020-70272 / Pivot, One Piece Right / Applied Materials Amat
0020-70272 / Pivot, One Piece Right / Applied Materials Amat
359.70  13d 20h
0020-40683 / Coupling, Flex / Applied Materials Amat
0020-40683 / Coupling, Flex / Applied Materials Amat
631.76  14d 13h
Paa300f-24 Xdak / Psu 24vdc Qx+ Stepscan Cabinet / Applied Materials Amat
Paa300f-24 Xdak / Psu 24vdc Qx+ Stepscan Cabinet / Applied Materials Amat
340.99  15d 13h
For2b0001 / Valve-4 Way 002-0005 / Sms
For2b0001 / Valve-4 Way 002-0005 / Sms
311.85  14d 14h
Ta100014 / Digital Brain Board / Novellus Systems Inc
Ta100014 / Digital Brain Board / Novellus Systems Inc
500.82  16d 19h
7142-0019-0002 / Slit-pinned,fixed Arc, Moly / Eaton
7142-0019-0002 / Slit-pinned,fixed Arc, Moly / Eaton
327.82  17d 16h
813 054-08 / Model Al Lida 28, Senor Head, 30v / Heidenhain
813 054-08 / Model Al Lida 28, Senor Head, 30v / Heidenhain
368.68  16d 1h
0020-95749 / Enclosure Va 360 Modifi- Cation / Applied Materials Amat
0020-95749 / Enclosure Va 360 Modifi- Cation / Applied Materials Amat
411.34  21d 19h
17236730 / Liner Vg Pump Hosing     / Axcelis Technologies
17236730 / Liner Vg Pump Hosing / Axcelis Technologies
372.60  26d 19h
313806 / Tc Assembly Mcu / Axcelis Technologies
313806 / Tc Assembly Mcu / Axcelis Technologies
299.25  28d 18h
0100-09117 / Dio Fuse Bd. Rev. B / Applied Materials Amat
0100-09117 / Dio Fuse Bd. Rev. B / Applied Materials Amat
494.86  2d 19h
381-600196-7 / 308-600196-3, Scrubber 1/0 Board / Tokyo Electron Tel
381-600196-7 / 308-600196-3, Scrubber 1/0 Board / Tokyo Electron Tel
350.99  3d 13h
715-000015-002 / Mount Base Load Lock / Lam Research Corporation
715-000015-002 / Mount Base Load Lock / Lam Research Corporation
675.99  4d 16h
0100-90468 / Pwba Charge Voltage C.l.c.c. / Applied Materials Amat
0100-90468 / Pwba Charge Voltage C.l.c.c. / Applied Materials Amat
377.99  9d 14h
233810a / 0200-09092, Sp Etch Ring / Xycarb Ceramics
233810a / 0200-09092, Sp Etch Ring / Xycarb Ceramics
349.99  15d 14h
D126092 / React Sput Shield / Tokyo Electron Tel
D126092 / React Sput Shield / Tokyo Electron Tel
550.68  16d 21h
Tas-led / Circuit Board / Tdk
Tas-led / Circuit Board / Tdk
291.99  21d 16h
630030170.00 / Pcb / Asm America Inc
630030170.00 / Pcb / Asm America Inc
345.99  24d 16h
Ap1101shm2pw 2pw Mv4 Mv4 / Regulator-dcs / Ap Tech
Ap1101shm2pw 2pw Mv4 Mv4 / Regulator-dcs / Ap Tech
422.10  12d 12h
0015-09056 / Bellows,lift Solid,amt 0015-09056 / Applied Materials Amat
0015-09056 / Bellows,lift Solid,amt 0015-09056 / Applied Materials Amat
384.88  5d 13h
2981-600566-1 / Board, Dc/dc #02 / Tokyo Electron Tel
2981-600566-1 / Board, Dc/dc #02 / Tokyo Electron Tel
698.03  7d 21h
2172582/ Model 7617 Communications Interface Module / Bruce Industrial Controls
2172582/ Model 7617 Communications Interface Module / Bruce Industrial Controls
310.00  16h 29m
Bm06241r / Amp Servo Low Pwr Pb02807 / Brooks Automation Inc
Bm06241r / Amp Servo Low Pwr Pb02807 / Brooks Automation Inc
350.82  26d 20h
778-1i / Arm Rev 303 / Hine Design
778-1i / Arm Rev 303 / Hine Design
420.66  28d 12h
S2-0703 / 2300 Flex Ex+process Model / Lam Research Corporation
S2-0703 / 2300 Flex Ex+process Model / Lam Research Corporation
600.00  7d 15h
4845-0164 / Heater Blanket 4.5 El45lr A1 120v 101w 0.84a / Mks
4845-0164 / Heater Blanket 4.5 El45lr A1 120v 101w 0.84a / Mks
280.99  7d 16h
20500.114 / Eke Fiber Optic Light Source / Leeds
20500.114 / Eke Fiber Optic Light Source / Leeds
300.00  9d 13h
0021-39943 / Liner,outer Pump Port / Applied Materials
0021-39943 / Liner,outer Pump Port / Applied Materials
750.98  15d 17h
0020-31389  /cylinder Flow Thru 8/ Amat
0020-31389 /cylinder Flow Thru 8/ Amat
490.98  5d 18h
0040-70150 / Wldmnt Arm Source Wide B / Applied Materials
0040-70150 / Wldmnt Arm Source Wide B / Applied Materials
500.98  6d 16h
Lc621p / Top Loading Balance With Deep Cup / Sartorius
Lc621p / Top Loading Balance With Deep Cup / Sartorius
485.98  15d 16h
Bm303010000 / Assy,bd,station Ring-pmi / Brooks Automation Inc
Bm303010000 / Assy,bd,station Ring-pmi / Brooks Automation Inc
533.00  15d 18h
Bgr-016793 / Ifl Board / Advantest
Bgr-016793 / Ifl Board / Advantest
600.98  24d 17h
110114100 / Axcelis Energy Slit Controller / Axcelis
110114100 / Axcelis Energy Slit Controller / Axcelis
500.00  2d 13h
J69944 / Amat Bias Power Supply / Amat
J69944 / Amat Bias Power Supply / Amat
550.82  16h 45m
715-011639-008 / Cap Electrode Thin Edge / Lam Research Corporation
715-011639-008 / Cap Electrode Thin Edge / Lam Research Corporation
412.50  17d 16h
2000573 / Y Scan Sensor Assy 11s4008 / Axcelis Technologies
2000573 / Y Scan Sensor Assy 11s4008 / Axcelis Technologies
352.80  19d 13h
Gepi-001 / Dns Sk-200 Rf Switch / Dns
Gepi-001 / Dns Sk-200 Rf Switch / Dns
350.03  21d 14h
1507380 / Pcb Assy Exch Intfc / Axcelis Technologies
1507380 / Pcb Assy Exch Intfc / Axcelis Technologies
319.80  24d 16h
0620-02748 / Position Assembly, Position Indicator Gate Valve / Amat
0620-02748 / Position Assembly, Position Indicator Gate Valve / Amat
565.00  11d 13h
Dii38-25-011z / Bei 23/8" 9820 Motor Robot Travel / Bei Ideacod
Dii38-25-011z / Bei 23/8" 9820 Motor Robot Travel / Bei Ideacod
280.99  11d 13h
1-a08823-^a / Xt Er Protection Cover (lexan) / Tsm Hitachi
1-a08823-^a / Xt Er Protection Cover (lexan) / Tsm Hitachi
400.00  21d 15h
Star 1510-1-1976mxx /ball Screw, Sipa X Axis W Nut/ Jl Souser & Associates, Inc
Star 1510-1-1976mxx /ball Screw, Sipa X Axis W Nut/ Jl Souser & Associates, Inc
418.09  13d 13h
AMAT 0020-22237 Cover Ring, 8" 424118
AMAT 0020-22237 Cover Ring, 8" 424118
895.00 21d 18h
Mqmz042aa3 / Ac Servo Motor Ml:mqmz042aaf W/ Able Reducer / Panasonic
Mqmz042aa3 / Ac Servo Motor Ml:mqmz042aaf W/ Able Reducer / Panasonic
650.99  3d 16h
Bgo-022241x07 / Advantest Pcb / Advantest
Bgo-022241x07 / Advantest Pcb / Advantest
480.62  8d 21h
Bh8-0859-01 / Bg9-4087, Bg8-3009 / Canon
Bh8-0859-01 / Bg9-4087, Bg8-3009 / Canon
298.99  20d 15h
690-8051-001 / 24 Channel Pcb / Perkin Elmer
690-8051-001 / 24 Channel Pcb / Perkin Elmer
500.99  20d 17h
1730-2215 / Pcb M Dot Display / Schumacher
1730-2215 / Pcb M Dot Display / Schumacher
383.99  28d 15h
905493-001 / Pcb, Card Detector Orifice / Watkins Johnson
905493-001 / Pcb, Card Detector Orifice / Watkins Johnson
318.99  2d 19h
0021-09098 / Ring Separator Mxp Liner / Applied Materials Amat
0021-09098 / Ring Separator Mxp Liner / Applied Materials Amat
397.67  9d 16h
0010-01004 / Line Gas Feed Assy / Applied Materials Amat
0010-01004 / Line Gas Feed Assy / Applied Materials Amat
400.99  16d 20h
0068200100 / Table Insert / Varian
0068200100 / Table Insert / Varian
425.82  12d 12h
5044-000281-11 / Buffer Add On Board (80-64) /  Tokyo Electron Tel
5044-000281-11 / Buffer Add On Board (80-64) / Tokyo Electron Tel
540.62  13d 20h
0020-21684 / Case, Window / Applied Materials Amat
0020-21684 / Case, Window / Applied Materials Amat
500.99  17d 14h
3870-01485 Pkg Of 2 / Valve Mnl Diaph 3500psi 1/4vcr-m/m 1/4shrt-hdl Sst/ Amat
3870-01485 Pkg Of 2 / Valve Mnl Diaph 3500psi 1/4vcr-m/m 1/4shrt-hdl Sst/ Amat
307.77  2d 16h
0242-11543 / Kit Heater Pm Replacement / Applied Materials Amat
0242-11543 / Kit Heater Pm Replacement / Applied Materials Amat
420.00  13d 20h
0150-21357 / Chamber C Interconnect / Applied Materials Amat
0150-21357 / Chamber C Interconnect / Applied Materials Amat
345.45  19d 22h
0020-30447 / Plate,blocker Sx 6 In / Applied Materials Amat
0020-30447 / Plate,blocker Sx 6 In / Applied Materials Amat
320.15  21d 22h
217123 / Lamp Assy,mhd / Kla Tencor
217123 / Lamp Assy,mhd / Kla Tencor
750.00  7d 13h
AVAL DATA CORP AVME-344A PHPTO ISO, I/O board
AVAL DATA CORP AVME-344A PHPTO ISO, I/O board
179.90 9d 8h
Nikon 4S013-369 Backplane Interface Board PCB STGSTCAFX4 NSR System  Working
Nikon 4S013-369 Backplane Interface Board PCB STGSTCAFX4 NSR System  Working
1,207.18  7d 13h
Compact Asia Pacific Manifold Teebow 220-34405-000 30 Count Lot
Compact Asia Pacific Manifold Teebow 220-34405-000 30 Count Lot
569.99 2d 15h
Cpx 0500m / Compax Controller 951-100200 / Parker
Cpx 0500m / Compax Controller 951-100200 / Parker
5,500.82  3d 11h
Ep1676 /shield / Ips Ltd
Ep1676 /shield / Ips Ltd
7,695.97  29d 16h
APPLIED MATERIALS 0020-37497 Bracket
APPLIED MATERIALS 0020-37497 Bracket
344.99  7d 20h
Manual for UT Stepper 1000
Manual for UT Stepper 1000
200.00  1d 4h
Applied Materials AMAT NW 40 Adaptor, 0040-90187
Applied Materials AMAT NW 40 Adaptor, 0040-90187
145.00  17d 8h
SMC 139007 Safety Switch
SMC 139007 Safety Switch
90.33 25d 11h
130-0303// Hana Kvme-21j1 Board
130-0303// Hana Kvme-21j1 Board
600.00 2d 4h
Irkd91-04. 90 Amp, 400 Volt Diode,  10 Pc Lot
Irkd91-04. 90 Amp, 400 Volt Diode,  10 Pc Lot
600.00  26d 14h
Buhner & Schaible Contactor  N81
Buhner & Schaible Contactor N81
27.99  26d 14h
Applied Materials AMAT Kit Main AC Trip Unit 0242-75001
Applied Materials AMAT Kit Main AC Trip Unit 0242-75001
750.00  29d 8h
AVAL DATA FDC/SCSI AVME-322A BOARD, Free shipping
AVAL DATA FDC/SCSI AVME-322A BOARD, Free shipping
179.90 12d 5h
Progressive-tech 4301c Dgls Control Panel
Progressive-tech 4301c Dgls Control Panel
130.00 17d 21h
Nikon DD-T98030C Pre2 Detector Interface Board PCB TPC-ML NSR System
Nikon DD-T98030C Pre2 Detector Interface Board PCB TPC-ML NSR System
1,509.18  2d 17h
AMAT Applied Materials 0021-03526 BPSG Slotted Outer Ring  Surplus
AMAT Applied Materials 0021-03526 BPSG Slotted Outer Ring  Surplus
1,201.19  19d 16h
VEM CdTe Target 48S0003
VEM CdTe Target 48S0003
343.62  27d 17h
70013-01 Svg Hpo Heater
70013-01 Svg Hpo Heater
650.00  2d 18h
Smc Ex120-sdn1
Smc Ex120-sdn1
450.00  2d 21h
Svg 80073b Display Board
Svg 80073b Display Board
599.00  6d 21h
FEI Company EVA-DA-2  with 14 day warranty
FEI Company EVA-DA-2 with 14 day warranty
1,900.00 2d 22h
Omron T2-W5F2 Proximity Switch, Sensor, 451705
Omron T2-W5F2 Proximity Switch, Sensor, 451705
120.00 23d 20h
AMAT 0020-20164 Cover, Insulator Source, 419563
AMAT 0020-20164 Cover, Insulator Source, 419563
850.00 6d 16h
Asyst Technologies EMA 18-010968 G 4900 Loader  Working
Asyst Technologies EMA 18-010968 G 4900 Loader  Working
3,155.12  23d 19h
5 AMAT 0020-20837 Magnet, 451728
5 AMAT 0020-20837 Magnet, 451728
50.00 24d 20h
1c693mdl752 / Ge Fanuc Series 90-30 10-slot Cpu Plc System / Ge Fanuc
1c693mdl752 / Ge Fanuc Series 90-30 10-slot Cpu Plc System / Ge Fanuc
450.68  28d 16h
ENGAGE NETWOTKS INC Serial Number 1072
ENGAGE NETWOTKS INC Serial Number 1072
150.00  15d 22h
Asm 04-404548-01 Susceptor 300mm Capped
Asm 04-404548-01 Susceptor 300mm Capped
2,507.18  24d 22h
V-3214a / Reverse Arm, Motor / Samsung America Inc
V-3214a / Reverse Arm, Motor / Samsung America Inc
750.68  9d 22h
Drytek / Lam Keyboard Filter Board. P/N 2801110
Top-Rated Plus Seller Drytek / Lam Keyboard Filter Board. P/N 2801110
225.00  19d 11h
678827 / 4 T/c Mount Adaptor / Applied Materials Amat
678827 / 4 T/c Mount Adaptor / Applied Materials Amat
468.26  12d 14h
046124 / Ion Pac A514 Analytical Column / Dionex Corporation
046124 / Ion Pac A514 Analytical Column / Dionex Corporation
398.75  14d 21h
Pozzetta PH800WS 200mm Wafer Shipper Clear Polycarbonate Case Container
Pozzetta PH800WS 200mm Wafer Shipper Clear Polycarbonate Case Container
28.99  27d 11h
AVAL DATA MPU-31/ AVME-131F Board, Free shipping
AVAL DATA MPU-31/ AVME-131F Board, Free shipping
189.90 15d 1h
ASM 16-400505-01 PLATE-INSULATOR  Surplus
ASM 16-400505-01 PLATE-INSULATOR  Surplus
2,807.18  25d 0h
ASM 16-190115U01 Plate RC Base Refurbished
ASM 16-190115U01 Plate RC Base Refurbished
1,106.18  24d 22h
Lam 713-002617-002 WDO,POLYCARB,CHMBR,DUAL SEAL,22.5"Dia; factory sealed package
Lam 713-002617-002 WDO,POLYCARB,CHMBR,DUAL SEAL,22.5"Dia; factory sealed package
2,400.00  25d 14h
Bg6-0476-r00 Pcb
Bg6-0476-r00 Pcb
545.00  3d 20h
Drytek / Lam 384T Digital Readout Assembly, P/N 600961
Top-Rated Plus Seller Drytek / Lam 384T Digital Readout Assembly, P/N 600961
200.00  11d 16h
Axcelis Shield Exit Outer - Graphite VIG Version 17122890 REV A (99466V)
Axcelis Shield Exit Outer - Graphite VIG Version 17122890 REV A (99466V)
300.00  6d 9h
X15080 Rev. E Printed Circuit Board
X15080 Rev. E Printed Circuit Board
750.00 10d 14h
BTU Engineering 3161181 Video Assembly PCB Card 3161180  Working
BTU Engineering 3161181 Video Assembly PCB Card 3161180  Working
402.19  18d 15h
Nikon 4S007-664 Relay Sensor Board PCB FIAAF-SENSOR-D NSR-S306C System
Nikon 4S007-664 Relay Sensor Board PCB FIAAF-SENSOR-D NSR-S306C System
1,008.18  11d 19h
Nikon 4S007-609 Interface Board PCB PPD-EXBDY NSR System  Working
Nikon 4S007-609 Interface Board PCB PPD-EXBDY NSR System  Working
1,008.18  17d 14h
0720-106699 / Ring,floating Dr200mm 0720-106699 / Novellus Systems Inc
0720-106699 / Ring,floating Dr200mm 0720-106699 / Novellus Systems Inc
284.90  14d 19h
118871-001 / 118871-002, Siemens Valve Interconnect Board / Thermco
118871-001 / 118871-002, Siemens Valve Interconnect Board / Thermco
400.99  20d 15h
Nikon 4S007-663 FIAAF Sensor Interface Board PCB FIAAF-SENSOR-A NSR-S202A
Nikon 4S007-663 FIAAF Sensor Interface Board PCB FIAAF-SENSOR-A NSR-S202A
856.18  25d 18h
Trikon Technologies 701067 Vzs Seagoe Advanced Ceranics W37792 Isolator Ring Top
Trikon Technologies 701067 Vzs Seagoe Advanced Ceranics W37792 Isolator Ring Top
399.99  9d 16h
7544 Mge Pcb Carte Aroi Ups Board 51028161xd-2ca
7544 Mge Pcb Carte Aroi Ups Board 51028161xd-2ca
239.65  5d 7h
345-0101// Amat Applied 1120-01082 Probe Fiber Optic W/tc+10ft Ca
345-0101// Amat Applied 1120-01082 Probe Fiber Optic W/tc+10ft Ca
200.00 3d 3h
Applied Materials AMAT Pressure Switch, 1270-00485
Applied Materials AMAT Pressure Switch, 1270-00485
395.00  29d 8h
Applied Materials AMAT ECI Syringe, 3350-00006
Applied Materials AMAT ECI Syringe, 3350-00006
345.00  17d 8h
Nikon 4S018-137-C Relay Card PCB ZTDRV8 NSR-S202A  Working
Nikon 4S018-137-C Relay Card PCB ZTDRV8 NSR-S202A  Working
1,504.18  8d 14h
Nikon 4S007-778 Interface Control Board PCB BLXXX NSR-S202A  Working
Nikon 4S007-778 Interface Control Board PCB BLXXX NSR-S202A  Working
806.18  24d 19h
KoMiCo Technology S31A-GD-010-A GDP 1.0mm Plate 500080005  Working
KoMiCo Technology S31A-GD-010-A GDP 1.0mm Plate 500080005  Working
507.15  9d 18h
7545 Mge Pcb Carte Croi Ups Board 51028053xd-1-ca
7545 Mge Pcb Carte Croi Ups Board 51028053xd-1-ca
210.42  5d 7h
KIKUSHI PMC250-0.25A / Free International Shipping
KIKUSHI PMC250-0.25A / Free International Shipping
399.00 24d 5h
AMAT 0200-40208A, BAFFLE, Si
AMAT 0200-40208A, BAFFLE, Si
345.00  19d 16h
0050-90526  /     Manifold Dual Ihc Assy 300mm Hdpcvd / Applied Materials Amat
0050-90526 / Manifold Dual Ihc Assy 300mm Hdpcvd / Applied Materials Amat
950.62  7d 17h
H120b6-ee / Standard Vacuum Pump / Piab
H120b6-ee / Standard Vacuum Pump / Piab
825.98  18h 20m
Svg 99-16895-01 Upper Housing Assy Dev
Svg 99-16895-01 Upper Housing Assy Dev
325.00  21h 29m
AMAT Applied Materials 0010-00357 Flat Finder
AMAT Applied Materials 0010-00357 Flat Finder
3,507.17  24d 23h
Atmi Sr2bdafb-050614 Smartprobe Pressure Relief Bag In A Bottle System
Atmi Sr2bdafb-050614 Smartprobe Pressure Relief Bag In A Bottle System
249.99  21d 14h
TEL Tokyo Electron 3D81-000040-V2 PCB 3D81-000041-V2 3D81-000020-V3
TEL Tokyo Electron 3D81-000040-V2 PCB 3D81-000041-V2 3D81-000020-V3
1,205.15  20d 17h
Shinko Electric 3ASSYC805600 Battery Board PCB M166A Asyst VHT5-1-1  Working
Shinko Electric 3ASSYC805600 Battery Board PCB M166A Asyst VHT5-1-1  Working
809.18  17d 17h
421-31-6440-1 / Cu1316640 Qtz Bottle Hqdr / Komatsu
421-31-6440-1 / Cu1316640 Qtz Bottle Hqdr / Komatsu
5,690.82  26d 11h
0242-24854 / Kit, Gvde, 200mm Dpn / Applied Materials Amat
0242-24854 / Kit, Gvde, 200mm Dpn / Applied Materials Amat
10,500.82  5d 11h
0040-20100 / Magnet / Applied Materials
0040-20100 / Magnet / Applied Materials
7,200.97  11d 18h
121447700/ Head,assy,gimbal / Lam
121447700/ Head,assy,gimbal / Lam
4,800.97  25d 17h
552547400 / Monitor,touchscrn,18 In / Lam
552547400 / Monitor,touchscrn,18 In / Lam
4,950.97  25d 18h
0010-31177 / 300mm Magnet /applied Materials
0010-31177 / 300mm Magnet /applied Materials
7,950.97  17h 1m
0010-70166 / Storage Elevator 15 Slot/ Applied Materials
0010-70166 / Storage Elevator 15 Slot/ Applied Materials
7,995.00  13d 16h
28877157 / U500 Ultra Es13497 Pcb / Kla Tencor
28877157 / U500 Ultra Es13497 Pcb / Kla Tencor
7,500.82  22d 20h
0010-04561 / Assembly, Biased Electrode, Ac Box / Applied Materials
0010-04561 / Assembly, Biased Electrode, Ac Box / Applied Materials
4,500.00  9d 16h
2187-384446-11 / 46 Heater 80a, 1-e / Tokyo Electron Tel
2187-384446-11 / 46 Heater 80a, 1-e / Tokyo Electron Tel
4,780.00  5d 14h
Ds2110-361026-11 / Reducing, 100a X 80a / Tokyo Electron Tel
Ds2110-361026-11 / Reducing, 100a X 80a / Tokyo Electron Tel
7,500.82  24d 10h
0040-87756 / Upper Chamber Liner/ Applied Materials
0040-87756 / Upper Chamber Liner/ Applied Materials
12,000.97  15d 18h
0040-03046 /  Chamber, Common Body, Ticl4 Ti/tin/ Applied Materials
0040-03046 / Chamber, Common Body, Ticl4 Ti/tin/ Applied Materials
5,050.82  16d 17h
AMAT 0020-20164 Cover, Insulator Source, 419564
AMAT 0020-20164 Cover, Insulator Source, 419564
850.00 6d 16h
AMAT 0020-22237 Cover Ring, 8" 424122
AMAT 0020-22237 Cover Ring, 8" 424122
895.00 21d 20h
0200-00175 /dome Ceramic, Poly Dps Chamber/ Applied Materials
0200-00175 /dome Ceramic, Poly Dps Chamber/ Applied Materials
5,610.00  12d 13h
Ds2180-020625-11 / Mica Heater 24131-c03-1642 / Tokyo Electron Tel
Ds2180-020625-11 / Mica Heater 24131-c03-1642 / Tokyo Electron Tel
9,180.00  12d 15h
2-8227713-a / Lam Ash Chamber Base / Lam Research Corporation
2-8227713-a / Lam Ash Chamber Base / Lam Research Corporation
7,500.68  22d 21h
TEL Tokyo Electron 3D81-000044-V3 PCB 3D81-000045-V2 3D81-000020-V3
TEL Tokyo Electron 3D81-000044-V3 PCB 3D81-000045-V2 3D81-000020-V3
1,205.15  20d 17h
0020-75872, Hoop 6” Hthu Heater
0020-75872, Hoop 6” Hthu Heater
3,050.00  24d 21h
0100-02146 / Gap Servo Assy / Applied  Material Amat
0100-02146 / Gap Servo Assy / Applied Material Amat
990.00  19d 19h
Applied Materials AMAT Gas Line, 0050-40520
Applied Materials AMAT Gas Line, 0050-40520
525.00  18d 11h
Applied Materials 0240-86362 Kit, Electrical Integration
Applied Materials 0240-86362 Kit, Electrical Integration
250.00  8d 2h
Applied Materials 0680-00339 CB MAG THERM 3P 480VAC 300A TRIP UNIT M-FRAME
Applied Materials 0680-00339 CB MAG THERM 3P 480VAC 300A TRIP UNIT M-FRAME
290.00  24d 13h
15-139579-00  / Weight Clamp/novellus
15-139579-00 / Weight Clamp/novellus
1,000.00  1d 20h
Nikon NSR Series Controller BMU-IOP 4S064-363
Nikon NSR Series Controller BMU-IOP 4S064-363
2,000.00  18d 8h
AMAT 1200-00178, RLY Assy Mapping, Interlock, 200MM FAB, 410502
AMAT 1200-00178, RLY Assy Mapping, Interlock, 200MM FAB, 410502
1,750.00 23d 19h
Applied Materials AMAT Manifold Assembly, 0010-07322
Applied Materials AMAT Manifold Assembly, 0010-07322
1,495.00  29d 8h
4465  Applied Materials P/N: 0090-00011 AC Box Assy.
4465 Applied Materials P/N: 0090-00011 AC Box Assy.
2,300.00  11d 15h
32 AMAT 0050-77177 Tube RR, 451572
32 AMAT 0050-77177 Tube RR, 451572
40.00 12d 20h
Swagelok Cajon SS-8-VCR76-TQC SS Female NPT Connector Body 1/2", 451594
Swagelok Cajon SS-8-VCR76-TQC SS Female NPT Connector Body 1/2", 451594
25.00 13d 21h
Ametek P500 Gauge, 30 PSI, 451604
Ametek P500 Gauge, 30 PSI, 451604
15.00 18d 16h
AMAT 3870-02803 Check Valve, 451605
AMAT 3870-02803 Check Valve, 451605
95.00 18d 16h
Asyst 9701-4228-52 Cable Assy, 9701-4215-01, 7000-0421-04, 451642
Asyst 9701-4228-52 Cable Assy, 9701-4215-01, 7000-0421-04, 451642
95.00 18d 20h
Cable Assy 210186, 451668
Cable Assy 210186, 451668
95.00 19d 20h
Omron T2-W5F1 Proximity Switch, Sensor, 451706
Omron T2-W5F1 Proximity Switch, Sensor, 451706
60.00 23d 21h
AMAT 26-81342-00 Bushing, 451743
AMAT 26-81342-00 Bushing, 451743
95.00 25d 16h
AMAT 0020-70781 Plate 18KW PWRSP, 451752
AMAT 0020-70781 Plate 18KW PWRSP, 451752
50.00 25d 21h
Noshok 25-900-30/30 PSI/KPA Gauge, 1/4 NPT Bottom Conn, 451756
Noshok 25-900-30/30 PSI/KPA Gauge, 1/4 NPT Bottom Conn, 451756
45.00 26d 14h
AMAT 3300-04733 Water Hose Fitting, 329388
AMAT 3300-04733 Water Hose Fitting, 329388
95.00 3d 18h
AMAT 3400-01098 Hose Air 1/4 ID 200 PSI Syn (10'), 451901
AMAT 3400-01098 Hose Air 1/4 ID 200 PSI Syn (10'), 451901
75.00 15d 21h
Amat 1200-01445 Rly 4pdt 24v 5a, 451562
Amat 1200-01445 Rly 4pdt 24v 5a, 451562
50.00 12d 17h
10 SMC KQL07-34S-X23 Valve Fitting, 451609
10 SMC KQL07-34S-X23 Valve Fitting, 451609
20.00 18d 17h
AMAT 1270-03124 SW Toggle 4PST ON-NONE-OFF SCR-TERM, 451656
AMAT 1270-03124 SW Toggle 4PST ON-NONE-OFF SCR-TERM, 451656
50.00 19d 15h
10 Spears 1/2" PVC Connector, 451700
10 Spears 1/2" PVC Connector, 451700
20.00 23d 18h
Tokyo Electron 047-000275-1 Heat Shrinkable Tube, 451727
Tokyo Electron 047-000275-1 Heat Shrinkable Tube, 451727
50.00 24d 20h
Oriental Motor 5GN5K Gear Head, 453060
Oriental Motor 5GN5K Gear Head, 453060
95.00 17d 16h
Yaskawa Electric CACR-PR01-KA4BU AC Servo Unit SERVOPACK Controller
Yaskawa Electric CACR-PR01-KA4BU AC Servo Unit SERVOPACK Controller
807.18  25d 0h
Nikon 4S013-495 Illusion Unit Backplane Board PCB NSR-S307E  Working
Nikon 4S013-495 Illusion Unit Backplane Board PCB NSR-S307E  Working
806.18  7d 17h
 Asyst Fluorotrac System Tech Vlf-rd2008 *old Stock!*
 Asyst Fluorotrac System Tech Vlf-rd2008 *old Stock!*
319.97  23d 6h
Schumacher 1491-70376 Refill Controller Model SCM TFL
Schumacher 1491-70376 Refill Controller Model SCM TFL
907.18  25d 1h
11933 Opal Pcb, 702 Elv Supervisor, Ep70210213000 Ea70210224000
11933 Opal Pcb, 702 Elv Supervisor, Ep70210213000 Ea70210224000
461.10  28d 2h
Nikon 4S008-056 AIS Sensor Board PCB AISsns-X4 NSR-S205C Step-and-Repeat
Nikon 4S008-056 AIS Sensor Board PCB AISsns-X4 NSR-S205C Step-and-Repeat
912.18  9d 20h
Lam Research 852-017750-001 Remote  Untested As-Is
Lam Research 852-017750-001 Remote  Untested As-Is
1,305.16  20d 15h
Balzers BG 542 164 W Control Module PCB Card PC 201 PC201  Working
Balzers BG 542 164 W Control Module PCB Card PC 201 PC201  Working
808.16  7d 17h
89002 / Advanced Polymer Analyzer Apa 2000 / Alphatek
89002 / Advanced Polymer Analyzer Apa 2000 / Alphatek
17,000.00  14d 17h
35334-00 / Heater.aln,heater Assy / Genus Incorporated
35334-00 / Heater.aln,heater Assy / Genus Incorporated
17,892.10  5d 18h
2320769-02 / El-dvxx-trafo-sps-0.3 / Lam Research Corporation
2320769-02 / El-dvxx-trafo-sps-0.3 / Lam Research Corporation
16,815.70  5d 15h
0040-30144 Rev 006 Carrier Detect Shaft Bellows Ksm-238-1065
0040-30144 Rev 006 Carrier Detect Shaft Bellows Ksm-238-1065
199.99  12d 11h
Vicor Mission Power Solutions 700-0034-01 Power Supply 97923225
Vicor Mission Power Solutions 700-0034-01 Power Supply 97923225
249.00 3d 21h
Varian V87-310588 Scan Linearity
Varian V87-310588 Scan Linearity
500.00  21d 21h
Schumacher Cabinet Controller A9 1730-3003
Schumacher Cabinet Controller A9 1730-3003
347.60  23d 8h
Kawasaki 50999-2055R01 Processor PCB Card 1JP-51 Nikon NSR-S205C  Working
Kawasaki 50999-2055R01 Processor PCB Card 1JP-51 Nikon NSR-S205C  Working
4,502.19  22d 20h
AMAT Applied Materials 0020-10151 SHADOW RING 8in FLAT (MXP)  Surplus
AMAT Applied Materials 0020-10151 SHADOW RING 8in FLAT (MXP)  Surplus
907.18  24d 19h
Csm-065-a / Absorber Column / Cs Clean Systems, Inc
Csm-065-a / Absorber Column / Cs Clean Systems, Inc
2,660.97  8d 16h
Nikon 4S018-912 REX-DRV2 Driver Board PCB  Working
Nikon 4S018-912 REX-DRV2 Driver Board PCB  Working
1,912.17  28d 18h
Applied Materials AMAT Accusync, 0246-00040
Applied Materials AMAT Accusync, 0246-00040
145.00  29d 8h
Tylan General Cml-21 Pressure Transducer
Tylan General Cml-21 Pressure Transducer
240.00  6d 5h
LAM Applied Materials Metal Bracket 49 Count Lot 17-159336-00
LAM Applied Materials Metal Bracket 49 Count Lot 17-159336-00
399.99 2d 12h
Applied Materials AMAT Pressure Gauge, 3310-01240
Applied Materials AMAT Pressure Gauge, 3310-01240
725.00  10d 8h
Nanochem Bloc Purifier,  Nitrogen,  Old Stock
Nanochem Bloc Purifier, Nitrogen,  Old Stock
195.00  5d 15h
Applied Materials AMAT Pressure Transducer, 0015-02123
Applied Materials AMAT Pressure Transducer, 0015-02123
595.00  29d 8h
Nikon 4S007-667-A Processor Board PCB FIAAF PROCESS-D NSR-S202A  Working
Nikon 4S007-667-A Processor Board PCB FIAAF PROCESS-D NSR-S202A  Working
1,106.18  24d 19h
Nikon 4S007-668-A Processor Board PCB FIAAF PROCESS-A NSR-S202A  Working
Nikon 4S007-668-A Processor Board PCB FIAAF PROCESS-A NSR-S202A  Working
1,106.18  24d 20h
0140-76831 / Cable Assy / Applied Materials
0140-76831 / Cable Assy / Applied Materials
1,170.00  17h 27m
 Eeprom 10716f1803 Memory Chip Set
Top-Rated Plus Seller  Eeprom 10716f1803 Memory Chip Set
13.99  1d 21h
Lot of 11  F 74167PC 8225 Indonesia Integrated Circuit Semiconductor
Top-Rated Plus Seller Lot of 11  F 74167PC 8225 Indonesia Integrated Circuit Semiconductor
27.99  1d 21h
Nikon 4S013-847-1 Interface Board PCB AVRX8-I/F NSR-S620D ArF Immersion
Nikon 4S013-847-1 Interface Board PCB AVRX8-I/F NSR-S620D ArF Immersion
1,502.19  21h 4m
Honeywell TSQUTWBTM-08263 Target 49.5W/10Ti 0.38x7.715x11.640x13.050"
Honeywell TSQUTWBTM-08263 Target 49.5W/10Ti 0.38x7.715x11.640x13.050"
3,506.18  11d 21h
SCI Solid Controls 428-409 Smart Board PCB Card 428-408  Working
SCI Solid Controls 428-409 Smart Board PCB Card 428-408  Working
806.18  1d 14h
AMAT Applied Materials 0010-26777 300mm Target Gravity Scale Lock Left
AMAT Applied Materials 0010-26777 300mm Target Gravity Scale Lock Left
807.12  4d 13h
Applied Materials 0040-86514 Shield Lower Amat *un, Sealed*
Applied Materials 0040-86514 Shield Lower Amat *un, Sealed*
1,999.00  22d 10h
Applied Materials 0190-25959 Flange, Iso 200/ Iso 160 Amat *un, Sealed*
Applied Materials 0190-25959 Flange, Iso 200/ Iso 160 Amat *un, Sealed*
1,999.00  4d 13h
81710-01794-010 / Pcb Input Conditioning / Oerlikon
81710-01794-010 / Pcb Input Conditioning / Oerlikon
170.62  1d 0h
 ASML 4022.454.71022 Connecting Tube BP Assy FREE SHIPPING
 ASML 4022.454.71022 Connecting Tube BP Assy FREE SHIPPING
509.99  22d 16h
Nikon 4S008-034 Processor Board PCB WLAIR NSR-S205C Step-and-Repat  Working
Nikon 4S008-034 Processor Board PCB WLAIR NSR-S205C Step-and-Repat  Working
1,502.19  23d 15h
ASML 4022.486.67422 Stainless Steel Braided Hose
ASML 4022.486.67422 Stainless Steel Braided Hose
250.00  1d 6h
81710-05195-020 2020222b / Pcb Analog I/o Module Assy / Robotrol Corp
81710-05195-020 2020222b / Pcb Analog I/o Module Assy / Robotrol Corp
750.00  23d 19h
44742-03 / Gas Module Interface Pcb / Genus Incorporated
44742-03 / Gas Module Interface Pcb / Genus Incorporated
450.82  23d 16h
1b80-002817-11 / Buffer Add On Board 96-94 / Tokyo Electron
1b80-002817-11 / Buffer Add On Board 96-94 / Tokyo Electron
360.50  22d 16h
672534 / W Pcb, Battery / Applied Materials Amat
672534 / W Pcb, Battery / Applied Materials Amat
753.41  29d 18h
715-443098-001r / Ring;715-443098-001r,re-annodized / Lam
715-443098-001r / Ring;715-443098-001r,re-annodized / Lam
628.30  11d 20h
1746-iv16 / Slc500 24volt Digital Input 16 Channels / Allen-bradley
1746-iv16 / Slc500 24volt Digital Input 16 Channels / Allen-bradley
357.80  16d 14h
Bx81-070098-14 / Tgb809-17 Guage Ctrl Bx80-070379-14  /  Tokyo Electron Tel
Bx81-070098-14 / Tgb809-17 Guage Ctrl Bx80-070379-14 / Tokyo Electron Tel
349.99  19d 15h
2506386-21 / Asm Pcb / Asm America Inc
2506386-21 / Asm Pcb / Asm America Inc
725.68  26d 18h
Ta-2-81149 / Transformer, 120/24vac 500va / Acme Electric
Ta-2-81149 / Transformer, 120/24vac 500va / Acme Electric
287.99  19h 59m
0040-90001 / Shield, Top Hat / Applied Materials Amat
0040-90001 / Shield, Top Hat / Applied Materials Amat
752.51  28d 13h
951655 / Cable Black / Novellus Systems Inc
951655 / Cable Black / Novellus Systems Inc
365.75  13d 15h
D107313001 / D-107314001, Pii-ccc-2, Pcb / Asm America Inc
D107313001 / D-107314001, Pii-ccc-2, Pcb / Asm America Inc
375.99  16d 21h
Ncdq2b80-38-dum03456 / Actuator Centura Sl / Smc
Ncdq2b80-38-dum03456 / Actuator Centura Sl / Smc
646.82  4d 23h
Vj3133y-5fz (lot Of 3) / Solenoid Valve 0.15-0.7 Mpa / Smc
Vj3133y-5fz (lot Of 3) / Solenoid Valve 0.15-0.7 Mpa / Smc
300.99  15d 14h
985-618c / Torque Motor / Leeson Electric Corp.
985-618c / Torque Motor / Leeson Electric Corp.
583.00  8d 19h
99-002-004 / Pcb Motherboard 415 / Tegal
99-002-004 / Pcb Motherboard 415 / Tegal
420.62  22d 23h
9000467     / Pcb, Boatload Control, Panel, Bruce 7600 / Btu Engineering
9000467 / Pcb, Boatload Control, Panel, Bruce 7600 / Btu Engineering
414.62  14h 40m
64-115413a03 / Pcb, Dual Iso Drive / Asm America Inc
64-115413a03 / Pcb, Dual Iso Drive / Asm America Inc
748.62  1d 19h
D-101529002 / Parrallel I O / Varian
D-101529002 / Parrallel I O / Varian
600.00  1d 18h
3000mr / Guage Photohelic 3002mr-tamp     / Photon Control
3000mr / Guage Photohelic 3002mr-tamp / Photon Control
300.00  20d 18h
K658a004 / Pressure Sensor / Transducer / Edwards
K658a004 / Pressure Sensor / Transducer / Edwards
308.00  13h 58m
19-99555-04-05-06 / Cable Rnd To Flt / Chip Custom Config
19-99555-04-05-06 / Cable Rnd To Flt / Chip Custom Config
422.63  19d 15h
3006-tp / Photohelic Pressure Switch With Gauge / Dwyer Instruments, Inc
3006-tp / Photohelic Pressure Switch With Gauge / Dwyer Instruments, Inc
339.65  26d 15h
X5 Exhaust Flow Controller / X5 Exhaust Flow Controller / Dns
X5 Exhaust Flow Controller / X5 Exhaust Flow Controller / Dns
345.68  27d 14h
40416-01 / Tape Arm Vacuum Seal 5.0 Inches / Svg
40416-01 / Tape Arm Vacuum Seal 5.0 Inches / Svg
500.00  4d 14h
2548860-21 / Iinterface, Pwba Dual I/o 16-c / Asm America Inc
2548860-21 / Iinterface, Pwba Dual I/o 16-c / Asm America Inc
700.99  17d 16h
AMAT 0020-20164 Cover, Insulator Source, 419567
AMAT 0020-20164 Cover, Insulator Source, 419567
850.00 6d 16h
AMAT 0020-22237 Cover Ring, 8" 424123
AMAT 0020-22237 Cover Ring, 8" 424123
895.00 21d 20h
ASML 4022.486.67422 Stainless Steel Braided Hose
ASML 4022.486.67422 Stainless Steel Braided Hose
240.00  17d 9h
879-8076-002 / 851-7983-002 Pcb Drive Solenoid / Perkin Elmer
879-8076-002 / 851-7983-002 Pcb Drive Solenoid / Perkin Elmer
371.82  10d 20h
5000040/gould Contactor 600vac Max, 25amp Ind. 31.5 Amp Res, 2210 Dp-j/axcelis
5000040/gould Contactor 600vac Max, 25amp Ind. 31.5 Amp Res, 2210 Dp-j/axcelis
300.00  24d 16h
1-1264-001 / Reject Flex Punch 530v(dc) 25ma 60psi Input / Owens Design
1-1264-001 / Reject Flex Punch 530v(dc) 25ma 60psi Input / Owens Design
353.66  26d 17h
716-001163-001 / Ring, Wap 2&3, F Qtz, 10.35 Elct / Lam
716-001163-001 / Ring, Wap 2&3, F Qtz, 10.35 Elct / Lam
550.99  3d 13h
20885-04 / Shield, 200mm / Svg
20885-04 / Shield, 200mm / Svg
516.60  10d 16h
372m-37059-1 / Assy, Brain Bd Digital Rail#4 372m-37059     / Novellus Systems Inc
372m-37059-1 / Assy, Brain Bd Digital Rail#4 372m-37059 / Novellus Systems Inc
284.90  10d 19h
952570 / Ionizer / Novellus Systems Inc
952570 / Ionizer / Novellus Systems Inc
627.00  10d 20h
950972 / Input Module 24v,32pt / Novellus Systems Inc
950972 / Input Module 24v,32pt / Novellus Systems Inc
301.40  15d 13h
593-20337 / 07403-80003, Ambyx 6 Sbt Power Supply Adap Pcb / Micron
593-20337 / 07403-80003, Ambyx 6 Sbt Power Supply Adap Pcb / Micron
676.68  9d 15h
8201219 / Mnl Drawing Package Summit 200 / Axcelis Technologies
8201219 / Mnl Drawing Package Summit 200 / Axcelis Technologies
300.00  28d 18h
Rea32-z2727-375 / Pneumatic Sine Rodless Cylinder / Smc
Rea32-z2727-375 / Pneumatic Sine Rodless Cylinder / Smc
350.99  21d 15h
Sz3360-5nl0z-c6 (1) / Sz3360-5nl0z-c4 (6) / Pneumatic Air Cylinder / Smc
Sz3360-5nl0z-c6 (1) / Sz3360-5nl0z-c4 (6) / Pneumatic Air Cylinder / Smc
475.99  22d 20h
Sz3360-5nl0z-c6 (1) / Sz3360-5nl0z-c4 (4) / Pneumatic Air Cylinder / Smc
Sz3360-5nl0z-c6 (1) / Sz3360-5nl0z-c4 (4) / Pneumatic Air Cylinder / Smc
425.99  22d 21h
950525-3 / Spring Pressure Air Cylinder / Nor-cal
950525-3 / Spring Pressure Air Cylinder / Nor-cal
550.68  29d 19h
1994111001 / Pcb Interface / Delta
1994111001 / Pcb Interface / Delta
680.68  18d 18h
0020-76179 / Etc-housing  Lifter  Non-enp Cooldown Chamber /  Amat
0020-76179 / Etc-housing Lifter Non-enp Cooldown Chamber / Amat
628.65  18d 22h
Bsm63n-275aa / Motor, Tecsem Bald Bsm63n-275aa / Applied Industrial Technologies
Bsm63n-275aa / Motor, Tecsem Bald Bsm63n-275aa / Applied Industrial Technologies
752.98  28d 18h
0190-40117 / Cable,assy / Applied Materials Amat
0190-40117 / Cable,assy / Applied Materials Amat
557.21  6d 17h
Xld-50 / Valve Pneumatic Air Operated / Smc
Xld-50 / Valve Pneumatic Air Operated / Smc
582.00  7d 0h
0140-10284 / H/a, Gas Dist To Lockout Bd/w Cust Intfc / Applied Materials Amat
0140-10284 / H/a, Gas Dist To Lockout Bd/w Cust Intfc / Applied Materials Amat
430.99  13d 15h
E2k-c25me1-b / Cable-shldd Sensor Cann Lvl Assy 911238-007 / Omron
E2k-c25me1-b / Cable-shldd Sensor Cann Lvl Assy 911238-007 / Omron
418.95  13d 20h
17-129423-00 / Reflector, Lower 300pvd Module / Novellus
17-129423-00 / Reflector, Lower 300pvd Module / Novellus
477.77  12d 19h
Applied Materials 678611 DO PCB, SCH 7801-D-1010 for AMC 7700/7810 reactors
Applied Materials 678611 DO PCB, SCH 7801-D-1010 for AMC 7700/7810 reactors
200.00  18d 16h
Applied Materials 678613 DI Board, SCH 7801-D-1014, AMC 7810/7700/7800 reactors
Applied Materials 678613 DI Board, SCH 7801-D-1014, AMC 7810/7700/7800 reactors
200.00  18d 17h
CKD VEC-CA8-X0306  / Free International Shipping
CKD VEC-CA8-X0306 / Free International Shipping
300.00 16d 2h
Daikin UMH6600580 Nofine Fan
Top-Rated Plus Seller Daikin UMH6600580 Nofine Fan
749.99  12d 0h
AMAT Applied Materials 0010-26776 300mm Target Gravity Safe Lock Right
AMAT Applied Materials 0010-26776 300mm Target Gravity Safe Lock Right
807.12  4d 12h
AMAT 3300-01733 FTG Hose CPLG QDISC 1/2BodyX1/2FPT BRS BUNA-SEAL 452247
AMAT 3300-01733 FTG Hose CPLG QDISC 1/2BodyX1/2FPT BRS BUNA-SEAL 452247
75.00 20d 18h
Nien-Yi AWM E212689 Grey DB9 Male to DB9 Female Serial Cable, 452352
Nien-Yi AWM E212689 Grey DB9 Male to DB9 Female Serial Cable, 452352
10.00 28d 18h
8 Parker X172PL-2-2 Brass Fitting, Tee, LAM 452363
8 Parker X172PL-2-2 Brass Fitting, Tee, LAM 452363
50.00 29d 17h
SMC CDQ2B20-10DCM Pneumatic Cylinder, 233-3851-38, 452364
SMC CDQ2B20-10DCM Pneumatic Cylinder, 233-3851-38, 452364
95.00 29d 18h
AMAT 0150-09101 Cable Asy Ozonator Control B, 452403
AMAT 0150-09101 Cable Asy Ozonator Control B, 452403
40.00 9d 20h
Oriental Motor T-MU9255-21-GP Fan, 452288
Oriental Motor T-MU9255-21-GP Fan, 452288
30.00 25d 20h
AMAT 26-81147-00 Strap Bracket 452358
AMAT 26-81147-00 Strap Bracket 452358
50.00 29d 16h
10 Parker X172PL-2-2 Brass Fitting, Tee, LAM 452357
10 Parker X172PL-2-2 Brass Fitting, Tee, LAM 452357
50.00 29d 17h
AMAT 0150-20720 Cable Assy CH C/D Pneumatic Interconnect, 452495
AMAT 0150-20720 Cable Assy CH C/D Pneumatic Interconnect, 452495
30.00 11d 15h
Novellus 03-153948-00 Cable, 452497
Novellus 03-153948-00 Cable, 452497
95.00 11d 16h
SUNX EX-23 Sensor Thru-beam, Side Sens, 452615
SUNX EX-23 Sensor Thru-beam, Side Sens, 452615
15.00 7d 20h
SUNX SH-84R Photoelectric Sensor Switch, 452634
SUNX SH-84R Photoelectric Sensor Switch, 452634
40.00 10d 15h
Omron F39-CN6 Controller Sensor Connector, 452681
Omron F39-CN6 Controller Sensor Connector, 452681
65.00 16d 15h
Matrix 7911 MPD PCB Control Board
Matrix 7911 MPD PCB Control Board
199.00  7d 18h
2424  Lot of 6 MEC Tech MEC81105-200A Overlay Uppers
2424 Lot of 6 MEC Tech MEC81105-200A Overlay Uppers
350.00  10d 16h
2425  Lot of 6 MEC Tech MEC81105-201A Overlay Inners
2425 Lot of 6 MEC Tech MEC81105-201A Overlay Inners
300.00  10d 16h
Applied Materials 670362 Power Fail Board, 7802-D-5511, REV C
Applied Materials 670362 Power Fail Board, 7802-D-5511, REV C
62.99  25d 12h
Novellus 03-810748-00 CVD-W Interlock PCB Board, 76-10656-00, 27-10332-00 423317
Novellus 03-810748-00 CVD-W Interlock PCB Board, 76-10656-00, 27-10332-00 423317
1,750.00 9d 13h
Applied Materials AMAT Gas Filter 4020-01145 4020-01137
Applied Materials AMAT Gas Filter 4020-01145 4020-01137
425.00  7d 10h
Applied Materials 0021-09718 Slit Liner Amat *recycled*
Applied Materials 0021-09718 Slit Liner Amat *recycled*
699.00  8d 12h
AMAT Applied Materials ENDPT. Interface/Smoother PCB, 0100-00195
Top-Rated Plus Seller AMAT Applied Materials ENDPT. Interface/Smoother PCB, 0100-00195
260.00  6d 11h
ASM 73008-70602 Upper Lifter
ASM 73008-70602 Upper Lifter
1,657.18  24d 23h
AMAT 0190-22205 Rev. 001 ENG SPECIFICATION TEMP CONTROLLER 6 Channel Yamatake
AMAT 0190-22205 Rev. 001 ENG SPECIFICATION TEMP CONTROLLER 6 Channel Yamatake
1,999.99 15d 1h
Edwards BX250 Bakeout Band 240V 50/60Hz
Top-Rated Plus Seller Edwards BX250 Bakeout Band 240V 50/60Hz
169.99  1d 1h
Nikon 4S007-795 Interface Control Board PCB XB-STGA/D NSR-S202A
Nikon 4S007-795 Interface Control Board PCB XB-STGA/D NSR-S202A
1,506.18  24d 19h
Drytek/Lam  AMN ISO INTERFACE ASSY 2801022
Top-Rated Plus Seller Drytek/Lam AMN ISO INTERFACE ASSY 2801022
140.00  22d 16h
Applied Materials 0021-81423 Rev 03, 0020-48628 Edge Ring Sst Heater
Applied Materials 0021-81423 Rev 03, 0020-48628 Edge Ring Sst Heater
370.00  8d 12h
Micrion FIB-STGI SENSE AMP PCB 150-002230
Micrion FIB-STGI SENSE AMP PCB 150-002230
566.50  24d 13h
Honeywell GCP-33A , 10A Circuit Protector 3 Pole,
Honeywell GCP-33A , 10A Circuit Protector 3 Pole,
55.00  27d 19h
Honeywell GCP-33A , 20A Circuit Protector 3 Pole,
Honeywell GCP-33A , 20A Circuit Protector 3 Pole,
60.00  27d 19h
Oerlikon Bb281293-t Sensor
Oerlikon Bb281293-t Sensor
240.00  8d 6h
ADCS Model 969 Low Level Monitor Reseller Lot of 8  Working
ADCS Model 969 Low Level Monitor Reseller Lot of 8  Working
801.19  26d 21h
Navigator Digital Match Controller 2305862-A 1310050
Navigator Digital Match Controller 2305862-A 1310050
511.50  23d 8h
5744 Elmo Pcb Servo Control Ssa-12/55
5744 Elmo Pcb Servo Control Ssa-12/55
357.16  19d 5h
Asm 1079-518-01 Kit-cooler S3 W/io Heat Univ K-mod Blkr
Asm 1079-518-01 Kit-cooler S3 W/io Heat Univ K-mod Blkr
2,907.18  25d 0h
AMAT Applied Materials 0140-11539 Harness ASSY , Chamber B - Main Bundle VA
AMAT Applied Materials 0140-11539 Harness ASSY , Chamber B - Main Bundle VA
1,688.00  29d 6h
Wilden Duel Diaphragm Pump, , AS-IS Condition
Wilden Duel Diaphragm Pump, , AS-IS Condition
195.00  21d 21h
7173 Tokyo Electron Pcb Assy, Tsbc-epd I/f Board 808-520250-2
7173 Tokyo Electron Pcb Assy, Tsbc-epd I/f Board 808-520250-2
417.50  21d 4h
ETO Ehrhorn Technological Operations ABX-X234 300W Driver Board PCB Rev. 11
ETO Ehrhorn Technological Operations ABX-X234 300W Driver Board PCB Rev. 11
1,508.18  3d 14h
Nikon 4S013-535 Interface Board PCB STGVMERST-IF 4S063-067 NSR-S620D
Nikon 4S013-535 Interface Board PCB STGVMERST-IF 4S063-067 NSR-S620D
1,402.19  1d 16h
Applied Materials 0300-14010 Prom Board, REV E
Applied Materials 0300-14010 Prom Board, REV E
62.99  25d 12h
AMAT 0150-20640 Cable Assembly 2-Phase, 451568
AMAT 0150-20640 Cable Assembly 2-Phase, 451568
150.00 12d 19h
AMAT 0150-20639 Cable Assembly 2-Phase, 451569
AMAT 0150-20639 Cable Assembly 2-Phase, 451569
150.00 12d 20h
AMAT 0140-13106 2-Phase Driver, 451567
AMAT 0140-13106 2-Phase Driver, 451567
150.00 12d 19h
RECIF Technologies CPUCH0027A CPU Board REC0027A PCB Nikon NSR System
RECIF Technologies CPUCH0027A CPU Board REC0027A PCB Nikon NSR System
808.18  9d 18h
AMAT Applied Materials 9090-01060 Filteration Control Assembly 0100-01938
AMAT Applied Materials 9090-01060 Filteration Control Assembly 0100-01938
1,004.14  20d 12h
AMAT Applied Materials 0240-91709 Single Stage Tied Regulator
AMAT Applied Materials 0240-91709 Single Stage Tied Regulator
1,706.18  24d 23h
AMAT Applied Materials 0021-39942 IPS Port Inner Pump Rev. A Refurbished
AMAT Applied Materials 0021-39942 IPS Port Inner Pump Rev. A Refurbished
804.18  21d 15h
Amat 0021-77125 Roller, Roller Assy,
Amat 0021-77125 Roller, Roller Assy,
220.00  12d 5h
Applied Materials 0040-96822 Bearing Block Amat *un Surplus In Box - Sealed*
Applied Materials 0040-96822 Bearing Block Amat *un Surplus In Box - Sealed*
209.00  11d 9h
AMAT 0020-01121 Ground Ring, Poly, 410210
AMAT 0020-01121 Ground Ring, Poly, 410210
2,500.00  11d 18h
Applied Materials AMAT NOSHOK Pressure Transducer, 200-100-1-5-2-1, 1350-01266
Applied Materials AMAT NOSHOK Pressure Transducer, 200-100-1-5-2-1, 1350-01266
725.00  13h 52m
Secu-10 5, V39-a, Ap-447e, Board. 411536
Secu-10 5, V39-a, Ap-447e, Board. 411536
450.00 24d 22h
Modutek Corp T16 Timer
Modutek Corp T16 Timer
89.99  13d 11h
0090-20210, Applied Materials, Assy Status Lamp Led Wm Red Yel Grn
0090-20210, Applied Materials, Assy Status Lamp Led Wm Red Yel Grn
1,700.00  4d 20h
Tokyo Electron TA3003-800217-01 Actuator Assy, FRU  15-801191 Rev. 2
Top-Rated Plus Seller Tokyo Electron TA3003-800217-01 Actuator Assy, FRU 15-801191 Rev. 2
225.00  4d 17h
APPLIED MATERIALS 0040-76419 Sensor Block
APPLIED MATERIALS 0040-76419 Sensor Block
554.99  12d 20h
0021-06043, Applied Materials, Adapter, Dxz, Top Mount, Fluorine Genera
0021-06043, Applied Materials, Adapter, Dxz, Top Mount, Fluorine Genera
2,900.00  7d 19h
Lambda LTX Trillium 30818 RS-232 Modification Module Power Supply
Lambda LTX Trillium 30818 RS-232 Modification Module Power Supply
129.99 9d 14h
Lam Research 715-11006-4-1 Chamber Ring  Working
Lam Research 715-11006-4-1 Chamber Ring  Working
810.18  22d 16h
Ckd Pressure Controller G Vec-sha9-x0314 System Vec-ca9-x0304, Vec-vh9-x0312
Ckd Pressure Controller G Vec-sha9-x0314 System Vec-ca9-x0304, Vec-vh9-x0312
399.00  17d 19h
MKS HEAT JACKET  Length 40" x 8"  9899-3081
MKS HEAT JACKET Length 40" x 8" 9899-3081
120.00  21d 15h
Omron F3sj-a0305p20-ts Nsfs
Omron F3sj-a0305p20-ts Nsfs
1,683.00  26d 17h
Amat Applied Materials Vacuum Fitting 0040-09720 Rev A
Amat Applied Materials Vacuum Fitting 0040-09720 Rev A
349.99  11d 15h
Balzers Tmu065 Turbo Pump
Balzers Tmu065 Turbo Pump
599.99 13d 13h
ASML 4022.471.4042 Circuit Board PCB  Working
ASML 4022.471.4042 Circuit Board PCB  Working
805.15  23d 12h
Pb-1163b-u01 / Relay Print Pcb / Ushio
Pb-1163b-u01 / Relay Print Pcb / Ushio
950.82  23d 16h
0020-24243  /shield Upper Sst Flame Sp Al**lot Of 10** / Applied Materials
0020-24243 /shield Upper Sst Flame Sp Al**lot Of 10** / Applied Materials
1,050.98  23d 17h
0140-11019 / Harness Assy, Chamber Interconnect, Epi / Applied Materials
0140-11019 / Harness Assy, Chamber Interconnect, Epi / Applied Materials
1,510.00  16h 10m
2344775-00 / Power Supply G2 G3 Lo3 Ii-15 / Lam
2344775-00 / Power Supply G2 G3 Lo3 Ii-15 / Lam
1,135.00  17h 34m
AMAT 0020-22237 Cover Ring, 8" 424157
AMAT 0020-22237 Cover Ring, 8" 424157
895.00 28d 21h
2356799-00 / Hicv-g065ti4-139n / Pressure Regulator / Lam
2356799-00 / Hicv-g065ti4-139n / Pressure Regulator / Lam
1,010.00  18h 1m
Es1805-320102-12  S-4270  / Ring Cover Exh / Tel
Es1805-320102-12 S-4270 / Ring Cover Exh / Tel
920.00  18h 20m
304487 / Slit Valve Face Seal, Gen 200 / Applied Epi
304487 / Slit Valve Face Seal, Gen 200 / Applied Epi
1,495.00  3d 16h
132-007 /    POWER SUPPLY 24 VDC 32 AMP  / SVG
132-007 / POWER SUPPLY 24 VDC 32 AMP  / SVG
1,240.00  15d 20h
1d80-004537-11 / Vacuum Valve, Angle, V Avb41v-x0004 / Tokyo Electron Tel
1d80-004537-11 / Vacuum Valve, Angle, V Avb41v-x0004 / Tokyo Electron Tel
908.44  1d 21h
05506-1000 / Assy, Vacuum System Controller, Otrt / Uti Instruments
05506-1000 / Assy, Vacuum System Controller, Otrt / Uti Instruments
850.68  7d 21h
839-024445-100 / Wldmt, He/vac Lower Match H/c  / Lam Research Corporation
839-024445-100 / Wldmt, He/vac Lower Match H/c / Lam Research Corporation
841.77  8d 20h
0040-02937 / Water Manifold, Txz Cip 200 & 300mm /  Applied Materials Amat
0040-02937 / Water Manifold, Txz Cip 200 & 300mm / Applied Materials Amat
1,400.82  28d 21h
0021-15605 / Upper Shield E  / Applied Materials Amat
0021-15605 / Upper Shield E / Applied Materials Amat
1,400.68  28d 22h
4040352 / Af Ccd (tatile) P.s Wire Assy / Vladimir Bliakher
4040352 / Af Ccd (tatile) P.s Wire Assy / Vladimir Bliakher
920.00  10d 16h
486141 /    300mm Module Control / Axcelis
486141 / 300mm Module Control / Axcelis
1,300.00  5d 19h
E14000570 / Pulsed Light Curtain Transmit Drive / Varian
E14000570 / Pulsed Light Curtain Transmit Drive / Varian
1,500.82  3d 10h
Fuh7131c-00 / Motor Drive Card / Baldor
Fuh7131c-00 / Motor Drive Card / Baldor
967.66  3d 11h
Map42-1012  /  Lot Of 4  / 40 Watt 12 Vdc Power Supply  /  Power One
Map42-1012 / Lot Of 4 / 40 Watt 12 Vdc Power Supply / Power One
1,200.82  29d 21h
0015-02149 / Dc Power Supply Input / Applied Materials Amat
0015-02149 / Dc Power Supply Input / Applied Materials Amat
995.82  2d 16h
0020-45231 / Disk Shutter / Amat
0020-45231 / Disk Shutter / Amat
1,200.98  4d 17h
0140-09528  / Hharness Assy, Chamber Exhaust, Epi / Applied Materials
0140-09528 / Hharness Assy, Chamber Exhaust, Epi / Applied Materials
1,100.98  4d 17h
2328094-00/ Iko Precision Table Tu40s30/g4c09r / Lam
2328094-00/ Iko Precision Table Tu40s30/g4c09r / Lam
952.50  4d 19h
02-264833-00 / Sesioc Wts 0 Rev-a Firmware Version 4.72 / Novellus
02-264833-00 / Sesioc Wts 0 Rev-a Firmware Version 4.72 / Novellus
1,059.00  5d 20h
0040-07443 / Swill Bellows Indexer / Applied Materials Amat
0040-07443 / Swill Bellows Indexer / Applied Materials Amat
1,000.00  18d 16h
Amat 0010-20141 Thru Wall Skins Option 2.5-3.5'' Thick Wall Clamp
Amat 0010-20141 Thru Wall Skins Option 2.5-3.5'' Thick Wall Clamp
1,775.00  10d 19h
Applied Materials 670362 Power Fail Board, 7802-D-5511, REV E2
Applied Materials 670362 Power Fail Board, 7802-D-5511, REV E2
62.99  25d 12h
GEORG FISCHER+GF+SYGEF 735 158 636 45° ELBOW 63mm PVDF
GEORG FISCHER+GF+SYGEF 735 158 636 45° ELBOW 63mm PVDF
29.99  10d 16h
Torico, Cpu-85, P200-0010a, Board
Torico, Cpu-85, P200-0010a, Board
129.99  11d 16h
Lam Cable Assembly Lot of 5 683-077766-801 AMC Sensor P402
Lam Cable Assembly Lot of 5 683-077766-801 AMC Sensor P402
399.99 17d 19h
TEL Tokyo Electron 3210-201270-11 (TS) Card Holder R (S)  Working
TEL Tokyo Electron 3210-201270-11 (TS) Card Holder R (S)  Working
1,511.18  19d 22h
Asm 1071-018-02 Assy-pvm Ctr Port Met Seal No Htr Elem
Asm 1071-018-02 Assy-pvm Ctr Port Met Seal No Htr Elem
2,507.18  24d 23h
APPLIED MATERIALS 0090-04724 Rev 002
APPLIED MATERIALS 0090-04724 Rev 002
20,000.00 20d 3h
LOT OF Swagelok Veriflo MKS AMAT Mounts Tubes High Purity Hytron FREE SHIPPING B
LOT OF Swagelok Veriflo MKS AMAT Mounts Tubes High Purity Hytron FREE SHIPPING B
140.25  6d 19h
LOT OF Swagelok Veriflo MKS AMAT Mounts Tubes High Purity Hytron FREE SHIPPING A
LOT OF Swagelok Veriflo MKS AMAT Mounts Tubes High Purity Hytron FREE SHIPPING A
140.25  6d 19h
Lot Of 4  Setra 2251z02pcd411b1 Pressure Transducers Free Shipping
Lot Of 4  Setra 2251z02pcd411b1 Pressure Transducers Free Shipping
148.75  9d 14h
LOT OF 3  Tokyo Flow Meters FF-MOA80 Fin Flow Assembly FREE SHIPPING
LOT OF 3  Tokyo Flow Meters FF-MOA80 Fin Flow Assembly FREE SHIPPING
152.99  10d 11h
4431  Applied Materials 0010-00036 Module Controller Chassis
4431 Applied Materials 0010-00036 Module Controller Chassis
750.00  4d 21h
Qx41 / Digital Input Module 32 Point, 24 Vdc Input / Mitsubishi
Qx41 / Digital Input Module 32 Point, 24 Vdc Input / Mitsubishi
125.98  8d 17h
70024703 / Ethernet Station Pcb Assy / Zmisc
70024703 / Ethernet Station Pcb Assy / Zmisc
150.00  27d 16h
Bm30519/g / Pcb Board / Pri
Bm30519/g / Pcb Board / Pri
150.00  27d 19h
0020-13602 / Mounting Bracket 1 / Amat
0020-13602 / Mounting Bracket 1 / Amat
120.99  5d 15h
90-80317-01 / 90s Motherboard / Svg
90-80317-01 / 90s Motherboard / Svg
167.55  10d 21h
Bm70000 / Pri Bm70000 R/b Pcb, Motherboard / Backplane / Pri
Bm70000 / Pri Bm70000 R/b Pcb, Motherboard / Backplane / Pri
156.99  24d 16h
9535-0290 / Jacket Heater 3.5 El 90 Sr, Tan, 1hp1 / Mks
9535-0290 / Jacket Heater 3.5 El 90 Sr, Tan, 1hp1 / Mks
129.62  24d 20h
D15-35 / Miniature Ac To Dc Power Module / Acopian
D15-35 / Miniature Ac To Dc Power Module / Acopian
120.99  8d 20h
631410070.00 / Pwba Torch Safety / Asm America Inc
631410070.00 / Pwba Torch Safety / Asm America Inc
119.99  20d 14h
Xld-40-x542 / High Vacuum Valve / Smc
Xld-40-x542 / High Vacuum Valve / Smc
162.00  6d 23h
43600921 42600944 / Pressure Gauge -1.0-2.0 Vac 0-3000  / Veriflo Valves Parker
43600921 42600944 / Pressure Gauge -1.0-2.0 Vac 0-3000 / Veriflo Valves Parker
150.00  7d 18h
Bm48467 / Transformer Pcb / Pri
Bm48467 / Transformer Pcb / Pri
150.00  27d 20h
0020-41512 / Bracket, Pneumatic Manifold Cover, Low P / Amat
0020-41512 / Bracket, Pneumatic Manifold Cover, Low P / Amat
159.10  8d 15h
3160710b / Bruce I/o Board / Bruce Systems
3160710b / Bruce I/o Board / Bruce Systems
150.00  16d 20h
Ma-14448 / Clamp Ring 6 / Muto Technologies
Ma-14448 / Clamp Ring 6 / Muto Technologies
119.00  17d 17h
Bm16037 / Pcb Inductor Ptcr Assy / Zmisc
Bm16037 / Pcb Inductor Ptcr Assy / Zmisc
124.55  19d 14h
Bm71160 / Pri Pcb, Sensor Board Iii / Pri
Bm71160 / Pri Pcb, Sensor Board Iii / Pri
121.99  24d 16h
0020-70821 / Chamber Interconnect Cover Wb (sealed) / Applied Materials Amat
0020-70821 / Chamber Interconnect Cover Wb (sealed) / Applied Materials Amat
120.43  9d 14h
Smbm6-ag / Servo Module, 88v Max, Max Radio Wave, 6a-12a / Generic
Smbm6-ag / Servo Module, 88v Max, Max Radio Wave, 6a-12a / Generic
124.99  18d 14h
Xld-40 / High Vacuum Line Valve / Smc
Xld-40 / High Vacuum Line Valve / Smc
140.00  7d 0h
3D Instruments, Test Gauge, P/N 25544-21B11
3D Instruments, Test Gauge, P/N 25544-21B11
75.00 7d 18h
Staticon Stativolt Design 2000 Rectifier  (#2216)
Staticon Stativolt Design 2000 Rectifier (#2216)
279.99  24d 23h
Novellus Systems 02-259457-00 C3 Vector Spindle Complete Assembly Rev. J
Novellus Systems 02-259457-00 C3 Vector Spindle Complete Assembly Rev. J
2,512.15  3d 15h
Manual for FSI Phoenix
Manual for FSI Phoenix
60.00  1d 2h
Nikon 4S017-040 Display Panel Board PCB DISP PANEL NSR System  Working
Nikon 4S017-040 Display Panel Board PCB DISP PANEL NSR System  Working
502.19  5d 16h
0040-49296 004 / 300mm Centura Process Chamber #40 / Applied Materials
0040-49296 004 / 300mm Centura Process Chamber #40 / Applied Materials
35,000.84  19d 19h
ASML 4022.471.5463 Circuit Board PCB  Working
ASML 4022.471.5463 Circuit Board PCB  Working
1,001.14  20d 14h
COSENSE Electronic Module Multi-Channel System 040-20803
COSENSE Electronic Module Multi-Channel System 040-20803
199.00 19d 6h
SCI Solid Controls 428-406 System Controller PCB Card 428-405  Working
SCI Solid Controls 428-406 System Controller PCB Card 428-405  Working
806.18  2d 19h
AMAT 3300-03164 FTGQDISC STEM 1/4T Swagelok, SESO 2.36 LG, 453024
AMAT 3300-03164 FTGQDISC STEM 1/4T Swagelok, SESO 2.36 LG, 453024
30.00 12d 16h
201-008 Bearing, 3/8ID x 7/8OD, FLG DBL SH, 453026
201-008 Bearing, 3/8ID x 7/8OD, FLG DBL SH, 453026
30.00 12d 16h
4 AMAT 3880-01215 Washer Flat .375OD X .161ID X .062THK, 453048
4 AMAT 3880-01215 Washer Flat .375OD X .161ID X .062THK, 453048
40.00 14d 17h
346-0501// Dasol Eng Fpp-2000 Sheet Resistance Meter []
346-0501// Dasol Eng Fpp-2000 Sheet Resistance Meter []
3,000.00 23d 4h
Festo Manifold Assy Cpv18-vi
Festo Manifold Assy Cpv18-vi
99.99  22d 18h
ASML 859-0743-018E Circuit Board PCB 879-7120-003  Untested As-Is
ASML 859-0743-018E Circuit Board PCB 879-7120-003  Untested As-Is
803.15  18d 19h
324-0201// Amat Applied 0021-10642 Feedthru,helium,housing []
324-0201// Amat Applied 0021-10642 Feedthru,helium,housing []
200.00 10d 6h
Dwyer Rate-Master Flowmeter (RMA-23-SSV) (!)
Dwyer Rate-Master Flowmeter (RMA-23-SSV) (!)
55.00  12d 17h
Amat 0200-10486 Rod,spacer,dpa-shrink ,
Amat 0200-10486 Rod,spacer,dpa-shrink ,
68.00  19d 0h
Nikon 4S013-284 Interface Board PCB IM-ENCD3 NSR-S205C  Working
Nikon 4S013-284 Interface Board PCB IM-ENCD3 NSR-S205C  Working
508.18  1d 17h
Siemens Sinamics CUA32 PLC Control Unit Adapter and 340 Power Module, 423528
Siemens Sinamics CUA32 PLC Control Unit Adapter and 340 Power Module, 423528
2,500.00  5d 21h
C&h Technologies 11026010-0001 Rev D Vme Module Board
C&h Technologies 11026010-0001 Rev D Vme Module Board
300.00 23d 18h
Tob1106 / Board Io Mtr #04 Mpc-t0070a-14 / Tokyo Electron Tel
Tob1106 / Board Io Mtr #04 Mpc-t0070a-14 / Tokyo Electron Tel
530.00  7d 21h
0100-01488 /     Z Sensor Pcb / Applied Materials Amat
0100-01488 / Z Sensor Pcb / Applied Materials Amat
650.88  7d 22h
102a 1203600 / Th Pwr Tank Resin Fabric Mantle Tel Ds5187-006766-11 / Glemco
102a 1203600 / Th Pwr Tank Resin Fabric Mantle Tel Ds5187-006766-11 / Glemco
300.99  21d 15h
30614200000 / S2dio Assy / Applied Materials Amat
30614200000 / S2dio Assy / Applied Materials Amat
637.65  9d 16h
1977464-501 / Pcb17176390-01 94v-0 1-0 2812 / Delta
1977464-501 / Pcb17176390-01 94v-0 1-0 2812 / Delta
280.68  18d 19h
G9sx-ns202-rc / Non-contact Door Switch Controller / Omron
G9sx-ns202-rc / Non-contact Door Switch Controller / Omron
341.99  18d 21h
0021-23550 / Manifold, Input Mod / Applied Materials Amat
0021-23550 / Manifold, Input Mod / Applied Materials Amat
636.00  1d 12h
685-801852-012 / Spectrometer Control Unit / Lam
685-801852-012 / Spectrometer Control Unit / Lam
350.99  9d 15h
V600-h52 / Read/write Head Sensor, Identification System, 2m / Omron
V600-h52 / Read/write Head Sensor, Identification System, 2m / Omron
348.68  23d 23h
0242-85133 / Kit Gas Flow And Gas Name Labels / Applied Materials Amat
0242-85133 / Kit Gas Flow And Gas Name Labels / Applied Materials Amat
235.20  3d 20h
AMAT Applied Materials Phase/Mag Detector 0010-00215R
Top-Rated Plus Seller AMAT Applied Materials Phase/Mag Detector 0010-00215R
200.00  10d 13h
August Technology Corp 702243 REV. B Multi Port Vacuum Board (8172)W
Top-Rated Plus Seller August Technology Corp 702243 REV. B Multi Port Vacuum Board (8172)W
261.25  25d 16h
Nikon 4S013-907 Interface Board PCB IU-X8A-RET NSR System  Working
Nikon 4S013-907 Interface Board PCB IU-X8A-RET NSR System  Working
607.18  28d 19h
341-0501// Amat Applied 0020-21708 Chuck 8" See 2nd Source
341-0501// Amat Applied 0020-21708 Chuck 8" See 2nd Source
500.00 26d 5h
Houser Lower Linear Assy 99-06033-01 Svg
Houser Lower Linear Assy 99-06033-01 Svg
195.00  20h 6m
Ham-let UCV HM20 4VKLQ GF4 ISLT Diaphragm Valve, 1/4" VCR, 316L, 300 PSI, 452489
Ham-let UCV HM20 4VKLQ GF4 ISLT Diaphragm Valve, 1/4" VCR, 316L, 300 PSI, 452489
150.00 10d 17h
Nikon 4S082-592AN Guide Assembly  Working
Nikon 4S082-592AN Guide Assembly  Working
2,755.05  23d 12h
Air Products 214469 2Cyl Manifold AXO-AP1510SM-NH3 807-470626502A  Surplus
Air Products 214469 2Cyl Manifold AXO-AP1510SM-NH3 807-470626502A  Surplus
2,007.18  24d 19h
Vat 89387-r1 Kit Valve Actuator With Gate
Vat 89387-r1 Kit Valve Actuator With Gate
1,007.18  24d 20h
Axcelis Electrode Ground Plate - Graphite VIG Version 17125571 REV A (99013AV)
Axcelis Electrode Ground Plate - Graphite VIG Version 17125571 REV A (99013AV)
225.00  7d 7h
Cosel STMGFS 24.75W Isolated DC-DC Converter PCB Mount STMGFS30243R3
Top-Rated Plus Seller Cosel STMGFS 24.75W Isolated DC-DC Converter PCB Mount STMGFS30243R3
88.23  20d 17h
Swagelock, SS-6NKRVCR8,
Swagelock, SS-6NKRVCR8,
30.00 16d 19h
Svg Thermco Systems 165120-001 Assy Alarm Interface Board
Svg Thermco Systems 165120-001 Assy Alarm Interface Board
299.99  14d 16h
AMAT 0020-22237 Cover Ring, 8" 424119
AMAT 0020-22237 Cover Ring, 8" 424119
895.00 21d 18h
Ham-let UCV HM20 4VKLQ GF4 ISLT Diaphragm Valve, 1/4" VCR, 316L, 300 PSI, 452490
Ham-let UCV HM20 4VKLQ GF4 ISLT Diaphragm Valve, 1/4" VCR, 316L, 300 PSI, 452490
150.00 10d 17h
Part 81176 Clamp Bracket
Part 81176 Clamp Bracket
17.33 26d 12h
Part 81175 Clamp Bracket
Part 81175 Clamp Bracket
18.33 26d 13h
Infineion Technologies  KC-VCB Valve Control Box
Infineion Technologies KC-VCB Valve Control Box
2,007.18  24d 19h
Hitachi Hk35 Magnetic Contactor ,
Hitachi Hk35 Magnetic Contactor ,
40.00  17d 3h
Hitachi 1B19229 ZVL897 Processor Board PCB Card OFV-DTCT PCB  Working
Hitachi 1B19229 ZVL897 Processor Board PCB Card OFV-DTCT PCB  Working
408.17  27d 20h
S4-0001-1 Disk, 6.75”, Silica With SiC Coating, One Side Only
S4-0001-1 Disk, 6.75”, Silica With SiC Coating, One Side Only
2,250.00 29d 1h
ASM 73055-72384 Insulator Plate CBS RC1
ASM 73055-72384 Insulator Plate CBS RC1
3,007.18  24d 21h
Hitachi ZVC018-0 Interface Board ZVC018-B00 PCB ZVC018-BA0  Working
Hitachi ZVC018-0 Interface Board ZVC018-B00 PCB ZVC018-BA0  Working
305.17  14d 20h
ASM 1018-538-01 Moisture Analyzer Connection
ASM 1018-538-01 Moisture Analyzer Connection
2,507.18  24d 22h
Drytek/Lam 384T Door Control Interface, P/N 2800464B, Assy # 2800465
Top-Rated Plus Seller Drytek/Lam 384T Door Control Interface, P/N 2800464B, Assy # 2800465
140.00  18d 15h
Applied Materials, Amat, 0190-23871, Heat Jacket, Foreline Elbow
Applied Materials, Amat, 0190-23871, Heat Jacket, Foreline Elbow
1,063.00  6d 23h
Applied Materials 0100-01341 DNET INTERFACE BOARD 0130-01341
Applied Materials 0100-01341 DNET INTERFACE BOARD 0130-01341
250.00  17d 5h
AMAT 0200-01803,Ceramic Rib,MSCD,3.75" Dove Tail
AMAT 0200-01803,Ceramic Rib,MSCD,3.75" Dove Tail
97.49  19d 14h
Nikon 4S064-631 IU-IOP2 Controller NSR Series  Working
Nikon 4S064-631 IU-IOP2 Controller NSR Series  Working
5,501.09  10d 13h
Wallac DPA 1055 3085 E SMK 9802
Wallac DPA 1055 3085 E SMK 9802
199.00  7d 19h
0040-40111 / Assly, 2 Slot Tray, 20 / Applied Materials Amat
0040-40111 / Assly, 2 Slot Tray, 20 / Applied Materials Amat
875.68  6d 16h
Agilient Z4207-60003 Circuit Board PCB Z4207 NC1  Working
Agilient Z4207-60003 Circuit Board PCB Z4207 NC1  Working
609.16  24d 19h
LAM Research 771-001032-014 Rev C Proteus 98008SA10F3P2,1002600,,US6958
LAM Research 771-001032-014 Rev C Proteus 98008SA10F3P2,1002600,,US6958
109.00  28d 4h
SVG Silicon Valley Group 851-8220-011 Processor PCB Card Rev. G ASML 90S
SVG Silicon Valley Group 851-8220-011 Processor PCB Card Rev. G ASML 90S
310.18  27d 19h
Liebert 415541G1 Interface Relay Board PCB Rev. 0  Working
Liebert 415541G1 Interface Relay Board PCB Rev. 0  Working
303.19  18d 19h
RECIF Technologies STDAH0347C Interface Board PCB PCB0347B Nikon NSR Working
RECIF Technologies STDAH0347C Interface Board PCB PCB0347B Nikon NSR Working
308.18  9d 13h
3 Position Wire to Board Terminal Block 45° 1715300000 Weidmüller Lot of 193
3 Position Wire to Board Terminal Block 45° 1715300000 Weidmüller Lot of 193
375.19 28d 21h
Phillips 4002.471.7312 Circuit Board PCB 2067480028  Working
Phillips 4002.471.7312 Circuit Board PCB 2067480028  Working
1,406.12  24d 19h
Veriflo Corp. HFR900W2PFS8MMV Regulator, MAX Inlet 500 PSI w/ Bracket, HFR900
Veriflo Corp. HFR900W2PFS8MMV Regulator, MAX Inlet 500 PSI w/ Bracket, HFR900
62.99  23d 12h
ASM 16-190115D01 PLATE-RC BASE PASS  Surplus
ASM 16-190115D01 PLATE-RC BASE PASS  Surplus
1,507.18  25d 0h
Hitachi DT-01A Circuit Board PCB Hitachi MU-712E  Working
Hitachi DT-01A Circuit Board PCB Hitachi MU-712E  Working
300.14  23d 19h
Kitz SCT TM-0003A Assy-Precursor Bottle Power AC 200V
Top-Rated Plus Seller Kitz SCT TM-0003A Assy-Precursor Bottle Power AC 200V
1,298.98  16d 2h
Kitz SCT TM-0003A Precursor Bottle Assembly
Top-Rated Plus Seller Kitz SCT TM-0003A Precursor Bottle Assembly
1,168.98  22d 2h
Amdz1-x10 / Valve, Air Operated, 2-way / Ckd Corporation
Amdz1-x10 / Valve, Air Operated, 2-way / Ckd Corporation
350.82  14d 18h
AMAT Applied Materials 0021-39942 IPS Port Inner Pump Rev. P2 Refurbished
AMAT Applied Materials 0021-39942 IPS Port Inner Pump Rev. P2 Refurbished
1,204.18  22d 12h
Hitachi Tank1 Via Clean Controller MU-712E  Working
Hitachi Tank1 Via Clean Controller MU-712E  Working
506.13  20d 12h
Pf466-02a / 4 Phase Stepping Motor 3.60v 2.0a 1.8deg Step / Mycom
Pf466-02a / 4 Phase Stepping Motor 3.60v 2.0a 1.8deg Step / Mycom
200.68  6d 0h
W10500000 / Model 1050 Range Multiplier / Edwards
W10500000 / Model 1050 Range Multiplier / Edwards
250.98  10d 18h
Applied Materials AMAT Filter Assembly, 0010-02892
Applied Materials AMAT Filter Assembly, 0010-02892
450.00  29d 8h
TEL Tokyo Electron 3210-2012-70-11 CARD HOLDER R(S)
TEL Tokyo Electron 3210-2012-70-11 CARD HOLDER R(S)
2,006.18  24d 20h
Disco 16-D0/H(COMM) FBPCB-0258 Card
Top-Rated Plus Seller Disco 16-D0/H(COMM) FBPCB-0258 Card
199.99  11d 23h
1503190  / Pcb Electron Shower / Eaton
1503190 / Pcb Electron Shower / Eaton
1,458.00  11d 0h
Air Products 214463 2Cyl Manifold AXO-AP1402TSMA-WF6  Surplus
Air Products 214463 2Cyl Manifold AXO-AP1402TSMA-WF6  Surplus
2,007.18  24d 19h
Aro Diaphram Pump
Aro Diaphram Pump
475.00  29d 15h
Laser Precision Corp. Model Rt-30n Laser Power Meter
Laser Precision Corp. Model Rt-30n Laser Power Meter
360.00  29d 15h
ASM 1049-842-01 Kit Retrofit SCE 3 BK 1200 MOD VSL
ASM 1049-842-01 Kit Retrofit SCE 3 BK 1200 MOD VSL
4,206.18  24d 21h
Bd2-6274 / Piping Box Unit 1 / Canon
Bd2-6274 / Piping Box Unit 1 / Canon
980.82  14d 11h
1005-17-068 / Ceramic Liner, Extended Heated Ceramic / Coors Ceramics
1005-17-068 / Ceramic Liner, Extended Heated Ceramic / Coors Ceramics
1,200.68  4d 16h
S&C Electric 5-153-2 DS Disconnect Receiver Board PCB 004-153-3  Working
S&C Electric 5-153-2 DS Disconnect Receiver Board PCB 004-153-3  Working
310.17  8d 19h
0246-01055 ,0041-05819, 0041-27270 /300mm Producer Se, Kit Silane / Applied Mate
0246-01055 ,0041-05819, 0041-27270 /300mm Producer Se, Kit Silane / Applied Mate
12,000.97  17d 18h
As2000-model/power Distribution Center / Dns
As2000-model/power Distribution Center / Dns
5,625.82  24d 16h
Shinko Electric 3ASSYC010905 DC-DC Converter Board PCB OHT-P2 YEP-1789
Shinko Electric 3ASSYC010905 DC-DC Converter Board PCB OHT-P2 YEP-1789
311.18  16d 21h
STS Load Lock Controller
STS Load Lock Controller
5,400.00  12d 18h
Nikon 4s019-205 / X3-ia-mnt Pcb Board
Nikon 4s019-205 / X3-ia-mnt Pcb Board
799.00 4d 8h
Air Products DD 1050 Gasguard 250 I/O Processor Board PCB  Working
Air Products DD 1050 Gasguard 250 I/O Processor Board PCB  Working
403.18  26d 18h
4430  Applied Materials 0100-00014 Opto Detect.
4430 Applied Materials 0100-00014 Opto Detect.
470.00  4d 21h
AMAT Applied Materials S3M21437 SHADOW RING
AMAT Applied Materials S3M21437 SHADOW RING
407.18  24d 20h
AMAT Applied Materials 0140-13120 Harness ASSY , CH-A  Main Bundle , VANT
AMAT Applied Materials 0140-13120 Harness ASSY , CH-A Main Bundle , VANT
1,688.00  29d 7h
SVG 99-80184-02 Arm Vacuum Sensor Board, REV J
SVG 99-80184-02 Arm Vacuum Sensor Board, REV J
74.99 29d 17h
Guide, Upper Unloader, 200mm 402608
Guide, Upper Unloader, 200mm 402608
450.00 5d 17h
Daikin Industries 3D80-00711-V2 Control Unit UBRP4C91IN  Working
Daikin Industries 3D80-00711-V2 Control Unit UBRP4C91IN  Working
1,505.13  20d 12h
Stec, MFC SEC-4400MC 100 SCCM, BCL3
Stec, MFC SEC-4400MC 100 SCCM, BCL3
1,550.00  9d 16h
Hitachi 549-5525 RPSCONT2 Interface Board PCB 25496725  Working
Hitachi 549-5525 RPSCONT2 Interface Board PCB 25496725  Working
404.17  24d 17h
70312541100 /(s)mod. System Cont 1 B /applied Materials
70312541100 /(s)mod. System Cont 1 B /applied Materials
300.98  15d 19h
HID-3820A /Non Contact HID power supply **lot of 5 ** / Daifuku
HID-3820A /Non Contact HID power supply **lot of 5 ** / Daifuku
315.98  10d 16h
MCT 2000 28 Pin 0.3 inch DUT Card 111933 Rev B, made in USA, Qty - 1 pc
MCT 2000 28 Pin 0.3 inch DUT Card 111933 Rev B, made in USA, Qty - 1 pc
170.00  21d 23h
Nemic-Lambda PWB-656D Power Supply Board PCB  Working
Nemic-Lambda PWB-656D Power Supply Board PCB  Working
406.18  23d 15h
ETO Ehrhorn Technological Operations ABX-X236-11 Wattmeter PCB ABX-X23
ETO Ehrhorn Technological Operations ABX-X236-11 Wattmeter PCB ABX-X23
808.18  1d 17h
Shinko Electric 3ASSYC006802 Interface Board PCB OHT-G YEP-1735A  Working
Shinko Electric 3ASSYC006802 Interface Board PCB OHT-G YEP-1735A  Working
311.18  16d 21h
Circuit Board 1D371ASSY169K171G01 PCB 1D371-169K172G01
Circuit Board 1D371ASSY169K171G01 PCB 1D371-169K172G01
400.17  2d 18h
Shinko Electric 3ASSYC807903 Processor Board PCB M-COM2A M-157  Working
Shinko Electric 3ASSYC807903 Processor Board PCB M-COM2A M-157  Working
512.18  23d 18h
Fuji Electric SC-N1/SE (26) SC25BAS Magnetic Contactor
Fuji Electric SC-N1/SE (26) SC25BAS Magnetic Contactor
62.40  5d 21h
3753001 / Pc Assy Scan Monitor / Varian
3753001 / Pc Assy Scan Monitor / Varian
350.82  23d 14h
70027040 / P- Port Sensor / Sunx
70027040 / P- Port Sensor / Sunx
450.82  29d 18h
Bhk-a-v-0  /     Pump Control  /  Busch
Bhk-a-v-0 / Pump Control / Busch
500.26  5d 22h
Map42-1005 / 40 Watt 5 Vdc Convection Cooled Single Output / Power One
Map42-1005 / 40 Watt 5 Vdc Convection Cooled Single Output / Power One
425.82  15h 2m
41447-00 / Fiber Optic Comm Box 1 / Varian
41447-00 / Fiber Optic Comm Box 1 / Varian
350.82  1d 18h
Alda40m-3 / H:ver. 3.0, S: Ver. 3.30b, Control Module Din Mount / Algo
Alda40m-3 / H:ver. 3.0, S: Ver. 3.30b, Control Module Din Mount / Algo
450.99  5d 14h
0020-32312 / Door, Slit Valve, S / Applied Materials Amat
0020-32312 / Door, Slit Valve, S / Applied Materials Amat
433.60  22d 17h
Fds5+5 / Power Supply T5365p 5v75a / Advantest
Fds5+5 / Power Supply T5365p 5v75a / Advantest
302.50  25d 12h
424-0401// Ae 3152334-000b Display []
424-0401// Ae 3152334-000b Display []
300.00 12d 0h
Daifuku OPC-2634A Processor Interface Board PCB  Working
Daifuku OPC-2634A Processor Interface Board PCB  Working
506.18  22d 20h
148-0701// Amat Applied 0140-00149 Expanded Harness Assy A"
148-0701// Amat Applied 0140-00149 Expanded Harness Assy A"
700.00 19d 5h
Millipore 13" Filter Canister
Millipore 13" Filter Canister
307.18  25d 0h
SVG Silicon Valley Group 851-8300-005 Processor PCB Card Rev. A 90S  Working
SVG Silicon Valley Group 851-8300-005 Processor PCB Card Rev. A 90S  Working
310.18  16d 12h
SVG Silicon Valley Group 858-8163-003 Processor PCB Card Rev. A 90S  Working
SVG Silicon Valley Group 858-8163-003 Processor PCB Card Rev. A 90S  Working
410.18  22d 13h
ASML 4022.471.6276 AT IM MC1 RP Board PCB Card 11 4022.471.62751  Working
ASML 4022.471.6276 AT IM MC1 RP Board PCB Card 11 4022.471.62751  Working
806.17  18d 20h
Air Products CRSD 1037 COMO Processor Board PCB  Working
Air Products CRSD 1037 COMO Processor Board PCB  Working
303.18  26d 18h
Tokyo Electron Ct5085-428149-13 Modify Set 3pin (lhp)
Tokyo Electron Ct5085-428149-13 Modify Set 3pin (lhp)
450.00  1d 3h
Cosel 7P033-1 Power Supply 7P0331
Cosel 7P033-1 Power Supply 7P0331
45.33 9d 14h
BTU Engineering 3161161 System I/O Assembly PCB Card 3162280  Working
BTU Engineering 3161161 System I/O Assembly PCB Card 3162280  Working
402.19  17d 16h
Seiko Instrumenes Ns-2230h
Seiko Instrumenes Ns-2230h
899.00  22d 8h
iQDP Extension Cables iQDP Pump Lot of 7  Working
iQDP Extension Cables iQDP Pump Lot of 7  Working
352.16  24d 19h
AMAT 0020-20112 CLAMP RING, 8"AL Major Flat,
AMAT 0020-20112 CLAMP RING, 8"AL Major Flat,
300.00  20d 2h
SVG Silicon Valley Group 859-0832-007 Interface PCB Card Rev. F 90S  Working
SVG Silicon Valley Group 859-0832-007 Interface PCB Card Rev. F 90S  Working
310.18  23d 15h
Millipore Intelliflow FSEGD100FB00 Digital Flow Product,SF6 400SCCM,USA6758
Millipore Intelliflow FSEGD100FB00 Digital Flow Product,SF6 400SCCM,USA6758
99.00  1h 44m
Ham-let UCV HM20 4VKLQ GF4 ISLT Diaphragm Valve, 1/4" VCR, 316L, 300 PSI, 452491
Ham-let UCV HM20 4VKLQ GF4 ISLT Diaphragm Valve, 1/4" VCR, 316L, 300 PSI, 452491
150.00 10d 17h
SVG Silicon Valley Group 851-8220-011 Processor PCB Card Rev. H ASML 90S
SVG Silicon Valley Group 851-8220-011 Processor PCB Card Rev. H ASML 90S
309.18  20h 12m
Hitachi Tank5 Nitric Clean Controller MU-712E  Working
Hitachi Tank5 Nitric Clean Controller MU-712E  Working
506.13  20d 12h
Ultratech Stepper 03-20-01130 Transition Stage Motor Driver PCB Card Left X
Ultratech Stepper 03-20-01130 Transition Stage Motor Driver PCB Card Left X
406.18  2d 14h
applied Materials DIP294-2 DeviceNet I/O Block 9090-00273
applied Materials DIP294-2 DeviceNet I/O Block 9090-00273
399.00  22d 7h
KLA MCB PCB for KLA-6020 601-00317 710-570821-00
KLA MCB PCB for KLA-6020 601-00317 710-570821-00
340.00  23d 21h
Noisecuttrans Nct-f6-ce Transformer Noise Cut
Noisecuttrans Nct-f6-ce Transformer Noise Cut
300.00  15d 18h
GasTech 57-0010 Remote Amplifier Board PCB 24-0314  Working
GasTech 57-0010 Remote Amplifier Board PCB 24-0314  Working
307.18  6d 11h
Aixtron  100041288 Cable Assy, Tm Io Hub To Flv/rv-al, 64 I 44033-02 Genus
Aixtron 100041288 Cable Assy, Tm Io Hub To Flv/rv-al, 64 I 44033-02 Genus
49.99  20d 13h
Toshiba MCC-847-03 Processor Board PCB DM24756002 PC06031-3  Working
Toshiba MCC-847-03 Processor Board PCB DM24756002 PC06031-3  Working
460.17  16d 13h
Hitachi BBS507-1 PCB Circuit Board  Working
Hitachi BBS507-1 PCB Circuit Board  Working
406.16  18d 19h
15-291416-00 / Shield, 2-gap Esc, Arc S, 300mm / Novellus
15-291416-00 / Shield, 2-gap Esc, Arc S, 300mm / Novellus
2,695.97  3d 15h
Alphasem AG AS420-1-01 Relay Board PCB AS420-1  Working
Alphasem AG AS420-1-01 Relay Board PCB AS420-1  Working
304.17  21d 12h
Taiyo NP8134R201-1 Circuit Board PCB CONN BLT/L  Working
Taiyo NP8134R201-1 Circuit Board PCB CONN BLT/L  Working
411.14  23d 19h
Air Products CRSD 1319 Power Supply Assembly PCB CRSD1319 SRW-65-2201
Air Products CRSD 1319 Power Supply Assembly PCB CRSD1319 SRW-65-2201
304.17  7d 20h
Amat 0020-78984 Plate,adapter Flex,
Amat 0020-78984 Plate,adapter Flex,
200.00  18d 2h
ASML 4022.455.21462 Z-sensor Assy,,US6817
ASML 4022.455.21462 Z-sensor Assy,,US6817
229.00  16d 0h
AMAT Applied Materials 0021-19152 Particle Ring  Surplus
AMAT Applied Materials 0021-19152 Particle Ring  Surplus
806.12  17d 19h
Williams Advanced Materials ZTH08446 Ni/Fe 18.2% wt% Target for Nordiko AMR
Williams Advanced Materials ZTH08446 Ni/Fe 18.2% wt% Target for Nordiko AMR
1,001.18  14d 17h
Komatsu 30022730 NOP OM-P Processor Board PCB CADK00360  Working
Komatsu 30022730 NOP OM-P Processor Board PCB CADK00360  Working
410.17  14d 19h
Axcelis Exit Shield Outer 17100521 REV A (99576)
Axcelis Exit Shield Outer 17100521 REV A (99576)
360.00  7d 7h
Pct Systems Qurtz Tank Ttf14 360
Pct Systems Qurtz Tank Ttf14 360
1,799.99  12d 18h
CKD USG3-X0693 Valve, 451675
CKD USG3-X0693 Valve, 451675
195.00 20d 14h
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve, 45100583, 451733
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve, 45100583, 451733
195.00 25d 14h
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve, 45100583, 451734
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve, 45100583, 451734
195.00 25d 14h
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve, 45100583, 451736
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve, 45100583, 451736
195.00 25d 15h
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve, 45100583, 451739
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve, 45100583, 451739
195.00 25d 15h
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve, 45100583, 451740
Parker Veriflo 955A0PLPNCSVCRFF2.78 Diaphragm Valve, 45100583, 451740
195.00 25d 15h
Hva 11290-0159x-001
Hva 11290-0159x-001
1,100.00  5d 16h
Kniel System-Electronic 193-011-34 .04 Power Supply Card PCB 4022.436.87911
Kniel System-Electronic 193-011-34 .04 Power Supply Card PCB 4022.436.87911
953.18  19d 12h
Schumacher LSB Module 491-7106E
Schumacher LSB Module 491-7106E
200.00  4d 21h
Opal 50312445000 Teach Pendant Controller AMAT SemVision cX Untested As-Is
Opal 50312445000 Teach Pendant Controller AMAT SemVision cX Untested As-Is
306.16  24d 19h
Ultratech Stepper 03-15-06698-02 General I/O Breakout 3 PCB Card  Working
Ultratech Stepper 03-15-06698-02 General I/O Breakout 3 PCB Card  Working
410.18  4d 15h
Varian Purge Vent 5 Psi, Model 9699116s003
Top-Rated Plus Seller Varian Purge Vent 5 Psi, Model 9699116s003
125.00  12d 17h
Stec Inc Pcu-2000 Controller
Stec Inc Pcu-2000 Controller
399.90 16d 9h
STEC SEC-4400MC-RUC Mass Flow Controller, MFC, Ar, 200 SCCM, SEC-4400, 325403
STEC SEC-4400MC-RUC Mass Flow Controller, MFC, Ar, 200 SCCM, SEC-4400, 325403
950.00 9d 16h
Shinko Electric SCE93-100037-C1 Interface Board PCB SBX08-000041-11  Working
Shinko Electric SCE93-100037-C1 Interface Board PCB SBX08-000041-11  Working
503.18  18h 50m
Amat 0190-05051 House Assembly Mnlfd Return/cldwn Return. 411102
Amat 0190-05051 House Assembly Mnlfd Return/cldwn Return. 411102
850.00 14d 20h
Perkin-Elmer 851-8242-006 Processor PCB Card Rev. D SVG ASML 90S  Working
Perkin-Elmer 851-8242-006 Processor PCB Card Rev. D SVG ASML 90S  Working
410.18  16d 12h
Mr-j3-40a / Driver Motor / Mitsubishi
Mr-j3-40a / Driver Motor / Mitsubishi
473.15  12d 20h
Mh5-0308002-00/unload Picker, Festo Fmg-7-5-r-sa34765kr Max Press. 0.7 Mpa/festo
Mh5-0308002-00/unload Picker, Festo Fmg-7-5-r-sa34765kr Max Press. 0.7 Mpa/festo
425.00  13d 17h
0020-27191 / Clamp Ring 8 Tin Snnf 11402ars Acam Sst / Applied Materials Amat
0020-27191 / Clamp Ring 8 Tin Snnf 11402ars Acam Sst / Applied Materials Amat
1,157.58  3d 16h
6.75 Inch Disc, Cover, Silica With SiC Coated
6.75 Inch Disc, Cover, Silica With SiC Coated
1,950.00 29d 1h
Nikon 4S013-482 Interface Board PCB STGX42B  Working
Nikon 4S013-482 Interface Board PCB STGX42B  Working
405.17  21d 19h
SVG Silicon Valley Group 859-0808-008 Processor PCB Card Rev. B 90S  Working
SVG Silicon Valley Group 859-0808-008 Processor PCB Card Rev. B 90S  Working
310.18  14d 17h
Densei-Lambda PWB-654C Power Supply PCB  Working Surplus
Densei-Lambda PWB-654C Power Supply PCB  Working Surplus
406.18  22d 20h
TEL Tokyo Electron 2310-100726-V2 Depo Shield PM Upper Y-2  Working
TEL Tokyo Electron 2310-100726-V2 Depo Shield PM Upper Y-2  Working
803.15  24d 19h
ASM 16-141279-01 Panel - Side 300mm Split Flow Chamber
ASM 16-141279-01 Panel - Side 300mm Split Flow Chamber
601.18  24d 21h
Nikon 4S013-225-1A Backplane Interface Board PCB SPAPWT NSR-S202A  Working
Nikon 4S013-225-1A Backplane Interface Board PCB SPAPWT NSR-S202A  Working
704.18  10d 11h
Nikon 4s013-310 Interface Board Blx3, 4s013310
Nikon 4s013-310 Interface Board Blx3, 4s013310
100.00  21d 12h
Svg Ltn-19330-01
Svg Ltn-19330-01
699.00  27d 21h
AMAT Applied Materials 0021-24804 Cover Ring 8" Refurbished
AMAT Applied Materials 0021-24804 Cover Ring 8" Refurbished
506.18  25d 1h
Tylan Fc-280-sav Mass Flow Controller 300 Sccm O2
Tylan Fc-280-sav Mass Flow Controller 300 Sccm O2
180.00  14d 16h
Applied Materials 0140-18305 Harn Assy, Ispc Reset Adaptor 300mm Re
Applied Materials 0140-18305 Harn Assy, Ispc Reset Adaptor 300mm Re
250.00  11d 7h
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424024
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424024
950.00 3d 21h
BTU Engineering 3161201 Battery Backup Assembly PCB Card 3161200  Working
BTU Engineering 3161201 Battery Backup Assembly PCB Card 3161200  Working
402.19  18d 14h
AMAT 0020-97974 Blade, 125mm, 409236
AMAT 0020-97974 Blade, 125mm, 409236
1,100.00 13d 20h
Millipore CPOKN3489A Pendant Controller  working
Millipore CPOKN3489A Pendant Controller  working
504.12  23d 13h
110-0201// Advanced 3152436-102v Pinnacle
110-0201// Advanced 3152436-102v Pinnacle
10,000.00 8d 5h
RECIF Technologies MOBJH0131D Motherboard PCB PCB0131 Nikon NSR Working Spare
RECIF Technologies MOBJH0131D Motherboard PCB PCB0131 Nikon NSR Working Spare
608.18  9d 17h
RECIF Technologies STDBH0130C Interface Board PCB Nikon NSR System  Working
RECIF Technologies STDBH0130C Interface Board PCB Nikon NSR System  Working
308.18  9d 18h
RECIF Technologies INTAH0540A Interface Board PCB Nikon NSR System  Working
RECIF Technologies INTAH0540A Interface Board PCB Nikon NSR System  Working
308.18  9d 14h
AMAT 0020-21746 Rev.A, LTD Ceramics, Outer Ring 8" Preclean. 417308
AMAT 0020-21746 Rev.A, LTD Ceramics, Outer Ring 8" Preclean. 417308
850.00 21d 17h
AMAT 0020-22237 Cover Ring, 8" 424124
AMAT 0020-22237 Cover Ring, 8" 424124
895.00 21d 20h
CKD USG3-X0693 Valve, 451677
CKD USG3-X0693 Valve, 451677
195.00 20d 14h
Perkin-Elmer 851-8242-006 Processor PCB Card Rev. L SVG ASML 90S  Working
Perkin-Elmer 851-8242-006 Processor PCB Card Rev. L SVG ASML 90S  Working
410.18  16d 12h
Hitachi 564-5515 EMO CNT Interface Board PCB 25646715  Working
Hitachi 564-5515 EMO CNT Interface Board PCB 25646715  Working
304.17  24d 17h
Tylan FC-2900M Mass Flow Controller, MFC, NF3, 1 SLPM, 2900 Series, 424319
Tylan FC-2900M Mass Flow Controller, MFC, NF3, 1 SLPM, 2900 Series, 424319
795.00 1d 19h
CORECO IMAGING OC-VIP0-Q0SV3 VIPERQUAD Board
CORECO IMAGING OC-VIP0-Q0SV3 VIPERQUAD Board
250.00 21d 12h
Nikon 4S019-137 Driver Control Board PCB REX-DRV3 NSR-S307E  Working
Nikon 4S019-137 Driver Control Board PCB REX-DRV3 NSR-S307E  Working
911.18  9d 15h
TEL Tokyo Electron   810-424110-2        Baffle  A  (8K)
TEL Tokyo Electron   810-424110-2        Baffle  A  (8K)
40.00  2d 21h
Omron #0135 Interface Board PCB  Working
Omron #0135 Interface Board PCB  Working
310.18  4d 13h
Omron #0176 Interface Board PCB  Working
Omron #0176 Interface Board PCB  Working
310.18  4d 13h
AMAT Applied Materials 0010-36476 RING 8" Refurbished
AMAT Applied Materials 0010-36476 RING 8" Refurbished
306.18  24d 20h
TDK 3EA00B172 Interface Power Supply Board PCB  Working
TDK 3EA00B172 Interface Power Supply Board PCB  Working
508.18  1d 17h
PCB AGV Interface Board SVG 99-80392-01
PCB AGV Interface Board SVG 99-80392-01
52.99  27d 19h
Parker Veriflo 45700277PA Pressure Regulator SQ140E1002P6UPG  Working
Parker Veriflo 45700277PA Pressure Regulator SQ140E1002P6UPG  Working
355.16  25d 16h
LAM 714-017303-003a class c
LAM 714-017303-003a class c
599.00  19d 19h
Upg-9.52g  (lot Of 40  .. Free Ship)
Upg-9.52g (lot Of 40 .. Free Ship)
480.00  21d 4h
177-0103// Fujikin Akewa000 408212 Valve [asis]
177-0103// Fujikin Akewa000 408212 Valve [asis]
200.00 15d 4h
Applied Materials Ceramic Insulator 200mm Hdpcvd 0200-18067
Applied Materials Ceramic Insulator 200mm Hdpcvd 0200-18067
2,499.99  18d 21h
Axcelis 17332510 Plate
Axcelis 17332510 Plate
304.00  8d 5h
ASM 2467550-01 Motor M2
ASM 2467550-01 Motor M2
1,007.18  24d 21h
CKD EHS-3000S-B-265-95-LF-NL540481 Brush Cylinder Assembly 3/6  Working
CKD EHS-3000S-B-265-95-LF-NL540481 Brush Cylinder Assembly 3/6  Working
604.18  15d 20h
353-0301// Amat Applied 2m256 Axcelis
353-0301// Amat Applied 2m256 Axcelis
1,000.00 20d 3h
SSI / Novellus 01-17423-004 WAFER PROCESSING MOTION INTERFACE MODULE, C186EB
SSI / Novellus 01-17423-004 WAFER PROCESSING MOTION INTERFACE MODULE, C186EB
1,000.00  13d 21h
Lambda LRS 52M-5 DC Regulated Power Supply Reseller Lot of 5  Working
Lambda LRS 52M-5 DC Regulated Power Supply Reseller Lot of 5  Working
807.15  4d 13h
Wrst-026x58 / Waveguide Assy For Tel Tokyo Electron / Nihon Koshuha
Wrst-026x58 / Waveguide Assy For Tel Tokyo Electron / Nihon Koshuha
195.03  5d 18h
44715-00    / Gas Module Display Pcb / Genus Incorporated
44715-00 / Gas Module Display Pcb / Genus Incorporated
120.82  23d 16h
Bruce Technologies 3161351V04 Video Display Processor PCB Card 3161350 BTU
Bruce Technologies 3161351V04 Video Display Processor PCB Card 3161350 BTU
402.19  17d 16h
ASML 879-8125-003 PDS Interface Board PCB Assembly A1075  Working
ASML 879-8125-003 PDS Interface Board PCB Assembly A1075  Working
301.15  24d 19h
Perkin Elmer Delta Target Backing Plate
Perkin Elmer Delta Target Backing Plate
400.00  8d 16h
Lambda Electronics LIS-3I-15 Power Supply PCB Card -15VDC  Working
Lambda Electronics LIS-3I-15 Power Supply PCB Card -15VDC  Working
306.18  2d 20h
Berkeley Process Controls MWT-8-MNET Machiorks Machine controller MH7A
Berkeley Process Controls MWT-8-MNET Machiorks Machine controller MH7A
950.00  26d 19h
Fine Suntronix SN-E10H-CM Noise Filter Reseller Lot of 12  Working
Fine Suntronix SN-E10H-CM Noise Filter Reseller Lot of 12  Working
310.18  19d 14h
Now Technologies NPDO-31-1 Nowpack Level Monitor Control Box, button missing
Now Technologies NPDO-31-1 Nowpack Level Monitor Control Box, button missing
64.99  4d 12h
5tbc012444 / Water Flow Meter / Tokyo Keisco Co Ltd
5tbc012444 / Water Flow Meter / Tokyo Keisco Co Ltd
194.55  10d 15h
98-176-001 / Pcb Sns-1 Sensor 15 90x / Tegal
98-176-001 / Pcb Sns-1 Sensor 15 90x / Tegal
185.26  4d 1h
Mmb50u-2 / Power Supply / Cosel
Mmb50u-2 / Power Supply / Cosel
266.70  1d 16h
Lon2xs / Interface Module / Hermos
Lon2xs / Interface Module / Hermos
200.03  9d 15h
4001-099-0497 / A1-c-03-05, 5297-01 Spray Hub Assy, Defoaming / Sas
4001-099-0497 / A1-c-03-05, 5297-01 Spray Hub Assy, Defoaming / Sas
268.68  16d 21h
Pk268-02b / Stepping Motor 2-phase / Vexta
Pk268-02b / Stepping Motor 2-phase / Vexta
264.99  22d 22h
Nikon 4S013-013 Interface Board PCB NSR System  Working
Nikon 4S013-013 Interface Board PCB NSR System  Working
302.19  5d 15h
Nikon 4S017-474 Interface Board PCB NSR System  Working
Nikon 4S017-474 Interface Board PCB NSR System  Working
502.19  5d 16h
Mfc;ksp1-050464,tn2920,co2/n2 100slpm
Mfc;ksp1-050464,tn2920,co2/n2 100slpm
2,160.00  5d 22h
Saint Gobain 3492014R Semiconductor Component
Saint Gobain 3492014R Semiconductor Component
19.99  3d 18h
Nikon 4S018-765 Driver Interface Board PCB IU-DRV4 NSR Series  Working
Nikon 4S018-765 Driver Interface Board PCB IU-DRV4 NSR Series  Working
807.12  4d 12h
BTU Engineering 316195V05 System Microcontroller PCB Card 3161950  Working
BTU Engineering 316195V05 System Microcontroller PCB Card 3161950  Working
402.19  17d 19h
571-033051-005 / 16-line Igs Gas Box  571-033051-31050e  /  Lam Research
571-033051-005 / 16-line Igs Gas Box 571-033051-31050e / Lam Research
20,440.62  23d 23h
Power-One BD65124B Power Supply Plug Converter Board PCB BD65126B Lot of 9
Power-One BD65124B Power Supply Plug Converter Board PCB BD65126B Lot of 9
310.18  7d 14h
Nice Excellent Unit Instruments DX-5 Digital Control System with 2 Cables & Cord
Nice Excellent Unit Instruments DX-5 Digital Control System with 2 Cables & Cord
499.00  17d 13h
SMC XGTX270-47336-1C-X846 Valve
Top-Rated Plus Seller SMC XGTX270-47336-1C-X846 Valve
749.99  18d 13h
Applied Materials 0041-25322 Assy, 0021-52240, 0021-52228
Applied Materials 0041-25322 Assy, 0021-52240, 0021-52228
250.00 22d 4h
Atmi Sr2bdafb-070519 Smartprobe Pressure Relief Bag In A Bottle System
Atmi Sr2bdafb-070519 Smartprobe Pressure Relief Bag In A Bottle System
249.99  21d 14h
Atmi Sr2bdafb-070518 Smartprobe Pressure Relief Bag In A Bottle System
Atmi Sr2bdafb-070518 Smartprobe Pressure Relief Bag In A Bottle System
249.99  21d 14h
Amat Applied Materials Vacuum Fitting 0050-42135
Amat Applied Materials Vacuum Fitting 0050-42135
249.99  28d 17h
Sandvik 4167115-0001 SS Furnace Collar  Working
Sandvik 4167115-0001 SS Furnace Collar  Working
308.18  24d 20h
Amat 0020-24804 Cover Ring Sst 8" 101 ,
Amat 0020-24804 Cover Ring Sst 8" 101 ,
1,300.00  29d 1h
0150-35214 C/a, Unit Mfc Long
0150-35214 C/a, Unit Mfc Long
254.46  24d 1h
Chemical Bottle Stainless Steel Ta201
Chemical Bottle Stainless Steel Ta201
895.00  21d 19h
AMAT Endura Mainframe Step-Diamond Plated
AMAT Endura Mainframe Step-Diamond Plated
600.00  29d 14h
Lam Research R Edge Ring (PTX) Refurbished
Lam Research R Edge Ring (PTX) Refurbished
459.18  1d 14h
381-600338-1 / 308-600338-1, Indexer I/o Board / Tokyo Electron Tel
381-600338-1 / 308-600338-1, Indexer I/o Board / Tokyo Electron Tel
166.99  28d 14h
RECIF Technologies STDAH0141B Interface Board PCB PCB0141B
RECIF Technologies STDAH0141B Interface Board PCB PCB0141B
200.00  6d 9h
Stec Ec-3300ps-ak
Stec Ec-3300ps-ak
399.00  5d 9h
ASM 02-184430-01 OBS Assembly Fixt Gate Valve Liquid Cooled
ASM 02-184430-01 OBS Assembly Fixt Gate Valve Liquid Cooled
1,286.18  24d 21h
5 Parker 4FF4-B-GR Brass Front Ferule, 451610
5 Parker 4FF4-B-GR Brass Front Ferule, 451610
20.00 18d 17h
AMAT 3310-01026 Gauge, Reg 0-160 PSI, 451747
AMAT 3310-01026 Gauge, Reg 0-160 PSI, 451747
95.00 25d 17h
Oriental Motor 5GU18KB Gearhead, 452019
Oriental Motor 5GU18KB Gearhead, 452019
95.00 4d 15h
Tokyo Electron Ct5087-407101-11 Cnl-0145i Rof Set Pin (cool) Assy
Tokyo Electron Ct5087-407101-11 Cnl-0145i Rof Set Pin (cool) Assy
450.00  1d 2h
ECI Systems 04-055583-00 Remote Module Kit TW Monitor  Working
ECI Systems 04-055583-00 Remote Module Kit TW Monitor  Working
604.18  1d 17h
Chip, BM29950 Rev.B U1. 420793
Chip, BM29950 Rev.B U1. 420793
29.00 21d 16h
Bir-026355 / Pcb, Option Pe (t5585) / Advantest
Bir-026355 / Pcb, Option Pe (t5585) / Advantest
1,800.62  17d 1h
National Instruments NPB-536C PCB Board Reseller Lot of 3  Working
National Instruments NPB-536C PCB Board Reseller Lot of 3  Working
308.15  24d 19h
Furon Flare Grip Fine Thread Flare Fitting ETA Fuse Bond
Furon Flare Grip Fine Thread Flare Fitting ETA Fuse Bond
40.00  25d 18h
LAM RF Match, Custom, 424265
LAM RF Match, Custom, 424265
7,500.00  20d 19h
555031 /     Pwb Assy Lamp Control Cpu Module Rev /  Axcelis Technologies
555031 / Pwb Assy Lamp Control Cpu Module Rev / Axcelis Technologies
570.57  3d 20h
1b80-001310-11 / Pcb - I/016 Cn Board 1 / Tokyo Electron Tel
1b80-001310-11 / Pcb - I/016 Cn Board 1 / Tokyo Electron Tel
350.82  2d 17h
879-0362-002/chuck, Qml/msxlod
879-0362-002/chuck, Qml/msxlod
590.97  2d 19h
35166-00s / Bellows 35166-00(s) Hastelloy-c / Zmisc
35166-00s / Bellows 35166-00(s) Hastelloy-c / Zmisc
710.05  9d 18h
0150-20197 / Harness Asy Tc Interface/ Applied Materials
0150-20197 / Harness Asy Tc Interface/ Applied Materials
400.00  11d 20h
001788l / Pcb Assy Pamux,digital I/o Control / Opto 22
001788l / Pcb Assy Pamux,digital I/o Control / Opto 22
363.99  15d 21h
3700-01881 (set Of 10) / Seal, Lidchamber O-ring / Mks
3700-01881 (set Of 10) / Seal, Lidchamber O-ring / Mks
300.99  1d 17h
E15001280 / Pmac Serial To Parallel Interface / Varian
E15001280 / Pmac Serial To Parallel Interface / Varian
560.82  7d 16h
17338620 / Outer Striker Plate Liner / Axcelis Technologies
17338620 / Outer Striker Plate Liner / Axcelis Technologies
280.00  8d 19h
Anfezq8ac / Auto Notchfinder 8 Inch / Phillips Service Industries, Inc
Anfezq8ac / Auto Notchfinder 8 Inch / Phillips Service Industries, Inc
741.00  9d 16h
1917940 / Tc Dual 5/32 Od Type S W/spring M3a / Axcelis Technologies
1917940 / Tc Dual 5/32 Od Type S W/spring M3a / Axcelis Technologies
354.00  8d 20h
0860-103461 / Shoe,cass Load Stat Shuttle Sensor / Novellus Systems Inc
0860-103461 / Shoe,cass Load Stat Shuttle Sensor / Novellus Systems Inc
340.82  16d 19h
Sec-z712mgx O2 10 Slm / Stec Mfc O2 10 Slm 797-154719-006 / Horiba Stec
Sec-z712mgx O2 10 Slm / Stec Mfc O2 10 Slm 797-154719-006 / Horiba Stec
350.62  17d 14h
Blf-022828 / Mdc2 Board Pcb / Advantest
Blf-022828 / Mdc2 Board Pcb / Advantest
400.62  8d 16h
Bgm-021557    /  Pcb, Calibration And Signal (h,p) (t5581h) /  Advantest
Bgm-021557 / Pcb, Calibration And Signal (h,p) (t5581h) / Advantest
650.62  16d 18h
CKD USG3-X0693 Valve, 451678
CKD USG3-X0693 Valve, 451678
195.00 20d 14h
Perkin-Elmer 851-8242-006 Processor PCB Card Rev. J SVG ASML 90S  Working
Perkin-Elmer 851-8242-006 Processor PCB Card Rev. J SVG ASML 90S  Working
410.18  23d 17h
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424040
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424040
950.00 9d 17h
Kondoh Kohsya LM-101 PCB Controller Assembly DSM-007  Working
Kondoh Kohsya LM-101 PCB Controller Assembly DSM-007  Working
1,010.13  3d 12h
AMAT 0020-01341, Cover, Graphite, 410986
AMAT 0020-01341, Cover, Graphite, 410986
295.00 10d 22h
SVG Silicon Valley Group 851-8440-008 Interface PCB Card A5101 90S  Working
SVG Silicon Valley Group 851-8440-008 Interface PCB Card A5101 90S  Working
510.18  29d 13h
Tylan FC-2900M Mass Flow Controller, N2 500 SCCM MFC, 424360
Tylan FC-2900M Mass Flow Controller, N2 500 SCCM MFC, 424360
795.00 16d 17h
Berkeley Process Controls MWT-8-MNET Machiorks Machine controller 2.1.1.
Berkeley Process Controls MWT-8-MNET Machiorks Machine controller 2.1.1.
950.00  26d 19h
Bgr-021938  /  Board,tgfc I/f(h,p) /  Advantest
Bgr-021938 / Board,tgfc I/f(h,p) / Advantest
650.62  16d 19h
Ins50-120 / 5-phase Stepper Drive, Ac200-220v, 50/60hz, 500va, 2 Wire / Mycom
Ins50-120 / 5-phase Stepper Drive, Ac200-220v, 50/60hz, 500va, 2 Wire / Mycom
661.99  20d 15h
Ds012-004333-1 / Valve,air Fpr-71-9.52-2-pa-br (ku150099 / Tokyo Electron
Ds012-004333-1 / Valve,air Fpr-71-9.52-2-pa-br (ku150099 / Tokyo Electron
451.26  29d 16h
Ar66ak-2/ Ar Series Motor-driver Ar 60mm Frame Stpr 2m Cable Assy /oriental Mot.
Ar66ak-2/ Ar Series Motor-driver Ar 60mm Frame Stpr 2m Cable Assy /oriental Mot.
660.68  21h 41m
Mxq8b-75z / Slide Table Cylinder, 0.15-0.7 Mpa / Smc
Mxq8b-75z / Slide Table Cylinder, 0.15-0.7 Mpa / Smc
460.99  3d 16h
45100640 / Model 959100s6pxx4, Valve, Max Inlet 3500 Psi / Mks
45100640 / Model 959100s6pxx4, Valve, Max Inlet 3500 Psi / Mks
400.99  24d 19h
Ldfn1f00213e0 / Super-cheminert Kleen-change Filter / Pall
Ldfn1f00213e0 / Super-cheminert Kleen-change Filter / Pall
400.99  2d 17h
Ac1923 / Electrotech Pirani Head Amp / Aviza Technology
Ac1923 / Electrotech Pirani Head Amp / Aviza Technology
400.99  2d 20h
381-600290-7 / Hp. Ad Mother Board / Tokyo Electron Tel
381-600290-7 / Hp. Ad Mother Board / Tokyo Electron Tel
400.99  3d 13h
4223500 / Teflon Spoon, 150mm / Varian
4223500 / Teflon Spoon, 150mm / Varian
550.99  4d 16h
H1252006 / Retainer Ring / Varian
H1252006 / Retainer Ring / Varian
350.99  7d 17h
908210-001 / 908211-001, Circuit Board / Tylan
908210-001 / 908211-001, Circuit Board / Tylan
450.99  9d 15h
8002a / Air Flow Monitor 800 Model Fkm130.11 / Proxitron
8002a / Air Flow Monitor 800 Model Fkm130.11 / Proxitron
500.99  10d 16h
540241015 / V82 Pressure Regulator 1-1/2 Uhp Pvdf V82 W/spg End / Frank  ()
540241015 / V82 Pressure Regulator 1-1/2 Uhp Pvdf V82 W/spg End / Frank ()
450.00  14d 20h
Moensa06--az / Brush / Disco
Moensa06--az / Brush / Disco
500.99  15d 16h
3102659071 / 6" Inner Cup S(3) / Varian
3102659071 / 6" Inner Cup S(3) / Varian
375.99  15d 16h
0050-44161 / Adapter, Baratron Gauge /applied Materials Amat
0050-44161 / Adapter, Baratron Gauge /applied Materials Amat
506.25  23d 17h
0200-18074 / Sheath,rpsc,hdp-cvd / Applied Materials Amat
0200-18074 / Sheath,rpsc,hdp-cvd / Applied Materials Amat
427.72  12d 11h
1040-01016 / Meter Flow Gas 3.5gpm Brs W/sst Float Si / Applied Materials Amat
1040-01016 / Meter Flow Gas 3.5gpm Brs W/sst Float Si / Applied Materials Amat
423.60  12d 12h
0020-06231 / Cover, Cathode Hex / Applied Materials Amat
0020-06231 / Cover, Cathode Hex / Applied Materials Amat
442.20  12d 12h
D37209100 / Edwards Display Module Small Alt Version / Edwards Vacuum
D37209100 / Edwards Display Module Small Alt Version / Edwards Vacuum
375.00  12d 14h
0020-32036 / Blocker,plate,200mm / Applied Materials Amat
0020-32036 / Blocker,plate,200mm / Applied Materials Amat
370.77  12d 14h
Db-h21-101 /    Pcb Es0603 Dip Power Source Control Unit /  Pbf
Db-h21-101 / Pcb Es0603 Dip Power Source Control Unit / Pbf
501.62  13d 0h
6lvv-dp13680-c / Valve-diaphragm Dp Series / Swagelok
6lvv-dp13680-c / Valve-diaphragm Dp Series / Swagelok
714.60  29d 15h
0040-02159 / Base Connector Hp Txz 200mm / Applied Materials Amat
0040-02159 / Base Connector Hp Txz 200mm / Applied Materials Amat
705.00  29d 17h
17101220 / Gsd Amu Center Pole Clamp / Axcelis Technologies
17101220 / Gsd Amu Center Pole Clamp / Axcelis Technologies
650.06  4d 22h
5880-1167-0001 / Deflector Plate,lower / Axcelis Technologies
5880-1167-0001 / Deflector Plate,lower / Axcelis Technologies
620.95  5d 20h
E15002450 / Digital Io / Varian
E15002450 / Digital Io / Varian
530.00  8d 22h
Ca-1568m / 15'' Vga Metal Series Color Monitor / Fair Electronics Co
Ca-1568m / 15'' Vga Metal Series Color Monitor / Fair Electronics Co
450.26  19d 13h
13-00015-00 / End Station Board / Varian
13-00015-00 / End Station Board / Varian
750.66  19d 22h
E11123060  /  Gauge Regulator Panel (e17696100) /  Varian
E11123060 / Gauge Regulator Panel (e17696100) / Varian
750.66  27d 15h
4810088 /  Lot Of 6 /  Diode Vsuppr 11v  /  Varian
4810088 / Lot Of 6 / Diode Vsuppr 11v / Varian
550.82  29d 17h
9845-0058 / Vacuum Piping Heater 2006/95/ec Low Voltage Directive / Mks
9845-0058 / Vacuum Piping Heater 2006/95/ec Low Voltage Directive / Mks
280.99  7d 16h
Sy100-dui00226 /  Manifold 16x Sy114-5mou Solenoid Valve / Smc
Sy100-dui00226 / Manifold 16x Sy114-5mou Solenoid Valve / Smc
360.99  24d 15h
Dc3/ Xzel, Xl1003 Power Supply Compumotor/parker
Dc3/ Xzel, Xl1003 Power Supply Compumotor/parker
287.50  1d 16h
810-009281-001 / Pcb Gap Driver Bd / Lam
810-009281-001 / Pcb Gap Driver Bd / Lam
612.50  3d 17h
L7230301 / 8 Ch Valve Driver / Varian
L7230301 / 8 Ch Valve Driver / Varian
520.99  14d 20h
02-33270-0001 / Dc Power Supply / Condor
02-33270-0001 / Dc Power Supply / Condor
550.99  14d 20h
2856735-21 / Digital, Pwba I/o Dpc 8ch     / Asm America Inc
2856735-21 / Digital, Pwba I/o Dpc 8ch / Asm America Inc
655.99  17d 17h
Z18109-3ortb5226-90 / Fairchild Cat No. Tb5226-90 Regulator, Elec Pneu / Meacon
Z18109-3ortb5226-90 / Fairchild Cat No. Tb5226-90 Regulator, Elec Pneu / Meacon
495.03  4d 16h
Msps20-230 / Switch Mode Pwr Spply;mps20-230/24 Single Phase / Murr
Msps20-230 / Switch Mode Pwr Spply;mps20-230/24 Single Phase / Murr
500.00  21d 15h
Bm04670 / Pcb, 5000 Solonoid Driver / Pri
Bm04670 / Pcb, 5000 Solonoid Driver / Pri
600.99  24d 17h
605346-01 / Mounting Kit, Ir Sensor / Thermco
605346-01 / Mounting Kit, Ir Sensor / Thermco
320.82  25d 21h
17338610 / Plate Outer Striker Liner / Axcelis Technologies
17338610 / Plate Outer Striker Liner / Axcelis Technologies
295.00  8d 19h
372m-37051-1 / Assy, Brain Board Analog Rail 0 / Novellus Systems Inc
372m-37051-1 / Assy, Brain Board Analog Rail 0 / Novellus Systems Inc
491.15  13d 15h
2805-103420 / Assy, Slurry Feed Primary Below Tbl / Novellus Systems Inc
2805-103420 / Assy, Slurry Feed Primary Below Tbl / Novellus Systems Inc
421.85  15d 13h
965513-r / Auriga, Vacuum Pump Rebuild Kit. K294a / Novellus Systems Inc
965513-r / Auriga, Vacuum Pump Rebuild Kit. K294a / Novellus Systems Inc
482.90  15d 17h
Hf-kn43 / Ac Servo Motor, 3ac, 101v, 400w, 2.7a, 1.4kg, 3000rpm / Mitsubishi
Hf-kn43 / Ac Servo Motor, 3ac, 101v, 400w, 2.7a, 1.4kg, 3000rpm / Mitsubishi
400.68  16d 21h
Mbdht2510l07 / Ac Servo Driver, 240v, 3 Phase, 50/60 Hz, 400w / Panasonic
Mbdht2510l07 / Ac Servo Driver, 240v, 3 Phase, 50/60 Hz, 400w / Panasonic
560.68  23d 21h
Mxq12a-75za / High Precision Mxq Giuded Cylinder / Smc
Mxq12a-75za / High Precision Mxq Giuded Cylinder / Smc
350.68  25d 22h
P61002111102 / O/p Relay Contacts 2a, 120-240 Ac / West Temperature Control Soln
P61002111102 / O/p Relay Contacts 2a, 120-240 Ac / West Temperature Control Soln
600.99  2d 17h
Dgs-25-40-p / Pneumatic Cylinder, 12 Bar Max, Serie 980r / Festo
Dgs-25-40-p / Pneumatic Cylinder, 12 Bar Max, Serie 980r / Festo
450.99  6d 15h
G7vc-oa16 / Ouput Block, Relay Blk Out W/o Slave, 24vdc / Omron
G7vc-oa16 / Ouput Block, Relay Blk Out W/o Slave, 24vdc / Omron
584.99  27d 16h
0010-20138 / Assy Tc Amp Hsng / Applied Materials Amat
0010-20138 / Assy Tc Amp Hsng / Applied Materials Amat
739.67  8d 17h
540243010 / 1" Uhp Pvdf / Ptfe V782 W/spg End /  Frank
540243010 / 1" Uhp Pvdf / Ptfe V782 W/spg End / Frank
360.00  10d 21h
00-684145-00 / 5 Inch Shield Ring / Novellus
00-684145-00 / 5 Inch Shield Ring / Novellus
425.99  15d 16h
0020-09032 / Insulating Ring / Applied Materials Amat
0020-09032 / Insulating Ring / Applied Materials Amat
494.84  27d 18h
0246-03772 / Kit, Ffu Shelf B (fes/fis), 5.3 Fi / Applied Material Amat
0246-03772 / Kit, Ffu Shelf B (fes/fis), 5.3 Fi / Applied Material Amat
375.99  5d 12h
CKD USG3-X0693 Valve, 451679
CKD USG3-X0693 Valve, 451679
195.00 20d 14h
Berkeley Process Controls MWT-8-MNET Machiorks Machine controller AUTO
Berkeley Process Controls MWT-8-MNET Machiorks Machine controller AUTO
950.00  26d 20h
Perkin-Elmer 851-8242-006 Processor PCB Card Rev. P SVG ASML 90S  Working
Perkin-Elmer 851-8242-006 Processor PCB Card Rev. P SVG ASML 90S  Working
409.18  29d 20h
AMAT 0040-03641 Blade, Right 300mm FI ECP, 406809
AMAT 0040-03641 Blade, Right 300mm FI ECP, 406809
1,850.00 21d 19h
SVG Silicon Valley Group 851-9953-003 Processor PCB Card Rev. G ASML 90S
SVG Silicon Valley Group 851-9953-003 Processor PCB Card Rev. G ASML 90S
310.18  23d 15h
Drytek / Lam Gas Interface Board, P/N 2800893 Rev 4
Top-Rated Plus Seller Drytek / Lam Gas Interface Board, P/N 2800893 Rev 4
239.20  26d 13h
Kondoh Kohsya MA3-PCB(KG) Adjustable Amplifier Interface Board PCB  Working
Kondoh Kohsya MA3-PCB(KG) Adjustable Amplifier Interface Board PCB  Working
303.18  19d 18h
STEC SEC-4400MC-RUG-G2 Mass Flow Controller MFC N2O, 500 SCCM, 424296
STEC SEC-4400MC-RUG-G2 Mass Flow Controller MFC N2O, 500 SCCM, 424296
850.00 25d 22h
Varian E11550420 E11683780 E17252030 Profiler Assy, Rp, Fhc, Bh Amat
Varian E11550420 E11683780 E17252030 Profiler Assy, Rp, Fhc, Bh Amat
11,999.00  10d 11h
TEL Tokyo Electron 2981-600619-11 Interface Connector Board PCB  Working
TEL Tokyo Electron 2981-600619-11 Interface Connector Board PCB  Working
302.19  5d 14h
Amat 0020-30447 Plate,blocker Sx 6 In ,
Amat 0020-30447 Plate,blocker Sx 6 In ,
250.00  9d 20h
Bit 3 Computer Corp 82002057  Rev P  /  600162-201 Rev E  Board  82002053 Rev 2
Bit 3 Computer Corp 82002057 Rev P / 600162-201 Rev E Board 82002053 Rev 2
224.00  20d 5h
Applied Materials 0010-01098 Refelector, Assy. Cassette Handler 200 MM
Applied Materials 0010-01098 Refelector, Assy. Cassette Handler 200 MM
1,995.00  26d 22h
Novellus Systems 02-149841-02 C3 Vector 300mm Assembly 15-156474-02
Novellus Systems 02-149841-02 C3 Vector 300mm Assembly 15-156474-02
812.15  2d 21h
Amat 0040-83284 Zone 1 Clamp,
Amat 0040-83284 Zone 1 Clamp,
2,000.00  12d 4h
Balzers BG 290 563 U Potentiometer Switch PCB Card BG290563-U  Working
Balzers BG 290 563 U Potentiometer Switch PCB Card BG290563-U  Working
357.16  20h 17m
345-0101// Amat Applied 0020-23878 Side Receiver Special
345-0101// Amat Applied 0020-23878 Side Receiver Special
290.00 12d 5h
417-0401// Yaskawa Sgds-08a05a Servopack [/fast]
417-0401// Yaskawa Sgds-08a05a Servopack [/fast]
500.00 20d 6h
439-0503// Oriental Motor Kxpm6180-abm Ac Servo Motor [/fast]
439-0503// Oriental Motor Kxpm6180-abm Ac Servo Motor [/fast]
500.00 27d 22h
443-0202// Oriental Motor K0366-m (cut Cable) Motor [/fast]
443-0202// Oriental Motor K0366-m (cut Cable) Motor [/fast]
200.00 29d 0h
445-0103// Oriental Motor Pk299-02a Motor []
445-0103// Oriental Motor Pk299-02a Motor []
200.00 29d 6h
Canon DG6-0063
Canon DG6-0063
950.00 29d 20h
Jdas 50x55 / Cylinder Air Jig Koganei Jdas 50x55 / Warden Fluid Dynamics
Jdas 50x55 / Cylinder Air Jig Koganei Jdas 50x55 / Warden Fluid Dynamics
100.68  4d 21h
34d-9311a / Motor Auto Tranfer Hub 878-0146 / Api
34d-9311a / Motor Auto Tranfer Hub 878-0146 / Api
62.68  4d 21h
SAM Fantas SFC1480FPD2 Mass Flow Controller
SAM Fantas SFC1480FPD2 Mass Flow Controller
300.00  18d 17h
Asml 4022.435.60122 Spindle *un, Sealed*
Asml 4022.435.60122 Spindle *un, Sealed*
999.00  24d 8h
Nikon Pressure Sensor Assembly NSR-S307E  Working
Nikon Pressure Sensor Assembly NSR-S307E  Working
806.14  23d 18h
Air Products CRSD 1243 Pneumatic Distribution Board PCB CRSD1243  Working
Air Products CRSD 1243 Pneumatic Distribution Board PCB CRSD1243  Working
504.17  10d 14h
Asm 1060-390-01 Cl2 Gas Det Split Exhaust Cable Kit
Asm 1060-390-01 Cl2 Gas Det Split Exhaust Cable Kit
907.18  24d 22h
Fujikin Liquid Process Ampule
Fujikin Liquid Process Ampule
3,499.99  6d 18h
ASML 4022.471.6292 AT IM REM RES. RP Board PCB Card 05 4022.471.62911
ASML 4022.471.6292 AT IM REM RES. RP Board PCB Card 05 4022.471.62911
806.17  24d 18h
Mykrolis QCCZATM01K QuickChange ATM Chemlock 0.05µm Prewet Filter
Mykrolis QCCZATM01K QuickChange ATM Chemlock 0.05µm Prewet Filter
888.00  19d 7h
Nikon 4S008-187 Interface Control Board PCB AF-PSDX4B NSR-S205C  Working
Nikon 4S008-187 Interface Control Board PCB AF-PSDX4B NSR-S205C  Working
1,410.17  4d 17h
Tokyo Electron Twist Capsule Assembly (TX90, 2.X EGEE) (929353-002) (!)
Tokyo Electron Twist Capsule Assembly (TX90, 2.X EGEE) (929353-002) (!)
299.00  2d 20h
342-0302// Amat Applied 1310-01047 Tc Probe K-type 7/16-20 Tef 2nd Source
342-0302// Amat Applied 1310-01047 Tc Probe K-type 7/16-20 Tef 2nd Source
300.00 10d 3h
ASM 02-187762D01 ASSY-DYNAMIC PRESSURE REGULATOR-LH  Surplus
ASM 02-187762D01 ASSY-DYNAMIC PRESSURE REGULATOR-LH  Surplus
1,107.18  25d 0h
ton 9200 Industrial Vision System
ton 9200 Industrial Vision System
199.95 15h 31m
Novellus 38-276335-00 Cable, 406409
Novellus 38-276335-00 Cable, 406409
2,500.00  14h 43m
Swagelok, V170-8C-K4, FKM Seal Kit
Swagelok, V170-8C-K4, FKM Seal Kit
20.00 11d 16h
ASML 4022.471.6707 Interface Board PCB Card 01 4022.471.67081  Working
ASML 4022.471.6707 Interface Board PCB Card 01 4022.471.67081  Working
806.17  18h 16m
Nikon NK2551 EPROM Sensor Board PCB NSR-S306C DUV System  Working
Nikon NK2551 EPROM Sensor Board PCB NSR-S306C DUV System  Working
1,208.18  11d 19h
Amds00-x0101 / Drip Prevention, Air Operated Valve / Ckd Corporation
Amds00-x0101 / Drip Prevention, Air Operated Valve / Ckd Corporation
150.68  11d 22h
RECIF Technologies STDAH0237A Pneumatic Output Board PCB INTAH0281A  Working
RECIF Technologies STDAH0237A Pneumatic Output Board PCB INTAH0281A  Working
355.17  22d 15h
Applied Materials AMAT Gas Weldment, 0050-06447
Applied Materials AMAT Gas Weldment, 0050-06447
375.00  29d 8h
Axiomtek AX61222WB PC,
Axiomtek AX61222WB PC,
500.00  22d 3h
W.c. Dillon & Co. Screen Printer Head Force Fixture S/n 7204
W.c. Dillon & Co. Screen Printer Head Force Fixture S/n 7204
250.00  29d 15h
0517346-s / Sub Kit - 9600 #13 / California Seal & Fastener
0517346-s / Sub Kit - 9600 #13 / California Seal & Fastener
131.25  13d 21h
Shield, 15-264302-00,  Ring,  Guard,  Ext,  Baseplate,  Pc300
Shield, 15-264302-00, Ring, Guard, Ext, Baseplate, Pc300
1,200.00  25d 5h
Perkin-Elmer 851-9993 Processor PCB Card SVG 879-8079-002 90S  Working
Perkin-Elmer 851-9993 Processor PCB Card SVG 879-8079-002 90S  Working
310.18  15d 12h
428-0301// Harmonic Rs-8b-3006c (no Connector) Actuator []
428-0301// Harmonic Rs-8b-3006c (no Connector) Actuator []
500.00 6h 30m
Millipore Bed Support 3277614wb  422.8 x 4.75
Millipore Bed Support 3277614wb 422.8 x 4.75
18.50 18d 0h
231001-0 / Lift Hoop 8" Tin Chamber / Applied Materials Amat
231001-0 / Lift Hoop 8" Tin Chamber / Applied Materials Amat
950.03  12d 13h
0021-35008 / Plate, Reflector, 200mm, Mod Ii /applied Materials
0021-35008 / Plate, Reflector, 200mm, Mod Ii /applied Materials
800.98  15d 17h
03331-0761-0022 / Pressure Transmitter / Rosemount
03331-0761-0022 / Pressure Transmitter / Rosemount
1,000.98  23d 19h
716-022495-333   / Ring,edge,2300, Uniformity,low Temp / Lam
716-022495-333 / Ring,edge,2300, Uniformity,low Temp / Lam
1,400.98  27d 19h
716-031257-739 / Ring,edge,top,cer,300mm / Lam
716-031257-739 / Ring,edge,top,cer,300mm / Lam
920.98  29d 18h
797-092055-204 / Mfc, Stec4400 O2 20 Sccm / Lam
797-092055-204 / Mfc, Stec4400 O2 20 Sccm / Lam
960.98  29d 18h
17-291673-00 / Shield, .090 Thk, Passthru, Arc Spr / Novellus
17-291673-00 / Shield, .090 Thk, Passthru, Arc Spr / Novellus
880.98  12d 17h
0040-87046/ Adapter, Water Cooled Std Pvd 300mm Rev.004/ Applied Materials
0040-87046/ Adapter, Water Cooled Std Pvd 300mm Rev.004/ Applied Materials
1,260.98  23d 19h
716-800951-301 /      Base,fcs Rng,shld-300mm / Lam
716-800951-301 / Base,fcs Rng,shld-300mm / Lam
1,000.98  29d 16h
0021-06063 /end Plate Auto Handler/ Applied Materials
0021-06063 /end Plate Auto Handler/ Applied Materials
800.98  16h 44m
Applied Materials MKS 0190-17894 Rev 003 Interlock Module Conductor Hart
Applied Materials MKS 0190-17894 Rev 003 Interlock Module Conductor Hart
1,900.00 19d 21h
41300957 / Regulator / Veriflo Valves Parker
41300957 / Regulator / Veriflo Valves Parker
95.00  13d 15h
Applied Materials AMAT Ceramic Filter, 4020-01199
Applied Materials AMAT Ceramic Filter, 4020-01199
1,195.00  28d 11h
Amat 0190-77527 Servomotor, Pc Rotation
Amat 0190-77527 Servomotor, Pc Rotation
1,800.00  22d 5h
TEL CP-8280 PCB, Circuit 413041
TEL CP-8280 PCB, Circuit 413041
350.00 10d 14h
AMAT 0140-11437, DCA 3704, Harness, Assembly, Conductivity Controllers, 413846
AMAT 0140-11437, DCA 3704, Harness, Assembly, Conductivity Controllers, 413846
350.00 17h 44m
Lam Research 02-376090-00 Ped Assy Sta2-4,1" Mca, No Lift Hose Mach
Lam Research 02-376090-00 Ped Assy Sta2-4,1" Mca, No Lift Hose Mach
1,135.00  18d 3h
Asm 02-337061-01 Assy-h2 Chassis S2 Standard V1
Asm 02-337061-01 Assy-h2 Chassis S2 Standard V1
1,507.18  24d 22h
4401  Applied Materials P/N: 0100-11000 Analog Input Board
4401 Applied Materials P/N: 0100-11000 Analog Input Board
970.00  28d 15h
Tokyo Electron Ct5087-407099-12 Cnl-0145i Rof Set Pin (hp) Assy
Tokyo Electron Ct5087-407099-12 Cnl-0145i Rof Set Pin (hp) Assy
450.00  1d 3h
Asm 04-332828-03 Kit-blades-stepped-intmd Inj Plt-stm
Asm 04-332828-03 Kit-blades-stepped-intmd Inj Plt-stm
1,207.18  24d 22h
Bg9-3502 /acc-1/ Canon
Bg9-3502 /acc-1/ Canon
10,500.97  18d 19h
9103400374 / Fast Pulse Converter /canon
9103400374 / Fast Pulse Converter /canon
5,500.97  18d 19h
Bg9-3715 /tilt Wz I/f Pcb/ Canon Usa Inc
Bg9-3715 /tilt Wz I/f Pcb/ Canon Usa Inc
6,400.97  18d 19h
RECIF Technologies MOBBH0131D Motherboard PCB PCB0131 Nikon NSR Working Spare
RECIF Technologies MOBBH0131D Motherboard PCB PCB0131 Nikon NSR Working Spare
608.18  9d 14h
ASML 4022.471.7726 DC/DC Converter Board PCB Card 4022.471.77311  Working
ASML 4022.471.7726 DC/DC Converter Board PCB Card 4022.471.77311  Working
806.17  18h 36m
Unit Instruments: UFC-1100A  MFC.  Range: 300 SCCM   Gas: NH2 <
Unit Instruments: UFC-1100A MFC. Range: 300 SCCM Gas: NH2 <
54.99  29d 16h
VAT 02010-BA24 Pneumatic High Vacuum 12" Slit Valve  Working
VAT 02010-BA24 Pneumatic High Vacuum 12" Slit Valve  Working
1,007.18  24d 22h
Asm 1038-497-01 Kit-cable Pm Exhaust
Asm 1038-497-01 Kit-cable Pm Exhaust
1,307.18  24d 23h
137-0404// Amat Applied 0050-40653 Applied Matrials Components []
137-0404// Amat Applied 0050-40653 Applied Matrials Components []
290.00 24d 6h
E19009260 / Feed Thru Rotary Left Hand / Varian
E19009260 / Feed Thru Rotary Left Hand / Varian
580.68  18d 16h
Ncdq2wb50-uia970152 / Cylinder Mzx. Press 145psi / Smc
Ncdq2wb50-uia970152 / Cylinder Mzx. Press 145psi / Smc
360.68  26d 23h
Bruce Technologies 7351C Operator Panel FRT PNL Interconnect 3161211 BTU
Bruce Technologies 7351C Operator Panel FRT PNL Interconnect 3161211 BTU
402.19  18d 13h
17338570 / Inner Striker Plate Liner / Axcelis Technologies
17338570 / Inner Striker Plate Liner / Axcelis Technologies
256.00  7d 18h
17122900 / Shield Exit (inner) / Axcelis Technologies
17122900 / Shield Exit (inner) / Axcelis Technologies
228.00  26d 17h
604/6/d75245a-1hpw / High Purity Diaphragm Valve 2/2 Way Pneumatic Plast / Gemu
604/6/d75245a-1hpw / High Purity Diaphragm Valve 2/2 Way Pneumatic Plast / Gemu
175.99  6d 15h
7-39-02929 / Actuator, Aircylinder, Model: Cdrqb 20 / Smc
7-39-02929 / Actuator, Aircylinder, Model: Cdrqb 20 / Smc
251.99  26d 19h
70312540200 / Board Assy Rs170/reset / Applied Materials Amat
70312540200 / Board Assy Rs170/reset / Applied Materials Amat
262.91  15d 17h
0040-01304 / W/c Adaptor, Halogen Lamp Fixture Low Te / Applied Materials Amat
0040-01304 / W/c Adaptor, Halogen Lamp Fixture Low Te / Applied Materials Amat
245.25  15d 19h
Millipore Dispense Controller WCDS000F4 Pre Dispense Controller INTEGRIS
Millipore Dispense Controller WCDS000F4 Pre Dispense Controller INTEGRIS
499.99 23d 0h
Mass Flow Controller Unit Instruments Ufc-1200a
Mass Flow Controller Unit Instruments Ufc-1200a
799.00  18h 25m
CKD USG3-X0693 Valve, 451680
CKD USG3-X0693 Valve, 451680
195.00 20d 14h
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424076
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424076
950.00 9d 17h
Perkin-Elmer 851-8242-006 Processor PCB Card Rev. M SVG ASML 90S  Working
Perkin-Elmer 851-8242-006 Processor PCB Card Rev. M SVG ASML 90S  Working
410.18  16d 12h
2 TEL Tokyo Electron SJ00006R Rotary Joint For Top Ring, Union, SP-CS34, 423648
2 TEL Tokyo Electron SJ00006R Rotary Joint For Top Ring, Union, SP-CS34, 423648
2,200.00 5d 18h
Minarik MicroMaster WP6311-AAAA Controller, WP6311AAAA, WP6311, 424542
Minarik MicroMaster WP6311-AAAA Controller, WP6311AAAA, WP6311, 424542
550.00 5d 18h
AMAT Materials 0040-99951 200mm Polyimide Pedestal 0020-34017  Working
AMAT Materials 0040-99951 200mm Polyimide Pedestal 0020-34017  Working
804.18  28d 16h
Valve-air; Aov Dasdv-07017
Valve-air; Aov Dasdv-07017
300.00  7d 2h
AMAT 0140-09729, Cable Assy, WXZ Chamber, 410921
AMAT 0140-09729, Cable Assy, WXZ Chamber, 410921
350.00 13d 18h
RECIF Technologies INTBH0222 Interface Board PCB Nikon NSR System  Working
RECIF Technologies INTBH0222 Interface Board PCB Nikon NSR System  Working
308.18  9d 13h
Disco EAUF-790100 Operation Panel Unit 412106
Disco EAUF-790100 Operation Panel Unit 412106
1,100.00 11d 15h
Disco EAUF--167201 Operation Panel Unit 328930
Disco EAUF--167201 Operation Panel Unit 328930
850.00 6d 18h
Micrion 150-002540 CLM Optics Gain Rotation PCB Card 101001082A FEI  Working
Micrion 150-002540 CLM Optics Gain Rotation PCB Card 101001082A FEI  Working
808.17  12d 19h
Tokyo Electron TEL 2910-203568-12 CUP , UNDER & CP  
Tokyo Electron TEL 2910-203568-12 CUP , UNDER & CP
688.00  17d 8h
Cfm Technologies Ipa Alarm In Vessel
Cfm Technologies Ipa Alarm In Vessel
129.99  5d 13h
Daihen DAUMA-10SA
Daihen DAUMA-10SA
1,125.00  10d 17h
Varian PPM 992622-00D, 87-195861-A, PCB, 40MHZ, DM992622-00. 322303
Varian PPM 992622-00D, 87-195861-A, PCB, 40MHZ, DM992622-00. 322303
995.00 20d 21h
Tsc-1w (lot Of 6) / Needle Valve For Coat Develop Track / Koganei
Tsc-1w (lot Of 6) / Needle Valve For Coat Develop Track / Koganei
140.00  25d 21h
Nikon Svgl-rl 4s018-368 Svgl-mthr
Nikon Svgl-rl 4s018-368 Svgl-mthr
2,900.00  13d 16h
GTI Technologies 10-10891-BO REFL-S-PARABOLIC LWR LAMP 90 ASM 16-146941-01
GTI Technologies 10-10891-BO REFL-S-PARABOLIC LWR LAMP 90 ASM 16-146941-01
1,373.18  3d 17h
Wallac Microcomputer DCD 1055 6200 A
Wallac Microcomputer DCD 1055 6200 A
199.00  7d 19h
Matrix DSC-5K-SVGL Interface PCB Card 7911/DSC 851-8963-001D ASML SVG 90S
Matrix DSC-5K-SVGL Interface PCB Card 7911/DSC 851-8963-001D ASML SVG 90S
310.18  20d 12h
RECIF Technologies PCB0458A WAFER STATION TSRR02
RECIF Technologies PCB0458A WAFER STATION TSRR02
920.00  13d 9h
Applied Materials 3700-01141 Oring Id 15.9
Applied Materials 3700-01141 Oring Id 15.9
77.00  8d 18h
ASM 232720091.11 D/A Connector Board, PCB, 632720091.00, Farmon ID 408868
ASM 232720091.11 D/A Connector Board, PCB, 632720091.00, Farmon ID 408868
350.00 18d 21h
D37360320 / Tool Interface Mcm / Edwards
D37360320 / Tool Interface Mcm / Edwards
697.99  26d 20h
Insync Vacuum Line 2488
Insync Vacuum Line 2488
229.99  26d 14h
dresden elektronik 5 328 01 00.250.02/B Processor Board PCB  Working
dresden elektronik 5 328 01 00.250.02/B Processor Board PCB  Working
301.19  2d 14h
Watlow HEAT JACKET  Length 41" x 4"  120V 328Watt LG40410500
Watlow HEAT JACKET Length 41" x 4" 120V 328Watt LG40410500
55.00  1d 17h
MKS HEAT JACKET  Length 36" x 4.5"  9899-3082
MKS HEAT JACKET Length 36" x 4.5" 9899-3082
75.00  21d 15h
AMAT Applied Materials 0200-04084 Ceramic Liner Bottom
AMAT Applied Materials 0200-04084 Ceramic Liner Bottom
1,007.18  25d 0h
Agilent Technologies Z4207-20006 Interface Board PCB Card Z4207 NC3  Working
Agilent Technologies Z4207-20006 Interface Board PCB Card Z4207 NC3  Working
609.16  24d 19h
Bm26010rf / Pcb Assy / Zmisc
Bm26010rf / Pcb Assy / Zmisc
175.00  15d 18h
0020-25731 / Adapter Shield, 6 / Applied Materials Amat
0020-25731 / Adapter Shield, 6 / Applied Materials Amat
255.00  2d 18h
Balzers BG 542 225 BT Shutter Control Button PCB Board BG 542 228D  Working
Balzers BG 542 225 BT Shutter Control Button PCB Board BG 542 228D  Working
457.16  2d 19h
SVG AGV Interface 99-80392-01 PCB Board, REV A
SVG AGV Interface 99-80392-01 PCB Board, REV A
89.99  2d 16h
MKS Serial Gateway AS01366-10 Rev. 01
MKS Serial Gateway AS01366-10 Rev. 01
220.00  22d 7h
TEL Tokyo Electron PS1 RF Pump Box TYB62F-1/PUMP T-3044SS Etcher
TEL Tokyo Electron PS1 RF Pump Box TYB62F-1/PUMP T-3044SS Etcher
1,004.14  20d 17h
140-0501// Amat Applied 0040-37192 Applied Matrials Components
140-0501// Amat Applied 0040-37192 Applied Matrials Components
2,000.00 3d 0h
341-0203// Amat Applied 0040-03641 Blade, Right 300mm Fi Ecp
341-0203// Amat Applied 0040-03641 Blade, Right 300mm Fi Ecp
800.00 5d 5h
Varian 0372002871 Relay for EMO, 451746
Varian 0372002871 Relay for EMO, 451746
75.00 25d 17h
Applied Materials AMAT Transducer Meter, 1040-01092
Applied Materials AMAT Transducer Meter, 1040-01092
325.00  7d 8h
Topometrix ECU+ Term Board,20-10015 rev C,VSE,^94877
Topometrix ECU+ Term Board,20-10015 rev C,VSE,^94877
119.00  11d 1h
() Melec C-820A Module Board
() Melec C-820A Module Board
480.00 4d 23h
AMAT 0150-77367 Cable, Harness, 413923
AMAT 0150-77367 Cable, Harness, 413923
395.00 2d 14h
256-225 / Dual Range Pulse O/p Liquid Flow Sensor / Rs Components
256-225 / Dual Range Pulse O/p Liquid Flow Sensor / Rs Components
172.68  18d 21h
SPM FRS-ECP-000044 Splash Baffle Resist Reservoir Spider Reseller Lot of 5
SPM FRS-ECP-000044 Splash Baffle Resist Reservoir Spider Reseller Lot of 5
801.19  20d 14h
Nikon 4S020-019-? Processor Relay Board PCB 4S020-019-B NSR-1755G7A  Working
Nikon 4S020-019-? Processor Relay Board PCB 4S020-019-B NSR-1755G7A  Working
1,512.18  4d 21h
AMAT 0150-97239 Cable Assembly, 3X8A.P5/3X7E, Harness, 413914
AMAT 0150-97239 Cable Assembly, 3X8A.P5/3X7E, Harness, 413914
350.00 2d 14h
AMAT 0150-77547 Cable, TAKE UP MTR PM2, Harness, 413515
AMAT 0150-77547 Cable, TAKE UP MTR PM2, Harness, 413515
395.00 23d 17h
Amat Deposition Ring 0200-01725-207-006
Amat Deposition Ring 0200-01725-207-006
1,195.00  26d 20h
 Tenaka Denshi Kogyo Q4472632-c Clr-1a Air Brake Free Shipping
 Tenaka Denshi Kogyo Q4472632-c Clr-1a Air Brake Free Shipping
101.99  15h 17m
AMAT Applied Materials 0140-18336 Harness ASSY , Chamber A - Main Bundle V
AMAT Applied Materials 0140-18336 Harness ASSY , Chamber A - Main Bundle V
1,688.00  29d 6h
0021-70063 / Pivot, 300mm Rev 3 Slit Valve / Applied Materials Amat
0021-70063 / Pivot, 300mm Rev 3 Slit Valve / Applied Materials Amat
1,249.14  21d 18h
0021-22419 / Blade, 8 Transfer, Hp+, Cvd Compatible / Applied Materials Amat
0021-22419 / Blade, 8 Transfer, Hp+, Cvd Compatible / Applied Materials Amat
1,177.20  20d 18h
AMAT 0040-78442 Rev.P1, Drive Shaft Assembly. 418571
AMAT 0040-78442 Rev.P1, Drive Shaft Assembly. 418571
2,950.00  19d 21h
SVG Silicon Valley Group 851-9947-004 DMC Booster Amplifier PCB Card Rev. F
SVG Silicon Valley Group 851-9947-004 DMC Booster Amplifier PCB Card Rev. F
310.18  16d 19h
West Coast Quartz 1040-10-153-A Lower Isolator B-Liner 200MM TICL 4 Tin
West Coast Quartz 1040-10-153-A Lower Isolator B-Liner 200MM TICL 4 Tin
1,407.18  25d 1h
ASM Advanced Semiconductor Materials 02-146250-01 Lower Loadlock Enclosure
ASM Advanced Semiconductor Materials 02-146250-01 Lower Loadlock Enclosure
909.18  18d 14h
344-0401// Amat Applied 0020-09838 Applied Matrials Components
344-0401// Amat Applied 0020-09838 Applied Matrials Components
40.00 16d 3h
CKD AGD01V-X0001 Valve, Type N.C., 451633
CKD AGD01V-X0001 Valve, Type N.C., 451633
110.00 16d 17h
Setra 225 Pressure Transducer, 2251050PGC42C06, 452162
Setra 225 Pressure Transducer, 2251050PGC42C06, 452162
150.00 14d 14h
ASML 4022.640.80071  for ASML
ASML 4022.640.80071 for ASML
325.00  18d 12h
8096080 / Cross Fitting / Cti
8096080 / Cross Fitting / Cti
100.00  13d 21h
Pb24599 / Mlrv Safety Pcb, Right (bm24599) / Pri
Pb24599 / Mlrv Safety Pcb, Right (bm24599) / Pri
100.99  4d 16h
9545-0025 / Heater Jacket 4.5, Ins, Flg/v1i, 0dp0 / Hps
9545-0025 / Heater Jacket 4.5, Ins, Flg/v1i, 0dp0 / Hps
35.82  4d 21h
9515-0548 / Heater Jacket 1.5, Str3.25, Spec, 1bp3 / Mks
9515-0548 / Heater Jacket 1.5, Str3.25, Spec, 1bp3 / Mks
35.82  4d 21h
7-39-02424 / Indicator, Pressure Dpc5-5 / Dns
7-39-02424 / Indicator, Pressure Dpc5-5 / Dns
30.99  4d 19h
100001419 / Kit, Bellows Assy, Nw40 / Hps
100001419 / Kit, Bellows Assy, Nw40 / Hps
75.60  4d 20h
Sls-05-06-1t / Sola Power Supply 93k6594 / Sola
Sls-05-06-1t / Sola Power Supply 93k6594 / Sola
48.99  6d 14h
316278-002 / Sps-panel Display 14.1 / Compaq
316278-002 / Sps-panel Display 14.1 / Compaq
110.68  27d 15h
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP 424160
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP 424160
950.00 28d 22h
Minarik MicroMaster WP6311-AAAA Controller, WP6311AAAA, WP6311, 424540
Minarik MicroMaster WP6311-AAAA Controller, WP6311AAAA, WP6311, 424540
550.00 5d 18h
Varian PPM 992622-00D, 87-195861-00, PCB, 40MHZ, DM992622-00. 322299
Varian PPM 992622-00D, 87-195861-00, PCB, 40MHZ, DM992622-00. 322299
995.00 20d 21h
SVG Silicon Valley Group 851-9947-004 DMC Booster Amplifier PCB Card Rev. M
SVG Silicon Valley Group 851-9947-004 DMC Booster Amplifier PCB Card Rev. M
310.18  22d 17h
CKD AGD01V-X0001 Valve, Type N.C., 451637
CKD AGD01V-X0001 Valve, Type N.C., 451637
110.00 16d 18h
4545-0016 / Heater Jacket 4.5, Ins Flg, 0dp0 / Hps
4545-0016 / Heater Jacket 4.5, Ins Flg, 0dp0 / Hps
49.62  4d 21h
3pa110-d22 / Ckd Solenoid Valve 3 Port Dual Position 0-0.7 Press Mpa / Ckd Corp
3pa110-d22 / Ckd Solenoid Valve 3 Port Dual Position 0-0.7 Press Mpa / Ckd Corp
66.99  12d 14h
Evp542-5yob-03fa-q / Valve Solenoid; Dc21-26v / Smc
Evp542-5yob-03fa-q / Valve Solenoid; Dc21-26v / Smc
110.00  19d 21h
0020-62464 / Plastic Shield Wets / Amat 0020-62464 Applied Materials 0020-62464
0020-62464 / Plastic Shield Wets / Amat 0020-62464 Applied Materials 0020-62464
25.66  26d 21h
9510-0024 / Htr Jacket, 1.0, Ins, Vcr, 0dp0 / Hps 9510-0024 Heater Jacket
9510-0024 / Htr Jacket, 1.0, Ins, Vcr, 0dp0 / Hps 9510-0024 Heater Jacket
40.66  9d 12h
ASML 4022.471.6943 DC/DC Converter Board PCB Card 02 4022.471.69441
ASML 4022.471.6943 DC/DC Converter Board PCB Card 02 4022.471.69441
807.17  15d 19h
Deublin 1379-520 Rotay Union Water 4 Passage Multipurpose Brass Body 150psi
Deublin 1379-520 Rotay Union Water 4 Passage Multipurpose Brass Body 150psi
579.99  10d 16h
A45188 / Lowk Ff Mk3b Lf / Trikon/spts Technologies
A45188 / Lowk Ff Mk3b Lf / Trikon/spts Technologies
21,000.97  4d 12h
General Electric Lpx1010-c2snw-1 Unmp
General Electric Lpx1010-c2snw-1 Unmp
88.00  24d 19h
3030-0764 / Pdh-s3-6/10-cy3 / Western Servo Design
3030-0764 / Pdh-s3-6/10-cy3 / Western Servo Design
224.99  28d 21h
FutureStar 229-0150-57   FSI
FutureStar 229-0150-57  FSI
199.00  21d 21h
TEL 3208-000043-14 PCB DISPLAY DRIVER 3281-000043-1A / Free Expedited Shipping
TEL 3208-000043-14 PCB DISPLAY DRIVER 3281-000043-1A / Free Expedited Shipping
159.00 7d 6h
SHI Control Systems 646751-100AA 646751-800ACG01  / Free Expedited Shipping
SHI Control Systems 646751-100AA 646751-800ACG01 / Free Expedited Shipping
159.00 22d 1h
DENSAN DCP-506 (9398B)  / Free Expedited Shipping
DENSAN DCP-506 (9398B) / Free Expedited Shipping
169.00 22d 4h
Balzers BG M29 000 Power Supply PCB Card EPS 101 EPS101  Working
Balzers BG M29 000 Power Supply PCB Card EPS 101 EPS101  Working
408.16  7d 17h
AMAT 0140-38566 Harness Assembly Pressure XDCR 300MM Sin. 407219
AMAT 0140-38566 Harness Assembly Pressure XDCR 300MM Sin. 407219
350.00 1d 15h
Power One RPM5C4C4D4LCS677 2500W Power Supply 97171049
Power One RPM5C4C4D4LCS677 2500W Power Supply 97171049
600.00  24d 9h
Vetra Systems 12609-185/1000 Operator Interface Panel ECI QLC-5100  Working
Vetra Systems 12609-185/1000 Operator Interface Panel ECI QLC-5100  Working
810.17  16d 19h
134-0503// Amat Applied 0020-25226 (cleaned) Obs: Hoop, Hthu 8 Heater []
134-0503// Amat Applied 0020-25226 (cleaned) Obs: Hoop, Hthu 8 Heater []
800.00 23d 2h
Amat Cover Ring 0020-24386-685
Amat Cover Ring 0020-24386-685
1,300.00  19d 21h
Perkin-Elmer 851-8618-004 Processor PCB Card A5167 Rev. B SVG ASML 90S
Perkin-Elmer 851-8618-004 Processor PCB Card A5167 Rev. B SVG ASML 90S
310.11  22d 15h
0021-15528; Amat, Rotor Shutter 300mm Pvd
0021-15528; Amat, Rotor Shutter 300mm Pvd
4,750.00  24d 22h
Komatsu Electronics 30025500 KE-2014-1 Power Supply Board PCB  Working
Komatsu Electronics 30025500 KE-2014-1 Power Supply Board PCB  Working
610.17  16d 15h
RECIF Technologies STDAH0130C Interface Board PCB Nikon NSR System  Working
RECIF Technologies STDAH0130C Interface Board PCB Nikon NSR System  Working
308.18  9d 13h
Bentek 02-10068-00 Cable, 405884
Bentek 02-10068-00 Cable, 405884
300.00 14d 21h
347-0403// Amat Applied 0040-09033 Applied Matrials Components
347-0403// Amat Applied 0040-09033 Applied Matrials Components
200.00 5d 4h
Proteus Industries 98004SN6P1 Flow Meter 5VDC= 6.0 GPM
Proteus Industries 98004SN6P1 Flow Meter 5VDC= 6.0 GPM
99.00 21d 17h
Watlow 05-C0164 Dual Temperature Controller
Watlow 05-C0164 Dual Temperature Controller
1,007.18  25d 0h
Muratec HASSYC810603 Processor Board PCB LDMIF2C M202  Working
Muratec HASSYC810603 Processor Board PCB LDMIF2C M202  Working
412.18  23d 19h
AMAT 0150-70137 Assembly Cable System Video 25FT
AMAT 0150-70137 Assembly Cable System Video 25FT
250.00  2d 4h
Novellus 15-120150-03 Spacer, LAM, 451576
Novellus 15-120150-03 Spacer, LAM, 451576
30.00 13d 16h
Varian E17168280 Nut Insulator, Outer Phase, 451597
Varian E17168280 Nut Insulator, Outer Phase, 451597
30.00 13d 21h
SMC SYJ3140 Solenoid Valve, 2200-770241, 451602
SMC SYJ3140 Solenoid Valve, 2200-770241, 451602
45.00 18d 16h
Novellus 15-120150-03 Spacer, LAM, 451578
Novellus 15-120150-03 Spacer, LAM, 451578
30.00 13d 16h
2 Varian E42000091 Barden SR6 Ball Bearing, 451596
2 Varian E42000091 Barden SR6 Ball Bearing, 451596
30.00 13d 21h
AMAT 0140-02983 Rev.001, Harness Assembly, 6 Driver, DNET, Distribution. 415251
AMAT 0140-02983 Rev.001, Harness Assembly, 6 Driver, DNET, Distribution. 415251
350.00 25d 16h
Komatsu Hgr-62-t,
Komatsu Hgr-62-t,
3,500.00  12d 2h
Sceu-106aa, V39-a, Ap-447e, Board, Controller. 411515
Sceu-106aa, V39-a, Ap-447e, Board, Controller. 411515
450.00 24d 15h
Canon BG9-3794 BH8-0799-02
Canon BG9-3794 BH8-0799-02
950.00 29d 20h
Applied Materials AMAT -- 0050-41308 --
Applied Materials AMAT -- 0050-41308 --
195.00  10d 1h
105-0501// Amat Applied 0190-06737 Components
105-0501// Amat Applied 0190-06737 Components
600.00 11d 4h
AMAT Applied Materials 0020-31147 Base Insert
AMAT Applied Materials 0020-31147 Base Insert
707.18  24d 21h
ASML 4022.471.6279 AT IM MC2 RP Board PCB Card 12 4022.471.62781  Working
ASML 4022.471.6279 AT IM MC2 RP Board PCB Card 12 4022.471.62781  Working
806.17  18d 20h
ASML 4022.471.6282 AT IM MC3 RP Board PCB Card 13 4022.471.62811  Working
ASML 4022.471.6282 AT IM MC3 RP Board PCB Card 13 4022.471.62811  Working
806.17  24d 18h
AMAT 0020-10122 Plate, Perf, 125mm, Oxide 415187
AMAT 0020-10122 Plate, Perf, 125mm, Oxide 415187
695.00 25d 21h
FutureStar Flow Meter FX118-00125   11800125 FSI
FutureStar Flow Meter FX118-00125  11800125 FSI
275.00  21d 20h
FutureStar Flow Meter FX100-00250   10000250 FSI
FutureStar Flow Meter FX100-00250  10000250 FSI
275.00  21d 20h
setra 2671025LD2DG1HD  /  Free Expedited Shipping
setra 2671025LD2DG1HD / Free Expedited Shipping
179.00 3d 4h
LAM 715-018611-117 , LOWER, Electrode, 8" CHUCK
LAM 715-018611-117 , LOWER, Electrode, 8" CHUCK
1,700.00  5d 16h
CKD EHS-3000S-B-265-95-LF-FL451638 Brush Cylinder Assembly 3/6  Working
CKD EHS-3000S-B-265-95-LF-FL451638 Brush Cylinder Assembly 3/6  Working
604.18  27d 20h
Acromag 822A-0200 2 units (1 lot) /  Free Expedited Shipping
Acromag 822A-0200 2 units (1 lot) / Free Expedited Shipping
169.00 5d 1h
6904zzcm Ns7s (lot Of 10) / Bearing Deep Groove Single Row / Nsk
6904zzcm Ns7s (lot Of 10) / Bearing Deep Groove Single Row / Nsk
90.00  25d 21h
Ar2500-03 / Pressure Regulator 0.05-0.85mpa Sk2000 / Smc
Ar2500-03 / Pressure Regulator 0.05-0.85mpa Sk2000 / Smc
19.03  25d 21h
323-0502// Amat Applied 0200-20215 Housing, Double Rf Connector, Vectra Imp
323-0502// Amat Applied 0200-20215 Housing, Double Rf Connector, Vectra Imp
600.00 16d 4h
Pittman 6400-0018-01 Servomotor, 418280
Pittman 6400-0018-01 Servomotor, 418280
150.00 13d 16h
322-0201// Amat Applied 0190-35402 Amat Component
322-0201// Amat Applied 0190-35402 Amat Component
500.00 12d 5h
Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. E  Working
Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. E  Working
2,503.14  29d 17h
Dynamics Research Corp. PC 40010R3
Dynamics Research Corp. PC 40010R3
425.00  5d 3h
Fwa 10 / Cooling System  / Frigofluid Impianti S.r.l
Fwa 10 / Cooling System / Frigofluid Impianti S.r.l
6,400.82  16d 18h
Leybold Ecodryl / 13950 Vacuum Pump/ Leybold
Leybold Ecodryl / 13950 Vacuum Pump/ Leybold
5,495.97  1d 16h
527-35457-03 / Pcb 24v Regulator Power Off Vacuum / Excelteq Inc
527-35457-03 / Pcb 24v Regulator Power Off Vacuum / Excelteq Inc
250.62  22d 20h
81710-04173-020 / Pcb Digital Interface / Oerlikon
81710-04173-020 / Pcb Digital Interface / Oerlikon
210.62  24d 15h
993-50105 / Clamp, Quartz Chamber   /  Matrix
993-50105 / Clamp, Quartz Chamber / Matrix
190.62  20h 12m
3160711 /  I/o Board 3-60711 / Bruce Systems
3160711 / I/o Board 3-60711 / Bruce Systems
225.62  6d 19h
F33788400 / Pcb Plc Power Control / Zmisc
F33788400 / Pcb Plc Power Control / Zmisc
219.06  9d 16h
0020-22237 / Cover Ring /  Applied Materials Amat
0020-22237 / Cover Ring / Applied Materials Amat
180.60  17d 19h
57-60015-00 / Heater Strip, 115v 36w / Applied Materials Amat
57-60015-00 / Heater Strip, 115v 36w / Applied Materials Amat
200.00  29d 16h
26-82534-00 / Spacer / Applied Materials Amat
26-82534-00 / Spacer / Applied Materials Amat
243.72  25d 19h
Anm100 / Micro-imagechecker M100 Controller / Nais.
Anm100 / Micro-imagechecker M100 Controller / Nais.
184.25  26d 18h
Bm24482r/d / Motor Servo Expansion Board Bm24482r/c Dos Reticle / Brooks Auto
Bm24482r/d / Motor Servo Expansion Board Bm24482r/c Dos Reticle / Brooks Auto
203.35  27d 18h
15-00534-00 / Flange, Brake / Novellus
15-00534-00 / Flange, Brake / Novellus
206.85  9d 15h
001-9197-06 / Assy, Emitter Autodoor Safe; Emitter Assembly Alliance / Zmisc
001-9197-06 / Assy, Emitter Autodoor Safe; Emitter Assembly Alliance / Zmisc
206.38  15d 17h
Dvop3510 / Panasonic Digital Keypad / Panasonic
Dvop3510 / Panasonic Digital Keypad / Panasonic
245.99  18d 20h
Bm22619l02 / Assy Pcb Bumber Sr Rh / Brooks
Bm22619l02 / Assy Pcb Bumber Sr Rh / Brooks
250.82  1d 18h
851-8233-004e / Dmc Pcb Board / Perkin Elmer
851-8233-004e / Dmc Pcb Board / Perkin Elmer
247.55  10d 18h
Pfj-t162u / Idec Transistor Ouput Unit Expansion / Idec Izumi Corporation
Pfj-t162u / Idec Transistor Ouput Unit Expansion / Idec Izumi Corporation
264.99  18d 15h
Wme-afb / Signal Tower Lamp / Patlite Corporation
Wme-afb / Signal Tower Lamp / Patlite Corporation
200.00  26d 16h
Bh8-0248-01 / Bg9-1879, Pcb / Canon
Bh8-0248-01 / Bg9-1879, Pcb / Canon
200.99  24d 16h
U/c Power / 6000145l, Power Supply / Yec Co.,ltd
U/c Power / 6000145l, Power Supply / Yec Co.,ltd
175.99  25d 14h
57100012 / Plate R Aperture Door / Rutherford Quartz
57100012 / Plate R Aperture Door / Rutherford Quartz
270.00  1d 14h
0050-33961 / Foreline / Applied Materials Amat
0050-33961 / Foreline / Applied Materials Amat
260.40  2d 15h
17122940 / Aperture-post Accel / Eaton
17122940 / Aperture-post Accel / Eaton
226.80  4d 17h
1661-102747 / Mfc 1661 Unit O2 N2 750 Slm / Celerity
1661-102747 / Mfc 1661 Unit O2 N2 750 Slm / Celerity
209.00  8d 15h
0020-40722 / Base Platform Llc / Applied Materials Amat
0020-40722 / Base Platform Llc / Applied Materials Amat
235.44  6d 15h
0100-02684 / Integrated Controller Pcba / Applied Materials Amat
0100-02684 / Integrated Controller Pcba / Applied Materials Amat
210.88  7d 22h
E11100720 Rev B / Prong Connector To Ethernet Con / Vetra
E11100720 Rev B / Prong Connector To Ethernet Con / Vetra
200.00  16d 17h
238-05 574-01 / Square D Circuit Breaker 60a 3 Pole / Schneider
238-05 574-01 / Square D Circuit Breaker 60a 3 Pole / Schneider
200.00  1d 16h
70027619 / Ifms Adc I/o Slave Pcb / Pri
70027619 / Ifms Adc I/o Slave Pcb / Pri
200.00  13d 20h
Bm24482r / Motor Servo Expansion Board Bm24482r/c Dos Reticle /  Pri
Bm24482r / Motor Servo Expansion Board Bm24482r/c Dos Reticle / Pri
226.25  15d 16h
100001680 / Replacement Scan Stylus Kit, 50 Micron Radius / Hps
100001680 / Replacement Scan Stylus Kit, 50 Micron Radius / Hps
200.00  19d 14h
Nvj3120-5gz-m / Smc Valve, Sol, Vj3000 Sol Valve 4/5 Port / Smc
Nvj3120-5gz-m / Smc Valve, Sol, Vj3000 Sol Valve 4/5 Port / Smc
184.80  4d 16h
0100-70019 / Assy Controller Distribution/wps Bd / Amat
0100-70019 / Assy Controller Distribution/wps Bd / Amat
206.75  15d 19h
Ldi0210 / Shibaura B0061300 Pcb / Shibatec
Ldi0210 / Shibaura B0061300 Pcb / Shibatec
250.99  14d 21h
851-8220-011 H / Svg Pcb Chassis / Asml
851-8220-011 H / Svg Pcb Chassis / Asml
262.55  10d 18h
92-2696 / Sensor , 0-15 Psi Honeywell / Honeywell
92-2696 / Sensor , 0-15 Psi Honeywell / Honeywell
180.00  17d 16h
CKD AGD01V-X0001 Valve, Type N.C., 451598
CKD AGD01V-X0001 Valve, Type N.C., 451598
110.00 16d 18h
Edwards Q DUAL PUMP CONTROLLER
Edwards Q DUAL PUMP CONTROLLER
1,750.00  18d 18h
2"x5" Watlow Silicone  Heating Strip 020050C1 120V 50W  7726 1LS 2 LOT OF 7 PCS.
2"x5" Watlow Silicone Heating Strip 020050C1 120V 50W 7726 1LS 2 LOT OF 7 PCS.
30.00 21d 21h
Pilz PNOZs4 Safety Relay 24 VDC 3n/o 1n/c, 451559
Pilz PNOZs4 Safety Relay 24 VDC 3n/o 1n/c, 451559
85.00 12d 17h
Veriflo F10SZ0010 Valve, 452069
Veriflo F10SZ0010 Valve, 452069
95.00 6d 15h
OptiTemp OTC-7.5AH-C4-436-SC1-HT1 Chiller
OptiTemp OTC-7.5AH-C4-436-SC1-HT1 Chiller
3,000.00  19d 17h
6 Orings, 734-02301, 450425
6 Orings, 734-02301, 450425
650.00 20d 15h
341-0401// Amat Applied 3300-02155 Ftgtbg Tee Union Flange Nw25kf Sst304
341-0401// Amat Applied 3300-02155 Ftgtbg Tee Union Flange Nw25kf Sst304
60.00 27d 2h
Fujitsu Denso BH5-3273 DC power unit
Fujitsu Denso BH5-3273 DC power unit
1,500.00 29d 20h
ECI Technology Control PCB TQ501564-01  Working
ECI Technology Control PCB TQ501564-01  Working
456.12  22d 12h
IDI Integrated Designs Dispense Mother Board Rev. B IDI 200  Working
IDI Integrated Designs Dispense Mother Board Rev. B IDI 200  Working
406.16  14d 18h
LAM 518-093762-001 Hydrometer, 451601
LAM 518-093762-001 Hydrometer, 451601
150.00 16d 20h
ASM 16-178672D01 SUPPORT LARGE DIA SUSCEPTOR  Surplus
ASM 16-178672D01 SUPPORT LARGE DIA SUSCEPTOR  Surplus
1,006.18  25d 0h
Applied Materials Encoder Interface Board 0100-09137
Applied Materials Encoder Interface Board 0100-09137
175.00  20d 16h
Thermo Electron Toxi-1000 * No Box*
Thermo Electron Toxi-1000 * No Box*
400.00  6d 2h
ASML 4022.471.6341 AT IM ISB2-3 RP Board PCB Card 09 4022.471.62721
ASML 4022.471.6341 AT IM ISB2-3 RP Board PCB Card 09 4022.471.62721
806.17  18d 19h
Disco MAGAR933--C Chuck Table, 420186
Disco MAGAR933--C Chuck Table, 420186
1,950.00 25d 22h
41k60a-bf-e10 / Induction Motor 60w 110v / Oriental Motor
41k60a-bf-e10 / Induction Motor 60w 110v / Oriental Motor
180.00  6d 17h
PUMP-CHEMICAL, PAF5410-P19-N, 2-.6Mpa / PROCESS PUMP, SMC
PUMP-CHEMICAL, PAF5410-P19-N, 2-.6Mpa / PROCESS PUMP, SMC
2,880.00  7d 5h
E614536 / Eaton 1422710 Pcb Processor With Monitor Ezi Board/ Eaton E614536
E614536 / Eaton 1422710 Pcb Processor With Monitor Ezi Board/ Eaton E614536
375.66  14d 21h
Pn 99-16128-01, Harn Assy,support Pins,in/out,
Pn 99-16128-01, Harn Assy,support Pins,in/out,
64.42 25d 15h
Shuttle Endstation Harness for 90 Series Track 99-42369-15
Shuttle Endstation Harness for 90 Series Track 99-42369-15
41.96 12d 11h
Pn 99-52145-01, Assy, Harn, Solvent Trigger
Pn 99-52145-01, Assy, Harn, Solvent Trigger
61.99 3d 10h
Pn 99-18427-01, Harness Assy, Flat Sensor
Pn 99-18427-01, Harness Assy, Flat Sensor
81.60 23d 12h
Pn 99-80343-01, Assy, Pcb, Svgl 1/0 Interface
Pn 99-80343-01, Assy, Pcb, Svgl 1/0 Interface
114.93 27d 17h
Pn 99-45108-01, Harn Assy, Intcon Efc
Pn 99-45108-01, Harn Assy, Intcon Efc
22.22 28d 11h
SEMY Engineering EXT Digital PCB MYP9200001 Rev 2.1
SEMY Engineering EXT Digital PCB MYP9200001 Rev 2.1
395.00  20d 14h
SEMY Engineering Extended Analog Board MYP9200003 Rev 2.3
SEMY Engineering Extended Analog Board MYP9200003 Rev 2.3
395.00  20d 14h
Ultratech Stepper 03-20-01130 Transition Stage Motor Driver PCB Card Right Y
Ultratech Stepper 03-20-01130 Transition Stage Motor Driver PCB Card Right Y
406.18  3d 17h
Fujikin 316L-P Diaphragm Valve, AQ8MB000, 429504, 1/4" VCR, 452082
Fujikin 316L-P Diaphragm Valve, AQ8MB000, 429504, 1/4" VCR, 452082
195.00 6d 20h
Fujikin 316L Diaphragm Valve AQ8MB000 429504 1/4" VCR, KR5HY000 100499, 452083
Fujikin 316L Diaphragm Valve AQ8MB000 429504 1/4" VCR, KR5HY000 100499, 452083
195.00 6d 20h
Applied Materials Lamp Driver 0015-09091
Applied Materials Lamp Driver 0015-09091
599.99  1d 0h
FutureStar Flow Meter FX 100-010-06   10001006 FSI
FutureStar Flow Meter FX 100-010-06  10001006 FSI
275.00  21d 20h
Amat 0150-77212 Cable, Platform Bkhd,cont Bkhd,
Amat 0150-77212 Cable, Platform Bkhd,cont Bkhd,
500.00  15d 2h
538491-120 V Gcaprecision Scientific Temperature Control P.c. Assem
538491-120 V Gcaprecision Scientific Temperature Control P.c. Assem
499.00 13d 2h
Asm 16-169538d01 Platform-cassette 200mm
Asm 16-169538d01 Platform-cassette 200mm
407.18  24d 21h
HORIBA STEC GR-312F Digital Mass Flow Controller GR-300 Auto Pressure Regulator
HORIBA STEC GR-312F Digital Mass Flow Controller GR-300 Auto Pressure Regulator
75.00  5d 16h
Neles / Metso Automation S426434 Board Vat 65426436a / 65426436b
Neles / Metso Automation S426434 Board Vat 65426436a / 65426436b
52.95  14d 10h
Nikon 2S700-582-1 TCCNT Board PCB Card 2S014-035-5 v2.31 OPTISTATION 3
Nikon 2S700-582-1 TCCNT Board PCB Card 2S014-035-5 v2.31 OPTISTATION 3
1,205.17  9d 16h
105-0301// Amat Applied 0190-28014 Applied Matrials Components
105-0301// Amat Applied 0190-28014 Applied Matrials Components
2,500.00 22d 0h
Screen DSLE-0042 PCB Reseller Lot of 23  Working
Screen DSLE-0042 PCB Reseller Lot of 23  Working
658.13  18d 17h
Applied Materials 0020-29343 Shield, Clamp Darkspace Vectra-imp Dss C0020 Amat
Applied Materials 0020-29343 Shield, Clamp Darkspace Vectra-imp Dss C0020 Amat
469.00  12d 17h
555016-000009a / Spa-3100s - Servo Motor Amp / Mirae
555016-000009a / Spa-3100s - Servo Motor Amp / Mirae
600.98  27d 19h
0040-20727 / Tube, Flanged Heater Rf / Applied Materials Amat
0040-20727 / Tube, Flanged Heater Rf / Applied Materials Amat
541.77  23d 18h
Schumacher 1730-3013 Operator Interface Control Panel Assembly Rev. F
Schumacher 1730-3013 Operator Interface Control Panel Assembly Rev. F
604.17  2d 15h
August Technology 704422 704423 SIO Motherboard with 14 day warranty
August Technology 704422 704423 SIO Motherboard with 14 day warranty
1,900.00 26d 19h
Haas-laser 18-13-12-ah Nsnp
Haas-laser 18-13-12-ah Nsnp
510.00  21d 13h
Amat 0140-03410 H/a Comm Port Server-fabs Microscan,
Amat 0140-03410 H/a Comm Port Server-fabs Microscan,
300.00  19d 22h
TEL Tokyo Electron D117778 CATH ADAPTER, RMX-10
TEL Tokyo Electron D117778 CATH ADAPTER, RMX-10
1,257.18  24d 23h
RECIF Technologies MOBBH0131D
RECIF Technologies MOBBH0131D
220.00  13d 9h
SPM Technology SPM-AMR-2P-G Stainless Steel & PPS Ring 8"  Surplus
SPM Technology SPM-AMR-2P-G Stainless Steel & PPS Ring 8"  Surplus
411.18  19d 22h
320-0301// Amat Applied 0010-30092 Ass'y Rf Peak Detector Box, Metch []
320-0301// Amat Applied 0010-30092 Ass'y Rf Peak Detector Box, Metch []
300.00 6d 6h
Optronics CS-450 Auto Exposure Camera Controller
Optronics CS-450 Auto Exposure Camera Controller
307.18  24d 21h
796-098740-001/valvechk.75 Psi,9/16-18 Sae/lam Research Corporation
796-098740-001/valvechk.75 Psi,9/16-18 Sae/lam Research Corporation
550.82  29d 14h
0200-00242 / Deposition Ring With Anti-rotation Cu Besc / Applied Materials
0200-00242 / Deposition Ring With Anti-rotation Cu Besc / Applied Materials
300.98  15d 18h
1906850 /bellows Ld/unld Manip 19s0091/ Axcelis
1906850 /bellows Ld/unld Manip 19s0091/ Axcelis
680.98  4d 19h
0020-27896 / Upper Shield, Coti / Applied Materials
0020-27896 / Upper Shield, Coti / Applied Materials
639.25  13d 13h
0240-19512  /     Kit Dc Source Cables 75ft 300mm / Applied Materials Amat
0240-19512 / Kit Dc Source Cables 75ft 300mm / Applied Materials Amat
390.00  7d 17h
0010-00750 Bolt Down Cover Assembly / Applied Materials
0010-00750 Bolt Down Cover Assembly / Applied Materials
650.98  17h 58m
0050-14801 / Line, Process Cham. Exhaust, Exh. Cap/ Applied Materials
0050-14801 / Line, Process Cham. Exhaust, Exh. Cap/ Applied Materials
330.00  1d 19h
Aviza Technology 600053-01 Analog Output PCB Card  Working
Aviza Technology 600053-01 Analog Output PCB Card  Working
454.18  28d 14h
Asyst Technologies Inc.  SAM 4410
Asyst Technologies Inc. SAM 4410
999.00  4d 16h
AMAT 0620-00806 Dry Nova J-Box, Cables Assembly, 6.5M, 520-65150-00, 406544
AMAT 0620-00806 Dry Nova J-Box, Cables Assembly, 6.5M, 520-65150-00, 406544
850.00 11d 18h
ASM 232720091.11 REV. B 632720091.00 REV. C / Free Expedited Shipping
ASM 232720091.11 REV. B 632720091.00 REV. C / Free Expedited Shipping
299.00 16d 3h
BALDOR W069/0164 D121124 02 Brushless AC Servo Motor  Working
BALDOR W069/0164 D121124 02 Brushless AC Servo Motor  Working
506.18  25d 1h
Amat Outer Rf Filter 0040-88041
Amat Outer Rf Filter 0040-88041
616.00  24d 5h
0050-49620, Applied Materials, Fujikin Wldmt
0050-49620, Applied Materials, Fujikin Wldmt
1,300.00  4d 21h
MATHESON 8172-0422/200 SCCM-Mass Flow Meter Transducer 100 TORR Air USA
MATHESON 8172-0422/200 SCCM-Mass Flow Meter Transducer 100 TORR Air USA
129.95 12d 20h
System Interlock and Control Network Controller F8429-1, 0318124, 450529
System Interlock and Control Network Controller F8429-1, 0318124, 450529
495.00 12d 19h
otherm Mini8 Controller, 0190-25929, CH Heater
otherm Mini8 Controller, 0190-25929, CH Heater
1,440.00  25d 4h
Magtronix Horizon HZN625P5 Wafer Transfer System
Magtronix Horizon HZN625P5 Wafer Transfer System
799.99  19d 17h
Orbotech Optrotech  optrotech EA 306-11094 Controller Board
Orbotech Optrotech optrotech EA 306-11094 Controller Board
333.00  3d 6h
SMC FQ1011N-10-R013N-B Quick Change Filter  Working
SMC FQ1011N-10-R013N-B Quick Change Filter  Working
407.12  20d 17h
Hermos Gateway-ID 13C2 HEX  Germany With Cable LON2XS
Hermos Gateway-ID 13C2 HEX  Germany With Cable LON2XS
499.99 5d 2h
Millipore Interface cable WCDI00020
Millipore Interface cable WCDI00020
109.00  8d 15h
Eeja Cp-006 Middle Ring Cup E393-0714t
Eeja Cp-006 Middle Ring Cup E393-0714t
44.99  18d 14h
Air Products AP12251 Front Panel Display Board PCB  Working
Air Products AP12251 Front Panel Display Board PCB  Working
503.18  12h 37m
0100-77001, Obs Assembly Pcb Controller I/o
0100-77001, Obs Assembly Pcb Controller I/o
12,500.00  5d 22h
Asm 16-333699b01 Support-ext Arm-90 Deg Rot-rh
Asm 16-333699b01 Support-ext Arm-90 Deg Rot-rh
307.18  24d 22h
ASFH34x214 RE SRCE 18NPT And 7200-1224-03C For AG Asscoiates Heatpulse
ASFH34x214 RE SRCE 18NPT And 7200-1224-03C For AG Asscoiates Heatpulse
1,750.00  8d 23h
177-0301// Amat Applied 0015-90053 Valve, Modified, Low Press Ure [asis]
177-0301// Amat Applied 0015-90053 Valve, Modified, Low Press Ure [asis]
800.00 25d 5h
Nikon 4S064-549 WL IOP NSR 17 NSR-S306C  Working
Nikon 4S064-549 WL IOP NSR 17 NSR-S306C  Working
2,951.12  23d 12h
Asm 16-145384-01 Manifold-rh-upper Lamps-xl
Asm 16-145384-01 Manifold-rh-upper Lamps-xl
307.18  24d 22h
Nikon 4S018-649 Elevator NSR-S306C  Working
Nikon 4S018-649 Elevator NSR-S306C  Working
2,408.12  12d 18h
Aviza Technology TMS211417 Heater Jacket
Aviza Technology TMS211417 Heater Jacket
199.95  25d 20h
Hitachi A008-2 Processor Control Board PCB Card M-511E  Working
Hitachi A008-2 Processor Control Board PCB Card M-511E  Working
410.17  9d 17h
XYCARB CERAMICS 10326476 Baffle Quartz
XYCARB CERAMICS 10326476 Baffle Quartz
308.18  24d 23h
Shinko 3ASSYC806600 Interface Board PCB M176 Asyst VHT5-1-1 OHV  Working
Shinko 3ASSYC806600 Interface Board PCB M176 Asyst VHT5-1-1 OHV  Working
309.18  17d 17h
Ultratech Stepper 03-15-02066 6-Axis Laser Transition X-Axis PCB Card 4700
Ultratech Stepper 03-15-02066 6-Axis Laser Transition X-Axis PCB Card 4700
456.18  2d 15h
Pilz PNOZs4 Safety Relay 24 VDC 3n/o 1n/c, 451560
Pilz PNOZs4 Safety Relay 24 VDC 3n/o 1n/c, 451560
85.00 12d 17h
AMAT 0040-00196 Rev.C, Screen, Cryo Port. 418554
AMAT 0040-00196 Rev.C, Screen, Cryo Port. 418554
850.00 19d 16h
A182-60MC Roater, H-IN, 0-12 Wafer, 423637
A182-60MC Roater, H-IN, 0-12 Wafer, 423637
450.00 5d 16h
Arlya Scales AR143009X9E Hazardous Environment Scale 300lbs  Working
Arlya Scales AR143009X9E Hazardous Environment Scale 300lbs  Working
354.15  17d 13h
 PRI BM29066 Auto Motor I/O control, V2 PRI0305
 PRI BM29066 Auto Motor I/O control, V2 PRI0305
995.00 27d 18h
Nikon 4S018-806 BMU-CTRL Board PCB H=9.4mm  Working
Nikon 4S018-806 BMU-CTRL Board PCB H=9.4mm  Working
1,003.12  13d 19h
Orbotech Optrotech  optrotech EA 306-10094 Controller Board
Orbotech Optrotech optrotech EA 306-10094 Controller Board
333.00  3d 6h
Orbotech Optrotech EA 306-10044 Controller Board
Orbotech Optrotech EA 306-10044 Controller Board
333.00  3d 6h
Orbotech Optrotech EA 306-10056 Controller Board
Orbotech Optrotech EA 306-10056 Controller Board
333.00  3d 6h
Orbotech Optrotech EA 306-10050 Controller Board
Orbotech Optrotech EA 306-10050 Controller Board
333.00  3d 6h
Hitachi High Technologies 1-822327-02 Upper Coil ZPS90
Hitachi High Technologies 1-822327-02 Upper Coil ZPS90
2,010.08  27d 13h
S&C Electric 005-90-12 Analog Interface Board PCB 004-90-14  Working
S&C Electric 005-90-12 Analog Interface Board PCB 004-90-14  Working
710.17  14d 19h
AMAT Loadlock Door, 423941
AMAT Loadlock Door, 423941
750.00 14d 22h
AMAT 0020-24719 Cover Ring 8" 101 AL Coverage 424168
AMAT 0020-24719 Cover Ring 8" 101 AL Coverage 424168
650.00 17h 55m
MC Systems Model 8834 Hot Chuck Controller Unit DC Made in the USA
MC Systems Model 8834 Hot Chuck Controller Unit DC Made in the USA
499.99 15d 0h
Faith Enterprises, Wtc-01 System Control Board, Pcb. 411608
Faith Enterprises, Wtc-01 System Control Board, Pcb. 411608
400.00 28d 18h
Leybold PT 151 , HV-PUMP SYSTEM , Part no. 12885
Leybold PT 151 , HV-PUMP SYSTEM , Part no. 12885
3,188.00  25d 7h
Orbotech Optrotech PCK 30611116D00 Controller Board
Orbotech Optrotech PCK 30611116D00 Controller Board
333.00  3d 6h
Lam 02-168108-00 Sesioc1 .bath Module
Lam 02-168108-00 Sesioc1 .bath Module
5,999.00 29d 8h
Nikon 4S005-387 Optical Sensor Daughterboard PCB AF-SENSORX4-SUB-A  Working
Nikon 4S005-387 Optical Sensor Daughterboard PCB AF-SENSORX4-SUB-A  Working
512.17  28d 14h
Get Control FAI05101, PCB, 411619
Get Control FAI05101, PCB, 411619
350.00 28d 21h
Air Products 1-809-602562 Gasguard Operator Interface Display Panel  Working
Air Products 1-809-602562 Gasguard Operator Interface Display Panel  Working
603.17  4d 13h
ASML 4022.436.8604 HSSL Fibre Channel Processor Board PCB Card  Working
ASML 4022.436.8604 HSSL Fibre Channel Processor Board PCB Card  Working
710.17  6d 18h
AMAT 0540-00054 Spare Vacuum Tube Assy, AMPL, Power Triode, 424281
AMAT 0540-00054 Spare Vacuum Tube Assy, AMPL, Power Triode, 424281
1,250.00 23d 17h
AMAT 0020-26820 Clamp Ring, 8", SNNF, AL, 6 Pads +/-.5mm 424241
AMAT 0020-26820 Clamp Ring, 8", SNNF, AL, 6 Pads +/-.5mm 424241
950.00 13d 16h
Powersource PS920956 Compvideo 3.0 PCB, 416223
Powersource PS920956 Compvideo 3.0 PCB, 416223
295.00 25d 15h
140-0301// Amat Applied 0242-01644 0010-36439 Components
140-0301// Amat Applied 0242-01644 0010-36439 Components
2,000.00 3h 28m
Profort PF810-ASE Teach Pendant RS-232C  Working
Profort PF810-ASE Teach Pendant RS-232C  Working
409.16  18d 19h
STEC SEC-4400MC-G1 Mass Flow Controller, MFC, N2, 300 SCCM, SEC-4400, 424323
STEC SEC-4400MC-G1 Mass Flow Controller, MFC, N2, 300 SCCM, SEC-4400, 424323
795.00 1d 22h
Heraeus 90153451 VT-Sonst Injector 2381788 ASM 2381788-01 QTZ INJECTOR
Heraeus 90153451 VT-Sonst Injector 2381788 ASM 2381788-01 QTZ INJECTOR
957.18  25d 0h
Amat 0140-20178, Harness Assy, Chmbr 4 Int. 411489
Amat 0140-20178, Harness Assy, Chmbr 4 Int. 411489
1,250.00 23d 21h
Air Products & Chemicals 10350 Lid  Style with T/C  Surplus
Air Products & Chemicals 10350 Lid  Style with T/C  Surplus
1,006.18  24d 23h
ASML - 99-48549-01- Chain Z Axis
ASML - 99-48549-01- Chain Z Axis
275.00  5h 27m
Invax Technologies Echuck PVD 300mm ESC-9232
Invax Technologies Echuck PVD 300mm ESC-9232
3,005.12  8d 15h
MAG SEAL, EKK 5124-000009-11, EKK Working
MAG SEAL, EKK 5124-000009-11, EKK Working
10,080.00  7d 4h
Velp ARE Heating Magnetic Hot Plate Stirrer
Velp ARE Heating Magnetic Hot Plate Stirrer
38.00  21d 13h
TOSHIBA CERAMICS / SVG TPSS-CU BOAT-E-V Boat
TOSHIBA CERAMICS / SVG TPSS-CU BOAT-E-V Boat
807.18  13d 16h
Harness Assy, Scan, Rotate Assy , E16147982,w6007r
Harness Assy, Scan, Rotate Assy , E16147982,w6007r
4,320.00  19d 4h
2 Smc Rea32-z2727-375 Pneumatic Cylinders
2 Smc Rea32-z2727-375 Pneumatic Cylinders
99.95  9d 23h
Applied Material Cpu Board Part # 678623 Schem . Ref 7801-d-1034 Rev. G
Applied Material Cpu Board Part # 678623 Schem . Ref 7801-d-1034 Rev. G
150.00 10d 15h
322-0402// Amat Applied 0090-35032 Assy, Arsine Detector 0-1000ppb [asis]
322-0402// Amat Applied 0090-35032 Assy, Arsine Detector 0-1000ppb [asis]
1,900.00 3h 8m
AMAT Applied Materials 310651R02 Spacer Ring  Working
AMAT Applied Materials 310651R02 Spacer Ring  Working
606.18  1d 18h
AMAT 0020-09368, External Cover. 419888
AMAT 0020-09368, External Cover. 419888
450.00 16d 15h
Spirent SMB-0200 Multi Port Stream Layer Performance Analysis System, 423777
Spirent SMB-0200 Multi Port Stream Layer Performance Analysis System, 423777
350.00 1d 16h
406837-xa-mega / Mega Module Centura Ap Rev 000/ Applied Materials Amat
406837-xa-mega / Mega Module Centura Ap Rev 000/ Applied Materials Amat
25,000.84  25d 23h
AMAT 0140-00726 Harness Assy Lamp Integration LTESC, 424061
AMAT 0140-00726 Harness Assy Lamp Integration LTESC, 424061
595.00 14d 22h
Precision Manipulator Taurus R-B lot of 2 + other parts
Precision Manipulator Taurus R-B lot of 2 + other parts
100.00 25d 15h
VARIAN 00-666315-00, Detector
VARIAN 00-666315-00, Detector
2,000.00  8d 4h
Applied Ceramics 91-01768A Dome Tapered Style AMAT 0200-40218
Applied Ceramics 91-01768A Dome Tapered Style AMAT 0200-40218
3,201.12  23d 15h
Metron 16-193953-01 L-Inner Refelector
Metron 16-193953-01 L-Inner Refelector
308.18  24d 14h
AMAT Applied Materials 0270-03850 300mm Top Cover Ship Assembly
AMAT Applied Materials 0270-03850 300mm Top Cover Ship Assembly
1,507.12  17d 15h
LAM Funnel, 50MM, SIC-CTD Graphite PN 716-051392-003
LAM Funnel, 50MM, SIC-CTD Graphite PN 716-051392-003
395.00  22d 13h
Ultratech Stepper 03-15-02066 6-Axis Laser Transition Y-Axis PCB Card 4700
Ultratech Stepper 03-15-02066 6-Axis Laser Transition Y-Axis PCB Card 4700
456.18  2d 17h
AMAT 0040-00196 Rev.C, Screen Cryo Port. 418570
AMAT 0040-00196 Rev.C, Screen Cryo Port. 418570
850.00 19d 21h
0090-20119, Interlock Switch
0090-20119, Interlock Switch
850.00  28d 2h
ASM 02-196601-01 Assembly Cable Reactor PLT to SC
ASM 02-196601-01 Assembly Cable Reactor PLT to SC
307.18  24d 20h
Muto Technology MR-23331 Plenum Shield AL 4157044-0001 MRC D124736-A
Muto Technology MR-23331 Plenum Shield AL 4157044-0001 MRC D124736-A
306.18  24d 22h
BRUCE TECHNOLOGIES 9017138V01 Temperature Microcontroller Module
BRUCE TECHNOLOGIES 9017138V01 Temperature Microcontroller Module
350.00  9d 15h
Advantest corporation  BLB-025841 Circuit Board PCB PLB-420972BB2
Advantest corporation BLB-025841 Circuit Board PCB PLB-420972BB2
120.00  22d 13h
Advantest Corporation BGD-024401  board
Advantest Corporation BGD-024401 board
107.00  22d 12h
40591-00 / Fiber Optic Io Pcb / Genus
40591-00 / Fiber Optic Io Pcb / Genus
120.00  10d 20h
Screen SL-2210-FC-Z Network Control Lot of 5  Working
Screen SL-2210-FC-Z Network Control Lot of 5  Working
378.15  23d 17h
AMAT 0150-76558 Cable, Assembly, MF Interlocks, System AC, Harness, 414078
AMAT 0150-76558 Cable, Assembly, MF Interlocks, System AC, Harness, 414078
295.00 3d 18h
Hitachi 3-833717-01 Er Base Semiconductor
Hitachi 3-833717-01 Er Base Semiconductor
159.99  10d 15h
Plasmaquest 256-a with Lambda LFS-43-15
Plasmaquest 256-a with Lambda LFS-43-15
500.00  25d 23h
Ics 7220 B11065c
Ics 7220 B11065c
299.00 17d 0h
Nikon AF-PSDX22-SUB PCB Card 4S007-994 4S007-994-1 Lot of 18  Working
Nikon AF-PSDX22-SUB PCB Card 4S007-994 4S007-994-1 Lot of 18  Working
1,606.12  19d 13h
Mott Corp 5140-1/4-SS-800SCCM-SIH4-30PSI-CLS100-10
Mott Corp 5140-1/4-SS-800SCCM-SIH4-30PSI-CLS100-10
168.00  21d 15h
Telebyte Model 458 Control Module, 422638
Telebyte Model 458 Control Module, 422638
400.00 24d 14h
HP Hewlett-Packard HP3235 Switch Test Unit - Missing Power Supply
HP Hewlett-Packard HP3235 Switch Test Unit - Missing Power Supply
507.18  24d 21h
VAT 20246 Locking R, Hard Anodized Sealing Ring Lam 718-098592-001 Refurbished
VAT 20246 Locking R, Hard Anodized Sealing Ring Lam 718-098592-001 Refurbished
401.18  25d 1h
TEL Tokyo Electron 3281-000137-11 Pin X Base Interface Board PCB  Working
TEL Tokyo Electron 3281-000137-11 Pin X Base Interface Board PCB  Working
508.18  19d 12h
0050-22607 / Valve N/c Valve / Applied Materials Amat
0050-22607 / Valve N/c Valve / Applied Materials Amat
1,057.50  5d 21h
0140-77034 / Cable Harness Head Sweep Power / Applied Materials Amat
0140-77034 / Cable Harness Head Sweep Power / Applied Materials Amat
1,027.95  5d 21h
iQDP Extension Cables iQDP Pump Lot of 10  Working
iQDP Extension Cables iQDP Pump Lot of 10  Working
502.16  24d 19h
ASM 1083-866-01 CANISTER BOX / GF  Surplus
ASM 1083-866-01 CANISTER BOX / GF  Surplus
607.18  25d 0h
Saint Gobain 3492015R Semiconductor Component
Saint Gobain 3492015R Semiconductor Component
19.99  19d 17h
Orbotech Optrotech EA 306-11064 Controller Board
Orbotech Optrotech EA 306-11064 Controller Board
333.00  3d 6h
TEL Tokyo Electron BP-4S PCB Circuit Board TEL T-3044SS  Working
TEL Tokyo Electron BP-4S PCB Circuit Board TEL T-3044SS  Working
356.16  24d 19h
Amat 0010-13268
Amat 0010-13268
5,350.00  22d 17h
MRC 368789-0 CU Theta arm w/ Effector
MRC 368789-0 CU Theta arm w/ Effector
1,900.00  11d 21h
TEL ID05-300053-14 Ring Insulator B2
TEL ID05-300053-14 Ring Insulator B2
3,000.00  11d 21h
AMAT Applied Materials 0020-26906 PB Shield Lower Knee 6" Refurbished
AMAT Applied Materials 0020-26906 PB Shield Lower Knee 6" Refurbished
707.18  24d 23h
MKS MFVA23C026AAA Mass Flow Verifier, 423480
MKS MFVA23C026AAA Mass Flow Verifier, 423480
550.00 19d 20h
0040-03142 , 0010-06510/ Chamber Body / Amat
0040-03142 , 0010-06510/ Chamber Body / Amat
19,500.97  10d 20h
AMAT Applied Materials 326426R06-PJ Mainframe Monitor 0240-15601 0242-25191
AMAT Applied Materials 326426R06-PJ Mainframe Monitor 0240-15601 0242-25191
507.16  11d 12h
Tel Rmt-dio16-3 2180-020557-12
Tel Rmt-dio16-3 2180-020557-12
899.00  11d 0h
Now Technologies Smart Probe SD4AAAF
Now Technologies Smart Probe SD4AAAF
399.99 13h 12m
AMAT 0140-01980 Harness Assembly, Cell Plating Power, 300MM EC, Cable, 413921
AMAT 0140-01980 Harness Assembly, Cell Plating Power, 300MM EC, Cable, 413921
295.00 2d 14h
EOL TouchSystems 450261-000 Rev.M.1 RJD 4090 Monitor  Surplus
EOL TouchSystems 450261-000 Rev.M.1 RJD 4090 Monitor  Surplus
504.18  17h 25m
Applied Materials 0140-03571 Harness Assy, Conductor-300MM, P1 Remote, Mainframe
Applied Materials 0140-03571 Harness Assy, Conductor-300MM, P1 Remote, Mainframe
135.00  1d 12h
Svg 70013-01 Hpo Heater
Svg 70013-01 Hpo Heater
650.00  4d 19h
AMAT Applied Materials EMAX SLIT LINER EMAX KIT Manufacturer Refurbished
AMAT Applied Materials EMAX SLIT LINER EMAX KIT Manufacturer Refurbished
408.18  24d 20h
APPLIED MATERIALS 21016404034 Board Assy Backplane
APPLIED MATERIALS 21016404034 Board Assy Backplane
168.00  19d 8h
AMAT 0150-00094 Systems I/O Interconnect Analog Cable 120, 411169
AMAT 0150-00094 Systems I/O Interconnect Analog Cable 120, 411169
1,500.00 16d 15h
Asyst 4002-8135-01 Blade Mount, 411232
Asyst 4002-8135-01 Blade Mount, 411232
950.00 16d 18h
SUNX LA-310P LA-310D Beam Sensor and LA-A1 Controller  Working
SUNX LA-310P LA-310D Beam Sensor and LA-A1 Controller  Working
1,208.11  20d 20h
Computer Products Packaged Power F05S05-1000Z2 Power Supply -
Computer Products Packaged Power F05S05-1000Z2 Power Supply -
58.88  24d 17h
Buckley Systems 17133424 Electrode RE 11.0 CM QD33424  Surplus
Buckley Systems 17133424 Electrode RE 11.0 CM QD33424  Surplus
306.18  12d 12h
ASML 4022.437.10233 Board AD
ASML 4022.437.10233 Board AD
479.99 15d 17h
Agilent Z4201-20002 PCB Z4401 PC RPIU  Working
Agilent Z4201-20002 PCB Z4401 PC RPIU  Working
459.16  24d 19h
Gasonics 90-2607 Controller Board PCB Rev. F  Working
Gasonics 90-2607 Controller Board PCB Rev. F  Working
1,507.12  17d 20h
NIKON ALCP Sensor Box 4S782-443
NIKON ALCP Sensor Box 4S782-443
45.00  3d 6h
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424036
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424036
950.00 8d 22h
TEL Tokyo Electron P1264 Telius Clean Trench Shutter cleaned  Working
TEL Tokyo Electron P1264 Telius Clean Trench Shutter cleaned  Working
404.15  24d 19h
AMAT Applied Materials 0021-11486 BESC 300mm Shutter Disk Rev. 004
AMAT Applied Materials 0021-11486 BESC 300mm Shutter Disk Rev. 004
807.12  17d 14h
000-0000// Amat Applied 0040-20652 Applied Matrials Components [asis]
000-0000// Amat Applied 0040-20652 Applied Matrials Components [asis]
3,500.00 7d 23h
Millipore FC-2979MEP5-W Mass Flow Controller, MFC, O2, 100 SCCM, 424287
Millipore FC-2979MEP5-W Mass Flow Controller, MFC, O2, 100 SCCM, 424287
850.00 23d 18h
ASML 4022.471.4657 Interface Board PCB Card S15 4022.471.46581  Working
ASML 4022.471.4657 Interface Board PCB Card S15 4022.471.46581  Working
506.17  17h 59m
AMAT 0020-29891 Clamp Ring, 8" SNNF, E/E 2.54mm, W/Weigh, 410897
AMAT 0020-29891 Clamp Ring, 8" SNNF, E/E 2.54mm, W/Weigh, 410897
450.00 9d 22h
Advantest BLD-024486 Processor PCB Card PLD-424486CC SIS-007430A 01 As-Is Spare
Advantest BLD-024486 Processor PCB Card PLD-424486CC SIS-007430A 01 As-Is Spare
562.17  15d 19h
AMAT 0200-04180 Cover, Quartz, 8", EXT 417260
AMAT 0200-04180 Cover, Quartz, 8", EXT 417260
900.00 23d 21h
ASML 4022.471.6947 S08 Serial Interface VME Card PCB 4022.470.88821  Working
ASML 4022.471.6947 S08 Serial Interface VME Card PCB 4022.470.88821  Working
403.17  2d 15h
341-0403// Amat Applied 0090-09102 Applied Matrials Components []
341-0403// Amat Applied 0090-09102 Applied Matrials Components []
1,200.00 3h 11m
116-0301// Amat Applied 0270-76103 8 Prcln/cl Lft/rbt Cal Plate
116-0301// Amat Applied 0270-76103 8 Prcln/cl Lft/rbt Cal Plate
700.00 2d 2h
Applied Ceramics 91-00740A 200mm Quartz Insulator Simple  Surplus
Applied Ceramics 91-00740A 200mm Quartz Insulator Simple  Surplus
1,509.17  23d 20h
345-0101// Amat Applied 1400-90013 Sensor Thrubeam
345-0101// Amat Applied 1400-90013 Sensor Thrubeam
130.00 3d 5h
345-0101// Amat Applied 1400-90014 Sensor Thrubeam
345-0101// Amat Applied 1400-90014 Sensor Thrubeam
180.00 3d 5h
342-0103// Amat Applied 0200-09664 Window Slit,al203
342-0103// Amat Applied 0200-09664 Window Slit,al203
150.00 4d 21h
Huttinger Type Is4-13560
Huttinger Type Is4-13560
19,950.00  3d 19h
07-01012-000 / Servo Amplifier / Copley Controls Corp
07-01012-000 / Servo Amplifier / Copley Controls Corp
751.03  20d 17h
207944 Or 380963 / Actuator, Ald Ll Vac 0310x-ca24-akk3 / Vat
207944 Or 380963 / Actuator, Ald Ll Vac 0310x-ca24-akk3 / Vat
475.98  29d 17h
Q25hcpu /     High Speed Q Cpu Module, 252k Step Memory, 34ns Per Ins. / Mitsubishi
Q25hcpu / High Speed Q Cpu Module, 252k Step Memory, 34ns Per Ins. / Mitsubishi
500.98  8d 17h
1181-000393-18 / Pcb Assy 101f-01-1 Sd4-s515 E760061w  / Tel
1181-000393-18 / Pcb Assy 101f-01-1 Sd4-s515 E760061w / Tel
630.00  19h 22m
1500740 / Pcb Assy Intcon Thumbwheel Sw / Axcelis Technologies
1500740 / Pcb Assy Intcon Thumbwheel Sw / Axcelis Technologies
477.00  19h 41m
0295-0099-0003 / Aperature, Scan Plate Collumator / Zmisc
0295-0099-0003 / Aperature, Scan Plate Collumator / Zmisc
450.68  2d 20h
17334760 / Flag Faraday Apert Extension / Axcelis Technologies
17334760 / Flag Faraday Apert Extension / Axcelis Technologies
380.00  8d 20h
071d000078 / Magnetic Pump Drive / Brook Compton
071d000078 / Magnetic Pump Drive / Brook Compton
640.00  15d 21h
258161 / Motor Assy Platform Cassette / Axcelis Technologies
258161 / Motor Assy Platform Cassette / Axcelis Technologies
453.15  9d 16h
Pk599ahw-a2 / Stepping Motor, 5 Phase, Encoder 500p/r Dc5v 0.08a / Vexta
Pk599ahw-a2 / Stepping Motor, 5 Phase, Encoder 500p/r Dc5v 0.08a / Vexta
300.99  2d 14h
Z1-c100 / Heater 22mm Ceramic Dn:dg790001 / Toray
Z1-c100 / Heater 22mm Ceramic Dn:dg790001 / Toray
387.68  20h 57m
Sups16x50-25w / Air Cylinder / Koganei
Sups16x50-25w / Air Cylinder / Koganei
300.99  4d 16h
Ap1510sm / Regulator, Diborane / Ap Tech
Ap1510sm / Regulator, Diborane / Ap Tech
655.00  8d 17h
40416-074197 / Dep Ring, 8” Snff, Esc, 9mm / Muto Technologies
40416-074197 / Dep Ring, 8” Snff, Esc, 9mm / Muto Technologies
700.00  29d 15h
C60n / Multi 9 7a-type B 480 Vac / Merlin Gerin    .
C60n / Multi 9 7a-type B 480 Vac / Merlin Gerin .
430.50  26d 18h
851-8242-006 / Asml / Svg Pc Board Macn003 / Perkin Elmer
851-8242-006 / Asml / Svg Pc Board Macn003 / Perkin Elmer
745.00  15d 14h
Es1d05-300188-12 / Ring, Insulator (drm) / Tokyo Electron Tel
Es1d05-300188-12 / Ring, Insulator (drm) / Tokyo Electron Tel
500.03  6d 19h
E17232410 / Liner, Lower, C-magnet / Varian
E17232410 / Liner, Lower, C-magnet / Varian
747.67  7d 21h
3870-04569 / Valve Pneumatic Diaphragm 2 Way 125psig 1/4vcr-f/m No Vesp / Amat
3870-04569 / Valve Pneumatic Diaphragm 2 Way 125psig 1/4vcr-f/m No Vesp / Amat
351.66  10d 15h
ASML 4022.471.6809 Interface Board PCB Card 03 4022.471.57531  Working
ASML 4022.471.6809 Interface Board PCB Card 03 4022.471.57531  Working
506.17  18h 43m
SVG Silicon Valley Group 851-8518-004 A/D Conversion PCB Card Rev. J 90S
SVG Silicon Valley Group 851-8518-004 A/D Conversion PCB Card Rev. J 90S
410.18  12d 20h
SVG Silicon Valley Group 851-8518-005 A/D Conversion PCB Card Rev. B 90S
SVG Silicon Valley Group 851-8518-005 A/D Conversion PCB Card Rev. B 90S
410.18  14d 17h
Tokyo Kieso F08-230728 F04-282603 Assorted Flow Meters  Working
Tokyo Kieso F08-230728 F04-282603 Assorted Flow Meters  Working
378.15  24d 19h
AMAT 0140-01457, Cable, Harness Assembly, EBR to Pneumatic Block, Vacuum. 414406
AMAT 0140-01457, Cable, Harness Assembly, EBR to Pneumatic Block, Vacuum. 414406
325.00 5d 23h
Haas-laser 18-13-13-ah Nsnp
Haas-laser 18-13-13-ah Nsnp
550.00  24d 10h
Kuhnke D56ror-n-ds9420 Nsnp
Kuhnke D56ror-n-ds9420 Nsnp
582.00  21d 12h
Solomat Neotronics Company 520C Water Quality meter, Handheld and portable.
Solomat Neotronics Company 520C Water Quality meter, Handheld and portable.
30.00  15d 1h
SEMI-GAS MULTI PURGE CONTROLLER AUTO PURGE M ASM epi HCL
SEMI-GAS MULTI PURGE CONTROLLER AUTO PURGE M ASM epi HCL
249.99  7d 14h
KLA Instruments 710-658172-20 Y-Interpolator C,PH3 PCB Card Rev. J1 2132 Working
KLA Instruments 710-658172-20 Y-Interpolator C,PH3 PCB Card Rev. J1 2132 Working
2,001.12  24d 16h
908855002 Connect PR Kit, 9 Pin "D" (For 2902 Interface), 451699
908855002 Connect PR Kit, 9 Pin "D" (For 2902 Interface), 451699
10.00 23d 18h
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424030
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424030
950.00 8d 20h
116-0102// Amat Applied 0200-00690 Lid Liner, Txz, 200mm
116-0102// Amat Applied 0200-00690 Lid Liner, Txz, 200mm
2,700.00 29d 5h
116-0102// Amat Applied 0200-36524 Liner, Lid, Txz
116-0102// Amat Applied 0200-36524 Liner, Lid, Txz
2,700.00 29d 5h
116-0203// Amat Applied 0020-24100 8 Insulator With Anteane Pc2
116-0203// Amat Applied 0020-24100 8 Insulator With Anteane Pc2
1,800.00 2d 0h
116-0204// Amat Applied 0200-35477 Cover 200mm Jmf Std Coverless,
116-0204// Amat Applied 0200-35477 Cover 200mm Jmf Std Coverless,
1,800.00 2d 0h
116-0204// Amat Applied 0200-40130 Cover Plate, 200, 1/2 Thk
116-0204// Amat Applied 0200-40130 Cover Plate, 200, 1/2 Thk
1,500.00 2d 2h
116-0303// Amat Applied 0200-09997 Ring, Outer, Aln 200 Notch Sml
116-0303// Amat Applied 0200-09997 Ring, Outer, Aln 200 Notch Sml
1,560.00 2d 3h
126-0601// Amat Applied 0242-23644 Kit, Heater Jacket, Final Valves []
126-0601// Amat Applied 0242-23644 Kit, Heater Jacket, Final Valves []
2,400.00 25d 5h
316-0403// Amat Applied 0240-20003 0020-21025 0020-20356 Applied 2nd Source
316-0403// Amat Applied 0240-20003 0020-21025 0020-20356 Applied 2nd Source
2,500.00 29d 5h
316-0403// Amat Applied 0240-20003 0020-21025 0020-20356 Applied Matrials
316-0403// Amat Applied 0240-20003 0020-21025 0020-20356 Applied Matrials
2,000.00 29d 5h
322-0201// Amat Applied 0190-35510 Mcvd Endpoint Detector Assembl
322-0201// Amat Applied 0190-35510 Mcvd Endpoint Detector Assembl
2,500.00 3d 5h
325-0101// Amat Applied 3030-05876 Mfc 8160 5slm Nh3 1/4vcr Mtl []
325-0101// Amat Applied 3030-05876 Mfc 8160 5slm Nh3 1/4vcr Mtl []
1,800.00 24d 1h
426-0202// Digital Uf5310-2 Touch Screen [/fast]
426-0202// Digital Uf5310-2 Touch Screen [/fast]
1,500.00 23d 0h
340-0302// Amat Applied 0220-10753 Assy, Cass Hndlr Mod., Samsung []
340-0302// Amat Applied 0220-10753 Assy, Cass Hndlr Mod., Samsung []
1,620.00 12d 23h
114-0403// Amat Applied 0100-00496 Pcb Assembly, Lt / Esc Power Control []
114-0403// Amat Applied 0100-00496 Pcb Assembly, Lt / Esc Power Control []
4,020.00 13d 4h
103-0601// Amat Applied 0010-13967 Assy, Otf Centerfinder Receiver, 300mm []
103-0601// Amat Applied 0010-13967 Assy, Otf Centerfinder Receiver, 300mm []
1,500.00 13d 6h
340-0303// Amat Applied 0090-02677 Pump, Ceramic Pistion, 5-phase Motor []
340-0303// Amat Applied 0090-02677 Pump, Ceramic Pistion, 5-phase Motor []
1,500.00 13d 6h
350-0303// Amat Applied 0021-10601 Cylinder,support,poly []
350-0303// Amat Applied 0021-10601 Cylinder,support,poly []
1,920.00 3d 5h
348-0301// Amat Applied 0190-21701 Applied Matrials Components []
348-0301// Amat Applied 0190-21701 Applied Matrials Components []
2,000.00 26d 3h
346-0501// Rion Arti Kr-12a Handheld Particle Counter []
346-0501// Rion Arti Kr-12a Handheld Particle Counter []
3,000.00 23d 5h
AMAT 3760-01103, Slide KR Type 46, 220mm LG W/ Custom LOCAT, THK. 419866
AMAT 3760-01103, Slide KR Type 46, 220mm LG W/ Custom LOCAT, THK. 419866
1,750.00 13d 21h
144-0401// Amat Applied 3400-01166 He Line
144-0401// Amat Applied 3400-01166 He Line
250.00 1d 0h
AMAT Applied Materials 0140-38097 IPS CHDIPS032010 Lower Lamp Connector
AMAT Applied Materials 0140-38097 IPS CHDIPS032010 Lower Lamp Connector
504.18  20d 13h
Marathon 56t17t5305e Nsnp
Marathon 56t17t5305e Nsnp
124.00  16d 19h
Advantest Control Box Trigger Box Controller H3 H3-65068X02
Advantest Control Box Trigger Box Controller H3 H3-65068X02
199.99 29d 12h
418-0301// Yaskawa Ugqmem-01snq22 Motor [/fast]
418-0301// Yaskawa Ugqmem-01snq22 Motor [/fast]
500.00 21d 23h
AMAT 0200-04180, Quartz Cover 8", EXT, 418651
AMAT 0200-04180, Quartz Cover 8", EXT, 418651
900.00 20d 21h
DIP 15039603 DeviceNet Analog I/O PCB Card CDN396 AMAT 0660-00078 FW 3.004 Spare
DIP 15039603 DeviceNet Analog I/O PCB Card CDN396 AMAT 0660-00078 FW 3.004 Spare
508.18  25d 13h
Amat 3030-01876    Mfc 1660 100sccm N2 1/4vcr Mtl Nc Hov,
Amat 3030-01876 Mfc 1660 100sccm N2 1/4vcr Mtl Nc Hov,
449.00  6d 3h
Metron D127542-062S Dark Space Shield SPA 12  Surplus
Metron D127542-062S Dark Space Shield SPA 12  Surplus
506.18  14d 20h
124-0404// Amat Applied 0020-28633 Ring, Deposition, 8" Jmf, 9mm,
124-0404// Amat Applied 0020-28633 Ring, Deposition, 8" Jmf, 9mm,
2,800.00 11d 4h
Square D 9998DA3V09 Operating Coil 240v
Square D 9998DA3V09 Operating Coil 240v
45.00  23d 12h
NPS4200AL, Display, Tem Tech Lab
NPS4200AL, Display, Tem Tech Lab
300.00  9d 3h
0020-33810 / Cover, Gas Feed Assy, Dsp A3 / Applied Materials Amat
0020-33810 / Cover, Gas Feed Assy, Dsp A3 / Applied Materials Amat
991.30  5d 21h
110-0603// AMAT APPLIED 0050-30759 COVER, GAS INLET, Mxp, DSGD
110-0603// AMAT APPLIED 0050-30759 COVER, GAS INLET, Mxp, DSGD
2,000.00 29d 2h
Amat 0040-83668 Housing Tall, 300mm Titan Profiler ,
Amat 0040-83668 Housing Tall, 300mm Titan Profiler ,
1,490.00  20d 1h
AMAT Applied Materials 0021-04644 Lower Shield 8" STD Ti OEM Refurbished Surplus
AMAT Applied Materials 0021-04644 Lower Shield 8" STD Ti OEM Refurbished Surplus
807.18  25d 1h
Future Display Technology FDT19C06FP 19” Touch Screen  Untested As-Is
Future Display Technology FDT19C06FP 19” Touch Screen  Untested As-Is
270.14  23d 19h
APPLIED MATERIALS 0010-70385 TTW Bracket
APPLIED MATERIALS 0010-70385 TTW Bracket
284.99  29d 20h
RECIF Technologies PWRAH0168A Power Supply Board PCB PCB0168A
RECIF Technologies PWRAH0168A Power Supply Board PCB PCB0168A
220.00  13d 9h
ASM 3753603-01 Shield PCE LWR Side
ASM 3753603-01 Shield PCE LWR Side
956.18  24d 21h
Fujikin 091404 Type NC Diaphragm Valve 0.39~0.59MPa 3 Port C-Seal Lot of 11
Fujikin 091404 Type NC Diaphragm Valve 0.39~0.59MPa 3 Port C-Seal Lot of 11
424.16  25d 16h
SVG Silicon Valley Group 859-0950-008-C Power I/O Module A1300  Working
SVG Silicon Valley Group 859-0950-008-C Power I/O Module A1300  Working
907.12  24d 18h
TEL Tokyo Electron 3Z81-000011-V1 Interface Board PCB TDB343-1/AC
TEL Tokyo Electron 3Z81-000011-V1 Interface Board PCB TDB343-1/AC
506.18  12d 18h
Berkeley Process Controls MWTX-8-MNET, Machiorks Controller, 960537C, 422281
Berkeley Process Controls MWTX-8-MNET, Machiorks Controller, 960537C, 422281
850.00 18d 16h
Parker Casy-3712 Manifold
Parker Casy-3712 Manifold
300.00  25d 19h
Fujikin 467000 Type NC Diaphragm Valve 0.39~0.59MPa 2 Port C-Seal Lot of 12
Fujikin 467000 Type NC Diaphragm Valve 0.39~0.59MPa 2 Port C-Seal Lot of 12
484.16  24d 19h
GME 762168 Type MT4218-S DC Servo Motor  Working
GME 762168 Type MT4218-S DC Servo Motor  Working
306.18  24d 23h
Vapor  Interlock 80102a
Vapor  Interlock 80102a
77.00  6d 20h
Tokyo Electron Tel Pcb, Ttld12-12 F-do_32rly 3880-200114-11
Tokyo Electron Tel Pcb, Ttld12-12 F-do_32rly 3880-200114-11
499.00  16d 21h
746-234-1d /interconnect, Y-axiz, 9200a / Scp
746-234-1d /interconnect, Y-axiz, 9200a / Scp
175.98  10d 17h
Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. J No Motors  Working
Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. J No Motors  Working
1,503.14  2d 14h
RKC TRY-10 Transmitter TRY-10PD-16-DC
RKC TRY-10 Transmitter TRY-10PD-16-DC
181.50  16d 1h
ESI 616  Measurement Board 168146
ESI 616 Measurement Board 168146
1,388.00  28d 2h
Horiba STEC Criterion D219W-SCT HBr 1500SCCM Mass Flow Controller 788-400010-15A
Horiba STEC Criterion D219W-SCT HBr 1500SCCM Mass Flow Controller 788-400010-15A
620.00 20d 19h
BALDOR K1599005 Brushless AC Servomotor
BALDOR K1599005 Brushless AC Servomotor
307.18  25d 0h
BALDOR K2497013 Brushless AC Servomotor
BALDOR K2497013 Brushless AC Servomotor
307.18  25d 0h
ASML 4022.471.7041 S04 Serial Interface VME Card PCB 4022 471 70421  Working
ASML 4022.471.7041 S04 Serial Interface VME Card PCB 4022 471 70421  Working
353.17  2d 15h
Sy3340-5hz / Tel Bridge Solenoid / Smc
Sy3340-5hz / Tel Bridge Solenoid / Smc
159.68  9d 22h
325-0101// Amat Applied 3030-07177 Mfc 1660 500sccm Nf3 1/4vcr []
325-0101// Amat Applied 3030-07177 Mfc 1660 500sccm Nf3 1/4vcr []
1,200.00 24d 1h
346-0102// Amat Applied 0020-23031 Cam Left Inboard
346-0102// Amat Applied 0020-23031 Cam Left Inboard
250.00 4d 5h
AMAT 0040-03349 Cooling Water Box, 200mm, TXZ, 424017
AMAT 0040-03349 Cooling Water Box, 200mm, TXZ, 424017
1,250.00 2d 21h
HD Hokuto Denko HZ-3000 Automatic Polarization System working
HD Hokuto Denko HZ-3000 Automatic Polarization System working
1,506.12  23d 20h
Nikon 4S018-225 NSR System PCB Connector Input Output Board WL3MTR3  Working
Nikon 4S018-225 NSR System PCB Connector Input Output Board WL3MTR3  Working
411.13  16d 14h
Asm Maiii-5ky-1
Asm Maiii-5ky-1
1,000.00 17d 2h
Kniel System-Electronic 313-102-04 .04 Power Supply Card PCB 4022.476.01111
Kniel System-Electronic 313-102-04 .04 Power Supply Card PCB 4022.476.01111
603.18  18d 19h
C316af-0013060l-2rhovamme/mfc 3161 Unit N2 ,hghflo(non-multiflo),60slm/celerity
C316af-0013060l-2rhovamme/mfc 3161 Unit N2 ,hghflo(non-multiflo),60slm/celerity
1,213.55  21d 19h
0140-36453 / Harness Assy, Dcs Chamber / Applied Materials Amat
0140-36453 / Harness Assy, Dcs Chamber / Applied Materials Amat
1,166.52  22d 18h
0021-19060 / Cup Outer Feedthru Support Monolithic / Applied Materials Amat
0021-19060 / Cup Outer Feedthru Support Monolithic / Applied Materials Amat
1,204.78  21d 21h
Hanyoung AR-40-A2 w/ Missing Button w/ AR-10 & AR-20
Hanyoung AR-40-A2 w/ Missing Button w/ AR-10 & AR-20
16.57  4d 6h
Applied Materials AMAT VCR Weldment, 0050-32172
Applied Materials AMAT VCR Weldment, 0050-32172
195.00  29d 8h
UNIT Smart Cable Mass Flow Signal Translator Smart300-01,
UNIT Smart Cable Mass Flow Signal Translator Smart300-01,
39.99  10d 15h
71-153266-03 / Metal Bracket / Novellus 71-153266-03
71-153266-03 / Metal Bracket / Novellus 71-153266-03
15.66  8d 20h
Asm 16-178672d01 Support-large Dia Susceptor
Asm 16-178672d01 Support-large Dia Susceptor
1,007.18  24d 22h
Amat 3620-00055 Pump Drain For Qlca-320 ,
Amat 3620-00055 Pump Drain For Qlca-320 ,
900.00  16d 5h
Refurbished CMS 9600A MPU PCB PC Processor Board for ASM
Refurbished CMS 9600A MPU PCB PC Processor Board for ASM
299.99 27d 15h
TEL Tokyo Electron 3D10-250834-V1 CEL Process Plate  Working
TEL Tokyo Electron 3D10-250834-V1 CEL Process Plate  Working
1,007.15  5d 14h
Amat 0190-a1510 Cont. Assy,leak Detectorwith Solenoid Vl,
Amat 0190-a1510 Cont. Assy,leak Detectorwith Solenoid Vl,
3,100.00  19d 4h
ULTRASONIC GENERATOR 26KHz 12A 600W 100VAC U0600FA-P
ULTRASONIC GENERATOR 26KHz 12A 600W 100VAC U0600FA-P
395.00  3d 22h
Novellus Systems 04-722686-02 PVD Clampless w/Notch Stage Shield Refurbished
Novellus Systems 04-722686-02 PVD Clampless w/Notch Stage Shield Refurbished
901.12  27d 21h
123-0202// Amat Applied 0020-09445 Conduit, 3in Emt, 12.38 Long []
123-0202// Amat Applied 0020-09445 Conduit, 3in Emt, 12.38 Long []
80.00 11d 3h
332-0301// Amat Applied 0226-43544 Applied Matrials Components
332-0301// Amat Applied 0226-43544 Applied Matrials Components
100.00 4d 5h
Pp-7 Pn6 Cir 13.15.17
Pp-7 Pn6 Cir 13.15.17
2,200.00  27d 20h
176-0401// Amat Applied 0050-75354 Feedthru Adj Ar, Mix
176-0401// Amat Applied 0050-75354 Feedthru Adj Ar, Mix
250.00 28d 9h
Asm 16-187453d01 Support-dts Susceptor E3000
Asm 16-187453d01 Support-dts Susceptor E3000
1,007.18  24d 23h
AMAT 0200-18036, Collar, Bottom, 2 Piece 200mm, JMF. 417385
AMAT 0200-18036, Collar, Bottom, 2 Piece 200mm, JMF. 417385
1,450.00 22d 17h
Packing Gasket P/N 1041
Packing Gasket P/N 1041
25.00  5d 16h
14018095 / Pad-heater 14 X 18 W/tc / Axcelis Technologies
14018095 / Pad-heater 14 X 18 W/tc / Axcelis Technologies
218.40  10d 16h
Opal 50312460100 ETPS Assembly AMAT Applied Materials SEMVision cX  Working
Opal 50312460100 ETPS Assembly AMAT Applied Materials SEMVision cX  Working
1,506.14  24d 13h
Wasco Super Purity Pressure Switch SP129-51W2B-X/7095 USA Swagelok VCR Fitting
Wasco Super Purity Pressure Switch SP129-51W2B-X/7095 USA Swagelok VCR Fitting
89.99 12d 4h
Lot of 23 EAO 61.820.22/.25 Contact Block
Lot of 23 EAO 61.820.22/.25 Contact Block
300.00 25d 19h
Automotion - 4009-14-r3e/w, Controller Motor Elbow/wrist Tuned R3e/wwip
Automotion - 4009-14-r3e/w, Controller Motor Elbow/wrist Tuned R3e/wwip
950.00  17d 4h
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424037
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424037
950.00 8d 22h
AMAT 0200-04180, Quartz Cover 8", EXT, 418653
AMAT 0200-04180, Quartz Cover 8", EXT, 418653
900.00 20d 21h
Lam P/n778-900046-343 (z100-31000-230)
Lam P/n778-900046-343 (z100-31000-230)
950.00 9d 21h
Thermco UD8-0131 Mass Flow Controller MFC Assembly Stick
Thermco UD8-0131 Mass Flow Controller MFC Assembly Stick
600.00  12d 8h
Furon 1102691 Regulator w/ Gauge, UPRM-144-060-M-G, Teflon, 422557
Furon 1102691 Regulator w/ Gauge, UPRM-144-060-M-G, Teflon, 422557
350.00 14d 22h
Komatsu Electronics 20000240 Heat Exchanger Power Supply HGR-72  Working
Komatsu Electronics 20000240 Heat Exchanger Power Supply HGR-72  Working
1,002.14  23d 14h
Edwards STP-XA4503CV set Turbo Pump With Controller & Cable
Edwards STP-XA4503CV set Turbo Pump With Controller & Cable
17,000.00 20d 3h
Quad systems EPCU 10-18279 rev C & 10-21176 rev D
Quad systems EPCU 10-18279 rev C & 10-21176 rev D
1,029.00  1d 1h
VALVE-ASSY;61-288740-00,Pneumatic PNL in
VALVE-ASSY;61-288740-00,Pneumatic PNL in
4,320.00  8d 2h
Kokusai D3E01299A Brake A/1 PCB w/ Omron H3FA-A
Kokusai D3E01299A Brake A/1 PCB w/ Omron H3FA-A
175.00  3d 19h
UNIT UFC-1660 Mass Flow Controller MFC SF6, 100 SCCM, 424332
UNIT UFC-1660 Mass Flow Controller MFC SF6, 100 SCCM, 424332
795.00 2d 22h
Daytronic 3530 Indicator Display SEM Vision CX  Working
Daytronic 3530 Indicator Display SEM Vision CX  Working
1,506.14  23d 15h
Kniel System-Electronic 313-101-04 .05 Power Supply Card PCB 4022.476.01101
Kniel System-Electronic 313-101-04 .05 Power Supply Card PCB 4022.476.01101
503.18  18d 19h
AMAT Applied Materials 0020-28615 8" Low Knee Shield
AMAT Applied Materials 0020-28615 8" Low Knee Shield
812.11  13d 21h
Hitachi 1B19227 ZVL897 OFV-DTCT PCB Card Working
Hitachi 1B19227 ZVL897 OFV-DTCT PCB Card Working
408.13  14h 41m
AMAT 0040-01424 Retainer, Bearing, Arm, ECP, 411005
AMAT 0040-01424 Retainer, Bearing, Arm, ECP, 411005
400.00 11d 21h
TEL Tokyo Electron 3D81-000017-V3 Power Supply PCB TYB511-1/I0AS
TEL Tokyo Electron 3D81-000017-V3 Power Supply PCB TYB511-1/I0AS
759.13  15h 17m
515-032639-001 Tool, Cylinder Height Adjust
515-032639-001 Tool, Cylinder Height Adjust
150.00  15d 14h
Shibaura 9QA3045 Joint, 406423
Shibaura 9QA3045 Joint, 406423
450.00 18h 27m
Optrotech Orbotech NPRD 020439 Board
Optrotech Orbotech NPRD 020439 Board
333.00  3d 6h
AMAT 0150-21550 Assembly, CH E Orienter Umbilical, 407265
AMAT 0150-21550 Assembly, CH E Orienter Umbilical, 407265
550.00 1d 20h
Applied Materials AMAT TC Cable, 0140-01813
Applied Materials AMAT TC Cable, 0140-01813
315.00  17d 10h
TEL Tokyo Electron 3D05-350006-V1 Insulator Ring CD R&D
TEL Tokyo Electron 3D05-350006-V1 Insulator Ring CD R&D
757.15  3d 14h
Varian 0471017604 Timing Belt 400gr 402776
Varian 0471017604 Timing Belt 400gr 402776
150.00 7d 17h
Fairchild Analog Controller 97750537 Rev. 4  Working
Fairchild Analog Controller 97750537 Rev. 4  Working
1,007.12  15d 19h
AMAT Applied Materials 0140-20835 200mm Harness Assembly
Top-Rated Plus Seller AMAT Applied Materials 0140-20835 200mm Harness Assembly
89.99 15d 17h
CKD AGD01V-X0001 Valve, Type N.C., 451600
CKD AGD01V-X0001 Valve, Type N.C., 451600
110.00 16d 18h
Leybold AG 200.81.080 Temp-Box Controller, 451969
Leybold AG 200.81.080 Temp-Box Controller, 451969
150.00 23d 18h
Leybold AG 200.81.080 Temp-Box Controller, 451971
Leybold AG 200.81.080 Temp-Box Controller, 451971
150.00 23d 18h
Leybold AG 200.81.080 Temp-Box Controller, 451985
Leybold AG 200.81.080 Temp-Box Controller, 451985
150.00 23d 20h
Leybold AG 200.81.019 Temp-Box Controller, 451997
Leybold AG 200.81.019 Temp-Box Controller, 451997
150.00 4d 16h
Leybold AG 200.81.080 Temp-Box Controller, 451998
Leybold AG 200.81.080 Temp-Box Controller, 451998
150.00 4d 16h
Leybold AG 200.81.080 Temp-Box Controller, 451999
Leybold AG 200.81.080 Temp-Box Controller, 451999
150.00 4d 16h
Leybold AG 200.81.080 Temp-Box Controller, 452001
Leybold AG 200.81.080 Temp-Box Controller, 452001
150.00 4d 16h
Leybold AG 200.81.080 Temp-Box Controller, 452005
Leybold AG 200.81.080 Temp-Box Controller, 452005
150.00 4d 17h
Leybold AG 200.81.080 Temp-Box Controller, 452006
Leybold AG 200.81.080 Temp-Box Controller, 452006
150.00 4d 18h
Leybold AG 200.81.080 Temp-Box Controller, 452008
Leybold AG 200.81.080 Temp-Box Controller, 452008
150.00 4d 18h
Leybold AG 200.81.080 Temp-Box Controller, 452029
Leybold AG 200.81.080 Temp-Box Controller, 452029
150.00 4d 20h
Swagelok SS-BNV51-2C, Bellows Valve, 452145
Swagelok SS-BNV51-2C, Bellows Valve, 452145
125.00 12d 16h
Fastcom 8 / 8 Port Rs-232 Adapter / Commtech / Fastcom
Fastcom 8 / 8 Port Rs-232 Adapter / Commtech / Fastcom
300.00  22d 2h
Ace Company CS33-333 Showerhead 233-2089-77  Surplus
Ace Company CS33-333 Showerhead 233-2089-77  Surplus
810.09  1d 13h
AE ADVANCED ENERGY HTCM Module
AE ADVANCED ENERGY HTCM Module
378.36  13d 19h
Avio PHU-10 Pulse Heating Power Supply
Avio PHU-10 Pulse Heating Power Supply
1,017.00  17d 18h
2 M-3-30120 Electrode, Body, Made of Teflon, 423853
2 M-3-30120 Electrode, Body, Made of Teflon, 423853
850.00 8d 17h
Varian PPM 992622-00D, 87-195861-A, PCB, 40MHZ, DM992622-00. 322300
Varian PPM 992622-00D, 87-195861-A, PCB, 40MHZ, DM992622-00. 322300
995.00 20d 21h
 HPS 304 Stainless Steel Non-rotatable Flange ISO-80-000N Nonrotatable Flange
 HPS 304 Stainless Steel Non-rotatable Flange ISO-80-000N Nonrotatable Flange
68.95 13d 6h
ASM 16-193482-01 Susceptor 300mm Refurbished
ASM 16-193482-01 Susceptor 300mm Refurbished
706.18  24d 21h
AMAT 0140-02983 Harness Assembly 6 Driver Dnet Distribution, 407261
AMAT 0140-02983 Harness Assembly 6 Driver Dnet Distribution, 407261
350.00 1d 20h
ASM Advanced Semiconductor Materials 1015-944-01 Motor Plate  Surplus
ASM Advanced Semiconductor Materials 1015-944-01 Motor Plate  Surplus
802.19  29d 15h
323-0201// Amat Applied 3700-02064 Oring Id 8.734 Csd .139 Chemra
323-0201// Amat Applied 3700-02064 Oring Id 8.734 Csd .139 Chemra
330.00 14d 23h
SHIELD;15-273669-00,Chamber Upper PC300 (RPC)
SHIELD;15-273669-00,Chamber Upper PC300 (RPC)
1,917.00  5d 5h
118-0401// Mrc A46-0707-1-1022-03 Al/si1 Target [asis]
118-0401// Mrc A46-0707-1-1022-03 Al/si1 Target [asis]
1,500.00 12d 4h
345-0501// Aries Mss-cr-dt9 Asis
345-0501// Aries Mss-cr-dt9 Asis
300.00 21d 6h
002-7390-02 / Pcb / Asyst Technologies
002-7390-02 / Pcb / Asyst Technologies
200.00  10d 13h
Mr-j3-10b-pe053 / Mr-j3-10b-pe053 / Mitsubishi
Mr-j3-10b-pe053 / Mr-j3-10b-pe053 / Mitsubishi
175.98  15d 16h
W-39-10347 / Koganei, 050-4e1-01-21-dc24v Soleniod Air Valve / Koganei
W-39-10347 / Koganei, 050-4e1-01-21-dc24v Soleniod Air Valve / Koganei
194.87  4d 17h
710-650201-20 / Base Plate Flex Board Rev C1 / Kla Tencor
710-650201-20 / Base Plate Flex Board Rev C1 / Kla Tencor
175.00  14d 17h
F3sn-a0442p25-l / Saftety Light Curtain Type 4 / Omron
F3sn-a0442p25-l / Saftety Light Curtain Type 4 / Omron
201.03  26d 16h
0100-09058 / Pcb Assy Operator Control Panel / Applied Materials Amat
0100-09058 / Pcb Assy Operator Control Panel / Applied Materials Amat
179.90  1d 13h
3870-01839 / Valve Wide Body Inj Teb 208v 120c / Amat
3870-01839 / Valve Wide Body Inj Teb 208v 120c / Amat
277.00  1d 17h
2-f2-33371 / Scp Cup, Spin 200mm Upper Develop / Scp
2-f2-33371 / Scp Cup, Spin 200mm Upper Develop / Scp
250.82  4d 16h
17338590 / Plate Inner Striker Liner / Axcelis Technologies
17338590 / Plate Inner Striker Liner / Axcelis Technologies
180.00  7d 18h
17338580 / Plate Inner Striker Liner / Axcelis Technologies
17338580 / Plate Inner Striker Liner / Axcelis Technologies
178.80  8d 19h
2616351-21 / Processor Pcb Card (asm2616351-01 Rev A) / Asm America Inc
2616351-21 / Processor Pcb Card (asm2616351-01 Rev A) / Asm America Inc
230.99  25d 17h
1112350 / Beam Strike Plate Assy G / Axcelis Technologies
1112350 / Beam Strike Plate Assy G / Axcelis Technologies
275.26  20d 17h
Crbu2w30-270s / Actuator Rotating Cylinder Max Press. 1.0mpa / Smc
Crbu2w30-270s / Actuator Rotating Cylinder Max Press. 1.0mpa / Smc
175.68  26d 14h
0150-00406 / Cable Assemble, Emo Interconnect, 75 Ft / Applied Materials Amat
0150-00406 / Cable Assemble, Emo Interconnect, 75 Ft / Applied Materials Amat
195.40  23d 13h
Ic610mdl106a / 24vdc Input W/led Module 16 Circuits / Ge Fanuc
Ic610mdl106a / 24vdc Input W/led Module 16 Circuits / Ge Fanuc
200.99  10d 16h
3rk15rgk-a2 / Reversible Motor, 15w, 100v, 50-60hz, 0.5a, 4p / Oriental Motor
3rk15rgk-a2 / Reversible Motor, 15w, 100v, 50-60hz, 0.5a, 4p / Oriental Motor
185.99  13d 16h
Am3-a3a3a16-a / Heinemann Am3-z419-2, Circuit Breaker / Eaton
Am3-a3a3a16-a / Heinemann Am3-z419-2, Circuit Breaker / Eaton
215.99  2d 15h
204-19 / Fast Action Plug Valve 1/4 Inch / Fluoroware
204-19 / Fast Action Plug Valve 1/4 Inch / Fluoroware
200.99  4d 14h
188956d01 / Shield Baseplate / Sigma
188956d01 / Shield Baseplate / Sigma
175.99  4d 16h
105508001 / Bias Plate 2 / Varian
105508001 / Bias Plate 2 / Varian
219.99  7d 15h
Kscpu-29a-01a / Pcb / Tdk
Kscpu-29a-01a / Pcb / Tdk
275.99  21d 17h
Small Pneumatic Gripper for Semiconductor Electronics Industry NOS
Small Pneumatic Gripper for Semiconductor Electronics Industry NOS
90.00  26d 18h
Dalsa TA-C1-04K30-50E Video Controller   Working
Dalsa TA-C1-04K30-50E Video Controller  Working
501.17  22d 16h
Omron S8PS-05005C Power Supply Reseller Lot of 11  Working
Omron S8PS-05005C Power Supply Reseller Lot of 11  Working
557.16  20d 12h
AMAT Applied Materials 0020-95172 Aperture Plate
AMAT Applied Materials 0020-95172 Aperture Plate
306.18  25d 0h
Parker N0703FE-NMSB Motor RT90-015-021LB Gearhead
Parker N0703FE-NMSB Motor RT90-015-021LB Gearhead
1,507.18  4d 16h
STI SR106ED02 Safety Relay 44510-1062, 110VAC, Omron, Sensor, 452506
STI SR106ED02 Safety Relay 44510-1062, 110VAC, Omron, Sensor, 452506
125.00 7d 17h
AMAT Applied Materials 0200-01086 K(M) SST Focus Ring MXP+ Poly
AMAT Applied Materials 0200-01086 K(M) SST Focus Ring MXP+ Poly
501.18  24d 23h
Mae Hs20022310300br08 Nsnp
Mae Hs20022310300br08 Nsnp
175.00  16h 58m
Alcatel 3SEC37971AA VDLT-A Module, PCB, CP040600413, 411886
Alcatel 3SEC37971AA VDLT-A Module, PCB, CP040600413, 411886
350.00 5d 18h
TEL Tokyo Electron 2L81-050054-V1 PCB Board TYB514-1/IO48-LF T-3044SS
TEL Tokyo Electron 2L81-050054-V1 PCB Board TYB514-1/IO48-LF T-3044SS
506.16  18d 19h
@@ Nihon Koshuha Hfs-020 Generator    (#3218)
@@ Nihon Koshuha Hfs-020 Generator (#3218)
700.00  20d 18h
APPLIED MATERIALS 0140-09742 UHP Safety Valve Harness Assembly
APPLIED MATERIALS 0140-09742 UHP Safety Valve Harness Assembly
494.99  8d 20h
Bruce Position Take-up Reel for a BDF41 Loader, 2952535, Lot of 8
Bruce Position Take-up Reel for a BDF41 Loader, 2952535, Lot of 8
225.00  19d 20h
APPLIED MATERIALS 0200-10158 SIN DXZGE OBS Junction Sleeve
APPLIED MATERIALS 0200-10158 SIN DXZGE OBS Junction Sleeve
399.99  8d 20h
4423  Applied Materials 0100-00035 PWB, MANO Range Select
4423 Applied Materials 0100-00035 PWB, MANO Range Select
250.00  4d 21h
APPLIED MATERIALS 0040-18087 Top Coil Assembly
APPLIED MATERIALS 0040-18087 Top Coil Assembly
599.99  25d 20h
Amat 0090-77127 Driver Assembly Servo Amplifier ,
Amat 0090-77127 Driver Assembly Servo Amplifier ,
2,000.00  11d 0h
PN 7100-3604-02 AG Associates Heatpulse PCB 7310-1055-02?
PN 7100-3604-02 AG Associates Heatpulse PCB 7310-1055-02?
2,800.00 20d 22h
Leybold PS113 Low Pressure Safety Switch
Leybold PS113 Low Pressure Safety Switch
299.00  1d 12h
WPI THERMIFLEX 263119 Robot Teach Pendant  Surplus
WPI THERMIFLEX 263119 Robot Teach Pendant  Surplus
906.18  24d 23h
Novellus 4630-413-3030, 02-101176-00, MEASURMENT PROBE, 0199, CI8125
Novellus 4630-413-3030, 02-101176-00, MEASURMENT PROBE, 0199, CI8125
5,000.00  26d 3h
Optronics Remote Camera Head and Cable 0.55 - 1.1X
Optronics Remote Camera Head and Cable 0.55 - 1.1X
407.18  24d 21h
Diab Data 047-5237-10 KOM-KIT II 4MB **
Diab Data 047-5237-10 KOM-KIT II 4MB **
449.99  19d 20h
Orbotech Optrotech EA 306 11067 Controller Board
Orbotech Optrotech EA 306 11067 Controller Board
333.00  3d 7h
Bionics Instrument Co. STX-1550PA Gas Transmitter F2 0-4% PureAir STX-PA
Bionics Instrument Co. STX-1550PA Gas Transmitter F2 0-4% PureAir STX-PA
1,007.18  29d 15h
0010-13150, Applied Materials, Assy, Ihc Chamber D  Shc (20sccm)
0010-13150, Applied Materials, Assy, Ihc Chamber D  Shc (20sccm)
4,500.00  16d 20h
KLA - Tencor eS35 IA Board PN: 0134014-002
KLA - Tencor eS35 IA Board PN: 0134014-002
2,688.00  3d 10h
Agilent Z4207-60005 PCB Z4207 NC2  Working
Agilent Z4207-60005 PCB Z4207 NC2  Working
609.16  24d 19h
124-0301// Amat Applied 0020-28867 Disk 8" Advanced 101 Shutter Asis
124-0301// Amat Applied 0020-28867 Disk 8" Advanced 101 Shutter Asis
360.00 11d 2h
Techno Digital UF-PW002 Power Unit  Working
Techno Digital UF-PW002 Power Unit  Working
309.12  24d 13h
ASML 4022.471.6949 S15 Serial Interface VME Card PCB 4022 471 69501  Working
ASML 4022.471.6949 S15 Serial Interface VME Card PCB 4022 471 69501  Working
353.17  2d 15h
AMAT 0140-02261 H/A, Press Cont Modular Lower Adapter, I 413856
AMAT 0140-02261 H/A, Press Cont Modular Lower Adapter, I 413856
650.00 18h 6m
Varian D-12004145 Precision Deadband AMP Assembly, 408551
Varian D-12004145 Precision Deadband AMP Assembly, 408551
350.00 7d 18h
Accent Optical Technologies PMM40000 Handler Module MC/2488  Working
Accent Optical Technologies PMM40000 Handler Module MC/2488  Working
1,009.12  13h 22m
Orbotech Optrotech EP 306 11099 Controller Board
Orbotech Optrotech EP 306 11099 Controller Board
333.00  3d 7h
Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. K No Motors  Working
Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. K No Motors  Working
1,503.14  1d 14h
AMAT 0010-39201, Assy, Controller Video/EMO Bulkhead, 417464
AMAT 0010-39201, Assy, Controller Video/EMO Bulkhead, 417464
2,450.00  26d 20h
Tel Tokyo Electron 4z Rlsa 186-1606 Cm Cont Box 3z86-oo2474-v1, Rf Filter
Tel Tokyo Electron 4z Rlsa 186-1606 Cm Cont Box 3z86-oo2474-v1, Rf Filter
400.00  18d 9h
142-0501// Amat Applied 0150-00329 Dual Photoelement Sensor Assy
142-0501// Amat Applied 0150-00329 Dual Photoelement Sensor Assy
110.00 22d 2h
Grohmann Engineering IV-27R with 30 day warranty
Grohmann Engineering IV-27R with 30 day warranty
250.00 12d 20h
ASM 16-176613D01 TOOL-SUSCEPTOR-QUARTZ
ASM 16-176613D01 TOOL-SUSCEPTOR-QUARTZ
1,006.18  24d 21h
 SNK 117H0220 240V/2160W Heater Module *OPEN BOX!*
 SNK 117H0220 240V/2160W Heater Module *OPEN BOX!*
1,279.97  22d 23h
Aera PI-98 MGMR Mass Flow Control,22-192847-00 BIN MULTI-08,10000sccm AR^7222
Aera PI-98 MGMR Mass Flow Control,22-192847-00 BIN MULTI-08,10000sccm AR^7222
59.00  23d 2h
Aera PI-98 MGMR Mass Flow Control,22-192846-00 BIN MULTI-09,10000sccm NF3^7223
Aera PI-98 MGMR Mass Flow Control,22-192846-00 BIN MULTI-09,10000sccm NF3^7223
59.00  23d 2h
AMAT 0140-05411, Harness Assembly, 24VDC, Dist, FI/FLEX3/V. 414390
AMAT 0140-05411, Harness Assembly, 24VDC, Dist, FI/FLEX3/V. 414390
295.00 5d 22h
Mqmlb20h-30d / Mqm-mqp-mqq Low Friction Cylinder. / Smc
Mqmlb20h-30d / Mqm-mqp-mqq Low Friction Cylinder. / Smc
277.34  15d 16h
1102627 / Valve, 3 Way, Dual Pilot Phsv88070 / Furon
1102627 / Valve, 3 Way, Dual Pilot Phsv88070 / Furon
254.48  15d 17h
439-0503// Oriental Motor Kxpm5120gd-abm (unclean) Ac Servo Motor []
439-0503// Oriental Motor Kxpm5120gd-abm (unclean) Ac Servo Motor []
350.00 27d 22h
Tel Tokyo Electron 4z Rlsa 195-1606 Eve Cont Box 3z86-oo2475-v1, Rf Filter
Tel Tokyo Electron 4z Rlsa 195-1606 Eve Cont Box 3z86-oo2475-v1, Rf Filter
400.00  18d 9h
AMAT 0140-00024, AF19, Brake Wiring Assy. 412474
AMAT 0140-00024, AF19, Brake Wiring Assy. 412474
400.00 19d 21h
AMAT 0040-03597 DP Box Lower Half, 411122
AMAT 0040-03597 DP Box Lower Half, 411122
350.00 14d 21h
Orbotech Optrotech EP 306 10052 Controller Board
Orbotech Optrotech EP 306 10052 Controller Board
333.00  3d 7h
Muto Technology MR-16401 SS Door Shield 4182280-0001
Muto Technology MR-16401 SS Door Shield 4182280-0001
356.18  24d 22h
AMAT Applied Materials 0242-70297 Standard Insert Kit  Surplus
AMAT Applied Materials 0242-70297 Standard Insert Kit  Surplus
308.12  17d 14h
AMAT 0150-76558 C/A MF Interlocks, System AC 300MM 414150
AMAT 0150-76558 C/A MF Interlocks, System AC 300MM 414150
295.00 4d 16h
AMAT 0040-01424, Retainer, Bearing, 410957
AMAT 0040-01424, Retainer, Bearing, 410957
400.00 10d 16h
APPLIED MATERIALS 0030-35067 DPS Lift Dome Enclosure
APPLIED MATERIALS 0030-35067 DPS Lift Dome Enclosure
319.99  24d 20h
Canon BG9-3705 BH8-0685-03 AIR-AMP
Canon BG9-3705 BH8-0685-03 AIR-AMP
950.00 29d 20h
AMAT 0200-00933, Ceramic, Screw Cover. 413095
AMAT 0200-00933, Ceramic, Screw Cover. 413095
450.00 10d 21h
Varian 111003001 Insert Assembly, Beamgate, 111003-1, 419060
Varian 111003001 Insert Assembly, Beamgate, 111003-1, 419060
350.00 25d 18h
346-0102// Amat Applied 0020-23035 Cam, Right Inboard
346-0102// Amat Applied 0020-23035 Cam, Right Inboard
250.00 4d 5h
National Instruments NuDrive 4SX-411 Motion Controller with 14 day warranty
National Instruments NuDrive 4SX-411 Motion Controller with 14 day warranty
1,500.00 16d 14h
BriskHeat Fiberglass 6Ft. cable for Heating Jacket Systems  CENTPWRE-072
BriskHeat Fiberglass 6Ft. cable for Heating Jacket Systems CENTPWRE-072
57.50  21d 15h
MKS CV25-K2K2-MTVV Unheated Valves LOT OF 2
MKS CV25-K2K2-MTVV Unheated Valves LOT OF 2
120.00  20d 18h
AMAT 0021-77297 Manifold Plate, 409307
AMAT 0021-77297 Manifold Plate, 409307
575.00 14d 16h
Yaskawa Electric USAHEM-01DS22 AC Servo Motor
Yaskawa Electric USAHEM-01DS22 AC Servo Motor
707.18  25d 0h
AMAT Applied Materials 0020-98953 Front Plate
AMAT Applied Materials 0020-98953 Front Plate
307.18  24d 23h
AMAT Applied Materials 0400-50414 EMAX DOOR LINER  Surplus
AMAT Applied Materials 0400-50414 EMAX DOOR LINER  Surplus
606.18  24d 20h
Smc Cy1l25h-905b Nsmp
Smc Cy1l25h-905b Nsmp
711.00  22d 18h
Prolog 0400065 Memory Board PCB Card  Working
Prolog 0400065 Memory Board PCB Card  Working
309.12  17d 13h
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424032
AMAT 0200-36105 Ceramic Chamber Insert, 200mm, TXZ, CIP, 424032
950.00 8d 20h
Optronics CZ-450 Remote Controlled Camera Controller
Optronics CZ-450 Remote Controlled Camera Controller
307.18  24d 21h
Novellus 03-137065-00, Board, Pneumatic Interlock, Vector
Novellus 03-137065-00, Board, Pneumatic Interlock, Vector
1,000.00  10d 3h
ASML 4022.486.71154 hose ss-fvcr 1/4-fvcr 1/4-2x90
ASML 4022.486.71154 hose ss-fvcr 1/4-fvcr 1/4-2x90
210.00  1d 4h
AMAT 0020-10100, NDM B 363, Flange Adapter for CVD Pump Stack. 414877
AMAT 0020-10100, NDM B 363, Flange Adapter for CVD Pump Stack. 414877
350.00 6d 19h
Hitachi BBDP2-01 Circuit Board PCB Hitachi MU-712E  Working
Hitachi BBDP2-01 Circuit Board PCB Hitachi MU-712E  Working
300.14  23d 19h
applied Materials Cpu Board Versacontroller REV C
applied Materials Cpu Board Versacontroller REV C
49.99  11d 12h
Alpha Scientific Dx-07081-b Nsnp
Alpha Scientific Dx-07081-b Nsnp
433.00  25d 13h
TEL, Tokyo Electron, 381-600316-3, IF Drive Board, PCB. 412057
TEL, Tokyo Electron, 381-600316-3, IF Drive Board, PCB. 412057
1,100.00 8d 21h
Lam Research 810-017004-001 Assy, Pcb-sol Intlk
Lam Research 810-017004-001 Assy, Pcb-sol Intlk
520.00  4d 15h
2519232-21 / 2519232-01, Interface Converter Board / Asm America Inc
2519232-21 / 2519232-01, Interface Converter Board / Asm America Inc
251.99  4d 15h
Emulex PT101049201 PCB Controller Board
Emulex PT101049201 PCB Controller Board
200.00  16d 21h
147-0601// Amat Applied 0226-09003 Applied Matrials Components
147-0601// Amat Applied 0226-09003 Applied Matrials Components
200.00 19d 3h
Novellus Systems INC. ELEVATOR POSITION, SIERRA 90-2663
Novellus Systems INC. ELEVATOR POSITION, SIERRA 90-2663
211.20  23d 8h
Socapel 024.7040 Servo Drive EXT PCB  Working
Socapel 024.7040 Servo Drive EXT PCB  Working
407.12  24d 19h
Applied Materials 0020-92461 Shield Inner , 300MM PC11 / RPC .
Applied Materials 0020-92461 Shield Inner , 300MM PC11 / RPC .
1,688.00  23d 7h
Matrox IM-1280/E/1/4/N Video SDP/EBI GSP Boards with 14 day warranty
Matrox IM-1280/E/1/4/N Video SDP/EBI GSP Boards with 14 day warranty
1,900.00 18d 22h
SPAN Pressure Tranducer Model SPT-204,  old Stock
SPAN Pressure Tranducer Model SPT-204,  old Stock
59.77 17d 19h
AMAT 0150-21927 Cable, Control Box to SW Box Relays, 420918
AMAT 0150-21927 Cable, Control Box to SW Box Relays, 420918
300.00 27d 21h
141-0403// Amat Applied 0010-37169 Hose, Assy, Cndctv,3/8tbg/tbg,66" Lg
141-0403// Amat Applied 0010-37169 Hose, Assy, Cndctv,3/8tbg/tbg,66" Lg
240.00 26d 23h
11792 Tokyo Electron Pcb, Tsbx-89352, 208-601004-3 281-601004
11792 Tokyo Electron Pcb, Tsbx-89352, 208-601004-3 281-601004
197.62  8m 26s
Oriental Motor  5-phase Motor Driver Csd5828n-t Vexta
Oriental Motor 5-phase Motor Driver Csd5828n-t Vexta
225.00  12d 12h
Varian Semiconductor VSEA E17096640 FRAME WINDOW DOOR EL  Suplus
Varian Semiconductor VSEA E17096640 FRAME WINDOW DOOR EL  Suplus
407.18  24d 20h
Signet ELH003 Ground Electrode, 411748
Signet ELH003 Ground Electrode, 411748
450.00 1d 21h
Hitachi ILC4-1 Control Board  Working
Hitachi ILC4-1 Control Board  Working
407.12  21d 20h
APPLIED MATERIALS 0020-21668 High Neck 13 Source Adapter
APPLIED MATERIALS 0020-21668 High Neck 13 Source Adapter
599.99  29d 20h
TEL Tokyo Electron TPC-T0064A-11 Interface Board PCB TOB1064  Working
TEL Tokyo Electron TPC-T0064A-11 Interface Board PCB TOB1064  Working
202.19  5d 14h
AMAT 0040-05632 6.5" Exhaust Dock, 0021-08704 328770
AMAT 0040-05632 6.5" Exhaust Dock, 0021-08704 328770
350.00 22d 18h
125-0301// AMAT APPLIED 0020-10122 wPLATE PERF 125 MM OXIDE
125-0301// AMAT APPLIED 0020-10122 wPLATE PERF 125 MM OXIDE
500.00 22d 0h
Asm 02-325245d01 Vac Pump Iso Valve
Asm 02-325245d01 Vac Pump Iso Valve
757.18  24d 22h
AMAT 0130-11000 Analog Input Board
AMAT 0130-11000 Analog Input Board
650.00 23d 22h
XYCARB CERAMICS XYA-632279-04 Hanger Quartz 7800
XYCARB CERAMICS XYA-632279-04 Hanger Quartz 7800
857.18  24d 23h
KYOSAN,  / RFK50Z-TE2 / 5kW RF GENERATOR, DC Unit
KYOSAN,  / RFK50Z-TE2 / 5kW RF GENERATOR, DC Unit
1,598.00 28d 10h
Novellus 15-047355-00 Rev.A
Novellus 15-047355-00 Rev.A
1,200.00  2d 5h
Applied Materials Amat P2 Daughter Board
Applied Materials Amat P2 Daughter Board
280.00 7d 1h
TEL PCB STAGE IO MOTHER 3281-000128-13 / Free Expedited Shipping
TEL PCB STAGE IO MOTHER 3281-000128-13 / Free Expedited Shipping
289.00 9d 5h
USHIO FA-CONT 930228 9708023/U00 / Free Expedited Shipping
USHIO FA-CONT 930228 9708023/U00 / Free Expedited Shipping
309.00 4d 2h
AUGUST TECHNOLOGY CORP. NSX System I/O PN 200482 Rev L AN 711713 Rev M
AUGUST TECHNOLOGY CORP. NSX System I/O PN 200482 Rev L AN 711713 Rev M
559.00 28d 5h
AUGUST TECHNOLOGY MULTI PORT VACUUM PN 702243 Rev B AN 702244 Rev B1
AUGUST TECHNOLOGY MULTI PORT VACUUM PN 702243 Rev B AN 702244 Rev B1
329.00 29d 2h
Johnson Controls Digital Reset Controller C500ECC-1  Working
Johnson Controls Digital Reset Controller C500ECC-1  Working
509.12  24d 13h
AMAT 0020-20521 Spacer Insulation Lifter, 424367
AMAT 0020-20521 Spacer Insulation Lifter, 424367
60.00 18d 19h
Mitsubishi NF30-3P-10A No-Fuse Breaker NF303P10A
Mitsubishi NF30-3P-10A No-Fuse Breaker NF303P10A
66.33 8d 14h
TLA Technology Servo Interface PCB Rev. A  Working
TLA Technology Servo Interface PCB Rev. A  Working
360.11  19h 10m
116-0204// Amat Applied 0200-40204 Cover Ring,200mm Jmf Non-cont/c'bore
116-0204// Amat Applied 0200-40204 Cover Ring,200mm Jmf Non-cont/c'bore
720.00 2d 2h
AMAT 0190-08850 Specification Assy, Cable, EXT, Polarize MT, 417658
AMAT 0190-08850 Specification Assy, Cable, EXT, Polarize MT, 417658
525.00 19h 19m
Tegimenta 94-02014 / 29402014001 D PCB Communication Control (4182)
Top-Rated Plus Seller Tegimenta 94-02014 / 29402014001 D PCB Communication Control (4182)
237.50  9d 15h
Edwards Ngr409000  Devicnet Interface Module Software  950 Rev. C
Edwards Ngr409000 Devicnet Interface Module Software 950 Rev. C
299.95  45m 33s
110-0602// Amat Applied 0040-22614 Weldment, Cryo Heat Blocker
110-0602// Amat Applied 0040-22614 Weldment, Cryo Heat Blocker
6,780.00 29d 2h
126-0601// Amat Applied 0140-06662 Harness Assy, 300mm Emax []
126-0601// Amat Applied 0140-06662 Harness Assy, 300mm Emax []
4,200.00 25d 5h
114-0401// Amat Applied 0010-30001 Assy,degasser []
114-0401// Amat Applied 0010-30001 Assy,degasser []
8,000.00 13d 4h
Amat 0020-09031 Insulating Washer ,
Amat 0020-09031 Insulating Washer ,
350.00  5d 3h
Bio-Rad Y5305021 Issue B PCB Card Quaestor Q7  Working
Bio-Rad Y5305021 Issue B PCB Card Quaestor Q7  Working
307.13  22d 15h
Applied Materials 679633 Power Display Board, SCH REF 7801-D-2237, REV C1
Applied Materials 679633 Power Display Board, SCH REF 7801-D-2237, REV C1
39.99  9d 14h
Omron F3SJ-A0905P20-D Safety Light Curtain  #11400
Omron F3SJ-A0905P20-D Safety Light Curtain #11400
318.92  15d 21h
Omron F3SJ-A0905P20-L Safety Light Curtain #11398
Omron F3SJ-A0905P20-L Safety Light Curtain #11398
318.92  15d 21h
Thermco BC 1058 PWB Board
Thermco BC 1058 PWB Board
149.99 4d 23h
Mks 103170014sh Convection Enhanced Pirani Vacuum Sensor 2 3/4 Inches Cf
Mks 103170014sh Convection Enhanced Pirani Vacuum Sensor 2 3/4 Inches Cf
159.99  11d 14h
AMAT Applied Materials 0020-24387 Pedestal Kit
AMAT Applied Materials 0020-24387 Pedestal Kit
607.18  24d 23h
Sti OF4172B-2 Light Curtain Controller 42614-0720  Working
Sti OF4172B-2 Light Curtain Controller 42614-0720  Working
406.12  23d 14h
Opal 50312350000 STC DR Assembly AMAT Applied Materials SEMVision cX
Opal 50312350000 STC DR Assembly AMAT Applied Materials SEMVision cX
1,506.14  24d 13h
Edwards U20000953 MCM Interface Module
Edwards U20000953 MCM Interface Module
407.18  24d 20h
Nikon Blifx6 4s013-563 Board
Nikon Blifx6 4s013-563 Board
500.00  20d 12h
AMAT 0150-03907 Harness Assy., 300mm Endpoint Adaptor, 417457
AMAT 0150-03907 Harness Assy., 300mm Endpoint Adaptor, 417457
495.00 26d 18h
SIMPSON ELECTRIC COMPANY 37001 Current Transducer
SIMPSON ELECTRIC COMPANY 37001 Current Transducer
307.18  24d 23h
Pall ABFG1GP15L3EH11-K7 Filter ULTIKLEEN G2 EXCELLAR ERL 15 nm  Surplus
Pall ABFG1GP15L3EH11-K7 Filter ULTIKLEEN G2 EXCELLAR ERL 15 nm  Surplus
390.00 10d 5h
Ls Ac Servo Drive Apd-l7-004  Free Ship
Ls Ac Servo Drive Apd-l7-004 Free Ship
140.00 3d 23h
0010-36522, Applied Materials, Assy,edge Ring,txz,200mm,snnf
0010-36522, Applied Materials, Assy,edge Ring,txz,200mm,snnf
1,200.00  10d 11h
APPLIED MATERIALS 0140-09045 Interlock Cable Assembly
APPLIED MATERIALS 0140-09045 Interlock Cable Assembly
299.99  7d 20h
AMAT Applied Materials 0050-54972 3/8" OD Backside Gasline 300mm
AMAT Applied Materials 0050-54972 3/8" OD Backside Gasline 300mm
508.12  12d 15h
Amat 0040-79172 Certifica Te Of Compliance,
Amat 0040-79172 Certifica Te Of Compliance,
1,500.00  16d 3h
Novellus 03-028661-03, A0P4, Cable 402557
Novellus 03-028661-03, A0P4, Cable 402557
350.00 4d 15h
AMAT 0020-05051 Perf Plate, Performance+, 150mm 415304
AMAT 0020-05051 Perf Plate, Performance+, 150mm 415304
450.00 26d 15h
Digital Electronics UF7811-2-DV2S-24V Touch Screen Display Panel  Working
Digital Electronics UF7811-2-DV2S-24V Touch Screen Display Panel  Working
1,005.17  1d 19h
Mks Series 902 902-0313-0007 Piezo Transducer
Mks Series 902 902-0313-0007 Piezo Transducer
199.00 29d 9h
Beaing 9inch Duplex Hybird Ceramic, 100-0029-01  P/n : 42169as1
Beaing 9inch Duplex Hybird Ceramic, 100-0029-01 P/n : 42169as1
1,078.00  11d 23h
Nikon Elevator NSR-S307E  Working
Nikon Elevator NSR-S307E  Working
1,201.12  13d 20h
Dynax K3AX-095 Robot Teach Pendant Hand Held Controller  Working
Dynax K3AX-095 Robot Teach Pendant Hand Held Controller  Working
462.17  23d 20h
Amat 0195-04790 Lamphead, Coolwave, Cw-610, Remote Blowe,
Amat 0195-04790 Lamphead, Coolwave, Cw-610, Remote Blowe,
11,700.00  21d 5h
ASM 16-112343C01 Rev 1 Adapter-Unit Instrument MFC
Top-Rated Plus Seller ASM 16-112343C01 Rev 1 Adapter-Unit Instrument MFC
14.98 4d 19h
342-0202// Amat Applied 0020-34312 Inlet, Gas Feed, Sgd
342-0202// Amat Applied 0020-34312 Inlet, Gas Feed, Sgd
320.00 4d 7h
National Instruments NI-488.2 CD 500739D-00
National Instruments NI-488.2 CD 500739D-00
450.00  6d 12h
156-0101// Amat Applied 1410-01129 Htr 208vac 2.5w/sq In For Htr- Asis
156-0101// Amat Applied 1410-01129 Htr 208vac 2.5w/sq In For Htr- Asis
200.00 26d 0h
346-0402// Amat Applied 0020-25478 Collar, Susceptor E-80 Bwcvd Bse
346-0402// Amat Applied 0020-25478 Collar, Susceptor E-80 Bwcvd Bse
1,400.00 13d 3h
125-0403// Amat Applied 0020-30427 Ring, Clamp, 8, Ext Cath, Dc,
125-0403// Amat Applied 0020-30427 Ring, Clamp, 8, Ext Cath, Dc,
1,000.00 5d 23h
Amat 3060-01623 Bearing,
Amat 3060-01623 Bearing,
150.00  2d 22h
Nikon 4S019-083 RST-ADPT Board PCB NSR S306C  Working
Nikon 4S019-083 RST-ADPT Board PCB NSR S306C  Working
508.12  27d 20h
161-0101// Argonaut 2410 Advantage Series Personal Screening Synthesizer [asis]
161-0101// Argonaut 2410 Advantage Series Personal Screening Synthesizer [asis]
800.00 2d 3h
Digital Graphix Inc 068155-02 PCB Assy, 2166-1098, 07-0130-00, 58430212, 422377
Digital Graphix Inc 068155-02 PCB Assy, 2166-1098, 07-0130-00, 58430212, 422377
650.00 12d 18h
8297 Applied Material Cable, 0150-76461
8297 Applied Material Cable, 0150-76461
500.00  2d 5h
Lam Research 810-017004-001 Assy, Pcb-sol Intlk
Lam Research 810-017004-001 Assy, Pcb-sol Intlk
520.00  4d 18h
Omron F3SJ-A0905P20-D Safety Light Curtain  #11399
Omron F3SJ-A0905P20-D Safety Light Curtain #11399
318.92  15d 21h
Omron F3SJ-A0905P20-L Safety Light Curtain  #11397
Omron F3SJ-A0905P20-L Safety Light Curtain #11397
318.92  15d 21h
Novellus 03-028661-03, A0P4, Cable 402556
Novellus 03-028661-03, A0P4, Cable 402556
350.00 4d 15h
Edwards 15-112301-00 Dark Space Ring Shield Refurbished
Edwards 15-112301-00 Dark Space Ring Shield Refurbished
812.11  10d 20h
Applied Materials AMAT Amplifier NPN-Out, 0540-00012
Applied Materials AMAT Amplifier NPN-Out, 0540-00012
310.00  10d 11h
AMAT 0150-03907 Harness Assy, 300mm Endpoint Adaptor, 417462
AMAT 0150-03907 Harness Assy, 300mm Endpoint Adaptor, 417462
295.00 26d 20h
AMAT Applied Materials 0020-26721 Transfer Mount Ball Rev. 003
AMAT Applied Materials 0020-26721 Transfer Mount Ball Rev. 003
357.15  10d 16h
Blaylock Precision 715-009453-002 2 count lot BRAND
Blaylock Precision 715-009453-002 2 count lot BRAND
199.99 22d 17h
113110001 / 113032001 Motor Mount Assy, Motor Drive Assy / Varian
113110001 / 113032001 Motor Mount Assy, Motor Drive Assy / Varian
70,000.82  20d 15h
Ade Wis Bus Interface Board, 398-20044-1s/er/sn210
Ade Wis Bus Interface Board, 398-20044-1s/er/sn210
59.99  12d 14h
AMAT 0021-12534 Rev.P1, Rear Corner Guard Side Long, AJX-P1-101. 418348
AMAT 0021-12534 Rev.P1, Rear Corner Guard Side Long, AJX-P1-101. 418348
375.00 14d 17h
NOW Technologies    SD5AAAP-040312    Probe Extended
NOW Technologies SD5AAAP-040312 Probe Extended
200.00  24d 18h
Hitachi High Technologies 0028 Power Monitoring Module 8-0114 M-511E Working
Hitachi High Technologies 0028 Power Monitoring Module 8-0114 M-511E Working
402.14  11d 15h
Nickel Titanium Target Ti/Ni (45:55 at%)  99.99% Pure ,3.00" Dia  x 6mm Thick
Nickel Titanium Target Ti/Ni (45:55 at%) 99.99% Pure ,3.00" Dia x 6mm Thick
300.00  2d 7h
Mitsubishi QJ61BT11N PLC Assembly Q312B  Working
Mitsubishi QJ61BT11N PLC Assembly Q312B  Working
1,207.12  24d 17h
AMAT Applied Materials 0020-53725 Cover Clear Lid AFREOL  Surplus
AMAT Applied Materials 0020-53725 Cover Clear Lid AFREOL  Surplus
606.12  18d 19h
AERA FC-PA7810C-BA MFC, Mass Flow Controller AR, 20 SLM, 423705
AERA FC-PA7810C-BA MFC, Mass Flow Controller AR, 20 SLM, 423705
550.00 17d 21h
Varian Implanter Filament Clamp (Molybdenum) E17036930 (55071)
Varian Implanter Filament Clamp (Molybdenum) E17036930 (55071)
195.00  28d 7h
Applied Materials 0050-52305 Adapter Foreline
Applied Materials 0050-52305 Adapter Foreline
388.00  18d 10h
Ckd Xca-00-20-265-95 Ckd Ehs-300s-b-265-95-lf-nl540481 Cylender Assembly
Ckd Xca-00-20-265-95 Ckd Ehs-300s-b-265-95-lf-nl540481 Cylender Assembly
399.95  7d 12h
416-0401// Yaskawa Cacr-pr03ah3fu Servopack [/fast]
416-0401// Yaskawa Cacr-pr03ah3fu Servopack [/fast]
1,000.00 21d 5h
AMAT Applied Materials 0400-50414 Door Liner
AMAT Applied Materials 0400-50414 Door Liner
604.18  13d 16h
Amat 0150-35209, Harness Assy Pneumatics Umbilical
Amat 0150-35209, Harness Assy Pneumatics Umbilical
3,000.00  21d 3h
853-021260-004 rev a
853-021260-004 rev a
150.00  6d 18h
Hps-4315-0022 / Heater-elbow Mxp / Mks
Hps-4315-0022 / Heater-elbow Mxp / Mks
600.60  6d 17h
Amat 0040-49282 Chemical Delivery Control, Link, Bracket. 410906
Amat 0040-49282 Chemical Delivery Control, Link, Bracket. 410906
950.00 13d 16h
AMAT 1400-90058 Light Curtain 417371
AMAT 1400-90058 Light Curtain 417371
1,700.00 28d 17h
319-0103// Amat Applied 0190-00544 Applied Matrials Components
319-0103// Amat Applied 0190-00544 Applied Matrials Components
1,000.00 5d 3h
116-0204// Amat Applied 0200-35477 Cover 200mm Jmf Std Coverless,
116-0204// Amat Applied 0200-35477 Cover 200mm Jmf Std Coverless,
900.00 2d 0h
143-0402// Amat Applied 0140-35787 Applied Matrials Components
143-0402// Amat Applied 0140-35787 Applied Matrials Components
1,100.00 14d 4h
413-0401// Nsk Esa-1410af4-21.1 (unclean) Drvier [/fast]
413-0401// Nsk Esa-1410af4-21.1 (unclean) Drvier [/fast]
1,300.00 20d 0h
413-0201// Nsk Edb-lpd2aeb500-01 Driver [/fast]
413-0201// Nsk Edb-lpd2aeb500-01 Driver [/fast]
1,300.00 20d 0h
321-0303// Amat Applied 0190-76252 Cdn 294 To A.m. Spec. []
321-0303// Amat Applied 0190-76252 Cdn 294 To A.m. Spec. []
780.00 23d 3h
Coaxial Power Systems Ltd AMN 2K RF Match with controller
Coaxial Power Systems Ltd AMN 2K RF Match with controller
3,333.00  27d 7h
SMC MLGPL40-35-F Compact Guide Cylinder with Lock
SMC MLGPL40-35-F Compact Guide Cylinder with Lock
400.00  18d 10h
Crown Regulator Valve ERSB-2019-WX Lot of 15  Working
Crown Regulator Valve ERSB-2019-WX Lot of 15  Working
1,108.12  19d 20h
066321 / Eaton Valve Manifold / Eaton
066321 / Eaton Valve Manifold / Eaton
275.82  22d 21h
593-14518 / Mongoose 2p Straight Interconnect Techwing / Micron
593-14518 / Mongoose 2p Straight Interconnect Techwing / Micron
200.68  18d 22h
45300927 / Valve 955aoplpnosfsmm 2.30 / Parker
45300927 / Valve 955aoplpnosfsmm 2.30 / Parker
212.62  4d 18h
Sdxl005d4 / Sensor Pressure Diff 5'' H2o 6dip / Sensym
Sdxl005d4 / Sensor Pressure Diff 5'' H2o 6dip / Sensym
252.50  4d 17h
6l-fv4c-vr4-vr4 / Switch,flow,gas,c,1/4(n67880) / Nupro
6l-fv4c-vr4-vr4 / Switch,flow,gas,c,1/4(n67880) / Nupro
207.50  2d 13h
122-5011-1 / Pcb-board Comm, For1b0011 / Fortrend
122-5011-1 / Pcb-board Comm, For1b0011 / Fortrend
207.90  14d 18h
34-130130-00 / Tc,spring-loaded / Novellus Systems Inc
34-130130-00 / Tc,spring-loaded / Novellus Systems Inc
229.80  14d 19h
4gb119-a2nh / Solenoid Valve, 0.2~0.7mpa, 2x19g / Cdk
4gb119-a2nh / Solenoid Valve, 0.2~0.7mpa, 2x19g / Cdk
235.68  15d 22h
1730-2115 / 1720-2116 Pcb Board / Jcs
1730-2115 / 1720-2116 Pcb Board / Jcs
230.99  27d 20h
6lvv-dpc111p-c / Valve Diaphragm / Swagelok
6lvv-dpc111p-c / Valve Diaphragm / Swagelok
271.06  1d 13h
Cv500-ii201 / Plc I/o Control Unit Omron / Smc
Cv500-ii201 / Plc I/o Control Unit Omron / Smc
232.48  3d 21h
Gd-k7d / Gas Detector / Iken Keiki
Gd-k7d / Gas Detector / Iken Keiki
200.00  9d 19h
235617 / Aikon Obsolete-ring, Retainer One-piece 200mm / Aikon
235617 / Aikon Obsolete-ring, Retainer One-piece 200mm / Aikon
250.68  11d 16h
Entegris Gauge Prot  217-6-4 , 1/4" FNPT , 60PSI ,
Entegris Gauge Prot 217-6-4 , 1/4" FNPT , 60PSI ,
118.00  23d 8h
AMAT 0020-78719 Main Plate, 417750
AMAT 0020-78719 Main Plate, 417750
325.00 1d 16h
AMAT 0020-23278 Upper Shield, 12.9" Source, SST 424217
AMAT 0020-23278 Upper Shield, 12.9" Source, SST 424217
300.00 6d 22h
APPLIED MATERIALS 0020-22548 Shutter Block-off Plate
APPLIED MATERIALS 0020-22548 Shutter Block-off Plate
344.99  23d 20h
Alignment Tool, 150mm, 6", 1000-0229-01, 451616
Alignment Tool, 150mm, 6", 1000-0229-01, 451616
195.00 16d 15h
Varian 8800201841 Pressure Switch, 451748
Varian 8800201841 Pressure Switch, 451748
195.00 25d 17h
AMAT P773 Precision Locking Nut, 397719
AMAT P773 Precision Locking Nut, 397719
250.00 29d 15h
Amat 0021-21890 Ring, Deposition, 8 Jmf, Ti/tin, Htesc ,
Amat 0021-21890 Ring, Deposition, 8 Jmf, Ti/tin, Htesc ,
1,500.00  16d 4h
ASML 4022.437.3013 Shutter Control Interface Card PCB  Working
ASML 4022.437.3013 Shutter Control Interface Card PCB  Working
403.17  2d 18h
AMAT Applied Materials 0020-99050 Electrode E7
AMAT Applied Materials 0020-99050 Electrode E7
508.18  24d 23h
ASM 16-141264-01 Panel Side 300mm Split Flow Chamber
ASM 16-141264-01 Panel Side 300mm Split Flow Chamber
406.18  24d 21h
Amat 0200-35702 Ring, Edge, Dxz+ ,
Amat 0200-35702 Ring, Edge, Dxz+ ,
1,900.00  25d 22h
Axcelis Aperture Plate- Graphite VIG Version 17125561 REV A (99586)
Axcelis Aperture Plate- Graphite VIG Version 17125561 REV A (99586)
190.00  7d 7h
PATLITE BA-24KA Corporation 24V DC 5W,
PATLITE BA-24KA Corporation 24V DC 5W,
50.00  16d 3h
AMAT 0242-70251 Kit Teardown, Preclean W/T, 0690-01038, 3300-02254, 424311
AMAT 0242-70251 Kit Teardown, Preclean W/T, 0690-01038, 3300-02254, 424311
300.00 1d 15h
AMAT 0040-91997, U03-04-1, Chimney. 417206
AMAT 0040-91997, U03-04-1, Chimney. 417206
350.00 17d 14h
TEL Tokyo Electron TKB7250 PCB Circuit Board ADD-ON SPIN-G #01 T-3044SS
TEL Tokyo Electron TKB7250 PCB Circuit Board ADD-ON SPIN-G #01 T-3044SS
405.16  24d 19h
Amat 0020-12642 Ring, Clamp, Middle Shield, Al-spray Sst ,
Amat 0020-12642 Ring, Clamp, Middle Shield, Al-spray Sst ,
2,700.00  26d 6h
MCDOWELL & COMPANY 1100 Aluminum Spindle Bottom PL Mod
MCDOWELL & COMPANY 1100 Aluminum Spindle Bottom PL Mod
507.18  24d 23h
994750-0001 70 DG840600169 Assy 994752-000 /994751-000 AWM-B-4-5-004
994750-0001 70 DG840600169 Assy 994752-000 /994751-000 AWM-B-4-5-004
799.00  14d 21h
Applied Materials 0200-11032 Baffle Qtz Inject Amat *un, Sealed*
Applied Materials 0200-11032 Baffle Qtz Inject Amat *un, Sealed*
499.00  28d 5h
Drt2-md32sl / I/o Block Devicenet Slave / Omron
Drt2-md32sl / I/o Block Devicenet Slave / Omron
195.00  9d 20h
AMAT 0020-23893 Clamp Ring, 8in, AL/TI, SMFACAM, 406557
AMAT 0020-23893 Clamp Ring, 8in, AL/TI, SMFACAM, 406557
1,250.00 12d 14h
156-0403// Amat Applied 9515-0325 Applied Matrials Components
156-0403// Amat Applied 9515-0325 Applied Matrials Components
300.00 26d 5h
FEI Company PCB Card Assembly 4035 272 13861 Rev X4, Free shipping
FEI Company PCB Card Assembly 4035 272 13861 Rev X4, Free shipping
159.90 21d 23h
Medtronic Micro-Rel 3312784 VTI Hamlin Accelerometer PCB Card 100897-1
Medtronic Micro-Rel 3312784 VTI Hamlin Accelerometer PCB Card 100897-1
502.17  2d 14h
Artesyn 494000711 2-Slot CBVVI VME Interface Card PCB  Working
Artesyn 494000711 2-Slot CBVVI VME Interface Card PCB  Working
410.13  28d 17h
Furon 3/4" NPT, 3/4" Female, 424381
Furon 3/4" NPT, 3/4" Female, 424381
95.00 19d 18h
Prodrive 4022.471.7412 PADC 100/16 Amplifier 6001-0303-5701 ASML  Working
Prodrive 4022.471.7412 PADC 100/16 Amplifier 6001-0303-5701 ASML  Working
605.15  24d 19h
AMAT 0020-05957 Iss. A, Bracket, Golfclub, Suppression. 417733
AMAT 0020-05957 Iss. A, Bracket, Golfclub, Suppression. 417733
850.00 1d 21h
PN 7100-5146-04 AG Associates Heatpulse PCB
PN 7100-5146-04 AG Associates Heatpulse PCB
2,200.00 21d 22h
116-0103// Amat Applied 0200-36609 Isolator, Lid, Txz
116-0103// Amat Applied 0200-36609 Isolator, Lid, Txz
1,500.00 1d 23h
116-0201// AMAT APPLIED 0200-35579 INSERT,CHB TxZ
116-0201// AMAT APPLIED 0200-35579 INSERT,CHB TxZ
1,650.00 2d 0h
110-0601// Amat Applied 0190-35857 Waveguide Pos B 3.4 X 1.7 Micr
110-0601// Amat Applied 0190-35857 Waveguide Pos B 3.4 X 1.7 Micr
1,440.00 29d 1h
116-0201// Amat Applied 0200-09559 Cover 200mm Ceramic (6 Holes .
116-0201// Amat Applied 0200-09559 Cover 200mm Ceramic (6 Holes .
1,600.00 1d 23h
437-0401// Abb El3020 Controller [/fast]
437-0401// Abb El3020 Controller [/fast]
4,000.00 27d 6h
FER MOOSER AG FW5 FFS 1.2048 Made in Switzerland Power Supply
FER MOOSER AG FW5 FFS 1.2048 Made in Switzerland Power Supply
49.99 20d 20h
ESI DIO - MMB INT - FACE Board CKA 59654
ESI DIO - MMB INT - FACE Board CKA 59654
333.00  2d 11h
AMAT 0020-30600, Plate Gas Dist EGEC. 417565
AMAT 0020-30600, Plate Gas Dist EGEC. 417565
625.00 29d 15h
Applied Materials Heater Loop 102136448
Applied Materials Heater Loop 102136448
149.99  26d 19h
Lot of 3 Berkeley Process Controls MWT-8-MNET Machiorks Machine controller
Lot of 3 Berkeley Process Controls MWT-8-MNET Machiorks Machine controller
2,600.00  26d 17h
Lot of 5 Berkeley Process Controls MWT-8-MNET Machiorks Machine controller
Lot of 5 Berkeley Process Controls MWT-8-MNET Machiorks Machine controller
4,000.00  26d 16h
Cincinnati Electrosystems Receiver Controller 9650-128  Working
Cincinnati Electrosystems Receiver Controller 9650-128  Working
309.12  23d 18h
Applied Material 0150 55911 02
Applied Material 0150 55911 02
359.99  7d 22h
ASM Advanced Semiconductor Materials 02-330608D01 Auto Doors Power Supply
ASM Advanced Semiconductor Materials 02-330608D01 Auto Doors Power Supply
407.18  16d 15h
ETO Ehrhorn Technological Operations ABX-X237-12 Wattmeter Board  Working
ETO Ehrhorn Technological Operations ABX-X237-12 Wattmeter Board  Working
708.18  4d 13h
Shinkawa CMU-04 Camera Module
Top-Rated Plus Seller Shinkawa CMU-04 Camera Module
58.98  16d 20h
Parker Veriflow, Model 06-442VFVF,  P/N 54010131
Parker Veriflow, Model 06-442VFVF, P/N 54010131
49.99  28d 14h
Aera FC-PA7810C-BA MFC, Mass Flow Controller, NH3, 8 SLM, 3030-16230, 423741
Aera FC-PA7810C-BA MFC, Mass Flow Controller, NH3, 8 SLM, 3030-16230, 423741
550.00 24d 16h
AMAT 0242-70252 Kit Teardown, Narrowbody L, 0020-40137, 3690-01847, 424308
AMAT 0242-70252 Kit Teardown, Narrowbody L, 0020-40137, 3690-01847, 424308
450.00 1d 14h
Midi Ingenierie Mi452a & Mi904a
Midi Ingenierie Mi452a & Mi904a
999.00  4d 17h
AMAT 0140-09169 HARNESS ASSY CVD LIFT RESISTORS with resistors & heat sink
AMAT 0140-09169 HARNESS ASSY CVD LIFT RESISTORS with resistors & heat sink
149.00  25d 12h
Ultratech Stepper Temperature Controller Module RKC REX-F700 224i As-Is
Ultratech Stepper Temperature Controller Module RKC REX-F700 224i As-Is
353.16  14d 13h
260071-008 Pcba 8i Flash Symbol
260071-008 Pcba 8i Flash Symbol
49.50  14d 18h
Amat 1400-00010 Sensor, Light Curtain Emitter, 28",
Amat 1400-00010 Sensor, Light Curtain Emitter, 28",
2,200.00  21d 0h
Matsushita KDCL Micro Laser Sensor 80 +/- 20MM NAIS, AMAT 1400-01273, 414922
Matsushita KDCL Micro Laser Sensor 80 +/- 20MM NAIS, AMAT 1400-01273, 414922
1,250.00 6d 22h
Applied Materials 0100-00580 0100-00581 REV001 300mm Gas Interlock, IGL Config
Applied Materials 0100-00580 0100-00581 REV001 300mm Gas Interlock, IGL Config
750.00 12d 19h
ASM 16-350907D01 Quartz Arm 150mm
ASM 16-350907D01 Quartz Arm 150mm
601.18  24d 21h
Air Products CRSD 1537 Digital Processor Board PCB Card CRSD1537  Working
Air Products CRSD 1537 Digital Processor Board PCB Card CRSD1537  Working
203.17  28d 13h
Mae Hs20022310300ad08 Nsnp
Mae Hs20022310300ad08 Nsnp
574.00  10d 13h
4425  Applied Materials 0100-00011 Chopper Drive I PWB
4425 Applied Materials 0100-00011 Chopper Drive I PWB
600.00  4d 21h
Applied Ceramics 91-01228A Pinless 8" Quartz Insulator AMAT  Surplus
Applied Ceramics 91-01228A Pinless 8" Quartz Insulator AMAT  Surplus
1,212.11  10d 21h
National Distruments GPIB-1014P 180150F-02 Board
National Distruments GPIB-1014P 180150F-02 Board
209.00  24d 5h
Sanyo Denki A0348561 P80c18050bxs22 Motor 500w, 50mm, Thru Bore
Sanyo Denki A0348561 P80c18050bxs22 Motor 500w, 50mm, Thru Bore
200.00  2d 13h
AMAT 0140-21235, H/A, AC Power CH, F, HTR, Cryo, Control, Cable Assembly, 413800
AMAT 0140-21235, H/A, AC Power CH, F, HTR, Cryo, Control, Cable Assembly, 413800
400.00 29d 21h
Socapel 024.7036.A Servo Drive Main Board PCB  Working
Socapel 024.7036.A Servo Drive Main Board PCB  Working
507.12  24d 19h
Mti Instruments Accumeasure 5000
Mti Instruments Accumeasure 5000
320.00  1d 15h
Brooks 6256S 6256SCZWCMAC Mass Flow Controller N2 20000SCCM,
Brooks 6256S 6256SCZWCMAC Mass Flow Controller N2 20000SCCM,
350.00  19d 4h
Ok Saf-1 Replacement Filters
Ok Saf-1 Replacement Filters
56.00  12d 16h
Varian E17085491 Electrode Suppresion
Varian E17085491 Electrode Suppresion
250.00  7d 21h
LAM 715-012113-001 Collar Arm, 451571
LAM 715-012113-001 Collar Arm, 451571
250.00 12d 20h
APPLIED MATERIALS, 0090-03913, UNIT,assy, Overtemp SW w/filt, HARN ASSY
APPLIED MATERIALS, 0090-03913, UNIT,assy, Overtemp SW w/filt, HARN ASSY
500.00  11d 4h
Axcelis Post Accel Aperture 17122980 REV A (99473)
Axcelis Post Accel Aperture 17122980 REV A (99473)
185.00  7d 7h
Ulvac BPR2 G-Tran
Ulvac BPR2 G-Tran
209.59  6d 12h
Asm 02-187791d01 Assy Vid/temp Ctr Pwr Lh Ph2
Asm 02-187791d01 Assy Vid/temp Ctr Pwr Lh Ph2
307.18  24d 22h
AMAT 0020-40608, Insulator Cover. 418814
AMAT 0020-40608, Insulator Cover. 418814
400.00 22d 20h
156-0202// Amat Applied 0195-00214 Gas Line, Stl Heater, Lower, Pdcr Se Mk
156-0202// Amat Applied 0195-00214 Gas Line, Stl Heater, Lower, Pdcr Se Mk
1,800.00 26d 3h
Asm 1067-983-01 Manometer-htm 100 Torr
Asm 1067-983-01 Manometer-htm 100 Torr
607.18  24d 22h
118-0301// Tosoh 1v0006-211 Ti 4n5 Target [asis]
118-0301// Tosoh 1v0006-211 Ti 4n5 Target [asis]
1,500.00 12d 4h
118-0301// Tosoh 1v0006-212 Ti 4n5 Target [asis]
118-0301// Tosoh 1v0006-212 Ti 4n5 Target [asis]
1,500.00 12d 4h
132-0301// Amat Applied 0200-28924 Applied Matrials Components []
132-0301// Amat Applied 0200-28924 Applied Matrials Components []
300.00 11d 2h
Lambda LRS-50-15 AC-DC Switching Power Supply Reseller Lot of 5  Working
Lambda LRS-50-15 AC-DC Switching Power Supply Reseller Lot of 5  Working
407.15  4d 18h
AMAT 0021-38456 Cover, Lining, 420180
AMAT 0021-38456 Cover, Lining, 420180
550.00 25d 20h
B&G Precision 56-010559 Overcenter SMIF OP Paddle  Working
B&G Precision 56-010559 Overcenter SMIF OP Paddle  Working
507.15  10d 19h
SPAN LR-050 Digital Indicator,
SPAN LR-050 Digital Indicator,
89.00  2d 18h
Microbar Wm5 Wingmate Chemical Delivery System
Microbar Wm5 Wingmate Chemical Delivery System
999.99  23d 19h
Disco EAUA-334701, FAPCB-0412, Driver Board PCB 402542
Disco EAUA-334701, FAPCB-0412, Driver Board PCB 402542
345.00 4d 19h
AMAT 0040-41360 Bracket, CDA Mounting, TPCC, 417747
AMAT 0040-41360 Bracket, CDA Mounting, TPCC, 417747
1,350.00  1d 16h
130-0302// Amat Applied 0100-00852 Applied Matrials Components
130-0302// Amat Applied 0100-00852 Applied Matrials Components
400.00 13d 1h
Amat Applied Materials 0270-76103 A Wpi Cal Plate, Preclean, Cooldown, 8"
Amat Applied Materials 0270-76103 A Wpi Cal Plate, Preclean, Cooldown, 8"
24.99 11d 16h
AMAT Applied Materials 0050-96206 CHM Return Line 300mm
AMAT Applied Materials 0050-96206 CHM Return Line 300mm
508.12  13d 20h
Applied Materials 4060-00081 K1S Modular MFC FEMALE BLOCK, LOT OF 2
Applied Materials 4060-00081 K1S Modular MFC FEMALE BLOCK, LOT OF 2
140.00  7d 11h
AMAT Applied Materials 0242-19106 Standard Blankoff Kit
AMAT Applied Materials 0242-19106 Standard Blankoff Kit
608.12  10d 19h
AMAT 0020-79085 Outer Clamp
AMAT 0020-79085 Outer Clamp
480.00  28d 21h
Amat/Applied Materials Analog Interface Unit - 8100K
Amat/Applied Materials Analog Interface Unit - 8100K
350.00  23d 1h
0995-10719 / Membrane, Display, Paneltec Axcelis / Matrix
0995-10719 / Membrane, Display, Paneltec Axcelis / Matrix
360.62  25d 21h
Es071-001250-1 / Sheet Conductive / Tokyo Electron Tel
Es071-001250-1 / Sheet Conductive / Tokyo Electron Tel
450.82  5d 12h
Ds2110-358712-12 / 46 Heater, 80a / Tokyo Electron Tel
Ds2110-358712-12 / 46 Heater, 80a / Tokyo Electron Tel
554.66  14d 13h
9611-1110  / Mks Heater Jacket 100v 17w 0.17a 50/60hz  /  Mks
9611-1110 / Mks Heater Jacket 100v 17w 0.17a 50/60hz / Mks
400.99  23d 1h
61-337124-00 / Manf,3,vms Dosing,pillar / Lam
61-337124-00 / Manf,3,vms Dosing,pillar / Lam
415.98  5d 18h
04-714049-02 /shield,dark Space,04-714049-02,3290/ Novellus
04-714049-02 /shield,dark Space,04-714049-02,3290/ Novellus
720.98  6d 16h
771-026552-001/ Xdcr,press,psi/kpa,int Red Led,igs / Lam
771-026552-001/ Xdcr,press,psi/kpa,int Red Led,igs / Lam
430.98  6d 17h
716-027963-001 / Ring,wap,generic,12.5 Id,200mm,2300 / Lam
716-027963-001 / Ring,wap,generic,12.5 Id,200mm,2300 / Lam
300.98  6d 18h
810-034806-007 / Assy,pcb,rf Intfc Sgl Ae / Lam
810-034806-007 / Assy,pcb,rf Intfc Sgl Ae / Lam
480.98  10d 16h
Esa-j2006cf3-1 /driver / Nsk
Esa-j2006cf3-1 /driver / Nsk
600.98  12d 16h
0040-03733 / Cover, Orienter Degas / Applied Materials
0040-03733 / Cover, Orienter Degas / Applied Materials
635.00  5d 16h
715-052395-002  / Elctd,lower,bevel / Lam
715-052395-002 / Elctd,lower,bevel / Lam
575.98  5d 16h
Ds1110-205736-22 Heat Insulator
Ds1110-205736-22 Heat Insulator
560.98  5d 17h
28-0075-013 / Pc Assy,intrf.#1,intel / Lam
28-0075-013 / Pc Assy,intrf.#1,intel / Lam
350.98  6d 17h
715-073145-001  / Baseplt,blank Chk,cooling Stat / Lam
715-073145-001 / Baseplt,blank Chk,cooling Stat / Lam
385.98  6d 18h
540241020 / Regulator 2" Uhp Pvdf V82 W/spg End / Frank  ()
540241020 / Regulator 2" Uhp Pvdf V82 W/spg End / Frank ()
360.00  11d 0h
SCP 1100 Quick Dump Rinser Contol Panel 3260671 L
Top-Rated Plus Seller SCP 1100 Quick Dump Rinser Contol Panel 3260671 L
195.98  1d 13h
AMAT Applied Materials 0150-21025 CH 2 Bakeout Cable
AMAT Applied Materials 0150-21025 CH 2 Bakeout Cable
508.18  10d 21h
AMAT Applied Materials 0150-21029 CH 4 Bakeout Cable
AMAT Applied Materials 0150-21029 CH 4 Bakeout Cable
508.18  11d 20h
ASM Advanced Semiconductor Materials 1045-387-01 V-PAN VESSEL LEVELING
ASM Advanced Semiconductor Materials 1045-387-01 V-PAN VESSEL LEVELING
307.18  24d 21h
APPLIED MATERIALS 0200-07970 GAS MANIFOLD, LID, CERAMIC, MIXING, 300MM CVD-Co
APPLIED MATERIALS 0200-07970 GAS MANIFOLD, LID, CERAMIC, MIXING, 300MM CVD-Co
899.00  22d 5h
153-0401// Anritsu Mp1570a Mu150002a Mu150001a Mu150000a Analyzer []
153-0401// Anritsu Mp1570a Mu150002a Mu150001a Mu150000a Analyzer []
2,000.00 2d 0h
153-0301// Wwg Ant-20se Advanced Network Tester 10gig []
153-0301// Wwg Ant-20se Advanced Network Tester 10gig []
1,500.00 2d 0h
168-0201// Anritsu Mp1764a Error Detector []
168-0201// Anritsu Mp1764a Error Detector []
3,000.00 2d 3h
Advantech 1906364301 PCM-3643 T-PCM36432001 Rev A1
Advantech 1906364301 PCM-3643 T-PCM36432001 Rev A1
149.00 11d 5h
XYCARB CERAMICS 10051650 Hanger Quartz 11.5"
XYCARB CERAMICS 10051650 Hanger Quartz 11.5"
507.18  24d 23h
Nickel Titanium Target Ni/Ti (50/50at%)  99.99% Pure ,3.00" Dia  x 0.250" Thick
Nickel Titanium Target Ni/Ti (50/50at%) 99.99% Pure ,3.00" Dia x 0.250" Thick
333.00  2d 6h
Vpdprt0410 / Pcb Prt M-040 / Disco
Vpdprt0410 / Pcb Prt M-040 / Disco
260.99  17d 22h
BTU Engineering 3162024 7900 Backplane Board PCB 3162020  Working
BTU Engineering 3162024 7900 Backplane Board PCB 3162020  Working
212.18  10d 13h
Amat 0150-07299 Cable Assy, Rs232, Rbt 1,
Amat 0150-07299 Cable Assy, Rs232, Rbt 1,
160.00  7d 9h
Schlumberger Technologies 97911437 APGID Y Board PCB Working
Schlumberger Technologies 97911437 APGID Y Board PCB Working
608.13  23d 20h
342-0203// Amat Applied 0010-92230 Applied Matrials Components
342-0203// Amat Applied 0010-92230 Applied Matrials Components
420.00 18d 1h
17099631 / Inner Beam Dump Vgi Graphite / Axcelis Technologies
17099631 / Inner Beam Dump Vgi Graphite / Axcelis Technologies
254.00  26d 17h
3104371051 / Upper 6" Cup / Varian
3104371051 / Upper 6" Cup / Varian
275.99  15d 16h
17338640 / Liner / Axcelis Technologies
17338640 / Liner / Axcelis Technologies
221.50  8d 19h
SPAN THRUTUBE TRANSDUCER, Model NiT-00204,
SPAN THRUTUBE TRANSDUCER, Model NiT-00204,
89.00  2d 18h
AMAT 0021-04242 Shield, DK Space Cover (ALPS), Micron 811-02328R, 423988
AMAT 0021-04242 Shield, DK Space Cover (ALPS), Micron 811-02328R, 423988
450.00 24d 18h
AMAT Applied Materials 0150-21032 Mainframe Cable Convenience Outlet
AMAT Applied Materials 0150-21032 Mainframe Cable Convenience Outlet
508.18  11d 21h
10 Amat 0720-01941 Connrcpt Pnl Mtg 3 Pos Circ, 451619
10 Amat 0720-01941 Connrcpt Pnl Mtg 3 Pos Circ, 451619
10.00 16d 15h
AMAT 1270-01340 SW Color Insert White TW Series, 451624
AMAT 1270-01340 SW Color Insert White TW Series, 451624
10.00 16d 15h
Modus Instruments DA-4-04M-0-RR-15-114 Display Alarm Reseller Lot of 5 Working
Modus Instruments DA-4-04M-0-RR-15-114 Display Alarm Reseller Lot of 5 Working
506.12  25d 18h
Hitachi High Technologies 2-819080 Ring Gate  Surplus
Hitachi High Technologies 2-819080 Ring Gate  Surplus
311.08  22d 14h
45400361 / 944gsfsfmlk Valve / Veriflo Valves Parker
45400361 / 944gsfsfmlk Valve / Veriflo Valves Parker
140.45  27d 15h
Sfc1480fa-ar / Sam Mfc Mc-4ugl Gas-ar Cf1.390 2 Slm / Sam Fantas
Sfc1480fa-ar / Sam Mfc Mc-4ugl Gas-ar Cf1.390 2 Slm / Sam Fantas
126.68  18d 1h
AMAT 3800-01210, Regulator Unit. 417578
AMAT 3800-01210, Regulator Unit. 417578
450.00 29d 17h
Applied Materials 0200-02395 Top, Ceramic Liner Amat
Applied Materials 0200-02395 Top, Ceramic Liner Amat
399.00  29d 11h
Amat 0020-52691 Ring, Bottom Edge Sst 300mm Txz ,
Amat 0020-52691 Ring, Bottom Edge Sst 300mm Txz ,
3,400.00  26d 1h
AMAT 0140-08133 Harness Assembly, Producer E, Left Chamber, Cable, 413347
AMAT 0140-08133 Harness Assembly, Producer E, Left Chamber, Cable, 413347
950.00 22d 17h
Matsushita KDCL Micro Laser Sensor 80 +/- 20MM NAIS, AMAT 1400-01273, 414920
Matsushita KDCL Micro Laser Sensor 80 +/- 20MM NAIS, AMAT 1400-01273, 414920
1,250.00 6d 21h
AMAT 0021-01796 Adapater Plate
AMAT 0021-01796 Adapater Plate
1,250.00 15d 15h
Cosel MMB5OU-6 Power Supply Multiple Output Lot of 5
Cosel MMB5OU-6 Power Supply Multiple Output Lot of 5
407.15  21d 12h
AMAT 0140-21235, H/A, AC Power CH, F, HTR, Cryo, Control, Cable Assembly, 413799
AMAT 0140-21235, H/A, AC Power CH, F, HTR, Cryo, Control, Cable Assembly, 413799
400.00 29d 21h
AMAT 0020-22852 Shield, 8", Preclean, 424218
AMAT 0020-22852 Shield, 8", Preclean, 424218
495.00 6d 22h
AMAT 0200-09710 Rev.P1, LTD Ceramics, Centering Ring, 150/144mm, 57.5mm. 417436
AMAT 0200-09710 Rev.P1, LTD Ceramics, Centering Ring, 150/144mm, 57.5mm. 417436
1,050.00 24d 15h
Disco EAUA-266103, D3 PMCB, PCB (D3 PNM Control A), Farmon ID 412579
Disco EAUA-266103, D3 PMCB, PCB (D3 PNM Control A), Farmon ID 412579
500.00 22d 20h
Canon BH8-1912-01 BG4-6619 PCB
Canon BH8-1912-01 BG4-6619 PCB
249.00 9d 4h
AMAT 0200-10390 GDP, Chamber, RPS, 417396
AMAT 0200-10390 GDP, Chamber, RPS, 417396
1,350.00 23d 19h
Square D Vertron Circuit Breaker KAL262001127 Lot of 4  Working
Square D Vertron Circuit Breaker KAL262001127 Lot of 4  Working
504.12  4d 14h
TEL Tokyo Electron ME3-109690-B Drive Element Thermal Insulator 1130772565
TEL Tokyo Electron ME3-109690-B Drive Element Thermal Insulator 1130772565
405.18  14d 20h
AMAT 0020-34444 Plate, Face DXZ, 406028
AMAT 0020-34444 Plate, Face DXZ, 406028
1,250.00 17d 15h
AMAT 0190-05836, WATLOW, HTR GL, CHC 0050-52510 Part 1 TICL4 PRODU. 418375
AMAT 0190-05836, WATLOW, HTR GL, CHC 0050-52510 Part 1 TICL4 PRODU. 418375
850.00 14d 21h
LAM Pneumatic Manifold, V100-97-11A, SMC, 423720
LAM Pneumatic Manifold, V100-97-11A, SMC, 423720
450.00 19d 19h
ASM Advanced Semiconductor Materials 116-328946D01 REFL-S-SINGLE PARABOLIC
ASM Advanced Semiconductor Materials 116-328946D01 REFL-S-SINGLE PARABOLIC
603.18  1d 19h
Pcb 20070313 Npn, Ck
Pcb 20070313 Npn, Ck
1,680.00  4d 5h
Square D FAL22031127J Molded Case Circuit Breaker Lot of 5
Square D FAL22031127J Molded Case Circuit Breaker Lot of 5
306.13  8d 12h
Balzers BG 541 086-S/D Partial Pressure Gauge PCB Card EM 064  Working
Balzers BG 541 086-S/D Partial Pressure Gauge PCB Card EM 064  Working
409.16  23d 17h
Nidek S1145-PC2282-A IM-14 Wafer Autoloader Motor Assembly IM-11 AP/11
Nidek S1145-PC2282-A IM-14 Wafer Autoloader Motor Assembly IM-11 AP/11
455.16  20d 20h
Transat ALC-2000 automatic lap controller
Transat ALC-2000 automatic lap controller
1,299.99  29d 16h
Schlumberger Technologies 97911001 Layer 12 Microstrip 40911001-1 PCB
Schlumberger Technologies 97911001 Layer 12 Microstrip 40911001-1 PCB
608.13  23d 19h
ASM Advanced Semiconductor Materials 16-320065D01 Mounting Plate Rev A
ASM Advanced Semiconductor Materials 16-320065D01 Mounting Plate Rev A
603.18  3d 17h
AERA PI-98 MGMR MFC F CPI980CBAXDIDJAA SiH2CI2 50 SCCM AMAT 0190-34213
AERA PI-98 MGMR MFC F CPI980CBAXDIDJAA SiH2CI2 50 SCCM AMAT 0190-34213
150.00  11d 14h
Sumilink Ffiz-mm-20 Cable Photo Fiber 402784
Sumilink Ffiz-mm-20 Cable Photo Fiber 402784
450.00 7d 19h
Aera Pi-98 Mgmr Mfc F Cpi980cbaxdidjaa Ph3 50 Sccm Amat 0190-34212
Aera Pi-98 Mgmr Mfc F Cpi980cbaxdidjaa Ph3 50 Sccm Amat 0190-34212
150.00  12d 4h
Aera Pi-98 Mgmr Mfc F Cpi980cbaxdidjaa N2 300sccm Amat 0190-34213
Aera Pi-98 Mgmr Mfc F Cpi980cbaxdidjaa N2 300sccm Amat 0190-34213
150.00  11d 14h
PN 7100-3181-03 AG Associates Heatpulse Susceptor?
PN 7100-3181-03 AG Associates Heatpulse Susceptor?
5,500.00 1d 5h
PN 7100-1258-09 AG Associates Heatpulse Susceptor?
PN 7100-1258-09 AG Associates Heatpulse Susceptor?
6,500.00 1d 5h
PN 7100-1258-07 AG Associates Heatpulse Susceptor?
PN 7100-1258-07 AG Associates Heatpulse Susceptor?
6,000.00 1d 5h
PN 7100-5447-02 AG Associates Heatpulse Susceptor?
PN 7100-5447-02 AG Associates Heatpulse Susceptor?
7,000.00 1d 5h
ASM / SOFASCO 1021-311-01 / sAB18970V2HBL FAN-CENTRIFUGAL BLOWER 220V 240CFM
ASM / SOFASCO 1021-311-01 / sAB18970V2HBL FAN-CENTRIFUGAL BLOWER 220V 240CFM
120.00  24d 21h
Microbar Systems 11-0123-00 Power Conversion Board PCB  Working
Microbar Systems 11-0123-00 Power Conversion Board PCB  Working
202.19  19d 15h
ASM Advanced Semiconductor Materials 1047-158-01 Base Holder Vessel  Surplus
ASM Advanced Semiconductor Materials 1047-158-01 Base Holder Vessel  Surplus
302.19  19d 16h
TOKYO ELECTRON 3880-200061-11 Temperature Controller M-780 Ver 1.20
TOKYO ELECTRON 3880-200061-11 Temperature Controller M-780 Ver 1.20
3,500.00  20d 5h
AMAT 0020-99382 Rev.A, Thomas Hatchard, Shaft, Drive 25-30, Durometer. 419310
AMAT 0020-99382 Rev.A, Thomas Hatchard, Shaft, Drive 25-30, Durometer. 419310
650.00 2d 18h
Sopra Rack Alim Supply Rack with 14 day warranty
Sopra Rack Alim Supply Rack with 14 day warranty
1,900.00 28d 20h
AMAT 0010-05187 Assembly, Wet, Queque Nest, 406271
AMAT 0010-05187 Assembly, Wet, Queque Nest, 406271
1,750.00 26d 20h
MC Systems Model 8830 Hot Chuck Controller Unit
MC Systems Model 8830 Hot Chuck Controller Unit
599.99 14d 23h
Nikon    4S018-403    PPD3S
Nikon 4S018-403 PPD3S
450.00  20d 19h
0020-29303 / Bracket, Guide Sou / Applied Materials Amat
0020-29303 / Bracket, Guide Sou / Applied Materials Amat
347.77  14d 19h
 Integrated Designs Idi 2-112-062 Bottle Cap Source Undercut Free Shipping
 Integrated Designs Idi 2-112-062 Bottle Cap Source Undercut Free Shipping
93.49  29d 17h
Amat 0020-24962 Shield Low Knee Al/ti Prcs Sst 8 Wfr .4 ,
Amat 0020-24962 Shield Low Knee Al/ti Prcs Sst 8 Wfr .4 ,
450.00  20d 4h
Asm 16-334340d01 Panel Connector Smif Interface
Asm 16-334340d01 Panel Connector Smif Interface
307.18  24d 22h
Lam Research 12-9985-014 Dc Mtr Dist Pnl, Working
Lam Research 12-9985-014 Dc Mtr Dist Pnl, Working
1,500.00  7d 22h
Mitsubishi FR-F740PJ-3.7K Inverter, 423753
Mitsubishi FR-F740PJ-3.7K Inverter, 423753
550.00 25d 16h
Applied Materials 15 Slot Tray 200mm 0020-13995
Applied Materials 15 Slot Tray 200mm 0020-13995
599.99  22d 1h
Maxcon Dc Motor 135836 Linear Actuator (27cm Long)
Maxcon Dc Motor 135836 Linear Actuator (27cm Long)
235.00 211.50 23d 10h
136-0601// Amat Applied 0010-00744 (#3) 20sccm 122aa-00010-b-s
136-0601// Amat Applied 0010-00744 (#3) 20sccm 122aa-00010-b-s
2,500.00 21d 2h
351-0501// Amat Applied 0010-22162 (#1) Assy, Water Box, Besc
351-0501// Amat Applied 0010-22162 (#1) Assy, Water Box, Besc
2,000.00 1d 7h
Phoenix Contact 5022795 APK 1 Lot of 10
Phoenix Contact 5022795 APK 1 Lot of 10
20.00  5d 15h
 Arrow 4022.486.62711  Vacuum Fitting 750-011-01 W Vac Hose Wicc  31"
 Arrow 4022.486.62711 Vacuum Fitting 750-011-01 W Vac Hose Wicc 31"
199.99  21d 0h
Boc Edwards U20000521 Interface Module
Boc Edwards U20000521 Interface Module
519.95  21d 12h
Tridak 450 Precision Fluid Dispensing Controller
Tridak 450 Precision Fluid Dispensing Controller
482.00  8d 13h
Swagelok, SS-3K-CH16-VI, Seal Kit
Swagelok, SS-3K-CH16-VI, Seal Kit
65.00 11d 16h
Swagelok, 302-8C-K2-10, SS Spring Kit
Swagelok, 302-8C-K2-10, SS Spring Kit
80.00 14d 21h
Saint-Gobain 4117450-0001 Disk Baffle Reseller Lot of 11
Saint-Gobain 4117450-0001 Disk Baffle Reseller Lot of 11
508.18  14d 15h
Applied Materials 0020-30198 External Tapered Cylinder Amat
Applied Materials 0020-30198 External Tapered Cylinder Amat
549.00  18d 12h
Amat 0021-15763 Deposition Ring, Smf/jmf, 6, 6 Al - 4v,
Amat 0021-15763 Deposition Ring, Smf/jmf, 6, 6 Al - 4v,
2,600.00  24d 23h
APPLIED MATERIALS 0227-06347 Voltage Sense Cable Assembly
APPLIED MATERIALS 0227-06347 Voltage Sense Cable Assembly
199.99  13d 20h
Muto Technology MR-19312 Modified Half Door Shield 4154478-0001
Muto Technology MR-19312 Modified Half Door Shield 4154478-0001
306.18  24d 22h
HoribaStec SEC-Z722MGX Mass Flow Controller
Top-Rated Plus Seller HoribaStec SEC-Z722MGX Mass Flow Controller
379.99  20d 14h
Amat 0020-23669 Clamp Ring 6 Smf R/e Sl/ti ,
Amat 0020-23669 Clamp Ring 6 Smf R/e Sl/ti ,
1,800.00  19d 23h
156-0202// Amat Applied Apm211010 Applied Matrials Components
156-0202// Amat Applied Apm211010 Applied Matrials Components
300.00 26d 3h
ASM COE 139 Curing System
ASM COE 139 Curing System
12,795.00  25d 21h
Amat 0020-97167 Flange, Removable Replaces 0010-94859. 411154
Amat 0020-97167 Flange, Removable Replaces 0010-94859. 411154
650.00 15d 21h
Applied Materials AMAT 0090-A7223 Manual chucking / Dechucking
Applied Materials AMAT 0090-A7223 Manual chucking / Dechucking
2,222.00  19d 5h
AMAT 0010-09733, Clear Cover Remote AC Box Assembly, 417241
AMAT 0010-09733, Clear Cover Remote AC Box Assembly, 417241
795.00 21d 21h
Amat 0050-90916 Hose Htx Return
Amat 0050-90916 Hose Htx Return
389.00  7d 23h
Horiba Stec 331074 Flow Sensor, 8517930105, 424491
Horiba Stec 331074 Flow Sensor, 8517930105, 424491
1,100.00 9d 15h
Dip-Proofing Technologies DPI52S25 208 Voltage Dip-Proofing Inverter DPI52S
Dip-Proofing Technologies DPI52S25 208 Voltage Dip-Proofing Inverter DPI52S
607.18  24d 20h
ASM 16-351198D01 Quartz Plate
ASM 16-351198D01 Quartz Plate
601.18  24d 21h
ASM 16-351197D01 Quartz Plate
ASM 16-351197D01 Quartz Plate
601.18  24d 21h
99-80392-01 /     Pcb Svg Agu Interface Board / Svg
99-80392-01 / Pcb Svg Agu Interface Board / Svg
97.99  13d 18h
407-1436 / Knurled Plug / Applied Materials Amat
407-1436 / Knurled Plug / Applied Materials Amat
96.00  29d 16h
E108998 / 50 Ft 200 Degrees C 22 Awg    / Varian
E108998 / 50 Ft 200 Degrees C 22 Awg / Varian
110.00  29d 13h
Pk564am-lf145s / Motor / Oriental Motor
Pk564am-lf145s / Motor / Oriental Motor
105.99  23d 20h
Cm100 / Cm100 Control Module / Nt International
Cm100 / Cm100 Control Module / Nt International
65.99  19h 2m
Bm28100 / Pcb, Ac Dist Safety Control / Brooks
Bm28100 / Pcb, Ac Dist Safety Control / Brooks
75.82  15d 20h
0660-01516 / Card Apc Digital Motherboard Pn 316-2120-001 / Amat
0660-01516 / Card Apc Digital Motherboard Pn 316-2120-001 / Amat
89.60  17d 15h
E17070490 Rev A / Shield, Move, Guide, Top / Varian
E17070490 Rev A / Shield, Move, Guide, Top / Varian
45.82  22d 22h
2-39-66135 / Dns Cylinder, Dbl Act Plt Mount Slide Pneumatic / Dns
2-39-66135 / Dns Cylinder, Dbl Act Plt Mount Slide Pneumatic / Dns
100.68  4d 15h
6003zze / Motor Bearings / Nachi-fujikoshi Corp
6003zze / Motor Bearings / Nachi-fujikoshi Corp
20.00  10d 15h
179992 / Sensor, Flow, .25 Gpm,type Fs-380ss / Gems Sensors & Controls
179992 / Sensor, Flow, .25 Gpm,type Fs-380ss / Gems Sensors & Controls
100.00  13d 17h
Ht17-068 / Motor Dc 4v .095a / Applied Motion Products Inc
Ht17-068 / Motor Dc 4v .095a / Applied Motion Products Inc
45.68  25d 21h
Rea32-z2727-365 / Sine Rodless Cylinder / Smc
Rea32-z2727-365 / Sine Rodless Cylinder / Smc
100.99  21d 15h
Tas-cnext / Load Port Interface Board    / Tdk
Tas-cnext / Load Port Interface Board / Tdk
110.99  21d 17h
Ap3625sz 2pw Fv4 Fv4 / Diaphragm Valve Assembly 3000psi / Ap Tech
Ap3625sz 2pw Fv4 Fv4 / Diaphragm Valve Assembly 3000psi / Ap Tech
100.68  28d 21h
9035-18 / 9035-21 Pressure Gauge 0-1000psi 8043176 G002 / Ashcroft
9035-18 / 9035-21 Pressure Gauge 0-1000psi 8043176 G002 / Ashcroft
30.00  10d 19h
Q61p / Power Supply Module Input Power Supply 100-240v Output 6a / Mitsubishi
Q61p / Power Supply Module Input Power Supply 100-240v Output 6a / Mitsubishi
95.98  8d 17h
0040-54479 / Bracket, Pneumatic Manifold Cover, High / Amat
0040-54479 / Bracket, Pneumatic Manifold Cover, High / Amat
112.63  8d 16h
0660-01508 / Card, Apc Analog Output 1 Channel / Amat
0660-01508 / Card, Apc Analog Output 1 Channel / Amat
92.21  22d 22h
9599-0225 / Heater Jacket 3.75, Str 4.44 Nit / Mks
9599-0225 / Heater Jacket 3.75, Str 4.44 Nit / Mks
69.62  1d 15h
F-avp070-13w / Koganei Tokyo Electron Pneumatic Diaphragm Valve / Tel
F-avp070-13w / Koganei Tokyo Electron Pneumatic Diaphragm Valve / Tel
80.99  12d 16h
99b1489 / Adapt, Htr, 2x7.94"l     / Mks
99b1489 / Adapt, Htr, 2x7.94"l / Mks
28.82  12d 17h
99-089-001 / Pcb E6s-1 Sensor Blank / Tegal
99-089-001 / Pcb E6s-1 Sensor Blank / Tegal
75.82  24d 21h
Flu3-50-5 / Power General Flu3-50-4-5 Power Supply / Power General
Flu3-50-5 / Power General Flu3-50-4-5 Power Supply / Power General
107.99  25d 14h
941-011-p1 305-02-03 / Terminator Terminal Stecker Inficon 941-011-p1 Getspares
941-011-p1 305-02-03 / Terminator Terminal Stecker Inficon 941-011-p1 Getspares
15.66  9d 17h
Dl2449 / Axial Diffuse Illuminator / Advanced Illumination
Dl2449 / Axial Diffuse Illuminator / Advanced Illumination
105.68  25d 21h
54741001 / Really Slim Bearing / Kaydon
54741001 / Really Slim Bearing / Kaydon
250.82  5d 15h
Tegal Quartz PIN P/N 40-297-001-E, , 2 each
Top-Rated Plus Seller Tegal Quartz PIN P/N 40-297-001-E, , 2 each
65.00 24d 23h
Tel Gas-hw-i/l-c 8sec Ttl-t0018 2180-020402-11 2108/ 2181-020129-11 Pcb Board
Tel Gas-hw-i/l-c 8sec Ttl-t0018 2180-020402-11 2108/ 2181-020129-11 Pcb Board
899.00  16h 19m
7310-1843-01 B + 7310-1844-01 +7310-1845-01 Robot Effector 7100-2906-01
7310-1843-01 B + 7310-1844-01 +7310-1845-01 Robot Effector 7100-2906-01
2,700.00  9d 14h
AMAT 0060-21097 Label, Warning, 13MHZ RF 15KV, 451824
AMAT 0060-21097 Label, Warning, 13MHZ RF 15KV, 451824
15.00 1d 20h
343-0302// Amat Applied 0040-93448 Clamp Assy,filament Guide,
343-0302// Amat Applied 0040-93448 Clamp Assy,filament Guide,
800.00 19d 0h
Aval Data AVME-115A PCB Board, 405831
Aval Data AVME-115A PCB Board, 405831
450.00 13d 18h
GM Associates 7500-08 Etch Shroud Window Reseller Lot of 42
GM Associates 7500-08 Etch Shroud Window Reseller Lot of 42
408.18  28d 14h
138-0401// Amat Applied 0190-76263 Ios Configured
138-0401// Amat Applied 0190-76263 Ios Configured
1,000.00 3d 0h
Amat 0020-87982 Outer Rolltig Seal Clamp 300mm,
Amat 0020-87982 Outer Rolltig Seal Clamp 300mm,
1,500.00  16d 3h
Asahi Diamond Wheel Blade H253JN-T3 LOT of 4 (6003)
Top-Rated Plus Seller Asahi Diamond Wheel Blade H253JN-T3 LOT of 4 (6003)
142.50 16d 20h
Applied Materials 0020-34112 Plate, Reference Indicator (calibration Tools) Amat
Applied Materials 0020-34112 Plate, Reference Indicator (calibration Tools) Amat
279.00  14d 18h
Disco EAUA-266103, D3 PMCB, PCB (D3 PN Control A) 402650
Disco EAUA-266103, D3 PMCB, PCB (D3 PN Control A) 402650
500.00 6d 16h
PN 7100-3639-01 AG Associates Heatpulse PCB
PN 7100-3639-01 AG Associates Heatpulse PCB
2,800.00 20d 21h
PN 7100-3639-02 AG Associates Heatpulse PCB
PN 7100-3639-02 AG Associates Heatpulse PCB
2,800.00 20d 22h
AG Associates 7100-5168-07 RMA Analog PCB Card 7500-5146-01
AG Associates 7100-5168-07 RMA Analog PCB Card 7500-5146-01
3,500.00 21d 23h
PN 7100-5168-08 AG Associates Heatpulse PCB
PN 7100-5168-08 AG Associates Heatpulse PCB
3,500.00 21d 23h
PN 7100-5210-04 AG Associates Heatpulse PCB- sold
PN 7100-5210-04 AG Associates Heatpulse PCB- sold
3,500.00 23d 23h
AERA FC-PA7810C-BA MFC, Mass Flow Controller N2, 10 SLM, AMAT 3030-16228, 423697
AERA FC-PA7810C-BA MFC, Mass Flow Controller N2, 10 SLM, AMAT 3030-16228, 423697
550.00 16d 21h
AMAT 0140-21235, H/A, AC Power CH, F, HTR, Cryo Control, Cable, Assembly, 413801
AMAT 0140-21235, H/A, AC Power CH, F, HTR, Cryo Control, Cable, Assembly, 413801
400.00 29d 21h
Millipore FC-2979MEP5 Mass Flow Controller O2 1SLPM MFC Tylan 2979M 424349
Millipore FC-2979MEP5 Mass Flow Controller O2 1SLPM MFC Tylan 2979M 424349
950.00 12d 21h
Amat 0140-03193 Harness, Etch 300mm Pump, Turbo, Leak Dp.410916
Amat 0140-03193 Harness, Etch 300mm Pump, Turbo, Leak Dp.410916
300.00 13d 18h
Tel Tokyo Electron Ecc2 Controller Mc Rack
Tel Tokyo Electron Ecc2 Controller Mc Rack
599.95  5d 22h
Komatsu 3001216A0 KE-2007 Interface Board PCB  Working
Komatsu 3001216A0 KE-2007 Interface Board PCB  Working
210.17  8d 19h
Tohoku Ricoh Main PCB 7D000340  Working
Tohoku Ricoh Main PCB 7D000340  Working
607.12  28d 20h
L & W Fluid 1129-530-01 Process Chamber Injector Tube ASM
L & W Fluid 1129-530-01 Process Chamber Injector Tube ASM
604.18  6d 18h
2 AT-8411 TX Allied Telesis AT-8411-8PT 10/100BTX Line Card, Expansion module
2 AT-8411 TX Allied Telesis AT-8411-8PT 10/100BTX Line Card, Expansion module
990.00 26d 17h
Glemco 99784AW Arc Slit Liner Hybrid 080" T15 1797716 Reseller Lot of 7
Glemco 99784AW Arc Slit Liner Hybrid 080" T15 1797716 Reseller Lot of 7
306.18  12d 13h
Vicor 20-130065 4kW Mother Board PCB Backplane 24-130065  Working
Vicor 20-130065 4kW Mother Board PCB Backplane 24-130065  Working
208.19  15d 12h
TEL, Tokyo Electron, DS1181-000295-11, Circuit Board, PCB, CP-8390. 412489
TEL, Tokyo Electron, DS1181-000295-11, Circuit Board, PCB, CP-8390. 412489
295.00 20d 18h
Novellus 10-053328-00, TUBE ASSY,TM TURBO EXHAUST
Novellus 10-053328-00, TUBE ASSY,TM TURBO EXHAUST
950.00  2d 0h
Quad systems RLC 10-12644 & 10-10361 & 10-10386 & 10-10361
Quad systems RLC 10-12644 & 10-10361 & 10-10386 & 10-10361
729.00  1d 2h
Tel Tvb0002-1adp
Tel Tvb0002-1adp
1,199.00  4d 6h
ANALOG DEVICES Analog Devices PCB 91-0982402
ANALOG DEVICES Analog Devices PCB 91-0982402
214.50  23d 7h
PN 7100-5209-01 AG Associates Heatpulse PCB
PN 7100-5209-01 AG Associates Heatpulse PCB
3,500.00 23d 23h
PN 7100-5667-01 AG Associates Heatpulse PCB
PN 7100-5667-01 AG Associates Heatpulse PCB
2,800.00 24d 0h
Aera Pi-98 Mgmr Mfc F Cpi980cbaxdidjaa H2 200sccm Amat 0190-34213
Aera Pi-98 Mgmr Mfc F Cpi980cbaxdidjaa H2 200sccm Amat 0190-34213
150.00  11d 14h
9599-0197 / Jacket Heater 4.29, Str114, 1bp3 / Mks
9599-0197 / Jacket Heater 4.29, Str114, 1bp3 / Mks
250.00  28d 13h
SCHUMACHER ASSY. 1730-3003 REV. H   /  Free Expedited Shipping
SCHUMACHER ASSY. 1730-3003 REV. H / Free Expedited Shipping
199.00 13d 5h
ASM 16-404988-05 EMER 650C FLOW CONTROL RING Manufacturer Refurbished
ASM 16-404988-05 EMER 650C FLOW CONTROL RING Manufacturer Refurbished
607.18  25d 0h
Inductive Componets 1C-10115-1 Servo Motor MTR0059 BM15086  Working
Inductive Componets 1C-10115-1 Servo Motor MTR0059 BM15086  Working
305.15  22d 17h
APPLIED MATERIALS,  AMAT, 3030-14249,Celerity,DSVBD1XM, MFC, 5SLM, N2
APPLIED MATERIALS, AMAT, 3030-14249,Celerity,DSVBD1XM, MFC, 5SLM, N2
1,093.00  6d 7h
Lot Of 2 Amat 0020-88984-a Shielding, Front 155-a 406275
Lot Of 2 Amat 0020-88984-a Shielding, Front 155-a 406275
400.00 27d 16h
145-0401// Amat Applied 0620-01283 Cable Dc High Vltge 50ft
145-0401// Amat Applied 0620-01283 Cable Dc High Vltge 50ft
300.00 21d 22h
Mykrolis IHAV01P01 Filter Intercept
Mykrolis IHAV01P01 Filter Intercept
2,000.00  22d 20h
3779  Lufran TIHMVA-40-V208-FC75-D50/MC In-Line Heater
3779 Lufran TIHMVA-40-V208-FC75-D50/MC In-Line Heater
3,000.00  15d 16h
Dynax DNX2090 DC-DC Power Interface Board PCB Fics-IOM/16HI TEL T-3044SS
Dynax DNX2090 DC-DC Power Interface Board PCB Fics-IOM/16HI TEL T-3044SS
204.17  28d 13h
TEL D123466 Shield, Ring, Spacer, SS
TEL D123466 Shield, Ring, Spacer, SS
350.00 4d 17h
Disco EAVA-002100 Board, D1, OPER. I/F, PCB, 407024
Disco EAVA-002100 Board, D1, OPER. I/F, PCB, 407024
550.00 24d 21h
2665  AVAL DATA VME520 (MCF16) Control Board
2665 AVAL DATA VME520 (MCF16) Control Board
150.00  10d 15h
Applied Materials Ion Tc Controller
Applied Materials Ion Tc Controller
125.00  17d 19h
Schlumberger Technologies 799000320 PCB Card STPWMHC Rev. 4 499000320 Working
Schlumberger Technologies 799000320 PCB Card STPWMHC Rev. 4 499000320 Working
611.16  26d 15h
Amat 0020-90879 Plate, Adaptor. 411255
Amat 0020-90879 Plate, Adaptor. 411255
350.00 16d 22h
AMAT 0140-35877 Feedthru, Helium, Insert 414427
AMAT 0140-35877 Feedthru, Helium, Insert 414427
650.00 6d 18h
853-015686-005 /  Power Module / Lam Research Corporation
853-015686-005 / Power Module / Lam Research Corporation
448.82  20d 18h
Hitachi HT98311 Interface Connector Board PCB BD11 M-712E  Working
Hitachi HT98311 Interface Connector Board PCB BD11 M-712E  Working
210.18  3d 20h
124-0304// Amat Applied 0021-35163 Plate, Reflector, Chmbr Bottom Asis
124-0304// Amat Applied 0021-35163 Plate, Reflector, Chmbr Bottom Asis
1,500.00 22d 0h
Amat 0040-51159 Plug, Anodized Sgd, 300mm Emax ,
Amat 0040-51159 Plug, Anodized Sgd, 300mm Emax ,
800.00  16d 4h
Hitachi HT98822 Interface Connector Board PCB BD14 Ver. A  Working
Hitachi HT98822 Interface Connector Board PCB BD14 Ver. A  Working
208.18  3d 16h
Horiba H334535B Interface Board PCB PRT-02 PD-201A  Working
Horiba H334535B Interface Board PCB PRT-02 PD-201A  Working
262.18  7d 17h
Brooks Aligner  122078
Brooks Aligner 122078
1,100.00 13d 4h
0100-76286 Amat, Serial Distribution
0100-76286 Amat, Serial Distribution
1,000.00  20d 14h
AMAT 0140-76680, Harness, Front End Interconnect, Assembly, Cable. 413659
AMAT 0140-76680, Harness, Front End Interconnect, Assembly, Cable. 413659
550.00 26d 20h
AET TECHNOLOGIES 970189 Hydrox Furnace 500 Watts
AET TECHNOLOGIES 970189 Hydrox Furnace 500 Watts
607.18  25d 0h
ASM 1017-841-01 Shim Conductance Susc AL 016 296
ASM 1017-841-01 Shim Conductance Susc AL 016 296
308.18  24d 15h
Deublin 969-400 ROTORY UNTION FLANGED 316 SS Had,
Deublin 969-400 ROTORY UNTION FLANGED 316 SS Had,
3,900.00  22h 22m
Fucl-715-9.52-0.023-si (lot Of 2  .. Free Ship)
Fucl-715-9.52-0.023-si (lot Of 2 .. Free Ship)
260.00  9d 3h
Fpr-71-9.52#a (lot Of 2  .. Free Ship)
Fpr-71-9.52#a (lot Of 2 .. Free Ship)
272.80  21d 3h
Berkeley Process Controls MWTX-8-MNET, Machiorks Controller, 960537C, 422277
Berkeley Process Controls MWTX-8-MNET, Machiorks Controller, 960537C, 422277
850.00 18d 15h
ESI CKA 69016 Three Phase Commutator board
ESI CKA 69016 Three Phase Commutator board
333.00  28d 11h
TEL Tokyo Electron 3D81-000060-V1 PATLITE SIGNAL TOWER
TEL Tokyo Electron 3D81-000060-V1 PATLITE SIGNAL TOWER
140.00  9d 2h
Hitachi High Technologies 1-829191-01 Inner Chamber Cover UHF
Hitachi High Technologies 1-829191-01 Inner Chamber Cover UHF
1,011.08  7d 15h
Amat 0200-00404 Isolator Pumping Ring, Bottom Producer 2,
Amat 0200-00404 Isolator Pumping Ring, Bottom Producer 2,
1,500.00  11d 5h
Brooks Aligner  002-7391-21
Brooks Aligner 002-7391-21
1,100.00 13d 5h
AMAT 0150-14032 Cable Clean Room Monitor 413851
AMAT 0150-14032 Cable Clean Room Monitor 413851
300.00 17h 36m
ESI Palomar Systems Limiter board PN14152001
ESI Palomar Systems Limiter board PN14152001
333.00  2d 11h
Novellus 38-266474-01, LF Generator  AE14889-02, TMS: 68999
Novellus 38-266474-01, LF Generator AE14889-02, TMS: 68999
2,000.00  14d 4h
Lam Research Xycom Dio Pcb Xvme-240 70240-001
Lam Research Xycom Dio Pcb Xvme-240 70240-001
999.99  17d 19h
AMAT 0140-01443 Harness Assembly, Dnet VME/Remote Distr 413879
AMAT 0140-01443 Harness Assembly, Dnet VME/Remote Distr 413879
295.00 20h 57m
Amat 0200-05358 Reflector, Primary M14-0-2 Inside Produc ,
Amat 0200-05358 Reflector, Primary M14-0-2 Inside Produc ,
1,700.00  26d 0h
Es3d10-351985-v1 / Block P-gas 2line.r&d / Tokyo Electron Tel
Es3d10-351985-v1 / Block P-gas 2line.r&d / Tokyo Electron Tel
250.82  15d 19h
851-8233-004 F / Pcb, Delim-upper 851-7220-008 / Asml
851-8233-004 F / Pcb, Delim-upper 851-7220-008 / Asml
250.68  10d 18h
5tbc012428 / Water Flow Meter / Kokusai
5tbc012428 / Water Flow Meter / Kokusai
202.54  3d 18h
C82sdab / Smc Pneumatic Cylinder / Smc
C82sdab / Smc Pneumatic Cylinder / Smc
245.82  8d 17h
4223900 / Varian, Bracket Collector Cup Rh / Varian
4223900 / Varian, Bracket Collector Cup Rh / Varian
200.99  22d 14h
Val-ms 320/3+0-fm / 2920243, Surge Protection For Pwr Supply / Phoenix Contact
Val-ms 320/3+0-fm / 2920243, Surge Protection For Pwr Supply / Phoenix Contact
265.68  15d 21h
70312532000 /  (s)mod. Lens Cont. Board / Applied Materials
70312532000 / (s)mod. Lens Cont. Board / Applied Materials
200.97  22d 18h
0140-08959 / Epsilon J1 Interface Cable Rev 001 0648 / Amat
0140-08959 / Epsilon J1 Interface Cable Rev 001 0648 / Amat
200.99  6d 20h
0020-09112 / Shaft, Slit Valve Chamber / Amat
0020-09112 / Shaft, Slit Valve Chamber / Amat
189.01  4d 16h
0040-03008 / Base Ring Display Cover / Applied Materials Amat
0040-03008 / Base Ring Display Cover / Applied Materials Amat
202.66  1d 13h
0040-90006 / Clamp 2 Final Line With Frc Purge Ch A, S, C, Or 0, 300mm / Amat
0040-90006 / Clamp 2 Final Line With Frc Purge Ch A, S, C, Or 0, 300mm / Amat
275.66  9d 20h
ETO RF GENERATOR CONTROLLER ABX-X355 REV. H  /  Free Expedited Shipping
ETO RF GENERATOR CONTROLLER ABX-X355 REV. H / Free Expedited Shipping
599.00 11d 3h
Ohkura R OUT HMSU2483 A01 / Free Expedited Shipping
Ohkura R OUT HMSU2483 A01 / Free Expedited Shipping
399.00 17d 23h
341-0403// Amat Applied 0090-09102 Applied Matrials Components
341-0403// Amat Applied 0090-09102 Applied Matrials Components
2,000.00 25d 22h
Horiba STEC Criterion D219W-SCT HBr 2000SCCM Mass Flow Controller 788-400004-02A
Horiba STEC Criterion D219W-SCT HBr 2000SCCM Mass Flow Controller 788-400004-02A
620.00 20d 19h
Axcelis End Plate- Graphite VIG Version 17192430 REV A (99537)
Axcelis End Plate- Graphite VIG Version 17192430 REV A (99537)
155.00  7d 7h
Credence Visual ATE CD Version 48201 (Part Number: 063-4529-00)
Credence Visual ATE CD Version 48201 (Part Number: 063-4529-00)
450.00  6d 12h
AMAT Applied materials 0020-33880 Holder Insulation R2  Surplus
AMAT Applied materials 0020-33880 Holder Insulation R2  Surplus
1,001.12  20h 36m
Amat 0020-15935 Applied Materials One Piece Pulley Adapter Plate 300mm
Amat 0020-15935 Applied Materials One Piece Pulley Adapter Plate 300mm
112.99 6d 15h
320-0403// Amat Applied 0190-34521 Applied Matrials Components []
320-0403// Amat Applied 0190-34521 Applied Matrials Components []
660.00 23d 3h
Asm 1019-763-01 Enclosure-upper Electrical
Asm 1019-763-01 Enclosure-upper Electrical
607.18  24d 23h
Amat 0020-91578 Deposition Ring,8" Snnf, Esc, 9mm, Al W/,
Amat 0020-91578 Deposition Ring,8" Snnf, Esc, 9mm, Al W/,
1,800.00  13d 2h
TLA Technology 519-000 PCB  Working
TLA Technology 519-000 PCB  Working
604.10  6d 18h
TEL Tokyo Electron 3281-000094-11 STAGE IO MOTHER Backplane PCB  Working
TEL Tokyo Electron 3281-000094-11 STAGE IO MOTHER Backplane PCB  Working
209.17  16d 17h
icon STD A 302-030-03 SN 21014-20
icon STD A 302-030-03 SN 21014-20
499.00 13d 3h
Genus 2299-02 Digital Isolator Board
Top-Rated Plus Seller Genus 2299-02 Digital Isolator Board
128.98  27d 1h
Staubli W 211 149 00 Rev B 512 Kb Memory Board
Staubli W 211 149 00 Rev B 512 Kb Memory Board
325.50  7d 10h
Tegal Chuck 37-139-001. (39-139-001?)
Tegal Chuck 37-139-001. (39-139-001?)
2,500.00 3d 17h
176-0102// Unilok Shbvu-08t Valve [asis]
176-0102// Unilok Shbvu-08t Valve [asis]
100.00 11d 22h
 MKS HPS 100006128 Internal Assembly Bellows Kit with Seal Set FREE SHIPPING
 MKS HPS 100006128 Internal Assembly Bellows Kit with Seal Set FREE SHIPPING
288.99  9d 17h
Novellus Systems FLVG CYL Replace Upgrade Kit 093033-797-21  Working
Novellus Systems FLVG CYL Replace Upgrade Kit 093033-797-21  Working
1,508.12  5d 16h
Amat 0140-01858 Harness Assyplaten Encode,
Amat 0140-01858 Harness Assyplaten Encode,
600.00  8d 0h
130-0501// Amat Applied 1290101 Applied Matrials Components
130-0501// Amat Applied 1290101 Applied Matrials Components
2,000.00 22d 0h
AMAT 0040-44407 Clamp, Bottom, NI Producer, Quartz, Blade, 420357
AMAT 0040-44407 Clamp, Bottom, NI Producer, Quartz, Blade, 420357
650.00 2d 16h
010-0201// ADVANCED 0190-19021 (#2) 3156113-006 C APEX 3013 [Warranty 1 month]
010-0201// ADVANCED 0190-19021 (#2) 3156113-006 C APEX 3013 [Warranty 1 month]
2,500.00 7d 22h
Futurestar 3/8 PFA5-125C C 122-00125 381-22008-000 PTFE Adaptor
Futurestar 3/8 PFA5-125C C 122-00125 381-22008-000 PTFE Adaptor
199.99 14d 14h
Oxford 51-KT-01DRT Controller Modules 1128-419 1128-371 1420-069 with warranty
Oxford 51-KT-01DRT Controller Modules 1128-419 1128-371 1420-069 with warranty
2,900.00  21d 22h
Fuji Electric EFL-3.7SP-2 3 Phase RFI Filter Lot of 2  Working
Fuji Electric EFL-3.7SP-2 3 Phase RFI Filter Lot of 2  Working
359.13  24d 13h
AMAT APPLIED MATERIALS 0246-02066 Kit Wafer Adjustable Pin
AMAT APPLIED MATERIALS 0246-02066 Kit Wafer Adjustable Pin
2,000.00  1d 12h
SUNX Sensors SFI-AC Safety Sensor Box  Working
SUNX Sensors SFI-AC Safety Sensor Box  Working
505.12  25d 18h
Mitsubishi Q12hcpu Cpu Unit, Melsec-q,
Mitsubishi Q12hcpu Cpu Unit, Melsec-q,
800.00  22d 22h
Siemens 505-6204 4-Channel Analog Output  Working
Siemens 505-6204 4-Channel Analog Output  Working
707.12  23d 19h
Amat 3060-01271 Brg Linear 110mm W/ Stopper
Amat 3060-01271 Brg Linear 110mm W/ Stopper
375.00 8d 15h
Nikon 4S064-376-4 Controller
Nikon 4S064-376-4 Controller
1,200.00  13d 9h
Suntek Korea Hot N2 Transfer Controller AHT-Controller 3 Chan. Digital Readouts
Top-Rated Plus Seller Suntek Korea Hot N2 Transfer Controller AHT-Controller 3 Chan. Digital Readouts
112.39  21d 1h
AMAT 0040-04687 , Adapter Coding Module, 200mm, 407145
AMAT 0040-04687 , Adapter Coding Module, 200mm, 407145
650.00 27d 21h
AMAT Applied Materials 0021-09179 Rev. P1 Inner Can Assembly
AMAT Applied Materials 0021-09179 Rev. P1 Inner Can Assembly
851.12  1d 13h
AMAT 0140-10284 H/A, Gas Dist to Lockout BD/W Interface, 410541
AMAT 0140-10284 H/A, Gas Dist to Lockout BD/W Interface, 410541
350.00 25d 17h
4426  Applied Materials 0100-00015 Position Encoder Buffer
4426 Applied Materials 0100-00015 Position Encoder Buffer
250.00  4d 21h
AMAT 0100-00046 Rev.D, Rev.PB, BAC-F, 2888, PWB AC Current Sense. 415243
AMAT 0100-00046 Rev.D, Rev.PB, BAC-F, 2888, PWB AC Current Sense. 415243
375.00 25d 14h
AMAT 0040-41117, Cover Panel with Insulated Material, 405867
AMAT 0040-41117, Cover Panel with Insulated Material, 405867
300.00 14d 19h
SCN-4 Power Cable Spin Unit, 450281
SCN-4 Power Cable Spin Unit, 450281
195.00 13d 13h
AMAT 0020-10100 Flange Adapter For CVD Pump Stack, 406153
AMAT 0020-10100 Flange Adapter For CVD Pump Stack, 406153
350.00 21d 18h
AMAT 0200-09416-C Clamping Ring, OX/MLR/NIT, 200mm, 409156
AMAT 0200-09416-C Clamping Ring, OX/MLR/NIT, 200mm, 409156
650.00 12d 20h
Brooks Aligner  171168
Brooks Aligner 171168
1,100.00 13d 4h
Koganei MRSP20X500 500mm Linear Actuator
Koganei MRSP20X500 500mm Linear Actuator
1,012.11  19d 19h
AMAT 0050-76094 Rev.A, 021, 1028, 17393201, Equalizaton Line. 418662
AMAT 0050-76094 Rev.A, 021, 1028, 17393201, Equalizaton Line. 418662
650.00 20d 22h
ASML 4022.640.83221 SHB-RS 3300 Box  ASML
ASML 4022.640.83221 SHB-RS 3300 Box ASML
1,225.00  14d 8h
Gc-96r / Sd2 Resistivity Meter / Horiba Tec
Gc-96r / Sd2 Resistivity Meter / Horiba Tec
270.00  15d 17h
Amat 0620-00806, Dry Nova Jbox Cables Assy 6.5m. 411543
Amat 0620-00806, Dry Nova Jbox Cables Assy 6.5m. 411543
850.00 27d 16h
AMAT 0020-13498 Rev.A, AJX-A-502, AUX 492, CB1 Protective Cover. 417933
AMAT 0020-13498 Rev.A, AJX-A-502, AUX 492, CB1 Protective Cover. 417933
325.00 5d 16h
AMAT 0200-09472, Ring, Clamping, 125mm, Oxide, 0.187 THK, 5200. 416865
AMAT 0200-09472, Ring, Clamping, 125mm, Oxide, 0.187 THK, 5200. 416865
400.00 22d 18h
Opal 30613435000 PIL Board PCB 1212 373562  Working
Opal 30613435000 PIL Board PCB 1212 373562  Working
202.19  5d 13h
8" Wafer Plate Distributor, 238881,
8" Wafer Plate Distributor, 238881,
50.00  13h 35m
PRI BM29066 Auto Motor I/O control, V2 PRI0305,
PRI BM29066 Auto Motor I/O control, V2 PRI0305,
395.00 27d 18h
ASML 4022.472.2229 Interface Module 4022.471.8019  Working
ASML 4022.472.2229 Interface Module 4022.471.8019  Working
259.17  3d 20h
Glitch Master 230 Short-Duration Uninterruptible Power Supply, 450475
Glitch Master 230 Short-Duration Uninterruptible Power Supply, 450475
150.00 29d 15h
Tenta 87097
Tenta 87097
140.00  17d 3h
Orbotech Optrotech NGSPT 022954 Controller Board
Orbotech Optrotech NGSPT 022954 Controller Board
333.00  3d 7h
RECIF Technologies PWRAH0117A Power Interface Board PCB PCB0117A  Working
RECIF Technologies PWRAH0117A Power Interface Board PCB PCB0117A  Working
255.17  15d 12h
Aera Pi-98 Mgmr Mfc F Cpi980cbaxdidjaa H2 300sccm Amat 0190-34213
Aera Pi-98 Mgmr Mfc F Cpi980cbaxdidjaa H2 300sccm Amat 0190-34213
150.00  11d 14h
9535-0296 / Jacket Heater 3.5, Str 175, Spec, 1bp3 / Mks
9535-0296 / Jacket Heater 3.5, Str 175, Spec, 1bp3 / Mks
250.00  28d 14h
Canon BH8-0600-03 BG9-3244 LOCAL 2
Canon BH8-0600-03 BG9-3244 LOCAL 2
950.00 29d 20h
Millipore Fsgdb100c700 Intelliflow Digital Flow Controller Gas: N2 Range: 30000
Millipore Fsgdb100c700 Intelliflow Digital Flow Controller Gas: N2 Range: 30000
149.99  3d 16h
Pacific Scientific PM-250 Vacuum Particle Controller Working Spare
Pacific Scientific PM-250 Vacuum Particle Controller Working Spare
404.18  6d 19h
ASM 16-179291D01 Ring Support 300mm DTS
ASM 16-179291D01 Ring Support 300mm DTS
607.18  24d 21h
Applied Materials 0150-05471 Rev 002 Cable
Applied Materials 0150-05471 Rev 002 Cable
150.00  11d 4h
Applied Materials 0150-05474 Rev 002 Cable
Applied Materials 0150-05474 Rev 002 Cable
150.00  11d 4h
Applied Materials 0150-05475 Rev 002 Cable
Applied Materials 0150-05475 Rev 002 Cable
150.00  11d 4h
Applied Materials 0150-05476 Rev 002 Cable
Applied Materials 0150-05476 Rev 002 Cable
150.00  11d 4h
323-0302// Amat Applied 1270-01623 Sw Press Abs 250-320torr 0.1-1a @ 12vdc  
323-0302// Amat Applied 1270-01623 Sw Press Abs 250-320torr 0.1-1a @ 12vdc
900.00 29d 3h
134-0503// Amat Applied 0020-25226 Obs: Hoop, Hthu 8 Heater []
134-0503// Amat Applied 0020-25226 Obs: Hoop, Hthu 8 Heater []
800.00 27d 5h
ASM 16-350114E01 Quartz Plate
ASM 16-350114E01 Quartz Plate
601.18  24d 21h
Amat Mfc 8565c 20sccm,  Ar Downport, 3030-13340
Amat Mfc 8565c 20sccm, Ar Downport, 3030-13340
1,157.00  4d 4h
Daifuku LED-3695A LED Display and Connector Board PCB  Working
Daifuku LED-3695A LED Display and Connector Board PCB  Working
202.19  18d 16h
AMAT Applied Materials 0040-39584
AMAT Applied Materials 0040-39584
1,888.00  3d 12h
140-0401// Amat Applied 0242-38209 0040-32680 Plate,clamp Lid
140-0401// Amat Applied 0242-38209 0040-32680 Plate,clamp Lid
3,000.00 26d 23h
Dwyer Differential Pressure Transmitter (607-0) (/Open-Box)
Dwyer Differential Pressure Transmitter (607-0) (/Open-Box)
245.00  2d 18h
Siemens 002-8276-02 Circuit Board PCB Reseller Lot of 11  Working
Siemens 002-8276-02 Circuit Board PCB Reseller Lot of 11  Working
278.16  25d 16h
130-0501// Brooks 002-3053-01 I/o Distribution Hub []
130-0501// Brooks 002-3053-01 I/o Distribution Hub []
1,000.00 15d 2h
416-0401// Yaskawa Cacr-pr03ae3er Servopack [/fast]
416-0401// Yaskawa Cacr-pr03ae3er Servopack [/fast]
1,000.00 21d 5h
AMAT 0040-01914 Rev.P1, Side Pallet, GPLIS II, Producer. 418331
AMAT 0040-01914 Rev.P1, Side Pallet, GPLIS II, Producer. 418331
295.00 13d 23h
AMAT 0140-02380 Harness Assembly, Cell B Pneumatic 413814
AMAT 0140-02380 Harness Assembly, Cell B Pneumatic 413814
650.00 29d 22h
Pilz PNOZs4 Safety Relay 24 VDC 3n/o 1n/c, 451558
Pilz PNOZs4 Safety Relay 24 VDC 3n/o 1n/c, 451558
85.00 12d 17h
Empak Z200-0203 Solopak Single Wafershipping Box, 451640
Empak Z200-0203 Solopak Single Wafershipping Box, 451640
75.00 18d 20h
AMAT 0190-09964 Insulator DN 50, 452057
AMAT 0190-09964 Insulator DN 50, 452057
95.00 6d 15h
AMAT 0190-09963 Insulator DN 50, 452067
AMAT 0190-09963 Insulator DN 50, 452067
95.00 6d 15h
Fujikin 316L Pneumatic Solenoid Valve, L# AGB3V000, C# 023718, 1/4" VCR, 452234
Fujikin 316L Pneumatic Solenoid Valve, L# AGB3V000, C# 023718, 1/4" VCR, 452234
45.00 19d 16h
Prodrive PADC 130V-24A IL , 6001-0608-1702  , ASML 4022.634.02252
Prodrive PADC 130V-24A IL , 6001-0608-1702 , ASML 4022.634.02252
888.00  8d 1h
Nikon 4S019-061 AVDRVX4VE   PCB Board
Nikon 4S019-061 AVDRVX4VE PCB Board
200.00 26d 13h
Smc P49822015
Smc P49822015
40.00  17d 3h
Air Products DD 1552 Non-Incendive Interface Board PCB  Working
Air Products DD 1552 Non-Incendive Interface Board PCB  Working
254.17  9d 19h
Kurt J. Lesker Carbon Graphite Target EJTCXXX503A2
Kurt J. Lesker Carbon Graphite Target EJTCXXX503A2
129.37  28d 16h
Silicon Thermal Powercool LB300 Thermal Controller, Scalable Up to 500 Watts
Top-Rated Plus Seller Silicon Thermal Powercool LB300 Thermal Controller, Scalable Up to 500 Watts
249.99  5d 16h
Agilent Z4207B Z4207-68002-01-0229-00729 Number Cruncher Board
Top-Rated Plus Seller Agilent Z4207B Z4207-68002-01-0229-00729 Number Cruncher Board
199.99  1h 19m
AMAT 0140-04192 Harness Assembly, SCR Ch. D Power Pre - Clean 413810
AMAT 0140-04192 Harness Assembly, SCR Ch. D Power Pre - Clean 413810
450.00 16h 5m
ACUITY IMAGING 070-200000 REV B BOARD Free Priority Shipping!
ACUITY IMAGING 070-200000 REV B BOARD Free Priority Shipping!
79.00 14d 12h
Amat 3620-01425 Pump Iq Tool Interface Module
Amat 3620-01425 Pump Iq Tool Interface Module
1,200.00  10d 20h
Applied Materials 0140-19722 Upper Lamp Cable
Applied Materials 0140-19722 Upper Lamp Cable
150.00 22d 4h
Nikon 2S003-056 MCR DRV PCB Board OPTISTATION  Working
Nikon 2S003-056 MCR DRV PCB Board OPTISTATION  Working
878.09  2d 18h
AMAT Applied Materials 0020-31147 Base Insert 150/200mm Flat HLZ-530-024
AMAT Applied Materials 0020-31147 Base Insert 150/200mm Flat HLZ-530-024
1,512.11  13d 21h
AMAT 0040-80712 Shield, Upper Radiation, EBK, 418430
AMAT 0040-80712 Shield, Upper Radiation, EBK, 418430
350.00 18d 16h
UINICS Min Digital Panel Meter SP-480
UINICS Min Digital Panel Meter SP-480
191.40  14d 13h
AMAT Applied Materials 0140-16348 Cable ASSY
AMAT Applied Materials 0140-16348 Cable ASSY
298.00  29d 7h
AMAT Applied Materials 0140-16351 Cable ASSY
AMAT Applied Materials 0140-16351 Cable ASSY
298.00  29d 7h
Amat 0040-64496 Carrier, 200mm 5 Zone Profiler ,
Amat 0040-64496 Carrier, 200mm 5 Zone Profiler ,
1,800.00  5d 0h
Hitachi High Technologies 2-829605-02 Lower Sleeve UHF
Hitachi High Technologies 2-829605-02 Lower Sleeve UHF
1,510.08  27d 20h
1917660R,UNCLAMPER BELLOWS Repair, Working condition
1917660R,UNCLAMPER BELLOWS Repair, Working condition
1,200.00  6d 3h
STI T2011-030 Switch, 3 N/C, M16, Omron 452670
STI T2011-030 Switch, 3 N/C, M16, Omron 452670
95.00 14d 20h
116-0202// Amat Applied 0200-09746 Collar Al 200mm Ceramic
116-0202// Amat Applied 0200-09746 Collar Al 200mm Ceramic
1,000.00 2d 0h
116-0501// Amat Applied 0200-35782 Shadow Ring, 200mm Flat, Si/qt
116-0501// Amat Applied 0200-35782 Shadow Ring, 200mm Flat, Si/qt
1,400.00 2d 3h
AMAT 0020-00242 Deposition Ring With Anti-Rotation CU BESC, 424060
AMAT 0020-00242 Deposition Ring With Anti-Rotation CU BESC, 424060
595.00 15d 15h
494-1010 / Vport- Quartz 4.5 X .250 Inch Ame8100 / Zmisc
494-1010 / Vport- Quartz 4.5 X .250 Inch Ame8100 / Zmisc
150.00  29d 16h
323-0302// Amat Applied 1120-01035 Fltr Glass 1.25 Dia 2.5mm Thk Infrared
323-0302// Amat Applied 1120-01035 Fltr Glass 1.25 Dia 2.5mm Thk Infrared
200.00 28d 6h
Applied Materials 0041-29586 Robot Blade
Applied Materials 0041-29586 Robot Blade
499.00  22d 10h
Schlumberger Control Board 97924121 Rev. D  Working
Schlumberger Control Board 97924121 Rev. D  Working
1,507.12  4d 20h
2 Tok MP-2-0715Y Ring, Clamp, 412164, 412165
2 Tok MP-2-0715Y Ring, Clamp, 412164, 412165
500.00 11d 20h
125-0303// Amat Applied 0020-30073 Clamping Cylinder, 200 Mm, Oxi
125-0303// Amat Applied 0020-30073 Clamping Cylinder, 200 Mm, Oxi
1,900.00 11d 5h
Schlumberger Technologies 97151214 SCM_ST Rev. 5 PCB Card 40151214  Working
Schlumberger Technologies 97151214 SCM_ST Rev. 5 PCB Card 40151214  Working
611.16  21d 20h
APPLIED MATERIALS 0020-42168 8-Inch Aluminum Lift Ring
APPLIED MATERIALS 0020-42168 8-Inch Aluminum Lift Ring
209.99  9d 20h
Applied Materials 0021-20718 Pedestal Cover Lower 8" B101 Rev 2.1 Sst Amat
Applied Materials 0021-20718 Pedestal Cover Lower 8" B101 Rev 2.1 Sst Amat
999.00  23d 8h
AMAT 0020-20193 Cover PS, RMT Controller. 407105
AMAT 0020-20193 Cover PS, RMT Controller. 407105
550.00 27d 21h
AMAT Applied Materials 0140-16346  HARNESS ASSY, MOTOR POWER CHMBR 300MM
AMAT Applied Materials 0140-16346 HARNESS ASSY, MOTOR POWER CHMBR 300MM
255.00  27d 10h
[0190-19871] - Applied Materials | Interlock Module P3I Chamber
[0190-19871] - Applied Materials | Interlock Module P3I Chamber
190.00  18d 7h
2328  Lot of 2 Glemco Source Cans
2328 Lot of 2 Glemco Source Cans
250.00  8d 20h
Bruce Technologies International Process Control Unit 7351c
Bruce Technologies International Process Control Unit 7351c
899.99  7d 15h
Applied Materials cable assy DNET Drop 4meter 300v 80c RSC-RKC 0620-00887
Applied Materials cable assy DNET Drop 4meter 300v 80c RSC-RKC 0620-00887
150.00  8d 2h
Smc Pap3313-p13  Process Pump
Smc Pap3313-p13 Process Pump
999.00  13d 7h
Disco Eaua-002302 Pcb D2 Pmc (a) Updi Pmcb At-001 Ua-002302 01860 00fp48 405827
Disco Eaua-002302 Pcb D2 Pmc (a) Updi Pmcb At-001 Ua-002302 01860 00fp48 405827
450.00 13d 20h
AMAT 0040-32252, Lugger, Lid, RPS. 417094
AMAT 0040-32252, Lugger, Lid, RPS. 417094
350.00 14d 19h
Nikon S2015-064-4 MSTBOARD Board PCB OPTISTATION 3  Working
Nikon S2015-064-4 MSTBOARD Board PCB OPTISTATION 3  Working
1,468.09  2d 15h
AMAT 0150-02403, Cable, Assembly, Main AC Interlinks system AC 3. 413847
AMAT 0150-02403, Cable, Assembly, Main AC Interlinks system AC 3. 413847
400.00 17h 25m
Tempress Ratio Monitor Pcb Assy 92533 D Rev 01
Tempress Ratio Monitor Pcb Assy 92533 D Rev 01
120.00  2d 16h
TEL Tokyo Electron PS1 Assembly Power Supply Tray PBA600F-24 T-3044SS
TEL Tokyo Electron PS1 Assembly Power Supply Tray PBA600F-24 T-3044SS
605.17  5d 18h
177-0404// Amat Applied 0050-24649 Gas Line,ch 3,w/b,mfc,coh Ti/tin [2nd ]
177-0404// Amat Applied 0050-24649 Gas Line,ch 3,w/b,mfc,coh Ti/tin [2nd ]
720.00 17d 5h
Metron 215-17799-00 REAC HD Assembly  Working
Metron 215-17799-00 REAC HD Assembly  Working
1,510.10  24d 18h
Fostec 80951 Macro Slit Illuminator Nikon  Working
Fostec 80951 Macro Slit Illuminator Nikon  Working
909.09  19d 16h
AMAT 0720-01240 Conn HSG Shunt 2 PG 2 POS For .025 Post, 451823
AMAT 0720-01240 Conn HSG Shunt 2 PG 2 POS For .025 Post, 451823
5.00 1d 19h
AMAT 0225-0923 Bracket, 451825
AMAT 0225-0923 Bracket, 451825
5.00 1d 21h
Tel Tokyo Electron Shaft Guide Fiber Vix-mp 2l82-057183-v1 2l10-457210-v1
Tel Tokyo Electron Shaft Guide Fiber Vix-mp 2l82-057183-v1 2l10-457210-v1
170.00  23d 8h
20x White Knight 1/4" NPT straight union PTFE  !!  70825-50 1/4 TBM STRT
Top-Rated Plus Seller 20x White Knight 1/4" NPT straight union PTFE !! 70825-50 1/4 TBM STRT
75.00  24d 14h
Lot of 32 UG567A/U RF Elbow 90 Degree BNC Male - VHF Female Silver Plated
Lot of 32 UG567A/U RF Elbow 90 Degree BNC Male - VHF Female Silver Plated
640.00 16d 19h
FLOWLINK Vacuum Pressure Gauge, 992246
FLOWLINK Vacuum Pressure Gauge, 992246
375.00  5d 15h
TEL V81-300361-2 Isolation Autoloader, PCB Board, Farmon ID 412472
TEL V81-300361-2 Isolation Autoloader, PCB Board, Farmon ID 412472
350.00 20d 16h
RECIF Technologies INTAH0281A Interface Board PCB PCB0281A Nikon NSR System
RECIF Technologies INTAH0281A Interface Board PCB PCB0281A Nikon NSR System
208.18  9d 12h
TEL Tokyo Electron 3D10-101277-V2 Depo Shutter Assembly
TEL Tokyo Electron 3D10-101277-V2 Depo Shutter Assembly
906.11  12d 19h
Hitachi High Technologies 2-832793-01 ER Cover UHF
Hitachi High Technologies 2-832793-01 ER Cover UHF
1,400.08  7d 14h
Lot of 10 9-Pin D Type Male Connectors, 406974
Lot of 10 9-Pin D Type Male Connectors, 406974
20.00 15h 38m
Bw50ragu / Auto Breaker 3 Pole Unit 30a Ac 220v 25ka 50af 3p 50-60hz / Fuji
Bw50ragu / Auto Breaker 3 Pole Unit 30a Ac 220v 25ka 50af 3p 50-60hz / Fuji
173.99  9d 19h
Amat 0190-23270 Pi/o Oht Hokuyo Dms-hb1-zo9, E84 Variable Sensor Rev:001
Amat 0190-23270 Pi/o Oht Hokuyo Dms-hb1-zo9, E84 Variable Sensor Rev:001
179.90 1d 7h
Lot of 36  7411-PC 8433 Semiconductor Circuits
Top-Rated Plus Seller Lot of 36  7411-PC 8433 Semiconductor Circuits
35.99  1d 21h
STEC SEC-4400MC MFC, Mass Flow Controller, AR, 500 SCCM, Calibrated, 423671
STEC SEC-4400MC MFC, Mass Flow Controller, AR, 500 SCCM, Calibrated, 423671
750.00 10d 21h
Migatron Tsr-1  Ultrasonic Sensor Tsr1
Migatron Tsr-1  Ultrasonic Sensor Tsr1
200.00  23d 15h
Aera FC-PA7800C-BA MFC, Mass Flow Controller, CH4, 0.2 SLM, 3030-16232, 423735
Aera FC-PA7800C-BA MFC, Mass Flow Controller, CH4, 0.2 SLM, 3030-16232, 423735
450.00 24d 15h
AMAT 0150-00193 Cable Assembly, AC Interconnect, 409166
AMAT 0150-00193 Cable Assembly, AC Interconnect, 409166
750.00 13d 16h
STEC SEC-4400MC MFC, Mass Flow Controller, AR, 500 SCCM, Calibrated, 423675
STEC SEC-4400MC MFC, Mass Flow Controller, AR, 500 SCCM, Calibrated, 423675
750.00 10d 21h
Aera FC-PA7800C-BA MFC, Mass Flow Controller, CH4, 0.5 SLM, 3030-16516, 423738
Aera FC-PA7800C-BA MFC, Mass Flow Controller, CH4, 0.5 SLM, 3030-16516, 423738
450.00 24d 15h
AMAT 0040-32252 Lugger, Lid, RPS 417059
AMAT 0040-32252 Lugger, Lid, RPS 417059
350.00 14d 17h
PANASONIC Panadac 615-OET-B Module
PANASONIC Panadac 615-OET-B Module
388.00  10d 12h
Applied Materials 0140-14336 Rev 002 Harn Assy Cable
Applied Materials 0140-14336 Rev 002 Harn Assy Cable
150.00  11d 5h
Fanuc A20B-2001-0902/02B AC Servo Interface Board PCB ME-1  Working
Fanuc A20B-2001-0902/02B AC Servo Interface Board PCB ME-1  Working
404.18  23d 20h
AMAT 0190-10967 ADO-RE Operator Access Top Cover, 418011
AMAT 0190-10967 ADO-RE Operator Access Top Cover, 418011
395.00 6d 18h
1944  Applied Materials 8100Q (P/N: 0010-01060) Pneumatic Hoist
1944 Applied Materials 8100Q (P/N: 0010-01060) Pneumatic Hoist
900.00  10d 12h
D&R ENTERPRISES 16-320637C03 Reflector, S-Dam-Light-Front, Light Dam Short Leg
D&R ENTERPRISES 16-320637C03 Reflector, S-Dam-Light-Front, Light Dam Short Leg
606.18  24d 23h
Locomotive Retrofit Kit 2816995
Locomotive Retrofit Kit 2816995
299.99  24d 12h
Applied Materials AMAT Sensor Head, 1400-00073
Applied Materials AMAT Sensor Head, 1400-00073
110.00  7d 8h
AMAT 0150-04214 Cable, X-Axis Flex, 411013
AMAT 0150-04214 Cable, X-Axis Flex, 411013
450.00 11d 23h
HP Agilent E5515-60124-3837-01-200023-21521 PCB Made in USA
HP Agilent E5515-60124-3837-01-200023-21521 PCB Made in USA
149.00  7d 20h
Oerlikon 102161354 Etch Shield
Oerlikon 102161354 Etch Shield
967.09  4d 16h
Edwards 15-117029-00 (TiN) Dark Space Ring Shield Refurbished
Edwards 15-117029-00 (TiN) Dark Space Ring Shield Refurbished
1,012.11  11d 15h
3 Amat 0150-09276 Cable, Over Pressure He C 407331
3 Amat 0150-09276 Cable, Over Pressure He C 407331
750.00 3d 15h
851-8240-007 K / Adsp-2100 Module / Svg
851-8240-007 K / Adsp-2100 Module / Svg
249.66  28d 11h
E3s-2le41 / Daifuku: 4430172 Photoelectric Switch / Omron
E3s-2le41 / Daifuku: 4430172 Photoelectric Switch / Omron
191.99  23d 22h
P3sr-gha-d / Flow Sensor Digital Vacuum Sensor / Convum
P3sr-gha-d / Flow Sensor Digital Vacuum Sensor / Convum
214.99  7d 15h
00015114-00 / Mcs Process Controller Display Module, 3270091g / Scp
00015114-00 / Mcs Process Controller Display Module, 3270091g / Scp
225.99  25d 17h
13-8892-059-01 / Shaft Roller / Ipec
13-8892-059-01 / Shaft Roller / Ipec
186.40  16d 19h
Tn100021 / 472,opto 22 Thermocouple Input Module / Novellus Systems Inc
Tn100021 / 472,opto 22 Thermocouple Input Module / Novellus Systems Inc
250.82  17d 15h
290028-200 / Maintenance Board / Fsi
290028-200 / Maintenance Board / Fsi
175.99  2d 21h
3003-tp / Photohelic Pressure Switch With Gauge / Dwyer Instruments, Inc
3003-tp / Photohelic Pressure Switch With Gauge / Dwyer Instruments, Inc
243.00  13d 19h
3270101d / Mcs Memory Module / Scp
3270101d / Mcs Memory Module / Scp
258.68  4d 21h
4530-0020 / Hps Heater Blanket / Hps
4530-0020 / Hps Heater Blanket / Hps
200.00  3d 17h
Wprv200s0 / Waferpure Micro, Gas Purefication System For Oxide Gases / Millipore
Wprv200s0 / Waferpure Micro, Gas Purefication System For Oxide Gases / Millipore
200.99  7d 21h
17338600 / Plate Outer Striker Liner / Axcelis Technologies
17338600 / Plate Outer Striker Liner / Axcelis Technologies
260.00  8d 19h
CEH-480-24V / TRANSFORMER 24V DC 10W / HORIBA STEC ceh-480-24V TEL EXPEDIUS+
CEH-480-24V / TRANSFORMER 24V DC 10W / HORIBA STEC ceh-480-24V TEL EXPEDIUS+
220.66  21h 30m
372m-29012-1 / Mount,slurry Tubes / Novellus Systems Inc
372m-29012-1 / Mount,slurry Tubes / Novellus Systems Inc
239.80  15d 13h
26601 / Switch; Flow / Gems Sensors & Controls
26601 / Switch; Flow / Gems Sensors & Controls
250.99  6d 14h
Ise3l-01-21 / Pressure Switch Max 1kgf/cm 80ma Dc12-24v / Smc
Ise3l-01-21 / Pressure Switch Max 1kgf/cm 80ma Dc12-24v / Smc
200.99  10d 20h
Wggb36ws1 / Filter, Max Press. 600psi / Millipore
Wggb36ws1 / Filter, Max Press. 600psi / Millipore
255.99  28d 13h
4z(a)-sd4k-15ac-ssv-pp / Instrumentation Valves Diaphragm Valve / Parker
4z(a)-sd4k-15ac-ssv-pp / Instrumentation Valves Diaphragm Valve / Parker
200.99  2d 20h
15343461 / Eh, Turbi Sensor Cus 1 / Siemens
15343461 / Eh, Turbi Sensor Cus 1 / Siemens
250.99  15d 15h
Cdrb1bw15-180s / Rotary Actuator Auto Switch Max Press 0.7 Mps / Smc
Cdrb1bw15-180s / Rotary Actuator Auto Switch Max Press 0.7 Mps / Smc
240.62  4d 0h
Nbr-220 755-66461-000 / Two Port Bridge With Lanview / Cabletron Systems
Nbr-220 755-66461-000 / Two Port Bridge With Lanview / Cabletron Systems
200.62  3d 16h
325-0101// Amat Applied 3030-01910 100sccm Cl2 1/4 Vcr Mtl Nc [refurbished]
325-0101// Amat Applied 3030-01910 100sccm Cl2 1/4 Vcr Mtl Nc [refurbished]
720.00 24d 1h
325-0101// Amat Applied 3030-01935 300sccm Sih4 1/4 Vcr Mtl Nc [refurbished]
325-0101// Amat Applied 3030-01935 300sccm Sih4 1/4 Vcr Mtl Nc [refurbished]
720.00 24d 1h
408-0301// GLENTEK SMC9945-1A-1-6341 (BORKEN) DRIVER [ASIS/Not change refund]
408-0301// GLENTEK SMC9945-1A-1-6341 (BORKEN) DRIVER [ASIS/Not change refund]
1,000.00 13d 4h
National Instruments NPB-536C PCB Board Reseller Lot of 2  Working
National Instruments NPB-536C PCB Board Reseller Lot of 2  Working
208.15  20d 12h
Unit Instruments UFC-1500A Mass Flow Controller, MFC, SF6, 5 SCCM, 410592
Unit Instruments UFC-1500A Mass Flow Controller, MFC, SF6, 5 SCCM, 410592
550.00 27d 14h
Thermco Pcb Board Assy 117860-001 Rev. N
Thermco Pcb Board Assy 117860-001 Rev. N
299.99 18d 23h
Three PerFit NWT 257-250F Aluminum Ard Cable Connectors 2 1/2 In Plus Extra
Three PerFit NWT 257-250F Aluminum Ard Cable Connectors 2 1/2 In Plus Extra
34.95 28d 21h
Amat 0020-30903 Base, Low Temperature Cathode. 410929
Amat 0020-30903 Base, Low Temperature Cathode. 410929
2,700.00 13d 20h
CFM Technologies C22111-01 32x32 Interlock Board PCB  Working
CFM Technologies C22111-01 32x32 Interlock Board PCB  Working
1,008.12  5d 15h
AMAT 0200-00042 Rev.A, West Coast Quartz, Cover, Quartz, Poly, 100mm. 417422
AMAT 0200-00042 Rev.A, West Coast Quartz, Cover, Quartz, Poly, 100mm. 417422
495.00 23d 20h
AMAT 0140-03216, Cable Assembly, Filter Box Connector HP DP, 413688
AMAT 0140-03216, Cable Assembly, Filter Box Connector HP DP, 413688
550.00 29d 16h
AMAT 0040-40109 Rev.A, Feedthru Assembly Ant. 419043
AMAT 0040-40109 Rev.A, Feedthru Assembly Ant. 419043
295.00 25d 17h
Lam Research AMC Pressure Sensor Cable 1002685-0931 1002685-0934 1002685
Lam Research AMC Pressure Sensor Cable 1002685-0931 1002685-0934 1002685
109.99 27d 17h
111-0303// Amat Applied 0020-26014 Weight  150mm Cassette Clamping
111-0303// Amat Applied 0020-26014 Weight 150mm Cassette Clamping
2,500.00 5d 5h
Nikon 4S014-077 PCB MTR-CTR NSR System Motor Control Card
Nikon 4S014-077 PCB MTR-CTR NSR System Motor Control Card
2,600.00  11d 21h
143-0202// Amat Applied 0620-02267 Applied Matrials Components
143-0202// Amat Applied 0620-02267 Applied Matrials Components
200.00 4h 15m
AMAT 0020-92638 Issue.D, Electrode E6 (STD). 417936
AMAT 0020-92638 Issue.D, Electrode E6 (STD). 417936
450.00 5d 17h
Semitest 220-0208-01 LEI 300126
Semitest 220-0208-01 LEI 300126
329.00  11d 7h
TEL Tokyo Electron 7100-0577-07 Photoelectric Sensor Assembly 7200-0310-01A
TEL Tokyo Electron 7100-0577-07 Photoelectric Sensor Assembly 7200-0310-01A
1,010.07  4d 18h
Amat 0190-17687 Sw Press Spdt 1a Res 28vdc Fixed 600torr ,
Amat 0190-17687 Sw Press Spdt 1a Res 28vdc Fixed 600torr ,
1,500.00  16d 1h
Nikon 4S018-048-1E EPDRV1 board
Nikon 4S018-048-1E EPDRV1 board
549.00 12d 5h
AMAT 0200-09313, Ring Inner, 6", 140mm, 1 FLAT, Shadow Ring, BWCVD. 417377
AMAT 0200-09313, Ring Inner, 6", 140mm, 1 FLAT, Shadow Ring, BWCVD. 417377
450.00 22d 15h
AMAT 0190-10238 LDI 6-Slot Backplane 414155
AMAT 0190-10238 LDI 6-Slot Backplane 414155
350.00 4d 17h
AMAT 0140-20518 Harness Assy BUF Extension, Cable, 414759
AMAT 0140-20518 Harness Assy BUF Extension, Cable, 414759
395.00 28d 16h
Pri, Bm301130100, 14a-a.or-24, Board, Main Ladder. 411539
Pri, Bm301130100, 14a-a.or-24, Board, Main Ladder. 411539
400.00 24d 22h
TOSOH   Vectra Bowl Shield 805-055-AG
TOSOH  Vectra Bowl Shield 805-055-AG
2,500.00  20d 20h
124-0201// Amat Applied 0020-25836 Clamp Ring 8" Jmf Tin Acam Sht Asis
124-0201// Amat Applied 0020-25836 Clamp Ring 8" Jmf Tin Acam Sht Asis
600.00 11d 0h
Asm 1004-845-01 Sup By-1023-752-01-monitor-touchscreen
Asm 1004-845-01 Sup By-1023-752-01-monitor-touchscreen
707.18  25d 0h
RECIF Technologies DISDH0132A Interface Board PCB PCB0132A  Working
RECIF Technologies DISDH0132A Interface Board PCB PCB0132A  Working
255.17  15d 14h
Fpr-nsdbt-21-6.35-apy (lot Of 2  .. Free Ship)
Fpr-nsdbt-21-6.35-apy (lot Of 2 .. Free Ship)
233.70  20d 3h
4 AMAT 0020-40137 Lock Shipping Door, LLC 424232
4 AMAT 0020-40137 Lock Shipping Door, LLC 424232
380.00 20d 17h
118-0201// Jme 0588770a09 Al 1si 1cu 0555220a Target [asis]
118-0201// Jme 0588770a09 Al 1si 1cu 0555220a Target [asis]
1,500.00 12d 4h
316-0403// Amat Applied 0021-76356 Blade, Hp Upgrade, 6 In [2nd Source]
316-0403// Amat Applied 0021-76356 Blade, Hp Upgrade, 6 In [2nd Source]
600.00 22d 22h
Parker Tee FTCR-88RF8RF FTCR-88RF FTCR Brand
Parker Tee FTCR-88RF8RF FTCR-88RF FTCR Brand
25.99 2d 13h
AMAT 0020-28306 Clamp Ring, 8" JMF AL/TI, 410939
AMAT 0020-28306 Clamp Ring, 8" JMF AL/TI, 410939
425.00 9d 22h
320-0302// Amat Applied 0100-01735 Pcb Assy Gap Servo
320-0302// Amat Applied 0100-01735 Pcb Assy Gap Servo
2,000.00 23d 7h
ESI CKA 64390 Theta Correction board
ESI CKA 64390 Theta Correction board
333.00  28d 11h
AMAT 0020-28908 Top Cover Cable Harness, Front, 409341
AMAT 0020-28908 Top Cover Cable Harness, Front, 409341
750.00 14d 17h
Bent River Machine 201-0224-A
Bent River Machine 201-0224-A
950.00 20d 18h
Mitsubishi Ppc-hdd Hard Drive Unit, 5vdc 0.88a, Melsec-q,
Mitsubishi Ppc-hdd Hard Drive Unit, 5vdc 0.88a, Melsec-q,
800.00  22d 23h
AMAT 0150-76327 Cable Assy, RF Power I 48" Long 418109
AMAT 0150-76327 Cable Assy, RF Power I 48" Long 418109
395.00 8d 14h
Amat 0100-90243, Pwba Rs232 I'face Unconf Can I/o. 418560
Amat 0100-90243, Pwba Rs232 I'face Unconf Can I/o. 418560
495.00 19d 17h
TEL D125663 Clamp Ring, CR150-90, 402747
TEL D125663 Clamp Ring, CR150-90, 402747
295.00 7d 16h
316-0403// Amat Applied 0010-75571 Assy, Left Hand Pivot And Bearing, Ext R
316-0403// Amat Applied 0010-75571 Assy, Left Hand Pivot And Bearing, Ext R
1,500.00 27d 2h
Lambda LFS-50-5 Regulated Power Supply  Working
Lambda LFS-50-5 Regulated Power Supply  Working
407.12  4d 14h
FESTO D6P-40-810-PPVA-B LINEAR DRIVE
FESTO D6P-40-810-PPVA-B LINEAR DRIVE
507.18  24d 19h
4986  Eton Axcelis 1181632C Variable Electrode Manipulator
4986 Eton Axcelis 1181632C Variable Electrode Manipulator
22,000.00  6d 18h
320-0402// Amat Applied 0100-35082 Pcb Assy Chamber Interconnect A&c Centur
320-0402// Amat Applied 0100-35082 Pcb Assy Chamber Interconnect A&c Centur
1,500.00 20d 3h
Brooks Prd-0057extb-02 Gen9 Dafa Internal Module Board,
Brooks Prd-0057extb-02 Gen9 Dafa Internal Module Board,
350.00  10d 2h
111-0702// Amat Applied 0020-21068 Bracket, Rear Step, Right []
111-0702// Amat Applied 0020-21068 Bracket, Rear Step, Right []
140.00 23d 1h
443-0202// Oriental Motor K0366-m (unclean) Motor [/fast]
443-0202// Oriental Motor K0366-m (unclean) Motor [/fast]
150.00 29d 0h
438-0401// Oriental Motor C8741-9912km Motor []
438-0401// Oriental Motor C8741-9912km Motor []
150.00 6d 3h
176-0402// Amat Applied 0050-25238 Applied Matrials Components []
176-0402// Amat Applied 0050-25238 Applied Matrials Components []
120.00 22d 3h
MDIF-01A PCB Board, 406093
MDIF-01A PCB Board, 406093
175.00 20d 15h
123-0401// Amat Applied 0010-13143 Assy, Cassette Platform [2nd ]
123-0401// Amat Applied 0010-13143 Assy, Cassette Platform [2nd ]
1,400.00 15d 2h
124-0102// Amat Applied 0020-27372 Clamp Ring 8" Jmf Cold Al/ti 6 Asis
124-0102// Amat Applied 0020-27372 Clamp Ring 8" Jmf Cold Al/ti 6 Asis
1,000.00 10d 5h
Berkeley Process Controls 966434-B Multiaxis AC Servo Motor Cable, 423229
Berkeley Process Controls 966434-B Multiaxis AC Servo Motor Cable, 423229
295.00 25d 14h
Aera FC-PA7800C-BA MFC, Mass Flow Controller, 0.5%PH3/H2, 0.2 SLM, 423737
Aera FC-PA7800C-BA MFC, Mass Flow Controller, 0.5%PH3/H2, 0.2 SLM, 423737
450.00 24d 15h
AMAT 0020-28908 Top Cover Cable Harness, Front, 409340
AMAT 0020-28908 Top Cover Cable Harness, Front, 409340
750.00 14d 17h
175-0201// Amat Applied 0920-01047 Gen Rf 13.56mhz 200w [asis]
175-0201// Amat Applied 0920-01047 Gen Rf 13.56mhz 200w [asis]
2,840.00 25d 6h
130-0201// Amat Applied 0190-00318 Amat Dx33-00 60-0149-02 61-0273-02
130-0201// Amat Applied 0190-00318 Amat Dx33-00 60-0149-02 61-0273-02
2,500.00 2d 2h
Computer Dynamics HPIO Board REV B Made in USA
Computer Dynamics HPIO Board REV B Made in USA
149.00  7d 18h
AMAT 0140-03813 Chamber Interlock Harness, 409502
AMAT 0140-03813 Chamber Interlock Harness, 409502
550.00 19d 20h
ESI CKA 73330 PULSE DETECTOR Board
ESI CKA 73330 PULSE DETECTOR Board
333.00  2d 11h
AMAT 0140-77361 Harness Cover Switch Cont, Cable, 413766
AMAT 0140-77361 Harness Cover Switch Cont, Cable, 413766
350.00 26d 21h
Credence Dma-w Controller Wessels/wolever
Credence Dma-w Controller Wessels/wolever
100.00 23d 18h
Metron Tech 885-24-000 TAZ Eclipse Digital Interface PCB Board  Working
Metron Tech 885-24-000 TAZ Eclipse Digital Interface PCB Board  Working
609.09  19d 18h
VAT Angle Isolation Valve 62034-KA18-1005 26334-KA11-1001 Lot of 4  Working
VAT Angle Isolation Valve 62034-KA18-1005 26334-KA11-1001 Lot of 4  Working
806.12  26d 18h
0010-77180; Amat, Manifold Assembly
0010-77180; Amat, Manifold Assembly
750.00  25d 20h
Socapel 024.7002.A Servo Drive Control PCB  Working
Socapel 024.7002.A Servo Drive Control PCB  Working
557.12  24d 19h
342-0201// Amat Applied 3700-02507 Seal  Id 8.628 X 1.378 Csd .46
342-0201// Amat Applied 3700-02507 Seal Id 8.628 X 1.378 Csd .46
400.00 29d 5h
AMAT 0040-96521 Support Resistor Chain, 419694
AMAT 0040-96521 Support Resistor Chain, 419694
450.00 9d 22h
3 Furon 501036 Fitting TBG tee RDCR 1/2T x 1/2T x 3/8T Male, AMAT 3300-05475
3 Furon 501036 Fitting TBG tee RDCR 1/2T x 1/2T x 3/8T Male, AMAT 3300-05475
300.00 19d 19h
3 Count Poly Cast Ingot Wafers 165 MM 7 7/8" Diameter
3 Count Poly Cast Ingot Wafers 165 MM 7 7/8" Diameter
199.99  7d 19h
DIP Proofing Tech 0K523A6-I Voltage Dip Proofing Inverter DPI Lot of 2
DIP Proofing Tech 0K523A6-I Voltage Dip Proofing Inverter DPI Lot of 2
309.12  10d 15h
Applied Materials 670774 Prom Board, 7802-D-5942
Applied Materials 670774 Prom Board, 7802-D-5942
38.99  28d 16h
AMAT 0020-80073, Issue.B, Electrode Suppression (GP). 416709
AMAT 0020-80073, Issue.B, Electrode Suppression (GP). 416709
350.00 7d 15h
ERS Aircool SP92T300 Unit For 200mm Thermal Chuck System
ERS Aircool SP92T300 Unit For 200mm Thermal Chuck System
2,449.99 6d 2h
320-0402// Amat Applied 0190-11817 Applied Matrials Components
320-0402// Amat Applied 0190-11817 Applied Matrials Components
1,500.00 16d 2h
Tokyo Electron 3895-120318-11 Formula Ttlm12-11 Bmif Pcb ,
Tokyo Electron 3895-120318-11 Formula Ttlm12-11 Bmif Pcb ,
1,500.00  11d 12h
125-0501// Amat Applied 718-092326-082-2 Applied Matrials Components Asis
125-0501// Amat Applied 718-092326-082-2 Applied Matrials Components Asis
3,000.00 17d 23h
Rudolph 710482 EDGA LIMM TRIGER BD REV A -OCT 2003,
Rudolph 710482 EDGA LIMM TRIGER BD REV A -OCT 2003,
1,500.00  22d 2h
8 Omron Photoelectric Switch E3S-R2E41 405821
8 Omron Photoelectric Switch E3S-R2E41 405821
650.00 13d 20h
 Scp Global Technology Auxiliary Relay Unit Pn 18633-01
 Scp Global Technology Auxiliary Relay Unit Pn 18633-01
89.99  8d 18h
323-0302// Amat Applied 0010-30065 Assembly, Hot Filter, 356nm
323-0302// Amat Applied 0010-30065 Assembly, Hot Filter, 356nm
1,500.00 28d 5h
AMAT 0140-02419 Rev.001, Harness Assembly, Pressure XDUCER, CVD, 300mm. 417691
AMAT 0140-02419 Rev.001, Harness Assembly, Pressure XDUCER, CVD, 300mm. 417691
650.00 21h 4m
124-0103// Amat Applied 0021-20399 Clamp Ring,8"hot Snnf,al 2nd Source
124-0103// Amat Applied 0021-20399 Clamp Ring,8"hot Snnf,al 2nd Source
3,200.00 10d 5h
AMAT 0140-03010 Harn Assy, Anneal Chamber, ECP Harness Assembly. 407158
AMAT 0140-03010 Harn Assy, Anneal Chamber, ECP Harness Assembly. 407158
365.00 28d 18h
ESI Palomar System Series Regulator board PN14159201
ESI Palomar System Series Regulator board PN14159201
333.00  2d 11h
124-0502// Amat Applied 0020-29214 Coil 1/8 Thk, Center Holes-knurled, Vec
124-0502// Amat Applied 0020-29214 Coil 1/8 Thk, Center Holes-knurled, Vec
2,000.00 11d 4h
AMAT 0020-22159 Seal Ring Preclean Chamber, 409245
AMAT 0020-22159 Seal Ring Preclean Chamber, 409245
750.00 14d 15h
AMAT 0140-78313 Cable Head Sweep Drivers 3 & 4, 418020
AMAT 0140-78313 Cable Head Sweep Drivers 3 & 4, 418020
350.00 6d 18h
Amat 0040-13282 Door, 300mm Non-enp Chamber Sl 407127. 407209
Amat 0040-13282 Door, 300mm Non-enp Chamber Sl 407127. 407209
650.00 1d 15h
MKS HPS 9515-0248 Heater Jacket Kit, 1.5, STR6.8, SPEC, 1GP3, 423852
MKS HPS 9515-0248 Heater Jacket Kit, 1.5, STR6.8, SPEC, 1GP3, 423852
395.00 8d 17h
11750 Hitachi Pcb, Scan Controller 545-5507
11750 Hitachi Pcb, Scan Controller 545-5507
1,976.14  11d 7h
Profort PVME-501 IAE-21949  / Free Expedited Shipping
Profort PVME-501 IAE-21949 / Free Expedited Shipping
629.00 25m 12s
COGNEX 200-0057-1 ZH 801-3403-01 G / Free Expedited Shipping
COGNEX 200-0057-1 ZH 801-3403-01 G / Free Expedited Shipping
559.00 3d 5h
Ohkura AI/AO HMSU248I A02 / Free Expedited Shipping
Ohkura AI/AO HMSU248I A02 / Free Expedited Shipping
590.00 17d 23h
Ohkura CAO HMSU2482A 0101 / Free Expedited Shipping
Ohkura CAO HMSU2482A 0101 / Free Expedited Shipping
450.00 17d 23h
Ohkura CPU HMSU2478AOI / Free Expedited Shipping
Ohkura CPU HMSU2478AOI / Free Expedited Shipping
649.00 17d 23h
Ohkura PAI HMSU248OAOI PAI / Free Expedited Shipping
Ohkura PAI HMSU248OAOI PAI / Free Expedited Shipping
639.00 17d 23h
AMAT 0020-23783, SMC ISE4-ULB960190, 0010-75181, Bracket Pressure Switch. 329114
AMAT 0020-23783, SMC ISE4-ULB960190, 0010-75181, Bracket Pressure Switch. 329114
450.00 12d 21h
Chamber O-ring 734-007359-001
Chamber O-ring 734-007359-001
25.00  6d 15h
O-ring 734-001849-001
O-ring 734-001849-001
29.00  6d 15h
Gems Sensors & Controls B3014-sh
Gems Sensors & Controls B3014-sh
88.00  26d 15h
Lot of 1500 OSRAM LS3336-T Hyperbright LED
Lot of 1500 OSRAM LS3336-T Hyperbright LED
525.00 9d 19h
AMAT Applied Materials 0150-04722 C/A Mainframe Interlock Main Cable
AMAT Applied Materials 0150-04722 C/A Mainframe Interlock Main Cable
408.10  6d 13h
ASM 16-351416D01 Quartz Arm 150mm
ASM 16-351416D01 Quartz Arm 150mm
750.00  24d 21h
AMAT 0150-39235, Cable Assy, Front End Interlock Adapter 415235
AMAT 0150-39235, Cable Assy, Front End Interlock Adapter 415235
295.00 25d 16h
ABB QSB23175L, Circuit Breaker 240V, 175 AMP 3 Phase, 24380-00, 423531
ABB QSB23175L, Circuit Breaker 240V, 175 AMP 3 Phase, 24380-00, 423531
350.00 6d 0h
Parker Smb60301 45112b64 Servo Motor
Parker Smb60301 45112b64 Servo Motor
450.00  18d 6h
AdvancedTCA C94946-003 Power Supply Lot of 4  Working
AdvancedTCA C94946-003 Power Supply Lot of 4  Working
409.13  16d 19h
Daifuku AGH8AA10 Hand Held Controller RM/TFE/COV. MAINT. UNIT  Working
Daifuku AGH8AA10 Hand Held Controller RM/TFE/COV. MAINT. UNIT  Working
362.11  18d 16h
MICROSONIC dbk-4/CDD/O/M18E+S NSMP
MICROSONIC dbk-4/CDD/O/M18E+S NSMP
425.00  20d 11h
116-0202// Amat Applied 0200-10235 Ring,clamp,cer,200/194mm,notch
116-0202// Amat Applied 0200-10235 Ring,clamp,cer,200/194mm,notch
660.00 2d 0h
116-0303// AMAT APPLIED 0200-09996 RING,OUTER,ALN 200 JMF SML WxZ
116-0303// AMAT APPLIED 0200-09996 RING,OUTER,ALN 200 JMF SML WxZ
670.00 2d 2h
GW Instek GOM 8245
GW Instek GOM 8245
300.00  22d 16h
Disco EAUA-340700, Board, PCB Sensor, 402559
Disco EAUA-340700, Board, PCB Sensor, 402559
450.00 4d 16h
UNIT UFC-1660 Mass Flow Controller, MFC, C2F6, 100 SCCM, 1660, 424302
UNIT UFC-1660 Mass Flow Controller, MFC, C2F6, 100 SCCM, 1660, 424302
750.00 22h 10m
147-0101// Amat Applied 0150-09264 Cable Coaxial
147-0101// Amat Applied 0150-09264 Cable Coaxial
600.00 2d 5h
AMAT Applied Materials ISOLATION AMPLIFIER 0100-00156
AMAT Applied Materials ISOLATION AMPLIFIER 0100-00156
550.00  8d 5h
Sunx 2S259-012 Amplifier Unit Nikon  Surplus
Sunx 2S259-012 Amplifier Unit Nikon  Surplus
319.09  3d 15h
Integated Flow Systems Sr3-120-4mvmv-s7-10 / Pressure Regulator
Integated Flow Systems Sr3-120-4mvmv-s7-10 / Pressure Regulator
280.00  5d 4h
Pentagon Tech Shield Assembly API-1123
Pentagon Tech Shield Assembly API-1123
607.12  17d 14h
Vexta PH266L-01 2-phase Stepping Motor, Farmon ID 412067
Vexta PH266L-01 2-phase Stepping Motor, Farmon ID 412067
350.00 11d 17h
AG Associates (Metron Technology) 7100-6360-01A Tray, Ceramic Shield, 4 inch
AG Associates (Metron Technology) 7100-6360-01A Tray, Ceramic Shield, 4 inch
3,200.00  13d 15h
Contec Digital Input Card PI-64 Lot of 2  Working
Contec Digital Input Card PI-64 Lot of 2  Working
405.12  7d 12h
TEL Tokyo Electron D129677 1.5mm Moly CR-125mm Clamp Ring Rev. 2
TEL Tokyo Electron D129677 1.5mm Moly CR-125mm Clamp Ring Rev. 2
451.12  8d 21h
Hitachi IOTC-02N Control Board PCB  Working
Hitachi IOTC-02N Control Board PCB  Working
707.12  14d 20h
Power Supply 215KD048K, 450469
Power Supply 215KD048K, 450469
150.00 28d 15h
AMAT Applied Materials 0020-62511 Chamber Shield  Surplus
AMAT Applied Materials 0020-62511 Chamber Shield  Surplus
406.18  15d 18h
Novellus 03-028636-01 Cable Assembly, 410115
Novellus 03-028636-01 Cable Assembly, 410115
450.00 6d 21h
Asm 16-192746-01 Reflector Upper Heater Outer Sst
Asm 16-192746-01 Reflector Upper Heater Outer Sst
306.18  24d 21h
3163511V01 PCA VME6U 3AX SERVOII Board
3163511V01 PCA VME6U 3AX SERVOII Board
499.00  16d 15h
Applied Materials 0620-02363 Cable Assy Dnet Drop 0,5 Meter 300v 80c Lot Of 8
Applied Materials 0620-02363 Cable Assy Dnet Drop 0,5 Meter 300v 80c Lot Of 8
210.00  8d 2h
CANON BG3-4397 Board
CANON BG3-4397 Board
1,499.00  9d 0h
Varian 0068432000, Shield. 419788
Varian 0068432000, Shield. 419788
295.00 11d 20h
Danfoss 131L9869 VLT HVAC Basic Drive, 11kW, 15HP, 3x380-480V, 50/60Hz, 423666
Danfoss 131L9869 VLT HVAC Basic Drive, 11kW, 15HP, 3x380-480V, 50/60Hz, 423666
695.00 10d 17h
SMC DEVICE NET SI UNIT EX240-SDN2 24Vdc  EX240SDN2
SMC DEVICE NET SI UNIT EX240-SDN2 24Vdc EX240SDN2
125.00 21d 15h
Opal Ea 30612720100 Hmdb Board Ek 1 94v-0 0401
Opal Ea 30612720100 Hmdb Board Ek 1 94v-0 0401
699.99  25d 22h
342-0301// Amat Applied 3850-00007 Applied Matrials Components
342-0301// Amat Applied 3850-00007 Applied Matrials Components
220.00 18d 1h
AMAT Applied Materials 0200-09092 Graphite Ring 125mm Rev. C  Surplus
AMAT Applied Materials 0200-09092 Graphite Ring 125mm Rev. C  Surplus
612.11  16d 21h
7  Banner Q20PDL Sensor
7  Banner Q20PDL Sensor
450.00 3d 19h
SECU-105, AP-447E, W92304602, Circuit Board. 411791
SECU-105, AP-447E, W92304602, Circuit Board. 411791
450.00 4d 20h
ESI CKA 107033  Card , PCB 106045 ESI Palomar System 5410
ESI CKA 107033 Card , PCB 106045 ESI Palomar System 5410
388.00  28d 11h
Applied Materals AMAT P1241 Gate Drive Assy 36-0574 Rev 1
Applied Materals AMAT P1241 Gate Drive Assy 36-0574 Rev 1
258.68  6d 12h
Wallac DIA 1055 2965E Board
Wallac DIA 1055 2965E Board
149.00  7d 17h
ASM Advanced Semiconductor Materials 3753492-01 SHIELD-PCE RIGHT SIDE-300MM
ASM Advanced Semiconductor Materials 3753492-01 SHIELD-PCE RIGHT SIDE-300MM
603.18  3d 18h
Applied Materials 0020-25731 Shield Adapter G12 Dbl Knee Sst Amat *recycled*
Applied Materials 0020-25731 Shield Adapter G12 Dbl Knee Sst Amat *recycled*
199.00  10d 17h
ASM 02-329572D08 ASSY-SUSCEPTOR RING SET 200MM-TOYO TANS
ASM 02-329572D08 ASSY-SUSCEPTOR RING SET 200MM-TOYO TANS
606.18  24d 21h
AMAT Applied Materials 0040-84056 300mm Grooved Retaining Ring
AMAT Applied Materials 0040-84056 300mm Grooved Retaining Ring
312.08  1d 14h
AMAT 0200-04180, Quartz Cover 8", EXT, 418682
AMAT 0200-04180, Quartz Cover 8", EXT, 418682
900.00 20d 21h
Aera FC-PA7800C-BA MFC, Mass Flow Controller, CO2, 1 SLM, 3030-16229, 423740
Aera FC-PA7800C-BA MFC, Mass Flow Controller, CO2, 1 SLM, 3030-16229, 423740
450.00 24d 15h
429-0102// Denso Msma082t3u2 (without Connector) Motor [/fast]
429-0102// Denso Msma082t3u2 (without Connector) Motor [/fast]
700.00 23d 4h
Aera FC-PA7810C-BA MFC, Mass Flow Controller, Si2H6, 2 SLM, 3030-16242, 423739
Aera FC-PA7810C-BA MFC, Mass Flow Controller, Si2H6, 2 SLM, 3030-16242, 423739
550.00 24d 15h
AMAT 0140-04457, Harness Assembly, Pressure XDRC Control 300mm R. 417582
AMAT 0140-04457, Harness Assembly, Pressure XDRC Control 300mm R. 417582
675.00 29d 18h
137-0201// Abb El3010-ipa Controller
137-0201// Abb El3010-ipa Controller
1,300.00 25d 0h
162-0201// Amat Applied 0010-20236 Assy, Base
162-0201// Amat Applied 0010-20236 Assy, Base
1,000.00 23d 5h
171-0101// Sansha Ktd-15 3/30at Controler
171-0101// Sansha Ktd-15 3/30at Controler
1,000.00 21d 5h
335-0201// Shimadzu Ei-3203md-a1 Power Unit
335-0201// Shimadzu Ei-3203md-a1 Power Unit
1,000.00 21d 5h
335-0201// Shimadzu Ei-4203mz-1 Power Unit
335-0201// Shimadzu Ei-4203mz-1 Power Unit
3,000.00 21d 5h
172-0701// Tega 450khz-esc-t2 Cr1338-10202 Controller []
172-0701// Tega 450khz-esc-t2 Cr1338-10202 Controller []
2,500.00 7d 4h
106-0201// Cli Rembrandt Ii/vp Edp Office Appliance []
106-0201// Cli Rembrandt Ii/vp Edp Office Appliance []
1,000.00 10d 0h
166-0501// Tech Ware 5 06-80010598-001 Controller []
166-0501// Tech Ware 5 06-80010598-001 Controller []
3,000.00 10d 3h
421-0101// Sanyo Denki P80c18120hxs21 Ac Servo Motor [/fast]
421-0101// Sanyo Denki P80c18120hxs21 Ac Servo Motor [/fast]
1,300.00 21d 4h
106-0301// Amat Applied 0020-76180 (clean) Applied Matrials Components []
106-0301// Amat Applied 0020-76180 (clean) Applied Matrials Components []
3,000.00 11d 2h
118-0601// Amat Applied 0010-76634 Non Enp Nb Door Assembly []
118-0601// Amat Applied 0010-76634 Non Enp Nb Door Assembly []
1,620.00 12d 2h
118-0201// Amat Applied 0190-21120 Applied Matrials Components [asis]
118-0201// Amat Applied 0190-21120 Applied Matrials Components [asis]
1,500.00 12d 4h
118-0401// Amat Applied 0190-21602 Target, Diffusion Bonded, Vectra-imp [asis]
118-0401// Amat Applied 0190-21602 Target, Diffusion Bonded, Vectra-imp [asis]
2,000.00 12d 4h
AMAT 0200-FT166 Ring, 424097
AMAT 0200-FT166 Ring, 424097
495.00 6d 21h
Gespac PIA-2A GESPIA-2A BOARD, WORKING
Gespac PIA-2A GESPIA-2A BOARD, WORKING
300.00  11d 5h
Asm 1054-556-01 Rfk-elevator Sensor Enclosure
Asm 1054-556-01 Rfk-elevator Sensor Enclosure
307.18  24d 22h
2  Hitachi 3-830027-A, Asher Reflector- Legacy
2  Hitachi 3-830027-A, Asher Reflector- Legacy
450.00 4d 18h
Robitech 990-9168 Transducer Board PCB 859-0944-002 Rev. B  Working
Robitech 990-9168 Transducer Board PCB 859-0944-002 Rev. B  Working
302.12  26d 19h
AMAT 0100-00046 PWB AC Current Sense 415275
AMAT 0100-00046 PWB AC Current Sense 415275
375.00 25d 18h
LAM 754-092082-002 Assembly, GDE Bearing and SPR, 422208
LAM 754-092082-002 Assembly, GDE Bearing and SPR, 422208
35.00 26d 21h
AMAT Applied Materials 0050-60372 Gas Line Extender 3/8" OD 300mm
AMAT Applied Materials 0050-60372 Gas Line Extender 3/8" OD 300mm
308.12  14d 14h
AMAT 0140-03011 Harness Assy, Anneal Chamber, ECP SF3, 417907
AMAT 0140-03011 Harness Assy, Anneal Chamber, ECP SF3, 417907
600.00 4d 21h
Edwards A52844460 Flash Interface Module Applied Smart Pump Interface
Edwards A52844460 Flash Interface Module Applied Smart Pump Interface
450.00  18d 19h
Pall ABFG1GP15L3EH11-K7 Filter ULTIKLEEN G2 EXCELLAR ERL  Surplus
Pall ABFG1GP15L3EH11-K7 Filter ULTIKLEEN G2 EXCELLAR ERL  Surplus
712.17  24d 21h
Mitsubishi FR-Z123-0.4K, Freqrol-Z123 Inverter, 3A, 0.4KW. 416383
Mitsubishi FR-Z123-0.4K, Freqrol-Z123 Inverter, 3A, 0.4KW. 416383
450.00 28d 17h
Novellus 11332 Junction Box, 418583
Novellus 11332 Junction Box, 418583
300.00 20d 15h
Furon N2 Sprayer
Furon N2 Sprayer
69.99  23d 5h
Asm 16-142022-01 Shelf-extension X-fei
Asm 16-142022-01 Shelf-extension X-fei
407.18  24d 21h
341-0203// Amat Applied 0040-03641 Blade, Right 300mm Fi Ecp
341-0203// Amat Applied 0040-03641 Blade, Right 300mm Fi Ecp
1,500.00 21d 23h
Amat 0021-78502 Shaft, Ext Rinse Assy W/ Groove,
Amat 0021-78502 Shaft, Ext Rinse Assy W/ Groove,
970.00  21d 4h
000-6295-45 MCC 94V Board
000-6295-45 MCC 94V Board
300.00  2d 18h
ProSys Product Systems MicroPulse Controller  Working
ProSys Product Systems MicroPulse Controller  Working
701.12  23d 17h
3 AMAT 0150-09276 Cable, Over Pressure HE C, Pressure Controls Inc AR-6, 418846
3 AMAT 0150-09276 Cable, Over Pressure HE C, Pressure Controls Inc AR-6, 418846
750.00 25d 15h
353-0201// Amat Applied 0010-05965 0040-00876 0040-05592  Asis
353-0201// Amat Applied 0010-05965 0040-00876 0040-05592 Asis
3,500.00 20d 3h
351-0501// Amat Applied 0010-22162 (#2) Assy, Water Box, Besc
351-0501// Amat Applied 0010-22162 (#2) Assy, Water Box, Besc
1,800.00 1d 7h
4-01243 Barrel, Susceptor, 5 inch,XYCARB CERAMICS
4-01243 Barrel, Susceptor, 5 inch,XYCARB CERAMICS
2,500.00 2d 3h
UNIT UFC-1660 Mass Flow Controller, MFC, O2, 3 SLM, 424250
UNIT UFC-1660 Mass Flow Controller, MFC, O2, 3 SLM, 424250
750.00 16d 23h
Coaxial Power Systems Ltd MN 600 RF Match
Coaxial Power Systems Ltd MN 600 RF Match
1,388.00  7d 11h
Mitsubishi FX1N-24MR-ESC/0L PLC Analog I/O Block  Working
Mitsubishi FX1N-24MR-ESC/0L PLC Analog I/O Block  Working
356.12  24d 18h
AMAT 0150-04292 Cable Assembly RS232 9 Pin FEM TP 9 PIN FEM, Harness, 412835
AMAT 0150-04292 Cable Assembly RS232 9 Pin FEM TP 9 PIN FEM, Harness, 412835
175.00 1d 17h
TEL Tokyo Electron B31805-320140-11 COVER RING INNER
TEL Tokyo Electron B31805-320140-11 COVER RING INNER
507.18  24d 20h
Amat 0020-78983 Clamp,drive Spindle,
Amat 0020-78983 Clamp,drive Spindle,
700.00  26d 6h
FutureStar Flow Meter 225-0035
FutureStar Flow Meter 225-0035
302.12  10d 15h
444-0101// Oriental Motor Ph265-05b-c12 2-phase Stepping Motor [asis]
444-0101// Oriental Motor Ph265-05b-c12 2-phase Stepping Motor [asis]
40.00 16d 2h
Takamisawa Cybernetics 300h Ups
Takamisawa Cybernetics 300h Ups
999.99  14d 21h
Kla Instruments P8 Shiva Bridge 740-806130-00,710-805351-00 Free Ship
Kla Instruments P8 Shiva Bridge 740-806130-00,710-805351-00 Free Ship
1,800.00 6d 3h
Parker Regulator PR-3-42612-2-01 Lot of 3
Parker Regulator PR-3-42612-2-01 Lot of 3
901.12  4d 13h
WAFER HOLDINGS 1076401.1000000001 Seal Labyrinth Front
WAFER HOLDINGS 1076401.1000000001 Seal Labyrinth Front
607.18  24d 23h
Amat 0200-03799 Insulator External Coated ,
Amat 0200-03799 Insulator External Coated ,
3,500.00  26d 6h
APPLIED MATERIALS, 0040-82867, COVER,LID BASE, BRUSH Module, 300mm Desica
APPLIED MATERIALS, 0040-82867, COVER,LID BASE, BRUSH Module, 300mm Desica
1,200.00  5d 3h
ASML 4022.436.6375 MOTVV V2.1 IDE Board PCB Card 4022.436.6375.2  Working
ASML 4022.436.6375 MOTVV V2.1 IDE Board PCB Card 4022.436.6375.2  Working
507.12  4d 18h
0020-18825 / Flag, Top Sensor, Li / Amat
0020-18825 / Flag, Top Sensor, Li / Amat
162.77  18h 49m
714-000546 / Lam Gap Adjust Housing Baffle 590 / Lam Research Corporation
714-000546 / Lam Gap Adjust Housing Baffle 590 / Lam Research Corporation
150.82  22d 21h
100883028 / Copper Cf Tee Three Way 2.75 / Mks
100883028 / Copper Cf Tee Three Way 2.75 / Mks
120.68  17d 18h
0150-20159 / Cable Assy Prio Computer / Applied Materials Amat
0150-20159 / Cable Assy Prio Computer / Applied Materials Amat
155.22  9d 14h
E17260600 / Cover, Intermediate Aperature / Varian
E17260600 / Cover, Intermediate Aperature / Varian
158.68  29d 22h
0020-33006 / Bearing Support Secondary Link/blade Mc / Amat
0020-33006 / Bearing Support Secondary Link/blade Mc / Amat
151.87  19h 3m
Bcr2662-rtad / Daifuku Bar Code Reader / Daifuku
Bcr2662-rtad / Daifuku Bar Code Reader / Daifuku
169.62  26d 20h
10-430544-00 / Thermocouple / Novellus Systems Inc
10-430544-00 / Thermocouple / Novellus Systems Inc
126.66  26d 13h
0270-20290 / Exhaust Test Fan Adapter / Applied Materials Amat
0270-20290 / Exhaust Test Fan Adapter / Applied Materials Amat
127.66  1d 14h
Applied Materials (AMAT) 0060-02045  REV 001
Applied Materials (AMAT) 0060-02045 REV 001
200.00  19d 16h
Tegal WAFER Transfer CW1078-50401
Tegal WAFER Transfer CW1078-50401
1,999.00 13d 3h
Tegal WAFER Transfer CW1078-40301
Tegal WAFER Transfer CW1078-40301
1,999.00 13d 3h
Lam Research 320665100 Timing Belt 960 8M 50 Reseller Lot of 4  Surplus
Lam Research 320665100 Timing Belt 960 8M 50 Reseller Lot of 4  Surplus
402.12  6d 18h
177-0104// Nupro 6lv-bnbw4-c Valve [asis]
177-0104// Nupro 6lv-bnbw4-c Valve [asis]
100.00 13d 3h
177-0104// Nupro 6lv-dfhfr4-p-c Valve [asis]
177-0104// Nupro 6lv-dfhfr4-p-c Valve [asis]
100.00 13d 3h
AMAT 0150-20866 Cable Assy 25FT Turbo Controller Interconnect, 424171
AMAT 0150-20866 Cable Assy 25FT Turbo Controller Interconnect, 424171
495.00 18h 24m
715-390166-001 - Retaining Ring Nw200
715-390166-001 - Retaining Ring Nw200
130.00  15d 14h
AMAT 0140-01465 Harness Assy, Motor Power 8 Drivers Motion Control, 417758
AMAT 0140-01465 Harness Assy, Motor Power 8 Drivers Motion Control, 417758
495.00 1d 21h
9830-0390 Station AG Associates Heatpulse, With9820-2020 SVPC-1
9830-0390 Station AG Associates Heatpulse, With9820-2020 SVPC-1
2,500.00 17d 17h
Amat 0190-18272 Obs Assy, Hose 50 Ft. High Temp Smc
Amat 0190-18272 Obs Assy, Hose 50 Ft. High Temp Smc
525.00  13d 18h
145-0401// Cti 8032222g005 Cti Cable
145-0401// Cti 8032222g005 Cti Cable
250.00 19d 23h
PN 7100-5209-02 AG Associates Heatpulse PCB
PN 7100-5209-02 AG Associates Heatpulse PCB
3,500.00 23d 23h
PN 7100-5425-03 AG Associates Heatpulse PCB
PN 7100-5425-03 AG Associates Heatpulse PCB
2,800.00 24d 0h
ASML 4022.436.6374 Relay Card DSPVV V2.1 IDE 11/98 mh PCB  Working
ASML 4022.436.6374 Relay Card DSPVV V2.1 IDE 11/98 mh PCB  Working
407.12  4d 18h
Amat 0050-a0131 Heated Pipeline,gis Mnpl,
Amat 0050-a0131 Heated Pipeline,gis Mnpl,
6,500.00  19d 4h
PULS AP 153.131 F Power Supply PCB Card ASML  Working
PULS AP 153.131 F Power Supply PCB Card ASML  Working
260.17  9d 14h
348-0101// Amat Applied 0020-23350 Shield, Hthu Heater Rf Top []
348-0101// Amat Applied 0020-23350 Shield, Hthu Heater Rf Top []
400.00 10d 2h
428-0301// Parvex Rs220kr1049-z (cut Cable) Motor []
428-0301// Parvex Rs220kr1049-z (cut Cable) Motor []
400.00 6h 24m
428-0301// Parvex Rs220kr1049 Motor []
428-0301// Parvex Rs220kr1049 Motor []
400.00 6h 25m
428-0301// Parvex Rs220kr1047-z (cut Cable) Motor []
428-0301// Parvex Rs220kr1047-z (cut Cable) Motor []
400.00 6h 27m
854-003349 / Lam Pinion Shaft Assembly / Lam
854-003349 / Lam Pinion Shaft Assembly / Lam
55.82  19d 15h
E17055950 / Blankoff, Dump / Varian
E17055950 / Blankoff, Dump / Varian
55.82  12d 17h
TEL Tokyo Electron B31D10-313620-11 UPPER CLAMP
TEL Tokyo Electron B31D10-313620-11 UPPER CLAMP
507.18  24d 20h
ATMI Packing 0101091-205 Showerhead BSE 01-INT-006 Refurbished
ATMI Packing 0101091-205 Showerhead BSE 01-INT-006 Refurbished
307.10  3d 14h
Pentagon Technologies PT-0143-0011 RF Shield Endura 8" PVD
Pentagon Technologies PT-0143-0011 RF Shield Endura 8" PVD
506.08  3d 20h
ABB Control EH160C-N 3-Phase Contactor 24V Coil  Working
ABB Control EH160C-N 3-Phase Contactor 24V Coil  Working
251.16  29d 14h
426-0101// Cincinnati 1007410101 Touchview Graphic Flat Panel []
426-0101// Cincinnati 1007410101 Touchview Graphic Flat Panel []
500.00 1d 23h
August Technology Corp. Illumination Source - 105 BF  /  Free Expedited Shipping
August Technology Corp. Illumination Source - 105 BF / Free Expedited Shipping
1,599.00 9d 2h
Aera FC-PA7800C-BA MFC, Mass Flow Controller, 0.5% B(CH3)3/H2, 2 SLM, 423733
Aera FC-PA7800C-BA MFC, Mass Flow Controller, 0.5% B(CH3)3/H2, 2 SLM, 423733
450.00 24d 15h
AMAT 0140-03011 Harness Assy, Anneal Chamber, ECP SF3, 417799
AMAT 0140-03011 Harness Assy, Anneal Chamber, ECP SF3, 417799
600.00 2d 18h
3 AMAT 0150-09276 Cable, Over Pressure HE C, Pressure Controls Inc AR-6, 418847
3 AMAT 0150-09276 Cable, Over Pressure HE C, Pressure Controls Inc AR-6, 418847
750.00 25d 15h
Amat 0190-18390 Lower Electronic Box Direct Ldm,
Amat 0190-18390 Lower Electronic Box Direct Ldm,
4,500.00  19d 21h
122-0301// Amat Applied 0020-29322 Shield, 8" Clamped, Vectra Imp
122-0301// Amat Applied 0020-29322 Shield, 8" Clamped, Vectra Imp
2,900.00 5d 4h
Nikon Board 2S701-889 (2S013-275-3)
Nikon Board 2S701-889 (2S013-275-3)
950.00 6d 15h
Nikon Board 2S701-883 (2S013-274-1)
Nikon Board 2S701-883 (2S013-274-1)
950.00 6d 15h
0992-70276 / Grid, Chamber / Axcelis Technologies
0992-70276 / Grid, Chamber / Axcelis Technologies
110.62  29d 16h
70024617r  /  Enet Star Fast Pcb /  Pri
70024617r / Enet Star Fast Pcb / Pri
50.82  8d 0h
99h0546 / Adapt Heater Bypass Clamp 4inch Sides A And B / Mks
99h0546 / Adapt Heater Bypass Clamp 4inch Sides A And B / Mks
50.99  7d 15h
244t0308-503 / Ring Container Bellows Tool: Semi Tool Ruder / Mks
244t0308-503 / Ring Container Bellows Tool: Semi Tool Ruder / Mks
80.00  7d 16h
3540-10009 / Filter Hdc2 Gascell Inline / Whatman
3540-10009 / Filter Hdc2 Gascell Inline / Whatman
100.00  9d 13h
2040216 / Kit Harness Retrofit / Spts
2040216 / Kit Harness Retrofit / Spts
30.00  14d 14h
44-10193-001 / Rev.b Power Supply / Pmi Motion Technologies
44-10193-001 / Rev.b Power Supply / Pmi Motion Technologies
60.00  10d 20h
44-10193-001 / Rev.b Power Supply / Pmi Motion Technologies
44-10193-001 / Rev.b Power Supply / Pmi Motion Technologies
60.00  10d 20h
219519 / Obsolete- Ring, Base Lh    / Zmisc
219519 / Obsolete- Ring, Base Lh / Zmisc
95.82  24d 14h
Amd02-x6us-4-1/ Ckd Valve, Pneumatic For Sdwp / Ckd Corporation
Amd02-x6us-4-1/ Ckd Valve, Pneumatic For Sdwp / Ckd Corporation
65.99  26d 20h
190-156-1 / Elevator Comb Emitter Board Preco Pn 171-510-1 / Scp
190-156-1 / Elevator Comb Emitter Board Preco Pn 171-510-1 / Scp
53.82  21d 17h
9517-0105 / Heater Jacket 1.7, Str175, Spec, 1bp3 / Mks
9517-0105 / Heater Jacket 1.7, Str175, Spec, 1bp3 / Mks
32.82  1d 15h
4535-0021 / Heater Jacket 3.5 Str150 / Mks
4535-0021 / Heater Jacket 3.5 Str150 / Mks
35.82  4d 13h
6400-1065-01 / Elecrtonic Control Board Rev B / Trane
6400-1065-01 / Elecrtonic Control Board Rev B / Trane
108.55  10d 16h
Ap-21a / Ap21a 12-24v Pressure Sensor Dns Sk-200 / Keyence Corp America
Ap-21a / Ap21a 12-24v Pressure Sensor Dns Sk-200 / Keyence Corp America
99.03  18d 17h
99535-0691 / Heater Jacket 3.5, Str300, Spec, 1bp3 / Mks
99535-0691 / Heater Jacket 3.5, Str300, Spec, 1bp3 / Mks
49.62  24d 21h
1917700 / Controller 36hz 711 Hz Chopper Controller Eaton Summit / Axcelis Tech
1917700 / Controller 36hz 711 Hz Chopper Controller Eaton Summit / Axcelis Tech
100.00  28d 15h
535837 D302 / Fest Spc-lc Pressure Sensor, Diagnostics / Festo
535837 D302 / Fest Spc-lc Pressure Sensor, Diagnostics / Festo
80.00  21d 12h
Mcx13-07 / Pcb ,extender Board / Kel
Mcx13-07 / Pcb ,extender Board / Kel
95.66  2d 21h
501-33981-01     / Motor Drive For Lead Screw / Excelteq Inc
501-33981-01 / Motor Drive For Lead Screw / Excelteq Inc
105.62  25d 21h
Cov-3420b / Power Distribution Board / Daifuku Mec-40v-0 Getpares.com
Cov-3420b / Power Distribution Board / Daifuku Mec-40v-0 Getpares.com
50.99  7d 13h
E15005240  /    Resistor Board /  Varian
E15005240 / Resistor Board / Varian
100.82  5d 14h
Heater Controller / Heater Controller / Osaka
Heater Controller / Heater Controller / Osaka
106.67  17d 15h
190-157-1d / Elevator Detector Board Pcb Preco Pn 171-509-0 / Scp 190-157-1d
190-157-1d / Elevator Detector Board Pcb Preco Pn 171-509-0 / Scp 190-157-1d
95.66  16d 22h
122355 / Switch Flow O To.75 Gpm Rypon R222  70961-01 / Gems Sensors & Controls
122355 / Switch Flow O To.75 Gpm Rypon R222 70961-01 / Gems Sensors & Controls
110.68  1d 19h
9535-0288 / Heater Jacket 3.5 El45lr, 1h1 / Mks
9535-0288 / Heater Jacket 3.5 El45lr, 1h1 / Mks
89.62  24d 20h
4540-0188 / Heater Jacket 4.0, V2ibp, 1ap1 / Mks
4540-0188 / Heater Jacket 4.0, V2ibp, 1ap1 / Mks
89.62  25d 15h
Vz3323-5moz-m5 / Valve; 24v Dc / Smc
Vz3323-5moz-m5 / Valve; 24v Dc / Smc
70.00  25d 19h
0020-76665 / Spacer Dome Rim Co / Applied Materials Amat
0020-76665 / Spacer Dome Rim Co / Applied Materials Amat
65.66  22d 15h
Sl-c24h-t / Safety Light Curtain Transmitter / Keyence Sl-c24h-t
Sl-c24h-t / Safety Light Curtain Transmitter / Keyence Sl-c24h-t
75.66  1d 16h
Usf200s-g10-9-a5000 /ultrasonic Flowmeter /toflo Corporation Usf200s Expedius
Usf200s-g10-9-a5000 /ultrasonic Flowmeter /toflo Corporation Usf200s Expedius
39.66  1d 19h
0040-88180 / Bracket, Frc, Ap Main Frame / Applied Materials Amat 0040-88180
0040-88180 / Bracket, Frc, Ap Main Frame / Applied Materials Amat 0040-88180
65.66  9d 20h
Reg004236 / Regulator-sensor Protection / Akrion
Reg004236 / Regulator-sensor Protection / Akrion
108.15  14d 15h
E17260610 / Cover, Entrance Aperature / Varian
E17260610 / Cover, Entrance Aperature / Varian
97.68  29d 21h
Cq2b63-40dc / Pnuematic Cylinder Max Pressure 1.0 Mpa, 145 Psi / Smc
Cq2b63-40dc / Pnuematic Cylinder Max Pressure 1.0 Mpa, 145 Psi / Smc
100.68  17d 20h
Pda 16x10 (set Of 4) / Pneumatic Cylinder / Koganei
Pda 16x10 (set Of 4) / Pneumatic Cylinder / Koganei
100.99  10d 16h
0020-10187 / Clamping Ring, Q Win / Applied Materials Amat
0020-10187 / Clamping Ring, Q Win / Applied Materials Amat
102.64  16d 21h
Sg382030 / Single Stage Regulator 0-60psi / Advanced Specialty Gas Equipment
Sg382030 / Single Stage Regulator 0-60psi / Advanced Specialty Gas Equipment
100.68  17d 22h
Sy5220-5mz-01-f2 / Solenoid Valve, Dbl Sol / Smc
Sy5220-5mz-01-f2 / Solenoid Valve, Dbl Sol / Smc
105.99  23d 15h
Novellus 06-678462-01 Cable Assembly, 419839
Novellus 06-678462-01 Cable Assembly, 419839
350.00 13d 18h
ESI CKA 79027 Z Driver Board
ESI CKA 79027 Z Driver Board
333.00  28d 11h
Asm66ac / Vexta Stepping Motor Dc 5 42v0.8a / Oriental Motor
Asm66ac / Vexta Stepping Motor Dc 5 42v0.8a / Oriental Motor
207.62  4d 1h
VOLGEN EXU-156R6, Power supply, 15V, 6.6A - FREE SHIPPING
VOLGEN EXU-156R6, Power supply, 15V, 6.6A - FREE SHIPPING
59.99  17d 22h
Tachikawa TC-Converter TC-2000K Lot of 3  Working
Tachikawa TC-Converter TC-2000K Lot of 3  Working
303.12  18d 14h
Topometrix I/O-10 Board,20-10027 Rev C,VSE,^94878
Topometrix I/O-10 Board,20-10027 Rev C,VSE,^94878
119.00  11d 1h
AMAT 0020-45070 Rev.002, Seal, Metal, E-Type, 6.806 ID. 418225
AMAT 0020-45070 Rev.002, Seal, Metal, E-Type, 6.806 ID. 418225
1,500.00 12d 15h
MCDOWELL & COMPANY 10846 Aluminum Focus Ring 4601132-0001
MCDOWELL & COMPANY 10846 Aluminum Focus Ring 4601132-0001
306.18  24d 23h
Asm 1003-894-01 Plate-pedestal
Asm 1003-894-01 Plate-pedestal
407.18  24d 22h
AMAT 0150-03998 Cable, EMO Interconnect, 25FT, Harness, 412834
AMAT 0150-03998 Cable, EMO Interconnect, 25FT, Harness, 412834
175.00 1d 17h
MagneTek 1005096710 Digital Phase Lock Control PCB Rev. NC  Working
MagneTek 1005096710 Digital Phase Lock Control PCB Rev. NC  Working
457.13  22d 12h
124-0504// Amat Applied 0040-23484 Applied Matrials Components
124-0504// Amat Applied 0040-23484 Applied Matrials Components
1,500.00 11d 4h
Schumacher 1730-3002 Reservoir Controller PCB Card 1731-3002 Rev. G
Schumacher 1730-3002 Reservoir Controller PCB Card 1731-3002 Rev. G
405.17  8d 17h
SiC Probe Silicon Carbon Probe
SiC Probe Silicon Carbon Probe
4,200.00  18d 16h
Applied Materials 0050-51724 WELDMENT,
Applied Materials 0050-51724 WELDMENT,
388.00  18d 10h
AMAT 0200-00042 Cover QTZ 4 Poly .40 THK, 417465
AMAT 0200-00042 Cover QTZ 4 Poly .40 THK, 417465
495.00 26d 17h
135-0504// Amat Applied 0020-25169 Support, Inner Magnet []
135-0504// Amat Applied 0020-25169 Support, Inner Magnet []
450.00 10d 1h
ASM 1020-773-01 FITTING-CODUIT 4.0 LIQUIDTIGHT
ASM 1020-773-01 FITTING-CODUIT 4.0 LIQUIDTIGHT
406.18  24d 22h
327-0201// Adtec Amv-2000amt Rf Generator Asis
327-0201// Adtec Amv-2000amt Rf Generator Asis
2,000.00 25d 0h
Pentagon Technologies PT-0143-0037 Gas Trench Cover
Pentagon Technologies PT-0143-0037 Gas Trench Cover
511.16  7d 20h
Kla 701-805314-00
Kla 701-805314-00
1,750.00  10d 19h
Amat 0150-77078 Cbl Assy,20ft Cntrl Bk Pl,
Amat 0150-77078 Cbl Assy,20ft Cntrl Bk Pl,
3,200.00  15d 2h
Ultratech Stepper 03-15-04253 Power Driver Backplane Board PCB  Working
Ultratech Stepper 03-15-04253 Power Driver Backplane Board PCB  Working
260.18  4d 18h
Daifuku B255m Teaching Box
Daifuku B255m Teaching Box
429.95  9d 1h
341-0402// Amat Applied 0040-02408 Shaft, Cooling Tube, Alum, Xzz []
341-0402// Amat Applied 0040-02408 Shaft, Cooling Tube, Alum, Xzz []
500.00 5d 3h
AMAT Applied Materials 0140-16370 Harness Assembly P/C Chmber RI
AMAT Applied Materials 0140-16370 Harness Assembly P/C Chmber RI
388.00  29d 8h
AdvancedTCA D52085-001 SBC Single Board Computer MPCBL0020S01Q  Working
AdvancedTCA D52085-001 SBC Single Board Computer MPCBL0020S01Q  Working
607.13  15d 18h
Fpr-uddf-71-9.52-nl-pa#a-up
Fpr-uddf-71-9.52-nl-pa#a-up
435.20  14d 3h
125-0302// Amat Applied 0200-09995 Ring, Inner, Aln 8"/5mm Notch
125-0302// Amat Applied 0200-09995 Ring, Inner, Aln 8"/5mm Notch
3,200.00 11d 5h
Lam Research 715-007469-002 Anode, 4-Pin, .031, Clear
Lam Research 715-007469-002 Anode, 4-Pin, .031, Clear
607.18  24d 23h
ASM 16-404926-01 PAN-DRIP LV SOURCE CABINET
ASM 16-404926-01 PAN-DRIP LV SOURCE CABINET
406.18  24d 22h
Teletrac 600-138 Driver with 14 day warranty
Teletrac 600-138 Driver with 14 day warranty
495.00 26d 18h
BTU Engineering 3161524 Video Interface Board PCB Card EPROM V1.1  Working
BTU Engineering 3161524 Video Interface Board PCB Card EPROM V1.1  Working
410.11  2d 19h
BTU Engineering 3161524 Video Interface Board PCB Card EPROM V2.1  Working
BTU Engineering 3161524 Video Interface Board PCB Card EPROM V2.1  Working
410.11  2d 19h
BTU Engineering 3181180 Video Interface Board PCB Card EPROM V2.1  Working
BTU Engineering 3181180 Video Interface Board PCB Card EPROM V2.1  Working
410.11  2d 20h
Applied Materials 0190-40097 Wall T/C Surface Probe Marchi SDSM-927
Applied Materials 0190-40097 Wall T/C Surface Probe Marchi SDSM-927
345.00  1d 12h
BTU Engineering 3161524 Video Interface Board PCB Card EPROM V2.3  Working
BTU Engineering 3161524 Video Interface Board PCB Card EPROM V2.3  Working
410.11  2d 19h
Kuhnke 657.442.02 D/A 71.657.442.01
Top-Rated Plus Seller Kuhnke 657.442.02 D/A 71.657.442.01
149.98  1d 14h
Swagelok, SS-8BA-K5, Insert/Adapter Kit.
Swagelok, SS-8BA-K5, Insert/Adapter Kit.
100.00 11d 18h
AMAT 0020-21278, Clamping Ring, 6", AL SMRMF. 416196
AMAT 0020-21278, Clamping Ring, 6", AL SMRMF. 416196
795.00 24d 20h
114-0401// Amat Applied 0040-70158 Stabilizer Leg Right []
114-0401// Amat Applied 0040-70158 Stabilizer Leg Right []
200.00 6d 3h
(Set of 17) M7587, Vacuum Pump Flow line flange, WW40, Seal, Ring. 412059
(Set of 17) M7587, Vacuum Pump Flow line flange, WW40, Seal, Ring. 412059
325.00 8d 22h
0050-27501, Applied Materials, Wldmt Manifold
0050-27501, Applied Materials, Wldmt Manifold
700.00  4d 21h
Aera Fm-865 Mass Flow Controller. 1 Slm Ph3
Aera Fm-865 Mass Flow Controller. 1 Slm Ph3
155.00  26d 14h
Contec COM-2(PC)F Communication Board PCB Card 7065  Working
Contec COM-2(PC)F Communication Board PCB Card 7065  Working
408.17  26d 15h
Glentek SMA8315-144-013A-1A-1-03 Motion Controller, 406250
Glentek SMA8315-144-013A-1A-1-03 Motion Controller, 406250
750.00 26d 17h
AMAT 0140-03011 Harness Assy, Anneal Chamber, ECP SF3, 417908
AMAT 0140-03011 Harness Assy, Anneal Chamber, ECP SF3, 417908
600.00 4d 21h
Mitsubishi FR-Z123-0.4K, Freqrol-Z123 Inverter, 3A, 0.4KW. 416384
Mitsubishi FR-Z123-0.4K, Freqrol-Z123 Inverter, 3A, 0.4KW. 416384
450.00 28d 17h
282-000024-01 Interface Cable, 423849
282-000024-01 Interface Cable, 423849
295.00 8d 17h
Hitachi ZVL681-1 BORAD,
Hitachi ZVL681-1 BORAD,
300.00  19d 3h
AMAT 0660-01791, Card Main Center Assembly (Spare for 0650-01). 409808
AMAT 0660-01791, Card Main Center Assembly (Spare for 0650-01). 409808
750.00 20d 21h
ASML 4022.436.6373 Relay Card DSPVV V2.1 IDE 11/98 mh PCB  Working
ASML 4022.436.6373 Relay Card DSPVV V2.1 IDE 11/98 mh PCB  Working
407.12  4d 18h
Oerlikon 102211796 300mm Base Ring
Oerlikon 102211796 300mm Base Ring
487.09  4d 19h
Parker Viton O-Ring ORing Lot of 180 Viton-126 GF Type 31 126
Parker Viton O-Ring ORing Lot of 180 Viton-126 GF Type 31 126
159.99 20d 12h
TEL Tokyo Electron 3D80-000252-V2 AC Servo Motor Power Unit  Working
TEL Tokyo Electron 3D80-000252-V2 AC Servo Motor Power Unit  Working
405.15  25d 12h
Horner Electronic CPU Module HE610DPC164A Lot of 2  Working
Horner Electronic CPU Module HE610DPC164A Lot of 2  Working
508.12  4d 12h
Amat 0140-12730 Harness Pneumatic, 200mm Desica, System Lo. 411079
Amat 0140-12730 Harness Pneumatic, 200mm Desica, System Lo. 411079
450.00 13d 21h
Matrix Corporation DSC-5K-SVGL PCB  Working
Matrix Corporation DSC-5K-SVGL PCB  Working
458.09  14h 5m
AERA FC-PA7800C-BA MFC, Mass Flow Controller, CH4, 0.2 SLM, 423691
AERA FC-PA7800C-BA MFC, Mass Flow Controller, CH4, 0.2 SLM, 423691
450.00 16d 18h
AMAT 0660-01791, Card Main Center Assembly (Spare for 0650-01). 409807
AMAT 0660-01791, Card Main Center Assembly (Spare for 0650-01). 409807
750.00 20d 22h
9517-0106 / Heater Jacket 1.7, Str3.69, Spec, 1bp3 / Mks
9517-0106 / Heater Jacket 1.7, Str3.69, Spec, 1bp3 / Mks
69.62  1d 15h
Delta Design 1977444-501 PCA , Transf PNP Motor DRV Board .
Delta Design 1977444-501 PCA , Transf PNP Motor DRV Board .
388.00  11d 1h
Tylan General CMLA-21 Baratron Capacitance Gauge 853-017643-003-D-C222
Tylan General CMLA-21 Baratron Capacitance Gauge 853-017643-003-D-C222
302.12  3d 19h
Kniel CP 25.15/MKN 25V Power Supply PCB Card ASML 4022.436.82691 Working Surplus
Kniel CP 25.15/MKN 25V Power Supply PCB Card ASML 4022.436.82691 Working Surplus
453.12  13d 18h
Applied Materials 6707_4 Prom Board, 7802-D-5942
Applied Materials 6707_4 Prom Board, 7802-D-5942
38.99  11d 13h
Hitachi 4-817835 200mm Reflector Lot of 4
Hitachi 4-817835 200mm Reflector Lot of 4
600.08  21d 17h
TEL Tokyo Electron 7310827801 P3 Purge System
TEL Tokyo Electron 7310827801 P3 Purge System
605.15  2d 20h
CFM Technologies 22024-02 Relay Board B11/6 B11/5 Lot of 2  Working
CFM Technologies 22024-02 Relay Board B11/6 B11/5 Lot of 2  Working
308.13  4d 17h
Watlow Winona Syst-5071-0000 Temperature Monitor, 420921
Watlow Winona Syst-5071-0000 Temperature Monitor, 420921
650.00 27d 21h
Entwicklungszentrum Eb1-mcom-80-32r Prozessorboard M. Reset Sez Eb1-mcom
Entwicklungszentrum Eb1-mcom-80-32r Prozessorboard M. Reset Sez Eb1-mcom
509.99  19d 21h
Delta Tau Turbo PMAC2 CPU (ASSY 603766-106) Board 3x0-603766
Top-Rated Plus Seller Delta Tau Turbo PMAC2 CPU (ASSY 603766-106) Board 3x0-603766
549.99  8d 22h
AMAT 0150-05745 Cable Assembly, FEPC To Monitor Switchboard, 418045
AMAT 0150-05745 Cable Assembly, FEPC To Monitor Switchboard, 418045
395.00 6d 15h
otherm 812/LGC/00/J/0-500C/SPS/S/HH/UR// Temperature Controller, 417233
otherm 812/LGC/00/J/0-500C/SPS/S/HH/UR// Temperature Controller, 417233
450.00 22d 15h
7100-5750-01 assy s-f/pkg 6in w/o flat, SLIP FREE RING (7450-0059-06J),A VERSION
7100-5750-01 assy s-f/pkg 6in w/o flat, SLIP FREE RING (7450-0059-06J),A VERSION
1,500.00 2d 15h
Uthe 10G generator Fully Tested and Tuned
Uthe 10G generator Fully Tested and Tuned
1,094.50  5d 12h
ESI 960716 DIO-MMB INT-FACE  board
ESI 960716 DIO-MMB INT-FACE board
333.00  28d 11h
LAM Research 766-009866-100 Bellows Assembly Kit NW-100 * *
LAM Research 766-009866-100 Bellows Assembly Kit NW-100 * *
250.00  12d 14h
7100-0474-01 Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108
7100-0474-01 Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108
2,500.00  9d 13h
317-0201// Amat Applied 0040-20566 Collimator Foil 1.5:1 X5/8 Hex 13"
317-0201// Amat Applied 0040-20566 Collimator Foil 1.5:1 X5/8 Hex 13"
1,500.00 20d 3h
Novellus 02-117299-00 Assy, Probe, Keyed, Chemic, 3, 6, 23, ATMI, 407883
Novellus 02-117299-00 Assy, Probe, Keyed, Chemic, 3, 6, 23, ATMI, 407883
550.00 10d 20h
Amat 0021-25875 Plate, Blocker, Sacvd 200mm Producer,
Amat 0021-25875 Plate, Blocker, Sacvd 200mm Producer,
900.00  11d 4h
Mks 9625-1114 Rev A Heater Jacket
Mks 9625-1114 Rev A Heater Jacket
350.00  8d 19h
Asyst Technologies (Part Number: 9701-1058-02)
Asyst Technologies (Part Number: 9701-1058-02)
500.00  9d 18h
Advantest BPG-032802 PPG-632802882BBA
Advantest BPG-032802 PPG-632802882BBA
249.00 12d 5h
Square D KAL262501127J Molded Case Circuit Breaker Lot of 3
Square D KAL262501127J Molded Case Circuit Breaker Lot of 3
756.13  7d 20h
Amat 0020-49487 Electde Focus Twin F/thro R340 ,
Amat 0020-49487 Electde Focus Twin F/thro R340 ,
2,200.00  26d 1h
414-0201// Nsk Esa-j2006cf3-11 Driver [/fast]
414-0201// Nsk Esa-j2006cf3-11 Driver [/fast]
1,000.00 19d 6h
Amat 0020-24100 8 Insulator With Anteane Pc2 Ti  ,
Amat 0020-24100 8 Insulator With Anteane Pc2 Ti ,
1,800.00  27d 3h
TEL Tokyo Electron D31B10-315390-12 Wafer Hand PCTRE 8-25
TEL Tokyo Electron D31B10-315390-12 Wafer Hand PCTRE 8-25
312.11  12d 20h
CFM Technologies 22024-02 Relay PCB Card B11/4 Lot of 2  Working
CFM Technologies 22024-02 Relay PCB Card B11/4 Lot of 2  Working
308.13  4d 14h
124-0503// Amat Applied 0040-21821 Collimator Sst Shaped 1.25:1 5
124-0503// Amat Applied 0040-21821 Collimator Sst Shaped 1.25:1 5
1,800.00 11d 4h
Hitachi ZVL428 PCP,
Hitachi ZVL428 PCP,
300.00  19d 3h
APPLIED MATERIALS 0240-25582 SMG Kit
APPLIED MATERIALS 0240-25582 SMG Kit
488.00  9d 12h
port INFT-0000-KF Digital Temperature Display LED Lot of 2  Working
port INFT-0000-KF Digital Temperature Display LED Lot of 2  Working
401.12  9d 18h
Nikon 4S018-766 Driver Interface Board PCB IU-DRV5 H=10.0mm  Working
Nikon 4S018-766 Driver Interface Board PCB IU-DRV5 H=10.0mm  Working
607.12  4d 13h
800-1593b / Accelnet Digital Driver 90v Max / Copley Controls Corp
800-1593b / Accelnet Digital Driver 90v Max / Copley Controls Corp
145.68  3d 23h
Eastek P1228-LSC Power Supply PCB 36-0427  Working
Eastek P1228-LSC Power Supply PCB 36-0427  Working
158.18  2d 18h
TEL AC Distribution Rack unit
TEL AC Distribution Rack unit
1,888.00  10d 9h
Applied Materials 0140-09416 Prox Sensor In Position Reflexion 300mm Platen
Applied Materials 0140-09416 Prox Sensor In Position Reflexion 300mm Platen
150.00  11d 7h
ESI ECS Voltmeter  board PN14165501
ESI ECS Voltmeter board PN14165501
333.00  2d 11h
Filament Assembly 418170
Filament Assembly 418170
250.00 11d 15h
AMAT Applied Materials 0242-31591 DI Cooler Fittings Hose Kit Lot of 5
AMAT Applied Materials 0242-31591 DI Cooler Fittings Hose Kit Lot of 5
509.12  29d 11h
JEIOTech Refrigerated & Heating bath CirculatorModel-RW-0525G S/N.R080521
JEIOTech Refrigerated & Heating bath CirculatorModel-RW-0525G S/N.R080521
500.00  10d 3h
Amat 0140-01858 Harness, Platen Encoder Dvrs Cntrl Bulkh,
Amat 0140-01858 Harness, Platen Encoder Dvrs Cntrl Bulkh,
250.00  18d 23h
Gordon Phanton Ceiling Fan (LF Panel) Model:771167
Gordon Phanton Ceiling Fan (LF Panel) Model:771167
315.00  16d 13h
105-0301// Amat Applied 0200-00541 Liner, Quartz, 200mm Pre-clean
105-0301// Amat Applied 0200-00541 Liner, Quartz, 200mm Pre-clean
5,000.00 13d 1h
Amat 0090-a2690 Booster Ps Assy ,
Amat 0090-a2690 Booster Ps Assy ,
3,200.00  16d 5h
PALL Nylon PHD44ANMEH23 FILTER
PALL Nylon PHD44ANMEH23 FILTER
120.00  10d 14h
ATMI 233430599 180 Degree Load Lock Cover 233430598
Top-Rated Plus Seller ATMI 233430599 180 Degree Load Lock Cover 233430598
1,398.98  15h 13m
176-0402// Amat Applied 0050-09026 Manifold Llc Vent [2nd ]
176-0402// Amat Applied 0050-09026 Manifold Llc Vent [2nd ]
900.00 25d 4h
141-0501// Amat Applied 0140-20693 Harness Assy  Main Connect 2nd Source
141-0501// Amat Applied 0140-20693 Harness Assy  Main Connect 2nd Source
80.00 9d 0h
442-0202// Orienatal Motor A6479-9015ktge Motor []
442-0202// Orienatal Motor A6479-9015ktge Motor []
100.00 6d 22h
Swagelok,SS-16-VCR-2-GR, 1" Silver plated gasket
Swagelok,SS-16-VCR-2-GR, 1" Silver plated gasket
50.00 14d 20h
Dover Instr. Corp. ML-11540 PCB, 411233
Dover Instr. Corp. ML-11540 PCB, 411233
250.00 16d 18h
TEL Tokyo Electron KSI/F-32C + KSCPU-18E Board
TEL Tokyo Electron KSI/F-32C + KSCPU-18E Board
999.95  3d 20h
AMAT 0021-13445 Window, Lid, 300mm IBC, IECP, 411003
AMAT 0021-13445 Window, Lid, 300mm IBC, IECP, 411003
350.00 11d 21h
Fusion Systems AC ACU - 310401R
Fusion Systems AC ACU - 310401R
108.37  7d 3h
Amat 0015-77050 Clamp ,
Amat 0015-77050 Clamp ,
200.00  1d 4h
PN 7100-5117 AG Associates Heatpulse PCB 7100-5117-01?
PN 7100-5117 AG Associates Heatpulse PCB 7100-5117-01?
2,200.00 21d 13h
CFM Technologies 22024-02 Relay PCB Card B13/2 B13/3 Lot of 2  Working
CFM Technologies 22024-02 Relay PCB Card B13/2 B13/3 Lot of 2  Working
308.13  4d 17h
AMAT 0020-21465 Shield, AL, 5" 424200
AMAT 0020-21465 Shield, AL, 5" 424200
250.00 4d 22h
7450-0033-01 Ring, Slip-free,  5in
7450-0033-01 Ring, Slip-free, 5in
1,500.00 2d 17h
AMAT 1420-4092 High Purity Process Tank Schumacher BK1100 SUQ 120 PSI Max VCR
AMAT 1420-4092 High Purity Process Tank Schumacher BK1100 SUQ 120 PSI Max VCR
950.00  9d 12h
AMAT  Mag Therm 3-Pole 240 VAC 15 A Bolt-on QOB3155237 Square D Circuit Breaker
AMAT Mag Therm 3-Pole 240 VAC 15 A Bolt-on QOB3155237 Square D Circuit Breaker
149.00  17d 13h
8301 Asml Pcb, Ret.handl.cr, 611008606 4022.428.1449
8301 Asml Pcb, Ret.handl.cr, 611008606 4022.428.1449
436.90  9d 0h
LOT 20  George Fischer 735.991.442 Flare Fitting 32 1/2" PVD-HP FREE SHIPPING
LOT 20  George Fischer 735.991.442 Flare Fitting 32 1/2" PVD-HP FREE SHIPPING
178.49  28d 19h
327-0402// Amat Applied 0050-10048 Adapter, Valve,unishield []
327-0402// Amat Applied 0050-10048 Adapter, Valve,unishield []
100.00 16d 6h
177-0104// Parker 959100w3plfsmmm Valve []
177-0104// Parker 959100w3plfsmmm Valve []
70.00 13d 6h
445-0101// Oriental Motor Pk245-03a Motor [/fast]
445-0101// Oriental Motor Pk245-03a Motor [/fast]
100.00 29d 3h
445-0302// Oriental Motor Pk564-na Motor []
445-0302// Oriental Motor Pk564-na Motor []
100.00 29d 6h
438-0401// Oriental Motor C6591-9212 Motor []
438-0401// Oriental Motor C6591-9212 Motor []
100.00 6d 3h
AERA FC-PA7800C-BA MFC, Mass Flow Controller 0.5% PH3/H2, 2 SLM, 423707
AERA FC-PA7800C-BA MFC, Mass Flow Controller 0.5% PH3/H2, 2 SLM, 423707
450.00 17d 21h
Tylan General CMLA-21 Baratron Capacitance Gauge 853-017643-003-G-1135
Tylan General CMLA-21 Baratron Capacitance Gauge 853-017643-003-G-1135
302.12  3d 19h
125-0301// AMAT APPLIED 0020-10121 wPLATE PERF 150MM OX
125-0301// AMAT APPLIED 0020-10121 wPLATE PERF 150MM OX
700.00 11d 5h
Nemic-Lambda NNS30-5 Power Supply  Working
Nemic-Lambda NNS30-5 Power Supply  Working
206.16  5d 18h
Varian Retaining Rod Short 3"+ Version E17014660 - E17132430 (55068A) QTY 4
Varian Retaining Rod Short 3"+ Version E17014660 - E17132430 (55068A) QTY 4
130.00  8h 36m
316-0101// Abb Eh450 Breaker [asis]
316-0101// Abb Eh450 Breaker [asis]
400.00 11d 5h
AMAT 0200-02181 Deposition Ring , 300MM ,
AMAT 0200-02181 Deposition Ring , 300MM ,
2,400.00  11d 1h
PCB, Analog Input,  Applied Materials P/N 0100-11000
PCB, Analog Input, Applied Materials P/N 0100-11000
1,675.00  4d 4h
143-0502// Amat Applied 0226-09683 Harness, Qdp Ch Pump W Integer
143-0502// Amat Applied 0226-09683 Harness, Qdp Ch Pump W Integer
520.00 5d 3h
429-0202// Reliance N-2302-1-f00aa (unclean) Motor [/fast]
429-0202// Reliance N-2302-1-f00aa (unclean) Motor [/fast]
500.00 25d 23h
429-0403// Baumer Bpmv58s1p24c (no Connector) Motor [/fast]
429-0403// Baumer Bpmv58s1p24c (no Connector) Motor [/fast]
500.00 26d 4h
429-0403// Baumer Bmc3n.24d8192-c6-d (no Connector) Motor []
429-0403// Baumer Bmc3n.24d8192-c6-d (no Connector) Motor []
500.00 26d 4h
176-0504// Amat Applied 0040-93545 Flexible Manifold,btl 1 Din 8 []
176-0504// Amat Applied 0040-93545 Flexible Manifold,btl 1 Din 8 []
520.00 23d 0h
353-0401// Amat Applied 3620-01124 (#2) Turbovac 361c Pump Turbo W/ceramic
353-0401// Amat Applied 3620-01124 (#2) Turbovac 361c Pump Turbo W/ceramic
6,000.00 7d 6h
324-0401// Amat Applied 0190-17272 Magnet Driver []
324-0401// Amat Applied 0190-17272 Magnet Driver []
7,000.00 7d 4h
405-0401// Brooks 002-7391-07 Aligner
405-0401// Brooks 002-7391-07 Aligner
800.00 29d 3h
AMAT 0022-77539 Rev.P1, Panel, Flow Meter. 418622
AMAT 0022-77539 Rev.P1, Panel, Flow Meter. 418622
315.00 20d 18h
Boardland C++ Ver 3.1 Tmtinc 980034 Rev A
Boardland C++ Ver 3.1 Tmtinc 980034 Rev A
450.00  6d 12h
ESI 970309 DC Regulator Driver Board
ESI 970309 DC Regulator Driver Board
333.00  28d 10h
Toei Electric BS Servo Motor VLBST-Z01030-U 100W 3000r/min
Toei Electric BS Servo Motor VLBST-Z01030-U 100W 3000r/min
120.00 29d 16h
Koganei Rotary Activator RAPS20-180-54W (3877)
Top-Rated Plus Seller Koganei Rotary Activator RAPS20-180-54W (3877)
190.00 12d 15h
Leybold Vacuum 150 13 Cable FMK2GL (1222)
Top-Rated Plus Seller Leybold Vacuum 150 13 Cable FMK2GL (1222)
166.25  13d 14h
Lam Research 853-017962-050 Rev A
Lam Research 853-017962-050 Rev A
120.00  9d 20h
TDK TAS-MAIN Rev.4.10 Circuit Board,
TDK TAS-MAIN Rev.4.10 Circuit Board,
1,000.00  24d 22h
Fc-d982c 25slm N20 / Mfc 25000sccm Novellus 22-136191-00 / Aera
Fc-d982c 25slm N20 / Mfc 25000sccm Novellus 22-136191-00 / Aera
150.62  6d 16h
 25mw3-430-004 Ceramic Insulator 25mw3430004
 25mw3-430-004 Ceramic Insulator 25mw3430004
50.00 24d 14h
STEC SEC-4400MC-RUC-G Mass Flow Controller, MFC, O2, 400 SCCM, SEC-4400, 330204
STEC SEC-4400MC-RUC-G Mass Flow Controller, MFC, O2, 400 SCCM, SEC-4400, 330204
695.00 26d 21h
Applied Materials Lot Of 4 0140-14336 Harness Assy Contour
Applied Materials Lot Of 4 0140-14336 Harness Assy Contour
180.00  8d 2h
Amat 0190-14384 Flow Controller Mfc-8000-t2105-012-n-001,
Amat 0190-14384 Flow Controller Mfc-8000-t2105-012-n-001,
4,500.00  22d 0h
Tokyo Electron America, Tel, Mb3s10-400080-14, Quartz Window, 3s10-400080-14
Tokyo Electron America, Tel, Mb3s10-400080-14, Quartz Window, 3s10-400080-14
573.00  11d 4h
Amat 0630-90086 Cap Fxd 100v 0.01mf 10% Cermc, 451618
Amat 0630-90086 Cap Fxd 100v 0.01mf 10% Cermc, 451618
5.00 16d 15h
122-0301// AMAT APPLIED 0010-21679 ASSY 8in SHIELD VECTRA IMP TI CLAMPED
122-0301// AMAT APPLIED 0010-21679 ASSY 8in SHIELD VECTRA IMP TI CLAMPED
6,000.00 5d 3h
Applied Materials 3400-01061 Ftg Tbg Flex 1/4vcr-ff 100psi .006wlx24"l Sst321
Applied Materials 3400-01061 Ftg Tbg Flex 1/4vcr-ff 100psi .006wlx24"l Sst321
140.00  24d 14h
Unit Instruments UFC-1200 Mass Flow Controller, MFC, CF4, 100 SCCM, 410571
Unit Instruments UFC-1200 Mass Flow Controller, MFC, CF4, 100 SCCM, 410571
550.00 26d 15h
Axcelis Aperture Inner Standard - Graphite VIG Version 17138730 REV A (99577V)
Axcelis Aperture Inner Standard - Graphite VIG Version 17138730 REV A (99577V)
120.00  7d 7h
Eaton 403552 Gemini 9g038-3,
Eaton 403552 Gemini 9g038-3,
1,500.00  11d 23h
Yaskawa PSU01A with 30 day warranty
Yaskawa PSU01A with 30 day warranty
950.00 17d 20h
116-0304// Amat Applied 0200-00410 Uni-insert, Gas Distribution,
116-0304// Amat Applied 0200-00410 Uni-insert, Gas Distribution,
780.00 2d 3h
134-0502// Amat Applied 0040-20257 Assy Lift Hoop 8 Wide Body Ch []
134-0502// Amat Applied 0040-20257 Assy Lift Hoop 8 Wide Body Ch []
1,360.00 27d 5h
346-0303// Amat Applied 0020-24235 2400 4100 Rf Tube Preclean 2
346-0303// Amat Applied 0020-24235 2400 4100 Rf Tube Preclean 2
800.00 28d 23h
421-0101// Sanyo Denki U508bn-012el8 Motor [/fast]
421-0101// Sanyo Denki U508bn-012el8 Motor [/fast]
1,000.00 21d 4h
426-0302// Omron Nt620c-st141-ek Interactive Display [/fast]
426-0302// Omron Nt620c-st141-ek Interactive Display [/fast]
1,000.00 23d 0h
103-0601// Amat Applied 3870-02364 3 Way N.c. Module W/ V2 Plugge []
103-0601// Amat Applied 3870-02364 3 Way N.c. Module W/ V2 Plugge []
820.00 13d 6h
134-0503// Amat Applied 0020-27668 Blade 8" 101 Shutter []
134-0503// Amat Applied 0020-27668 Blade 8" 101 Shutter []
800.00 2d 0h
112-0201// Amat Applied 0240-31500 0020-32165 0020-32166 0040-09719 Kit []
112-0201// Amat Applied 0240-31500 0020-32165 0020-32166 0040-09719 Kit []
780.00 3d 2h
123-0301// Amat Applied 0010-70162 Assy, Actuator Slit Valve []
123-0301// Amat Applied 0010-70162 Assy, Actuator Slit Valve []
1,200.00 16d 6h
177-0302// Amat Applied 3870-00254 Manual V_blk Diaph 3 Way 1/4 Vcr F/f/m []
177-0302// Amat Applied 3870-00254 Manual V_blk Diaph 3 Way 1/4 Vcr F/f/m []
1,090.00 14d 0h
124-0401// Amat Applied 0021-35819 Chuck, Asp, 200mm, Top Mount Type 2nd
124-0401// Amat Applied 0021-35819 Chuck, Asp, 200mm, Top Mount Type 2nd
1,500.00 11d 3h
Amat 0150-77250 Cbl Assy Hd Rotation 1 Pwr Umbil. 75ft,
Amat 0150-77250 Cbl Assy Hd Rotation 1 Pwr Umbil. 75ft,
5,300.00  11d 2h
AMAT Clamping Ring, Cover, 150MM (P/N: 0200-09735)
AMAT Clamping Ring, Cover, 150MM (P/N: 0200-09735)
949.99  7d 21h
Rexroth Ballscrew R151211013 020x5/289, 8 (37),
Rexroth Ballscrew R151211013 020x5/289, 8 (37),
30.00 8d 16h
Novellus 38-10043-00, Cable, C08460-2098
Novellus 38-10043-00, Cable, C08460-2098
300.00  9d 3h
MTCS S13-JN 071 Temperature Controller, 423704
MTCS S13-JN 071 Temperature Controller, 423704
250.00 25d 15h
AMAT 1040-00073 5-75 cc/min PTFE Flow Meter 7 not in boxes ln factory seal,
AMAT 1040-00073 5-75 cc/min PTFE Flow Meter 7 not in boxes ln factory seal,
150.00  21d 0h
Amat 0020-87543 Shield, Shaft & Wrist,
Amat 0020-87543 Shield, Shaft & Wrist,
810.00  21d 3h
AG Associates (Metron Technology) 7100-5995-02B, Tray, Ceramic Shield, 6 inch
AG Associates (Metron Technology) 7100-5995-02B, Tray, Ceramic Shield, 6 inch
2,800.00  24d 22h
Lam Research 710-492005-001 810-492005-001 Transport Multiplexer Pcb Board
Lam Research 710-492005-001 810-492005-001 Transport Multiplexer Pcb Board
599.00  24d 10h
Super Pillar Sleeve 1/2T, MFG#  S-W4B
Super Pillar Sleeve 1/2T, MFG# S-W4B
60.60 21d 11h
Applied Materials 0020-16860 Stop Anti-rotation Upa Rotary Union, Lot Of 4
Applied Materials 0020-16860 Stop Anti-rotation Upa Rotary Union, Lot Of 4
90.00  13d 12h
Micrion Corp 150-1620 Rev B1 Board
Micrion Corp 150-1620 Rev B1 Board
750.00 25d 17h
Micrion Corp 150-1390 Rev A1 Board
Micrion Corp 150-1390 Rev A1 Board
750.00 25d 17h
Micrion Corp 150-1381 Rev C7 Board
Micrion Corp 150-1381 Rev C7 Board
950.00 25d 18h
Graphite Liner VG-Magnet Duct 17239360 REV A
Graphite Liner VG-Magnet Duct 17239360 REV A
150.00  12d 6h
PN 7100-3397-02 AG Associates Heatpulse PCB
PN 7100-3397-02 AG Associates Heatpulse PCB
2,800.00 20d 21h
PN 7100-4023-01 AG Associates Heatpulse PCB
PN 7100-4023-01 AG Associates Heatpulse PCB
2,800.00 21d 13h
PN 7100-4059-02 AG Associates Heatpulse PCB
PN 7100-4059-02 AG Associates Heatpulse PCB
2,800.00 21d 13h
PN 7100-4213-01 AG Associates Heatpulse PCB
PN 7100-4213-01 AG Associates Heatpulse PCB
2,200.00 21d 13h
PN 7100-3617-02 AG Associates Heatpulse PCB
PN 7100-3617-02 AG Associates Heatpulse PCB
2,200.00 21d 13h
PN 7100-5146-01 AG Associates Heatpulse PCB
PN 7100-5146-01 AG Associates Heatpulse PCB
2,200.00 21d 22h
Bit 3 Computer Corp 82801110 Rev 2 Board  Model 444-201 Rev L
Bit 3 Computer Corp 82801110 Rev 2 Board Model 444-201 Rev L
950.00 22d 18h
2638  Fortrend Engineering F8225S Control Panel
2638 Fortrend Engineering F8225S Control Panel
170.00  5d 23h
Lantechnical 6'' Casette
Lantechnical 6'' Casette
350.00  22d 16h
APPLIED MATERIALS 0021-35867 TXZ Plate Lid
APPLIED MATERIALS 0021-35867 TXZ Plate Lid
984.99  25d 20h
Motorola MVME2604712 I/O Single Board Computer
Top-Rated Plus Seller Motorola MVME2604712 I/O Single Board Computer
699.99  28d 18h
PN 7100-5124 AG Associates Heatpulse PCB ZiaTech ZT8830 (ZT 8830) 7100-4124-01?
PN 7100-5124 AG Associates Heatpulse PCB ZiaTech ZT8830 (ZT 8830) 7100-4124-01?
2,800.00 21d 14h
Plate With 7200-0714-01 , PCB Door Reversal  7100-5136- AG Associates Heatpulse
Plate With 7200-0714-01 , PCB Door Reversal 7100-5136- AG Associates Heatpulse
1,500.00 18d 14h
Plate With 7200-0714-01 , PCB Door Reversal  7100-5136- AG Associates Heatpulse
Plate With 7200-0714-01 , PCB Door Reversal 7100-5136- AG Associates Heatpulse
1,500.00 4d 21h
Tel Bb87-006410
Tel Bb87-006410
1,999.00  29d 9h
Dip Cdn496 Fw: 3.004 Hw: 1.002 0660-01880 Rev001
Dip Cdn496 Fw: 3.004 Hw: 1.002 0660-01880 Rev001
450.00 12d 18h
esys Domino Alpha 2 1161 A0_1 (lot of 2 ) tested
esys Domino Alpha 2 1161 A0_1 (lot of 2 ) tested
379.00 5d 23h
Ncdq2wb50-ula / Dual Action Air Cyl 1/4 Npt With Sensors / Smc
Ncdq2wb50-ula / Dual Action Air Cyl 1/4 Npt With Sensors / Smc
200.68  18d 16h
Mks 4625-1104 Htr2.5, Str4.0, 1ap1
Mks 4625-1104 Htr2.5, Str4.0, 1ap1
199.00  22d 17h
Mks 9615-1780 Htr1.5, El90lr, Spec, 1ap1
Mks 9615-1780 Htr1.5, El90lr, Spec, 1ap1
199.00  26d 20h
Stainless Steel Spindle
Stainless Steel Spindle
250.00  20d 20h
Mks 9399-1308 Rev. A Heater Jacket
Mks 9399-1308 Rev. A Heater Jacket
190.00  8d 19h
Novellus 02-117299-00 Assy, Probe, Keyed, Chemic, 3, 6, 23, ATMI, 321345
Novellus 02-117299-00 Assy, Probe, Keyed, Chemic, 3, 6, 23, ATMI, 321345
550.00 4d 19h
Opto Micron FX-610 Motorized Stage
Opto Micron FX-610 Motorized Stage
399.00 27d 13h
Two (2) 233-5108-68 O-ring, G210, Viton, 407410
Two (2) 233-5108-68 O-ring, G210, Viton, 407410
600.00 4d 20h
156-0503// Amat Applied 1410-01155 Htr 208vac 1.5w/sq In For Foreline 005
156-0503// Amat Applied 1410-01155 Htr 208vac 1.5w/sq In For Foreline 005
1,500.00 27d 2h
FAS Technologies TR39000F-FE-R Engineering Manual & Software Specification
Top-Rated Plus Seller FAS Technologies TR39000F-FE-R Engineering Manual & Software Specification
29.98  27d 17h
Lab or Semiconductor Assembly Fixture 351-5094-040
Top-Rated Plus Seller Lab or Semiconductor Assembly Fixture 351-5094-040
45.98  14d 22h
ASM 73055-70281 TOP PLATE  Surplus
ASM 73055-70281 TOP PLATE  Surplus
607.18  25d 0h
124-0101// Amat Applied 0020-28205 Cover Ring, 6" 101% Hi-pwr Coh 2nd Source
124-0101// Amat Applied 0020-28205 Cover Ring, 6" 101% Hi-pwr Coh 2nd Source
3,300.00 10d 5h
Amat 0200-10157 Liner, Junction, Sin, Dxz ,
Amat 0200-10157 Liner, Junction, Sin, Dxz ,
1,350.00  18d 23h
Tokyo Electron 3895-120320-11 Formula Ttli22-11 Mcil-sl Pcb ,
Tokyo Electron 3895-120320-11 Formula Ttli22-11 Mcil-sl Pcb ,
1,100.00  9d 17h
0100-09024, 0100-09006, 0100-09056, MC CENTERFINDER, Applied Material
0100-09024, 0100-09006, 0100-09056, MC CENTERFINDER, Applied Material
455.00  8d 19h
Unit Instruments UFC-1200 Mass Flow Controller, MFC, CHF3, 25 SCCM, 410570
Unit Instruments UFC-1200 Mass Flow Controller, MFC, CHF3, 25 SCCM, 410570
550.00 26d 15h
AL BLANK, For shopping Purposes only
AL BLANK, For shopping Purposes only
1,000.00  4d 23h
Amat 0020-26721 Mount, Ball Transfer, Fi Outriggr ,
Amat 0020-26721 Mount, Ball Transfer, Fi Outriggr ,
380.00  1d 22h
Eye Uv Curing Power Supply Ubx0311-00
Eye Uv Curing Power Supply Ubx0311-00
849.96  2d 13h
PN TGL-39-336-018   Tegal Spare Parts
PN TGL-39-336-018 Tegal Spare Parts
2,200.00  4d 22h
Amat 0620-02461 Cable Assy Ext Signal Iqdp Pump
Amat 0620-02461 Cable Assy Ext Signal Iqdp Pump
290.00  10d 16h
Amat 0020-10135 Manifold Water Pl ,
Amat 0020-10135 Manifold Water Pl ,
180.00  27d 3h
KPX Chemical KONI-2WE KONIPAD,
KPX Chemical KONI-2WE KONIPAD,
180.00  26d 6h
Yashibi IP-245 Encapsulation DIP Bridge 88.6 PCB Board  Working
Yashibi IP-245 Encapsulation DIP Bridge 88.6 PCB Board  Working
160.16  9d 18h
Mfc Fc-2900 Mep5 / 300 Sccm / N2
Mfc Fc-2900 Mep5 / 300 Sccm / N2
450.00  5d 5h
Ultratech 03-15-05105 Stepper Robot I/O PCB  Working
Ultratech 03-15-05105 Stepper Robot I/O PCB  Working
611.10  12d 21h
11938 Applied Materials Opal Bd Assy Clc/insight P/n: Ea70412575 Ep70412576000
11938 Applied Materials Opal Bd Assy Clc/insight P/n: Ea70412575 Ep70412576000
1,976.14  28d 2h
Axiomtek AX61222WP-RC PC,
Axiomtek AX61222WP-RC PC,
500.00  13d 22h
AMAT SCREW, slotted PAN HD, 8-32 X 5/16L, MONE (P/N: 0020-18366)
AMAT SCREW, slotted PAN HD, 8-32 X 5/16L, MONE (P/N: 0020-18366)
40.00  9d 14h
APPLIED MATERIALS 0620-02620 15-Ft Robot Signal Cable Assembly 2002-0095-002
APPLIED MATERIALS 0620-02620 15-Ft Robot Signal Cable Assembly 2002-0095-002
354.99  25d 20h
Oram 17000330 Power Supply Module +15V -15V AMAT Applied Materials VeraSEM
Oram 17000330 Power Supply Module +15V -15V AMAT Applied Materials VeraSEM
255.16  15d 14h
MRC Gripper
MRC Gripper
439.00  6d 17h
MRC Gripper
MRC Gripper
599.00  6d 17h
345-0101// Amat Applied 0020-23876 Support (l) Special
345-0101// Amat Applied 0020-23876 Support (l) Special
190.00 12d 5h
M.E.C. Tech MEC81155-201A Extended Inner Overlay AMAT 0020-01044 Lot of 6
M.E.C. Tech MEC81155-201A Extended Inner Overlay AMAT 0020-01044 Lot of 6
312.11  16d 19h
430-0403// Akrion Cm-5000-2000-gld Motor []
430-0403// Akrion Cm-5000-2000-gld Motor []
700.00 4d 4h
Semifusion 311 Motor Driver PCB Card Ultratech UltraStep 1000  Working
Semifusion 311 Motor Driver PCB Card Ultratech UltraStep 1000  Working
209.16  7d 19h
Applied Materials 0020-32148 Showerhead  AMAT CVD
Applied Materials 0020-32148 Showerhead AMAT CVD
1,595.00  25d 22h
Universal Linear Stage Warm Gear 420744
Universal Linear Stage Warm Gear 420744
250.00 17d 22h
11510 Tel Operator I/f Bd W/ 881-621473-4 Slave I/f Std W/o Reg 1808-022003-11
11510 Tel Operator I/f Bd W/ 881-621473-4 Slave I/f Std W/o Reg 1808-022003-11
979.29  17d 4h
Komatsu 300818200 KE-2018 Interface Board PCB  Working
Komatsu 300818200 KE-2018 Interface Board PCB  Working
160.17  8d 19h
AMAT 0090-77331 SWITCH ASSY, LWR EXH, MEGASONIC Exhaust Air Switch
AMAT 0090-77331 SWITCH ASSY, LWR EXH, MEGASONIC Exhaust Air Switch
181.00  4d 16h
Alphanetics 30002-01, 6 Slot, PCB Board, 406320
Alphanetics 30002-01, 6 Slot, PCB Board, 406320
295.00 28d 14h
4 PLAST-O-MATIC PR050B-PP 1/2" PP PRESSURE REGULATOR, Ryan Herco 5401-005
4 PLAST-O-MATIC PR050B-PP 1/2" PP PRESSURE REGULATOR, Ryan Herco 5401-005
400.00 1h 26m
Schumacher 1730-3005 Backplane Board PCB 1731-3005 S09066-55  Working
Schumacher 1730-3005 Backplane Board PCB 1731-3005 S09066-55  Working
204.17  23d 14h
Acopian URB34GT150 Regulated Power Supply  Tested Working
Acopian URB34GT150 Regulated Power Supply  Tested Working
158.15  23d 19h
ASML 4022.471.7711 System Backplane Board PCB  Working
ASML 4022.471.7711 System Backplane Board PCB  Working
260.17  8d 13h
SMC PFM711S-02L-D-M Digital Flow Switch X711  Working
SMC PFM711S-02L-D-M Digital Flow Switch X711  Working
253.18  19h 40m
2 AMAT 0150-01996 CABLE ASSY SMIF ASYST Crossing Automation WB LLB 407312
2 AMAT 0150-01996 CABLE ASSY SMIF ASYST Crossing Automation WB LLB 407312
550.00 2d 20h
Amat 0021-26673 Flange, 300mm Titan Profiler Ii ,
Amat 0021-26673 Flange, 300mm Titan Profiler Ii ,
950.00  20d 0h
KEYENCE  SJ-B120, SJ-B101 Sensor
KEYENCE  SJ-B120, SJ-B101 Sensor
199.90  22d 6h
AMAT 0020-23744 Cover, 424202
AMAT 0020-23744 Cover, 424202
195.00 4d 22h
Amat 0150-07459 Htr Cable 4mm Pin Cwxz ,
Amat 0150-07459 Htr Cable 4mm Pin Cwxz ,
5,000.00  27d 23h
Lot Of 3 Fujikin Pure Vacuum Manifold Cwt-4x4bw-1 Ywutz
Lot Of 3 Fujikin Pure Vacuum Manifold Cwt-4x4bw-1 Ywutz
99.99  21d 19h
AMAT 0140-09608 Harness, Ampule Fan Power, 20Ft. 413477
AMAT 0140-09608 Harness, Ampule Fan Power, 20Ft. 413477
495.00 22d 21h
Amat 0150-08121 Cable Assy, Fire Sensor Facility Interco  ,
Amat 0150-08121 Cable Assy, Fire Sensor Facility Interco ,
280.00  21d 4h
 Entegris WGMXMBPRF2 WFRGD  Max-M Bronze 1/4" VCR N4N677586
Top-Rated Plus Seller  Entegris WGMXMBPRF2 WFRGD Max-M Bronze 1/4" VCR N4N677586
109.99  6d 20h
AERA FC-PA7800C-BA MFC, Mass Flow Controller, AR, 5 SLM, 423695
AERA FC-PA7800C-BA MFC, Mass Flow Controller, AR, 5 SLM, 423695
550.00 16d 21h
Crevis Divicenet Na-9111 St-121f(2), St-2328,
Crevis Divicenet Na-9111 St-121f(2), St-2328,
300.00  26d 4h
ESI 147825 Treske Precision Machining Wafer Transfer Mechanism Wide (WTM)
ESI 147825 Treske Precision Machining Wafer Transfer Mechanism Wide (WTM)
488.00  7d 12h
Cascade Controls 853-211113-001 Rev.e
Cascade Controls 853-211113-001 Rev.e
799.00  13d 5h
125-0201// Amat Applied 0020-30983 Plate, Top, Chmbr, Prsp
125-0201// Amat Applied 0020-30983 Plate, Top, Chmbr, Prsp
600.00 16d 8h
ST8101-034 Issue 5 PCB Board, 423797
ST8101-034 Issue 5 PCB Board, 423797
250.00 3d 16h
010-0202// ADVANCED 0190-19022 (#3) 3156110-005 C APEX 1513 [Warranty 1 month]
010-0202// ADVANCED 0190-19022 (#3) 3156110-005 C APEX 1513 [Warranty 1 month]
2,500.00 7d 23h
010-0202// ADVANCED 0190-19022W 3156110-005 A APEX 1513 [Warranty 1 month]
010-0202// ADVANCED 0190-19022W 3156110-005 A APEX 1513 [Warranty 1 month]
2,500.00 7d 23h
010-0202// ADVANCED 0920-00114 (#2) 3156110-007 H APEX 1513 [Warranty 1 month]
010-0202// ADVANCED 0920-00114 (#2) 3156110-007 H APEX 1513 [Warranty 1 month]
2,500.00 8d 0h
010-0202// ADVANCED 0920-00114 (#3) 3156110-007 J APEX 1513 [Warranty 1 month]
010-0202// ADVANCED 0920-00114 (#3) 3156110-007 J APEX 1513 [Warranty 1 month]
2,500.00 8d 0h
010-0202// ADVANCED 3156110-007 A APEX 1513 GENERATOR [Warranty 1 month]
010-0202// ADVANCED 3156110-007 A APEX 1513 GENERATOR [Warranty 1 month]
2,500.00 8d 0h
133-0501// Adtec Ax-300 Rf Generator
133-0501// Adtec Ax-300 Rf Generator
2,500.00 19d 3h
336-0201// Adtec Amv-2000-cx Matching Box []
336-0201// Adtec Amv-2000-cx Matching Box []
2,000.00 7d 0h
010-0201// ADVANCED 0190-19021 3156113-012 A APEX 3013 [Warranty 1 month]
010-0201// ADVANCED 0190-19021 3156113-012 A APEX 3013 [Warranty 1 month]
2,500.00 7d 22h
010-0202// ADVANCED 0190-19022 (#1) 3156110-005 A APEX 1513 [Warranty 1 month]
010-0202// ADVANCED 0190-19022 (#1) 3156110-005 A APEX 1513 [Warranty 1 month]
2,500.00 7d 23h
010-0202// ADVANCED 0190-19022 (#2) 3156110-005 B APEX 1513 [Warranty 1 month]
010-0202// ADVANCED 0190-19022 (#2) 3156110-005 B APEX 1513 [Warranty 1 month]
2,500.00 7d 23h
010-0202// ADVANCED 0190-19022 (#4) 3156110-005 D APEX 1513 [Warranty 1 month]
010-0202// ADVANCED 0190-19022 (#4) 3156110-005 D APEX 1513 [Warranty 1 month]
2,500.00 7d 23h
010-0202// ADVANCED 0920-00114 (#1) 3156110-007 A APEX 1513 [Warranty 1 month]
010-0202// ADVANCED 0920-00114 (#1) 3156110-007 A APEX 1513 [Warranty 1 month]
2,500.00 7d 23h
010-0202// ADVANCED 0920-00114 (#4) 3156110-007 APEX 1513 [Warranty 1 month]
010-0202// ADVANCED 0920-00114 (#4) 3156110-007 APEX 1513 [Warranty 1 month]
2,500.00 8d 0h
Optra  1-1207-4 Rev 05
Optra 1-1207-4 Rev 05
599.00 12d 8h
MKS Instruments HPS Products Centering Ring 100760706  Lot of 4
MKS Instruments HPS Products Centering Ring 100760706  Lot of 4
149.00 2d 16h
Lot of 10000 AML .1uF 16V Surface mount capacitors C0402C103K4RACTU
Lot of 10000 AML .1uF 16V Surface mount capacitors C0402C103K4RACTU
300.00 9d 21h
Millipore W2501KP01 Photo-250 Teach Pendant
Millipore W2501KP01 Photo-250 Teach Pendant
350.00  11d 21h
Multiple Stainless Steel sample holder- 17", 3.5" Height, 4" samples  A-2
Multiple Stainless Steel sample holder- 17", 3.5" Height, 4" samples A-2
125.00  14d 16h
Plate With 7200-0459-04 F ,PCB Door Reversal  7100-5136- AG Associates Heatpulse
Plate With 7200-0459-04 F ,PCB Door Reversal 7100-5136- AG Associates Heatpulse
1,500.00 4d 21h
Plate With 7200-0459-04 F ,PCB Door Reversal  7100-5136- AG Associates Heatpulse
Plate With 7200-0459-04 F ,PCB Door Reversal 7100-5136- AG Associates Heatpulse
1,500.00 4d 21h
3 AMAT 0200-35146 Qtz lift Pin
3 AMAT 0200-35146 Qtz lift Pin
600.00 11d 16h
AMAT 0040-05531 Cover, CHM I/O, Drawer Top, 407011
AMAT 0040-05531 Cover, CHM I/O, Drawer Top, 407011
500.00 24d 16h
124-0404// Amat Applied 0021-04878 Ring, Dep, 8" Jmr, Alum, Htesc
124-0404// Amat Applied 0021-04878 Ring, Dep, 8" Jmr, Alum, Htesc
1,400.00 11d 4h
L&H Power 849081.004 Power Supply IM804-1335/115A1  Working
L&H Power 849081.004 Power Supply IM804-1335/115A1  Working
157.16  29d 20h
Sanden S-PS-033B Power Supply, PCB, 411786
Sanden S-PS-033B Power Supply, PCB, 411786
175.00 4d 17h
Dinson Technology 2-276 BFS O-Ring, Blue Fluoro Silicon, Farmon ID 412539
Dinson Technology 2-276 BFS O-Ring, Blue Fluoro Silicon, Farmon ID 412539
350.00 21d 22h
7100-5746-01 Ring, Slip-free,  5in  7450-0032-05
7100-5746-01 Ring, Slip-free, 5in 7450-0032-05
1,500.00 2d 16h
177-0303// Amat Applied 3870-00230 Valve Ball 2-way 1500psig 3/8fnpt []
177-0303// Amat Applied 3870-00230 Valve Ball 2-way 1500psig 3/8fnpt []
300.00 18d 2h
418-0202// Yaskawa Usarem-03csf12 Ac Servo Motor [/fast]
418-0202// Yaskawa Usarem-03csf12 Ac Servo Motor [/fast]
500.00 21d 23h
322-0101// Amat Applied 0150-09505 Cable Assy,flow Meter []
322-0101// Amat Applied 0150-09505 Cable Assy,flow Meter []
360.00 1d 22h
AMAT 0150-97521 Cable, Com Comp EV Manifold, PROD S, 409500
AMAT 0150-97521 Cable, Com Comp EV Manifold, PROD S, 409500
450.00 19d 20h
AMAT 0021-26606 Flange Drive Roller
AMAT 0021-26606 Flange Drive Roller
355.00  8d 3h
124-0202// Amat Applied 0020-27309 Cover Ring 8" Ti 101%
124-0202// Amat Applied 0020-27309 Cover Ring 8" Ti 101%
1,600.00 11d 0h
Asyst technologies 3200-4346-03 TRI-RGB LED display PCB assy, REV D, 4346-03
Asyst technologies 3200-4346-03 TRI-RGB LED display PCB assy, REV D, 4346-03
350.00 8d 21h
Micrion Corp 150-435 Rev D Board
Micrion Corp 150-435 Rev D Board
750.00 25d 17h
Amat 0140-21253, Harness Assy, Transfer Cham Wafer Sensor. 411314
Amat 0140-21253, Harness Assy, Transfer Cham Wafer Sensor. 411314
450.00 20d 16h
Alphasem AG AS485-0-02 Interface Board PCB AS485-0  Working
Alphasem AG AS485-0-02 Interface Board PCB AS485-0  Working
154.17  16d 19h
EA6021 / EA6021 AC100 /110 /120V 50-60Hz / 4w
EA6021 / EA6021 AC100 /110 /120V 50-60Hz / 4w
300.00  5d 3h
Phoenix Contact Mcr-s-10/50-ui-dci Unmp
Phoenix Contact Mcr-s-10/50-ui-dci Unmp
30.00  7d 13h
Micrion Corp 150-874 Rev C Board
Micrion Corp 150-874 Rev C Board
750.00 22d 18h
Novellus T05-h02 Shield Etch End Chamber (cntl W95200080, Vndor 04-716638-01)
Novellus T05-h02 Shield Etch End Chamber (cntl W95200080, Vndor 04-716638-01)
375.00 2d 18h
Schumacher 1730-3005 Backplane Board PCB 1731-3005 S0000163-2A  Working
Schumacher 1730-3005 Backplane Board PCB 1731-3005 S0000163-2A  Working
204.17  23d 19h
Lot of 6 Angled, Anode, Ring, Sleeve 500062645 cp-050.  price is for 6
Lot of 6 Angled, Anode, Ring, Sleeve 500062645 cp-050. price is for 6
350.00  4d 19h
S82J PWA (25W) PCB Board, POW A2-A/2
S82J PWA (25W) PCB Board, POW A2-A/2
92.99  16d 16h
replacement filters HCA-6487-18 Lot of 4
replacement filters HCA-6487-18 Lot of 4
95.20 6d 11h
0021-10699; Amat, Pump Port Liner
0021-10699; Amat, Pump Port Liner
3,950.00  9d 20h
Novellus Systems PDL 02-264833-00 SESIOC WTS0 VERSION 4.72 CONNECTOR MODULE
Novellus Systems PDL 02-264833-00 SESIOC WTS0 VERSION 4.72 CONNECTOR MODULE
1,499.99 20d 12h
Panasonic 581A664B, Slave-B, PCB, 405840
Panasonic 581A664B, Slave-B, PCB, 405840
450.00 13d 20h
Fujikin FCSP8402EW4-4CC2-F3LF150/O2-E3 Fluid:O2 3SLM Mass Flow Controller
Top-Rated Plus Seller Fujikin FCSP8402EW4-4CC2-F3LF150/O2-E3 Fluid:O2 3SLM Mass Flow Controller
149.99  21d 14h
August Technology 704311 Rev A Switch E-Stop Board with 14 day warranty
August Technology 704311 Rev A Switch E-Stop Board with 14 day warranty
495.00 26d 19h
124-0203// Amat Applied 0020-26115 6" Clamp Ring Jmf Hot Al  6 Fi
124-0203// Amat Applied 0020-26115 6" Clamp Ring Jmf Hot Al 6 Fi
1,600.00 11d 0h
RECIF Technologies INTAH0251 Lighting Interface Board PCB PCB0251  Working
RECIF Technologies INTAH0251 Lighting Interface Board PCB PCB0251  Working
155.17  15d 13h
NOW Technology SR4BBAFB-050309 Smart Probe Press w/ Relief 4L
NOW Technology SR4BBAFB-050309 Smart Probe Press w/ Relief 4L
601.12  13d 16h
5 Dinsin SO04442 BFS O-ring, Blue Fluoro Silicon (2-365), 408009
5 Dinsin SO04442 BFS O-ring, Blue Fluoro Silicon (2-365), 408009
400.00 26d 21h
Teflon Wet Pump With Two Teflon Compression Fitting 406117
Teflon Wet Pump With Two Teflon Compression Fitting 406117
1,250.00 25d 18h
Lam Research Graphic Strategies Inc Bd Vgme512
Lam Research Graphic Strategies Inc Bd Vgme512
399.99  26d 17h
Lam Research Paddle 715-540231-001
Lam Research Paddle 715-540231-001
299.99  26d 18h
0010-36522, Applied Materials, Assy,edge Ring,txz,200mm,snnf
0010-36522, Applied Materials, Assy,edge Ring,txz,200mm,snnf
950.00  29d 13h
Applied Materials 0150-22509  Cable
Applied Materials 0150-22509 Cable
250.00  11d 15h
Bit 3 Computer Corp 82402025 Rev V Board
Bit 3 Computer Corp 82402025 Rev V Board
950.00 25d 21h
Densi-Lambda JWS150-3/A Power Supply Lot of 2  Working
Densi-Lambda JWS150-3/A Power Supply Lot of 2  Working
156.16  10d 13h
Nikon Japan 425051 BD Plan 20 / 0.4 210/0
Nikon Japan 425051 BD Plan 20 / 0.4 210/0
450.00 15d 16h
Greene Tweed 4201B26201SS592 O-Ring, CPD 592, 78-2573, 408666
Greene Tweed 4201B26201SS592 O-Ring, CPD 592, 78-2573, 408666
350.00 15d 17h
Btu Engineering 3161231 1084 3181250 Rev A Temperature Control Module 405864
Btu Engineering 3161231 1084 3181250 Rev A Temperature Control Module 405864
650.00 14d 19h
AERA FC-PA7800C-BA MFC, Mass Flow Controller, CO2, 1 SLM, 423694
AERA FC-PA7800C-BA MFC, Mass Flow Controller, CO2, 1 SLM, 423694
450.00 16d 21h
Mks 9615-1780 Htr1.5, El90lr, Spec, 1ap1
Mks 9615-1780 Htr1.5, El90lr, Spec, 1ap1
180.00  26d 21h
Novellus 02-117299-00 Assy, Probe, Keyed, Chemic, 3, 6, 23, ATMI, 321343
Novellus 02-117299-00 Assy, Probe, Keyed, Chemic, 3, 6, 23, ATMI, 321343
550.00 10d 20h
3270021c / Scp Mcs I/o Expansion Module (171-438-1e) / Scp Global Tech
3270021c / Scp Mcs I/o Expansion Module (171-438-1e) / Scp Global Tech
240.62  24d 21h
E17118110 / Varian Aperture Defining Profile Graphite / Varian E17118110
E17118110 / Varian Aperture Defining Profile Graphite / Varian E17118110
198.66  14d 16h
Wl27-f430 / 1010443 Photoelectric Retro-electric Senor / Sick
Wl27-f430 / 1010443 Photoelectric Retro-electric Senor / Sick
200.99  7d 16h
124-0201// Amat Applied 0020-23276 Cover Ring, Ti,8" 101% Coverag
124-0201// Amat Applied 0020-23276 Cover Ring, Ti,8" 101% Coverag
1,500.00 11d 0h
Advanced Thermal Sciences MVX-75 Water to Water Heat Exchanger  Tag #03
Advanced Thermal Sciences MVX-75 Water to Water Heat Exchanger Tag #03
2,950.00  8d 12h
116-0102// Amat Applied 0200-09615 Lift Wafer, Sr& Bse Bwcvd
116-0102// Amat Applied 0200-09615 Lift Wafer, Sr& Bse Bwcvd
760.00 29d 5h
116-0103// Amat Applied 0200-09762 Ring,clamping,notch,al 200mm, 1.38 Ht,fi
116-0103// Amat Applied 0200-09762 Ring,clamping,notch,al 200mm, 1.38 Ht,fi
990.00 29d 5h
116-0501// Amat Applied 0200-35782 Shadow Ring, 200mm Flat, Si/qt
116-0501// Amat Applied 0200-35782 Shadow Ring, 200mm Flat, Si/qt
700.00 2d 3h
414-0301// Nsk Esa-j2006df1-22 (unclean) Driver [/fast]
414-0301// Nsk Esa-j2006df1-22 (unclean) Driver [/fast]
1,000.00 19d 6h
413-0401// Nsk Esa-lyb3af3-11 Driver [/fast]
413-0401// Nsk Esa-lyb3af3-11 Driver [/fast]
1,000.00 20d 0h
418-0302// Yaskawa Usahem-01ds22 Ac Servo Motor [/fast]
418-0302// Yaskawa Usahem-01ds22 Ac Servo Motor [/fast]
1,000.00 22d 2h
426-0501// Mitsubish Rdt142s Touch Screen [/fast]
426-0501// Mitsubish Rdt142s Touch Screen [/fast]
1,000.00 23d 1h
429-0402// Berger Ifa62/2dp0-ds/5dcbb54/o-001rpp41 (cut Cable) Motor []
429-0402// Berger Ifa62/2dp0-ds/5dcbb54/o-001rpp41 (cut Cable) Motor []
1,000.00 26d 3h
348-0402// Amat Applied 0020-22879 Applied Matrials Components []
348-0402// Amat Applied 0020-22879 Applied Matrials Components []
1,000.00 11d 2h
321-0303// Amat Applied 0226-46993 Applied Matrials Components []
321-0303// Amat Applied 0226-46993 Applied Matrials Components []
1,000.00 12d 2h
115-0501// Amat Applied 0020-31609 Cover Rf Shield Interlck Delta Sacvd []
115-0501// Amat Applied 0020-31609 Cover Rf Shield Interlck Delta Sacvd []
1,120.00 13d 4h
Amat 0200-01954 Dep Ring Ti-kfab,
Amat 0200-01954 Dep Ring Ti-kfab,
5,000.00  28d 0h
SaginoMIYA ONE-2NIO 24vdc Controller,
SaginoMIYA ONE-2NIO 24vdc Controller,
100.00  10d 4h
152-0401// Agilent Technologies E6393b Cdma Ms Test Set []
152-0401// Agilent Technologies E6393b Cdma Ms Test Set []
1,000.00 1d 23h
104-0401// Amat Applied 0050-08028 Weldment, Heat Exchanger, Chem X, Cuxz,
104-0401// Amat Applied 0050-08028 Weldment, Heat Exchanger, Chem X, Cuxz,
1,200.00 13d 1h
Hitachi ZFL305-0 ZFL-305-C0 board
Hitachi ZFL305-0 ZFL-305-C0 board
299.00  22d 1h
Amat 0190-30332 Flashlamp Control & Power,
Amat 0190-30332 Flashlamp Control & Power,
6,000.00  2d 1h
TEL, Tokyo Electron, 581-1AE1-006 Sensor Transducer, 911 Magnetrol Level, 423618
TEL, Tokyo Electron, 581-1AE1-006 Sensor Transducer, 911 Magnetrol Level, 423618
495.00 19d 16h
Calcine Ceramic Liners Furnished
Calcine Ceramic Liners Furnished
1,490.00  2d 21h
Clippard AVT 32 12 Wasco For AG Asscoiates Heatpulse, 7300-0599-01 ,7300-0420-01
Clippard AVT 32 12 Wasco For AG Asscoiates Heatpulse, 7300-0599-01 ,7300-0420-01
1,800.00  8d 22h
WaFab MPC-203 Controller ChemKleen Bath
WaFab MPC-203 Controller ChemKleen Bath
401.00  14h 42m
Amat 0140-12757 Rev 003 11838000,
Amat 0140-12757 Rev 003 11838000,
3,000.00  8d 0h
Varian E17386490(s) Tungsten Suppression Electode Base
Varian E17386490(s) Tungsten Suppression Electode Base
450.00  10d 22h
142-0703// Amat Applied 0150-76014 Cable Assy, N2 Purge Mfc Pigta
142-0703// Amat Applied 0150-76014 Cable Assy, N2 Purge Mfc Pigta
60.00 28d 4h
119-0601// Amat Applied 0020-04174 Top Adapter
119-0601// Amat Applied 0020-04174 Top Adapter
600.00 4d 5h
Hitachi ZVL613 PCP,
Hitachi ZVL613 PCP,
300.00  19d 3h
Amat 0040-80234 Cross Cover Vertical,
Amat 0040-80234 Cross Cover Vertical,
1,000.00  8d 23h
Ldc30f-1 / Cosel Dc Power Supply Circuit Board Fta 2000 / Cosel
Ldc30f-1 / Cosel Dc Power Supply Circuit Board Fta 2000 / Cosel
117.99  12d 14h
KOSMA 110-4E1-F11/ Mod 110M 6F-F11 445
KOSMA 110-4E1-F11/ Mod 110M 6F-F11 445
120.00  24d 19h
Novellus System 95983-R Injector Tube 571 CVD Reseller Lot of 8 Refurbished
Novellus System 95983-R Injector Tube 571 CVD Reseller Lot of 8 Refurbished
252.17  27d 19h
SMC NZL112-G, (NZL0AA20U) Multistage Ejector 21-100PSI VACUUM AMAT 0870-01030
SMC NZL112-G, (NZL0AA20U) Multistage Ejector 21-100PSI VACUUM AMAT 0870-01030
110.00 18d 2h
2100-0008-1000-016 pcb
2100-0008-1000-016 pcb
499.00 13d 2h
Fbdal-rs20-9.52x6.35-2b3-pa-lfn
Fbdal-rs20-9.52x6.35-2b3-pa-lfn
1,305.00  21d 4h
Micrion Corp 150-1871 Rev B Board
Micrion Corp 150-1871 Rev B Board
750.00 22d 18h
Micrion Corp 150-0882 Rev B Board
Micrion Corp 150-0882 Rev B Board
750.00 25d 17h
Micrion Corp 150-1890 Rev B Board
Micrion Corp 150-1890 Rev B Board
950.00 25d 21h
AMAT 0020-79811 Ring, Clamp, 418146
AMAT 0020-79811 Ring, Clamp, 418146
315.00 11d 17h
7100-5999-01 RING, SLIP-FREE, 8IN, B Version
7100-5999-01 RING, SLIP-FREE, 8IN, B Version
1,500.00 2d 17h
Air Liquide Em 5000 Md Monitor /  Annunciator
Air Liquide Em 5000 Md Monitor / Annunciator
150.00  24d 15h
TEL, Tokyo Electron, SR00691 Guide Ring, Shield. 419789
TEL, Tokyo Electron, SR00691 Guide Ring, Shield. 419789
450.00 11d 21h
Amat 3030-11268 Mass Flow Controller Celerity Ufc-8565
Amat 3030-11268 Mass Flow Controller Celerity Ufc-8565
1,000.00  19d 2h
Amat 3030-11267 Mass Flow Controller Celerity Ufc-8565
Amat 3030-11267 Mass Flow Controller Celerity Ufc-8565
800.00  23d 2h
AMAT 0040-32252, Lugger, Lid, RPS. 417093
AMAT 0040-32252, Lugger, Lid, RPS. 417093
350.00 14d 18h
Varian 8104217781 Exhaust Filter, 451745
Varian 8104217781 Exhaust Filter, 451745
75.00 25d 16h
SlimPak ULTRA ACTION INSTRUMENT G418
SlimPak ULTRA ACTION INSTRUMENT G418
50.00 21d 14h
Applied 3200-00004 chassis 0100-00582 REV001 with 14 day warranty
Applied 3200-00004 chassis 0100-00582 REV001 with 14 day warranty
750.00 12d 15h
Micrion Corp 150-1870 Rev B or B1 Board
Micrion Corp 150-1870 Rev B or B1 Board
750.00 25d 18h
Switch Flow 70961-114 100 SCC/M CO2, Lot of 3,
Switch Flow 70961-114 100 SCC/M CO2, Lot of 3,
495.00 26d 21h
Sharp Precision SP-112, SP112 Hybrid Package Cover Remover
Sharp Precision SP-112, SP112 Hybrid Package Cover Remover
250.00  27d 18h
153-0501// Agilent Technologies N9360a Multi Ue Tester []
153-0501// Agilent Technologies N9360a Multi Ue Tester []
1,500.00 2d 2h
7450-0032-07 RING, SLIP-FREE,  6IN , 7100-5835-01A, L version
7450-0032-07 RING, SLIP-FREE, 6IN , 7100-5835-01A, L version
1,500.00 2d 16h
Elco GT3 Power Supply, 15V, 2.6A 413339
Elco GT3 Power Supply, 15V, 2.6A 413339
250.00 19d 17h
Amat 0190-15059 148365-1xx04-001151978,
Amat 0190-15059 148365-1xx04-001151978,
4,800.00  24d 4h
AMAT APPLIED MATERIALS 0200-06872 Insulator 300mm source adaptor , Ceramic
AMAT APPLIED MATERIALS 0200-06872 Insulator 300mm source adaptor , Ceramic
1,000.00  23d 3h
Amat 0100-90243, Pwba Rs232 I'face Unconf Can. 418520
Amat 0100-90243, Pwba Rs232 I'face Unconf Can. 418520
495.00 19d 17h
Asyst C0091-0628-01 Metal Driver, 408922
Asyst C0091-0628-01 Metal Driver, 408922
650.00 8d 15h
TEL D125663 Clamp Ring, 402741
TEL D125663 Clamp Ring, 402741
295.00 7d 16h
Parker Veriflo  959100w2pfsmm Ressure Regulator 1/4 Vcr Male X Female
Parker Veriflo 959100w2pfsmm Ressure Regulator 1/4 Vcr Male X Female
185.00  8d 17h
Aurora Biosciences 00006441 Sensor/Power Board PCB  Working
Aurora Biosciences 00006441 Sensor/Power Board PCB  Working
151.19  3d 14h
Nikon 4s018-722 Wlintlock Board
Nikon 4s018-722 Wlintlock Board
349.95  3d 11h
TEL Tokyo Electron 1B80-002391-11 PCB Assembly TSB2500 DI80D080 1B80-002389-11
TEL Tokyo Electron 1B80-002391-11 PCB Assembly TSB2500 DI80D080 1B80-002389-11
449.95  3d 21h
AMAT 0150-03998, 1-11938000-203, Cable, C/A EMO Interconnect, 25FT, 413867
AMAT 0150-03998, 1-11938000-203, Cable, C/A EMO Interconnect, 25FT, 413867
175.00 18h 55m
AMAT 0020-42083 Plate, Pumping, 6" FC WXZ 424219
AMAT 0020-42083 Plate, Pumping, 6" FC WXZ 424219
750.00 10d 19h
STEC SEC-4400MC MFC, Mass Flow Controller, AR, 500 SCCM, Calibrated, 423674
STEC SEC-4400MC MFC, Mass Flow Controller, AR, 500 SCCM, Calibrated, 423674
750.00 10d 21h
RECIF Technologies ZS0000705-8Q ChemGuard Tool Interface Board PCB AP1555
RECIF Technologies ZS0000705-8Q ChemGuard Tool Interface Board PCB AP1555
155.17  15d 12h
APPLIED MATERIALS 0040-00456 20-Ft Fiber Optic Cable
APPLIED MATERIALS 0040-00456 20-Ft Fiber Optic Cable
599.99  8d 20h
Varian 890420 890421 Gantry Patch Distribution Board Rev B
Varian 890420 890421 Gantry Patch Distribution Board Rev B
150.00  9d 8h
Agilent HP 08753-60082 Phase Lock Board Assembly for 8753B
Agilent HP 08753-60082 Phase Lock Board Assembly for 8753B
119.99  16d 17h
Phoseon Technology Fire Edge Fe300 75x10ac395-5w Sb
Phoseon Technology Fire Edge Fe300 75x10ac395-5w Sb
370.00  11d 6h
Seagull Solution inc 07087 Linear Controller with spender and cable
Seagull Solution inc 07087 Linear Controller with spender and cable
2,222.00  3d 1h
Amat 1400-00732 Snsr Capac Proximity 8mm Npn-nc Flush Mnt ,
Amat 1400-00732 Snsr Capac Proximity 8mm Npn-nc Flush Mnt ,
450.00  1d 4h
156-0202// Amat Applied 0195-00222 Gas Line  Stl Htr Vapor Out Fsg Pdcr Se
156-0202// Amat Applied 0195-00222 Gas Line Stl Htr Vapor Out Fsg Pdcr Se
1,300.00 26d 3h
123-0302// Amat Applied 0190-09662 Plate, Chamber I/f 5000 Za Slit Valve [asis]
123-0302// Amat Applied 0190-09662 Plate, Chamber I/f 5000 Za Slit Valve [asis]
510.00 16d 6h
Tegal WAFER Transfer CW1078-60301
Tegal WAFER Transfer CW1078-60301
1,999.00 13d 3h
PHD, 4475-19 ParentD30, 0180502-3-01 Actuator, Mini Rot
PHD, 4475-19 ParentD30, 0180502-3-01 Actuator, Mini Rot
750.00  2d 16h
7450-0059-04 RING, SLIP-FREE W/ NO FLAT,4 INCH, H Version
7450-0059-04 RING, SLIP-FREE W/ NO FLAT,4 INCH, H Version
1,500.00 2d 16h
Varian Implanter Retaining Rod Short 3+ Version E17014660 QTY 4  Other
Varian Implanter Retaining Rod Short 3+ Version E17014660 QTY 4  Other
180.00  4d 8h
SVG Silicon Valley Group 303-075 GP Temperature Transmitter  Working
SVG Silicon Valley Group 303-075 GP Temperature Transmitter  Working
359.09  13d 18h
Applied Materials Quartz Insolator 0200-20054
Applied Materials Quartz Insolator 0200-20054
999.99  4d 15h
DryTek 2800391E Dual Driver Board
Top-Rated Plus Seller DryTek 2800391E Dual Driver Board
189.98  27d 20h
Daifuku Svl-3813a Board
Daifuku Svl-3813a Board
499.95  22d 22h
1161 Simco H 166b Power Unit 120vac 50/60hz 0.25va 4001277
1161 Simco H 166b Power Unit 120vac 50/60hz 0.25va 4001277
62.63  4d 9h
GaSonics 12N-010-13 Intel Mechanization Board PCB Card 05003-001  Working
GaSonics 12N-010-13 Intel Mechanization Board PCB Card 05003-001  Working
155.17  22d 15h
@@ Tubephragm Pump Model Hpt-106-2
Top-Rated Plus Seller @@ Tubephragm Pump Model Hpt-106-2
750.00  23d 19h
Phoenix Contact Mcr-s-10/50-ui-dci Nsmp
Phoenix Contact Mcr-s-10/50-ui-dci Nsmp
208.00  15d 12h
Micrion Corp 150-1810 Rev B or Rev B1 Board
Micrion Corp 150-1810 Rev B or Rev B1 Board
950.00 25d 18h
176-0504// Amat Applied 0040-00552 He Vent Manifold [2nd ]
176-0504// Amat Applied 0040-00552 He Vent Manifold [2nd ]
220.00 23d 0h
AERA FC-PA7800C-BA MFC, Mass Flow Controller, CO2, 1 SLM, 423692
AERA FC-PA7800C-BA MFC, Mass Flow Controller, CO2, 1 SLM, 423692
450.00 16d 20h
Kammrath CPU7044 with 14 day warranty
Kammrath CPU7044 with 14 day warranty
950.00 17d 18h
Vicor 20-011101 AC Line Filter Board PCB 24-011108-01  Working
Vicor 20-011101 AC Line Filter Board PCB 24-011108-01  Working
160.18  6d 19h
Modus Instruments DA-1-09E-0-RFRR Display Alarm Lot of 2  Working
Modus Instruments DA-1-09E-0-RFRR Display Alarm Lot of 2  Working
155.17  4d 19h
Star Linear Stage Warm Gear, 420732
Star Linear Stage Warm Gear, 420732
250.00 17d 17h
2900-4015-01 Controller, Multi-l
2900-4015-01 Controller, Multi-l
1,800.00 2d 14h
Motherboard AA 639282-812 with 14 day warranty
Motherboard AA 639282-812 with 14 day warranty
495.00 26d 19h
Lam 715-011912-084 Plate, Baffle, Upper 8.3in
Lam 715-011912-084 Plate, Baffle, Upper 8.3in
300.00 11d 16h
AMAT 0020-13498 Rev.A, AJAX 412, CB1 Protective Cover. 417895
AMAT 0020-13498 Rev.A, AJAX 412, CB1 Protective Cover. 417895
325.00 4d 20h
Celerity TN2920 Mass Flow Controller FC-2921V-J
Celerity TN2920 Mass Flow Controller FC-2921V-J
269.50  10d 5h
342-0203// Amat Applied 0010-75573 Applied Matrials Components
342-0203// Amat Applied 0010-75573 Applied Matrials Components
1,200.00 18d 1h
342-0203// Amat Applied 0010-75574 Applied Matrials Components
342-0203// Amat Applied 0010-75574 Applied Matrials Components
1,200.00 18d 1h
2pcs Hytron  Celerity   220-32255-000  Bar Mfc 220-32221-000
2pcs Hytron Celerity 220-32255-000 Bar Mfc 220-32221-000
47.99  24d 17h
 SEM Gold Au Sputtering Target: 99.99% Pure, 57mm D x 0.1mm Thick
 SEM Gold Au Sputtering Target: 99.99% Pure, 57mm D x 0.1mm Thick
499.00  15d 20h
Lot of 20 HPS Adjustable Thumb Screw Aluminum AL Flange Clamps
Lot of 20 HPS Adjustable Thumb Screw Aluminum AL Flange Clamps
22.19  28d 1h
See Description Asml Serv.472.34661
See Description Asml Serv.472.34661
400.00  14d 20h
HP Agilent E5515 Reference 61182 (3947) Made in UK
HP Agilent E5515 Reference 61182 (3947) Made in UK
149.00  7d 21h
PN CC1106-00204 Chamber Assembly Tegal 901e SN 10903
PN CC1106-00204 Chamber Assembly Tegal 901e SN 10903
5,800.00  4d 23h
Amat 0190-16615 Rev.003
Amat 0190-16615 Rev.003
1,999.00  22d 7h
Donaldson P511554 LITHOGUARD FILTER
Donaldson P511554 LITHOGUARD FILTER
288.00  14d 9h
Amat 0040-48030 Liner, Top, Ticl4 Tin ,
Amat 0040-48030 Liner, Top, Ticl4 Tin ,
2,200.00  16d 0h
142-0302// Amat Applied 0140-09176 Harness Assy, E-chain Pneumatic, 300mm E
142-0302// Amat Applied 0140-09176 Harness Assy, E-chain Pneumatic, 300mm E
1,200.00 27d 5h
Novellus 04-709665-01 Plate, Mounting, 6", 420716
Novellus 04-709665-01 Plate, Mounting, 6", 420716
395.00 16d 21h
7310-5787-01 A Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108
7310-5787-01 A Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108
2,500.00  9d 13h
TEL Tokyo Electron D124569-S Dark Space Shield Size 12  Surplus
TEL Tokyo Electron D124569-S Dark Space Shield Size 12  Surplus
398.07  27d 13h
7450-0059-06 RING, SLIP-FREE W/ NO FLAT,6,INCH, J version
7450-0059-06 RING, SLIP-FREE W/ NO FLAT,6,INCH, J version
1,500.00 2d 16h
323-0303// Amat Applied 1200-01354 Rly   Assy Spst Nc Coax Male Conn
323-0303// Amat Applied 1200-01354 Rly Assy Spst Nc Coax Male Conn
800.00 28d 6h
Metron D112649 MRC 8" Cathode Dark Space Shield Rev. G
Metron D112649 MRC 8" Cathode Dark Space Shield Rev. G
301.12  8d 19h
4000-1044-07 Lamp Driver 208VAC
4000-1044-07 Lamp Driver 208VAC
3,200.00 3d 0h
Etri 99xu2182000 Nsnp
Etri 99xu2182000 Nsnp
124.00  17d 12h
PN 7100-1596-15 AG Associates Heatpulse Susceptor?
PN 7100-1596-15 AG Associates Heatpulse Susceptor?
7,000.00 1d 5h
AMAT 0190-10967 Ado-Re Operator access Top Cover, 418040
AMAT 0190-10967 Ado-Re Operator access Top Cover, 418040
395.00 6d 19h
Axcelis High Voltage Aperture-Graphite VIG Version 17089711 REV B (99016AV)
Axcelis High Voltage Aperture-Graphite VIG Version 17089711 REV B (99016AV)
100.00  6d 9h
Fenwal SDP-ADS-K1 Reseiver for Photoelectric Smoke Dedector,
Fenwal SDP-ADS-K1 Reseiver for Photoelectric Smoke Dedector,
200.00  12d 1h
Micrion Corp 150-1130 Rev C5 Board
Micrion Corp 150-1130 Rev C5 Board
950.00 25d 18h
MKS HPS 953 Gauge Controller For Parts/Repair
MKS HPS 953 Gauge Controller For Parts/Repair
59.99 26d 20h
AG Associates Heatpulse 8108 8800 7100-5897-01, Assembly,Quartz Tray,6", EZ-DTC
AG Associates Heatpulse 8108 8800 7100-5897-01, Assembly,Quartz Tray,6", EZ-DTC
3,200.00  24d 22h
129-0201// Amat Applied 0100-90251 Applied Matrials Components
129-0201// Amat Applied 0100-90251 Applied Matrials Components
1,000.00 21d 2h
Hitachi 571-71127 Hg-Lamp Position Monitor LMPMON1 571-7117 PCB I-900SRT
Hitachi 571-71127 Hg-Lamp Position Monitor LMPMON1 571-7117 PCB I-900SRT
503.11  20d 20h
Varian P/N XE11053123 REV.C  SITE 200mm , sc, 3,DEG, WHITE
Varian P/N XE11053123 REV.C SITE 200mm , sc, 3,DEG, WHITE
999.00  29d 4h
Oriental Motor 5IK90GU-SMF2 AC Magnetic Brake Motor, 90W, 200V, 3 Phase, 423499
Oriental Motor 5IK90GU-SMF2 AC Magnetic Brake Motor, 90W, 200V, 3 Phase, 423499
750.00 24d 20h
348-0102// Amat Applied 0140-35134 0040-75124 Applied Matrials Components
348-0102// Amat Applied 0140-35134 0040-75124 Applied Matrials Components
100.00 7d 23h
AMAT 0150-76824 Cable Assembly, MCA2 AC Box, HTESC, Harness, 414294
AMAT 0150-76824 Cable Assembly, MCA2 AC Box, HTESC, Harness, 414294
175.00 5d 17h
AMAT 0040-75296,300MM RPG P5 Bellows
AMAT 0040-75296,300MM RPG P5 Bellows
388.00  12d 5h
AMAT 0020-18095 Spacer, Relay, 407233
AMAT 0020-18095 Spacer, Relay, 407233
250.00 1d 19h
Mfc Brooks 5964 / 2 Slpm / C2f6
Mfc Brooks 5964 / 2 Slpm / C2f6
450.00  5d 5h
Amat 3030-02615 Mfc 1660 3.5slm He 1/4vcr Mtl Nc Hov C ,
Amat 3030-02615 Mfc 1660 3.5slm He 1/4vcr Mtl Nc Hov C ,
799.00  6d 3h
AMAT 0150-21726 Cable Assembly, Chamber 5 Umbilical, 410888
AMAT 0150-21726 Cable Assembly, Chamber 5 Umbilical, 410888
250.00 9d 22h
AMAT 0021-23121 Rev.003, MEI-003-21302, Pin Tip PPR iECP. 419652
AMAT 0021-23121 Rev.003, MEI-003-21302, Pin Tip PPR iECP. 419652
650.00 9d 19h
Tokyo Electron TEL Avio B , TGB801-1/DI01 , BX81-000005-14
Tokyo Electron TEL Avio B , TGB801-1/DI01 , BX81-000005-14
488.00  29d 10h
AMAT 0150-05213, Cable, Assy, Per Ch., Ch. PMP Shut DWN I, 410910
AMAT 0150-05213, Cable, Assy, Per Ch., Ch. PMP Shut DWN I, 410910
175.00 13d 16h
144-0301// Cti 8043076 Cti Cable
144-0301// Cti 8043076 Cti Cable
180.00 2d 4h
HP / Agilent E5515- 60102-3831-01-200022-19484 PCB Board Made in USA
HP / Agilent E5515- 60102-3831-01-200022-19484 PCB Board Made in USA
149.00  7d 17h
7225 / 16 Relay Outputs Isolated D/i 7225 Rev.b / Icp Electronics
7225 / 16 Relay Outputs Isolated D/i 7225 Rev.b / Icp Electronics
130.68  17d 15h
412-0101// Nsk Rs0604fn002 (unclean) Motor [/fast]
412-0101// Nsk Rs0604fn002 (unclean) Motor [/fast]
1,000.00 19d 5h
342-0302// Amat Applied 0240-10290 Kit Spares Arm Assy Basic Top Mount Sus
342-0302// Amat Applied 0240-10290 Kit Spares Arm Assy Basic Top Mount Sus
1,000.00 15d 0h
Applied Materials/AMAT Cable (Part Number: 0130-07523 Rev. 004)
Applied Materials/AMAT Cable (Part Number: 0130-07523 Rev. 004)
180.00  24d 20h
ESI CKA 78132 Servo Signal Conditioner Board
ESI CKA 78132 Servo Signal Conditioner Board
488.00  28d 10h
Roller Assembly RT0399-2686
Roller Assembly RT0399-2686
50.00  11d 14h
HEMG-S03 AP-2118A-S-PbF + AP-2119A-S-PbF Electronic Board
HEMG-S03 AP-2118A-S-PbF + AP-2119A-S-PbF Electronic Board
999.95  3d 19h
Micrion Corp 150-1490 Rev B1 or B3 Board
Micrion Corp 150-1490 Rev B1 or B3 Board
750.00 25d 18h
Vicor Mission Power Solutions 700-0034-01 Power Supply 97923225 Rev. C1
Vicor Mission Power Solutions 700-0034-01 Power Supply 97923225 Rev. C1
402.12  15d 20h
Applied Materials 0020-23045 Lower Shield
Applied Materials 0020-23045 Lower Shield
225.00  22d 20h
SensArray Corporation ProcessProbe Instrumented Wafers 1501A-8-4108
SensArray Corporation ProcessProbe Instrumented Wafers 1501A-8-4108
2,500.00 1d 23h
SensArray Corporation ProcessProbe Instrumented Wafers 1501A-8-0244
SensArray Corporation ProcessProbe Instrumented Wafers 1501A-8-0244
2,500.00 2d 0h
SensArray Corporation ProcessProbe Instrumented Wafers 1501A-6-0523
SensArray Corporation ProcessProbe Instrumented Wafers 1501A-6-0523
2,500.00 2d 0h
Omron S8PS-05005C 5V DC Power Supply  Working
Omron S8PS-05005C 5V DC Power Supply  Working
151.17  22d 18h
Candela Instruments DTD Controller Assy 0737 REV 2
Candela Instruments DTD Controller Assy 0737 REV 2
149.00  7d 18h
Applied Materials 21016402116,Sunx DPX-200, Vacuum Sensor  with 2  NPN Outputs
Applied Materials 21016402116,Sunx DPX-200, Vacuum Sensor with 2 NPN Outputs
125.00  1d 12h
SUNX Sensors LA-AI Beam Sensor Amplifier Lot of 3  Working
SUNX Sensors LA-AI Beam Sensor Amplifier Lot of 3  Working
653.12  20d 12h
AMAT 0020-24633 Top Cover CH TRay B Outer (Widebody) 417901
AMAT 0020-24633 Top Cover CH TRay B Outer (Widebody) 417901
650.00 4d 20h
4000-1044-07 Lamp Driver 400VAC
4000-1044-07 Lamp Driver 400VAC
2,500.00 3d 0h
AMAT 0140-07090 Harness Assy, 300M Axiom, Bulkhead C Bias, 417624
AMAT 0140-07090 Harness Assy, 300M Axiom, Bulkhead C Bias, 417624
675.00 16h 22m
AMAT 0150-08083 4 Ch To Back Panel Cable Assembly 413887
AMAT 0150-08083 4 Ch To Back Panel Cable Assembly 413887
275.00 21h 17m
AMAT 0150-09077 Liquid Source HTR Cable, Assembly, 413865
AMAT 0150-09077 Liquid Source HTR Cable, Assembly, 413865
350.00 29d 16h
Amat 0020-47198 Cover, 200mm Profiler ,
Amat 0020-47198 Cover, 200mm Profiler ,
800.00  4d 22h
Micrion Corp 150-0691 Rev A1 Board
Micrion Corp 150-0691 Rev A1 Board
750.00 22d 18h
Micrion Corp 150-1840 Rev A1 Board
Micrion Corp 150-1840 Rev A1 Board
750.00 22d 18h
Micrion Corp 150-1410 Rev B1 Board
Micrion Corp 150-1410 Rev B1 Board
750.00 22d 18h
Micrion Corp 150-1300 Rev A1 Board
Micrion Corp 150-1300 Rev A1 Board
750.00 25d 17h
Micrion Corp 150-0083 Rev B5 Board
Micrion Corp 150-0083 Rev B5 Board
750.00 25d 18h
Applied Materials AMAT 0051-01138 0051 FC1 PTFE Tube Fitting Flare 301057
Applied Materials AMAT 0051-01138 0051 FC1 PTFE Tube Fitting Flare 301057
99.99 16d 19h
Amat 0730-01048 Convcable 20pin To 9pin D Full Open Purg. 411164
Amat 0730-01048 Convcable 20pin To 9pin D Full Open Purg. 411164
250.00 16d 0h
Ds043-002196-1 / Heater Mantle 9530-0509 / Tokyo Electron Tel
Ds043-002196-1 / Heater Mantle 9530-0509 / Tokyo Electron Tel
120.82  3d 12h
859-0808-008 / Pcb Delim Lower Board / Gs Battery Usa
859-0808-008 / Pcb Delim Lower Board / Gs Battery Usa
125.68  10d 19h
AMAT 0240-92457 Clean Room Remote Control Box with Cable 0140-90426
AMAT 0240-92457 Clean Room Remote Control Box with Cable 0140-90426
275.00  2d 12h
Wpmv200c0 / Waferpure Mini Xl Gas Purifer For Co Gas 1/4" Male / Millipore
Wpmv200c0 / Waferpure Mini Xl Gas Purifer For Co Gas 1/4" Male / Millipore
85.00  8d 16h
NTE Electronics 503-0004 DIAL-7/8" DIA 1/4" SHAFT DIA 15 TURN SATIN FINISH
NTE Electronics 503-0004 DIAL-7/8" DIA 1/4" SHAFT DIA 15 TURN SATIN FINISH
19.93 8d 15h
Phasetronics P1050-X2-60 Power Control System Lot of 2  Working
Phasetronics P1050-X2-60 Power Control System Lot of 2  Working
255.15  5d 13h
Amat 0150-05501, Ffu Pressure Sensor To Cable Assembly. 410927
Amat 0150-05501, Ffu Pressure Sensor To Cable Assembly. 410927
250.00 13d 16h
Varian 113940001, 1902, Arc Cham Base Plate. 419112
Varian 113940001, 1902, Arc Cham Base Plate. 419112
550.00 25d 21h
AMAT 0140-21363, Cable, Harness, Cable Interlock. 414447
AMAT 0140-21363, Cable, Harness, Cable Interlock. 414447
225.00 6d 18h
Schlumberger 504-000 TLA DC Motor Driver Assembly  Working
Schlumberger 504-000 TLA DC Motor Driver Assembly  Working
410.11  2d 13h
112-0203// Amat Applied 0010-09549 Wafer Lift Assy [asis]
112-0203// Amat Applied 0010-09549 Wafer Lift Assy [asis]
1,000.00 14d 22h
Db12-10  /  Ac To Dc Power Module  /  Acopian
Db12-10 / Ac To Dc Power Module / Acopian
25.03  18d 18h
651-0103 / Particle Filter / Tjs, Inc
651-0103 / Particle Filter / Tjs, Inc
30.68  14d 20h
0800-1930-00 / Fd-s80, Diffuse Reflective Fiber Optic Cable / Sunx 0800-1930-00
0800-1930-00 / Fd-s80, Diffuse Reflective Fiber Optic Cable / Sunx 0800-1930-00
23.66  9d 16h
Dow 11140553 X Ikonic 4250h Window Pad 30.5" Dia Amat Cmp,
Dow 11140553 X Ikonic 4250h Window Pad 30.5" Dia Amat Cmp,
800.00 19d 22h
Siemens PLC Relay 230RCLB11 6ED1 053-1FH00-0BA2  Working
Siemens PLC Relay 230RCLB11 6ED1 053-1FH00-0BA2  Working
309.12  8d 17h
AERA FC-PA7800C-BA MFC, Mass Flow Controller, SiH4, 2 SLM, 423693
AERA FC-PA7800C-BA MFC, Mass Flow Controller, SiH4, 2 SLM, 423693
450.00 16d 21h
323-0302// Amat Applied 1120-00254 Optical Housing Assembly,vertical- Right
323-0302// Amat Applied 1120-00254 Optical Housing Assembly,vertical- Right
750.00 28d 6h
Internix Profort 810 PF810-ASEL TEACH PENDANT
Internix Profort 810 PF810-ASEL TEACH PENDANT
488.00  10d 9h
320-0401// Amat Applied 0190-24114 Applied Matrials Components
320-0401// Amat Applied 0190-24114 Applied Matrials Components
750.00 16d 2h
SensArray Corporation ProcessProbe Instrumented Wafers 1530A-8-005 7310-4368-01
SensArray Corporation ProcessProbe Instrumented Wafers 1530A-8-005 7310-4368-01
2,500.00 1d 23h
Amat 0020-19943 Housing, Output Drain,300mm Loadcup  ,
Amat 0020-19943 Housing, Output Drain,300mm Loadcup ,
700.00  2h 31m
Eaton Csms4a4cy1606 Ser. 1 Nsmp
Eaton Csms4a4cy1606 Ser. 1 Nsmp
86.00  16d 11h
3Com 6750-12 Rev A EtherLink 16 Board with 14 day warranty
3Com 6750-12 Rev A EtherLink 16 Board with 14 day warranty
495.00 18d 22h
429-0501// Nissei Gfm-12-15-t40 (cut Cable) Induction Motor []
429-0501// Nissei Gfm-12-15-t40 (cut Cable) Induction Motor []
400.00 26d 4h
Anorad board VPC-2000A VPC-2000B with VPC-2000 V2.42 chip
Anorad board VPC-2000A VPC-2000B with VPC-2000 V2.42 chip
950.00 4d 18h
Lot of 5 Copper Moly CuMo (85% Mo) 0.032"x0.057"x0.01" heatsink
Lot of 5 Copper Moly CuMo (85% Mo) 0.032"x0.057"x0.01" heatsink
77.00  16d 15h
AMAT 0140-01465 Harness Assy, Motor Power 8 Drivers Motion Control, 417714
AMAT 0140-01465 Harness Assy, Motor Power 8 Drivers Motion Control, 417714
495.00 1d 16h
Applied Materials 0090-12982 AEROBAR ,
Applied Materials 0090-12982 AEROBAR ,
555.00  13d 0h
Micrion 150-1278 Rev A2
Micrion 150-1278 Rev A2
950.00 5d 20h
AMAT 0150-99126, Time 24 Ltd, Cable Assembly, Gas MDL, ASH3/PH3, 15 Way. 417940
AMAT 0150-99126, Time 24 Ltd, Cable Assembly, Gas MDL, ASH3/PH3, 15 Way. 417940
250.00 5d 18h
7310-3479-02 B+ 7310-1844-01 +7310-1845-01 Robot Effector AG Associates
7310-3479-02 B+ 7310-1844-01 +7310-1845-01 Robot Effector AG Associates
2,700.00  9d 14h
7310-3479-02 B+ 7310-3480-04D Robot Effector AG Associates
7310-3479-02 B+ 7310-3480-04D Robot Effector AG Associates
2,700.00  9d 14h
Axcelis Extraction Electrode Liner 17122880 REV A (99262-5)
Axcelis Extraction Electrode Liner 17122880 REV A (99262-5)
140.00  7d 7h
130-0302// Amat Applied 0226-00671 Applied Matrials Components
130-0302// Amat Applied 0226-00671 Applied Matrials Components
1,000.00 21d 0h
8130 Tokyo Electron Pcb Power Control Board (808-521630-1) W/ 881-6 881-521630-1
8130 Tokyo Electron Pcb Power Control Board (808-521630-1) W/ 881-6 881-521630-1
178.75  9d 0h
346-0301// Amat Applied 0010-09967 Assy 8-slot Wafer Position Sensor Mount
346-0301// Amat Applied 0010-09967 Assy 8-slot Wafer Position Sensor Mount
1,200.00 28d 9h
Amat 0020-26312-05-34 Upper Shield
Amat 0020-26312-05-34 Upper Shield
350.00  24d 16h
156-0101// Leybold 908-096-p3 908-097-p3 Heater
156-0101// Leybold 908-096-p3 908-097-p3 Heater
250.00 26d 0h
AMAT 0150-76401, Harness, Cable, 300MM, Motion Control Interconnect. 414481
AMAT 0150-76401, Harness, Cable, 300MM, Motion Control Interconnect. 414481
200.00 6d 21h
Compact Automation Products QJM02-3738 w/Reed Switches
Compact Automation Products QJM02-3738 w/Reed Switches
169.99 15d 3h
Amat 0150-35541 C/a Smif I/f Conf Outlet. 407352
Amat 0150-35541 C/a Smif I/f Conf Outlet. 407352
250.00 4d 15h
Disco FBPCB-0281 & FBPCB-0084 board
Disco FBPCB-0281 & FBPCB-0084 board
629.00  7d 21h
Novellus 15-112327-00, ADAPTER, MESC,2' THK
Novellus 15-112327-00, ADAPTER, MESC,2' THK
700.00  2d 3h
DryTek 2800391E Dual Driver Module Board - General Signal
Top-Rated Plus Seller DryTek 2800391E Dual Driver Module Board - General Signal
219.98  27d 20h
SensArray Corporation ProcessProbe Instrumented Wafers 1501A-8-0431 7310-3516-03
SensArray Corporation ProcessProbe Instrumented Wafers 1501A-8-0431 7310-3516-03
2,500.00 1d 23h
Orbot Instruments 710-62101-DD WFSCSI PCB Connector Board Lot of 2  Working
Orbot Instruments 710-62101-DD WFSCSI PCB Connector Board Lot of 2  Working
158.16  19d 14h
111-0301// Amat Applied 0021-10370 Cover, Turbo Side
111-0301// Amat Applied 0021-10370 Cover, Turbo Side
900.00 5d 5h
AMAT 0050-53491 Weldment, ZDV Final Gasline, 410903
AMAT 0050-53491 Weldment, ZDV Final Gasline, 410903
225.00 13d 15h
Varian 111539001, Plate MTG Support, Panel. 414880
Varian 111539001, Plate MTG Support, Panel. 414880
250.00 6d 19h
AMAT 0140-01438, Harness Assembly, Cable, Pneumatic CH D, TPCC. 413946
AMAT 0140-01438, Harness Assembly, Cable, Pneumatic CH D, TPCC. 413946
250.00 2d 15h
Hitachi Contactor 801
Hitachi Contactor 801
35.00  10d 16h
124-0301// Amat Applied 0020-28867 Disk 8" Advanced 101 Shutter
124-0301// Amat Applied 0020-28867 Disk 8" Advanced 101 Shutter
1,000.00 11d 0h
Amat 0040-79170 Carrier,
Amat 0040-79170 Carrier,
3,000.00  16d 3h
Lam 810-802902-033 Motherboard Node 2
Lam 810-802902-033 Motherboard Node 2
499.00 29d 23h
Glenair 153-18551-00 Assembly, N/F, Controller, Cable, 405835
Glenair 153-18551-00 Assembly, N/F, Controller, Cable, 405835
200.00 13d 20h
Tokyo Electron 3884-200930-12 3887-211246-12 Formula Assy, Htr Pwr_ctrl ,
Tokyo Electron 3884-200930-12 3887-211246-12 Formula Assy, Htr Pwr_ctrl ,
3,500.00  9d 4h
AMAT 0150-00585-SPOT Rev.P1, K-Tech, Cable Assembly, Cell a Intake. 413665
AMAT 0150-00585-SPOT Rev.P1, K-Tech, Cable Assembly, Cell a Intake. 413665
250.00 26d 21h
Theis Enterprises SN 200454 Main Control Board For Tegal 901e Tegal 903e Etcher
Theis Enterprises SN 200454 Main Control Board For Tegal 901e Tegal 903e Etcher
3,000.00  8d 17h
Amat 0140-08047 Harn Assy, 208vac Pwr Pdo ,
Amat 0140-08047 Harn Assy, 208vac Pwr Pdo ,
1,300.00  6d 13h
MRC Gripper Bellows
MRC Gripper Bellows
265.00  12d 19h
FESTO PC9905 Rev A ,
FESTO PC9905 Rev A ,
299.00  12d 17h
Amat 0200-00997 Blade
Amat 0200-00997 Blade
2,999.00  22d 19h
Applied Materials 0090-06267 01 Assy Fullvision Switch Power 300mm Ref
Applied Materials 0090-06267 01 Assy Fullvision Switch Power 300mm Ref
100.00 8d 2h
Tokyo Electron 1D10-204338 Upper Electrode
Tokyo Electron 1D10-204338 Upper Electrode
4,200.00  22d 20h
343-0402// Amat Applied 0020-10464 Nut, Feed Thru
343-0402// Amat Applied 0020-10464 Nut, Feed Thru
10.00 14d 21h
142-0502// Amat Applied 0140-20361 Harness Assy, Water Leak Detec
142-0502// Amat Applied 0140-20361 Harness Assy, Water Leak Detec
20.00 22d 5h
324-0202// Amat Applied 0020-04383 Upper Cap Insulator, Gas Coole []
324-0202// Amat Applied 0020-04383 Upper Cap Insulator, Gas Coole []
40.00 23d 6h
AMAT 0140-06832 Harness Assembly, Producer E, Right Chamber, 411011
AMAT 0140-06832 Harness Assembly, Producer E, Right Chamber, 411011
250.00 11d 23h
141-0503// Amat Applied 0150-35708 Cable Assy,edge To Db9 Conn,se
141-0503// Amat Applied 0150-35708 Cable Assy,edge To Db9 Conn,se
150.00 4h 45m
436-0501// Mitsubishi Fr-a024-0.1kp Inverter []
436-0501// Mitsubishi Fr-a024-0.1kp Inverter []
200.00 5d 3h
Nikon 4S019-235 Interface PCB Card C/D_I/F NSR-307E  Working
Nikon 4S019-235 Interface PCB Card C/D_I/F NSR-307E  Working
254.17  29d 15h
AMAT 0150-92407 CFA 1C.P4/3A.P10 Cable, Harness, 413862
AMAT 0150-92407 CFA 1C.P4/3A.P10 Cable, Harness, 413862
250.00 18h 33m
429-0102// Denso Msma082t2v2 Ac Servo Motor [/fast]
429-0102// Denso Msma082t2v2 Ac Servo Motor [/fast]
700.00 23d 4h
Hivertec PPD2A PMC Board, PCB, PPD2A-2X. 414931
Hivertec PPD2A PMC Board, PCB, PPD2A-2X. 414931
250.00 7d 16h
AMAT 0040-97621 Bracket Air Clamp Cover Inner, 413640
AMAT 0040-97621 Bracket Air Clamp Cover Inner, 413640
250.00 26d 16h
ESI 9300 Z VAC INTERLOCK BOARD 133190 REV. A  /  Free Shipping
ESI 9300 Z VAC INTERLOCK BOARD 133190 REV. A / Free Shipping
119.00 27d 4h
 Amatapplied Materials 0010-60008 4" Susceptor Plate Factory Sealed
 Amatapplied Materials 0010-60008 4" Susceptor Plate Factory Sealed
1,749.99  12d 16h
Tylan FC-2952 MASS FLOW CONTROLLER MFC 200 SCCM FC-2952MOEP5
Tylan FC-2952 MASS FLOW CONTROLLER MFC 200 SCCM FC-2952MOEP5
95.00  18d 14h
Tokyo Electron 3887-206309-13 Formula Assy, Htr Pwr_ctrl,
Tokyo Electron 3887-206309-13 Formula Assy, Htr Pwr_ctrl,
3,500.00  13d 2h
Tokyo Electron 3887-211246-11 Formula Assy, Htr Pwr_ctrl,
Tokyo Electron 3887-211246-11 Formula Assy, Htr Pwr_ctrl,
3,500.00  13d 2h
TEL, Tokyo Electron, 1208-001151-11, Indexer PCB. 419963
TEL, Tokyo Electron, 1208-001151-11, Indexer PCB. 419963
495.00 16d 22h
175-0401// Amat Applied 0010-76018 Assy 6 Cassette [2nd ]
175-0401// Amat Applied 0010-76018 Assy 6 Cassette [2nd ]
2,150.00 29d 5h
104-0401// Amat Applied 0040-43392 Lower Spacer Fin Assy Symmetric
104-0401// Amat Applied 0040-43392 Lower Spacer Fin Assy Symmetric
1,000.00 26d 0h
Millipore W2501KP01 Teach Pendant Photo-250  Working
Millipore W2501KP01 Teach Pendant Photo-250  Working
506.12  24d 13h
Micrion Corp 150-815 Rev B1 Board
Micrion Corp 150-815 Rev B1 Board
750.00 25d 17h
344-0501// Amat Applied 0020-33048 Brg Holder Secondary/eccentric
344-0501// Amat Applied 0020-33048 Brg Holder Secondary/eccentric
480.00 9d 3h
124-0404// Amat Applied 0020-30058 Blocker Plate 8 Bpsg/psg Teos
124-0404// Amat Applied 0020-30058 Blocker Plate 8 Bpsg/psg Teos
440.00 11d 23h
141-0603// Amat Applied 0140-76711 H/a, Cass Index/elev Step Exte
141-0603// Amat Applied 0140-76711 H/a, Cass Index/elev Step Exte
470.00 8d 4h
143-0502// Amat Applied 0150-10311 Cable H.v. Prsp Power Supply
143-0502// Amat Applied 0150-10311 Cable H.v. Prsp Power Supply
520.00 5d 3h
323-0201// Amat Applied 3700-01867 O-ring Id 12.984 Csd.139 Chemr
323-0201// Amat Applied 3700-01867 O-ring Id 12.984 Csd.139 Chemr
450.00 14d 23h
116-0301// Amat Applied 0270-20088 Tool, Preclean Ii 8 Robot Ali
116-0301// Amat Applied 0270-20088 Tool, Preclean Ii 8 Robot Ali
450.00 2d 2h
340-0201// Amat Applied 0040-61254 Shaft Alum Ceramic Heater, 200
340-0201// Amat Applied 0040-61254 Shaft Alum Ceramic Heater, 200
470.00 25d 2h
324-0201// Amat Applied 0021-10642 Feedthru,helium,housing
324-0201// Amat Applied 0021-10642 Feedthru,helium,housing
460.00 9d 4h
123-0402// Brooks 002-3465-01 Serial Communications Module []
123-0402// Brooks 002-3465-01 Serial Communications Module []
450.00 15d 2h
123-0302// Amat Applied 0190-09662 Plate, Chamber I/f 5000 Za Slit Valve []
123-0302// Amat Applied 0190-09662 Plate, Chamber I/f 5000 Za Slit Valve []
510.00 16d 6h
345-0101// Amat Applied 0020-24629 Special Side Receiver W/groove
345-0101// Amat Applied 0020-24629 Special Side Receiver W/groove
510.00 12d 5h
143-0501// Amat Applied 0150-09841 Cable Assy Power Cable Magnet
143-0501// Amat Applied 0150-09841 Cable Assy Power Cable Magnet
550.00 28d 5h
320-0303// Amat Applied 0190-16926 Dnet Bus Scanner, Single Channel, Sst Cp
320-0303// Amat Applied 0190-16926 Dnet Bus Scanner, Single Channel, Sst Cp
500.00 9d 3h
427-0501// Pro-face Gp477r-eg11 Touch Screen [/fast]
427-0501// Pro-face Gp477r-eg11 Touch Screen [/fast]
500.00 23d 0h
130-0201// Brooks 002-2901-01 Load Lock Node []
130-0201// Brooks 002-2901-01 Load Lock Node []
500.00 15d 2h
Alcatel 3SEC17018AA ADLT-K Module w/ Daughter Board, PCB, CP010113962, 411889
Alcatel 3SEC17018AA ADLT-K Module w/ Daughter Board, PCB, CP010113962, 411889
500.00 5d 18h
Motherboard LG9C01593 QM412 M99203 with 14 day warranty
Motherboard LG9C01593 QM412 M99203 with 14 day warranty
750.00 26d 19h
Lot of 500 OSRAM SFH305 Array Phototransistors
Lot of 500 OSRAM SFH305 Array Phototransistors
500.00 11d 17h
PN: 7310-5195-02 (7100-5995-02) For AG Associates Heatpulse 8108, 8800, 4108 RTP
PN: 7310-5195-02 (7100-5995-02) For AG Associates Heatpulse 8108, 8800, 4108 RTP
2,800.00  20d 21h
BOC EDWARDS interface module, U20000521
BOC EDWARDS interface module, U20000521
650.00  1d 20h
Nikon KAB11005 V32 Board
Nikon KAB11005 V32 Board
433.00  28d 11h
Vicor Mission Power Solutions 700-0034-01 Power Supply 97923225 Rev. D
Vicor Mission Power Solutions 700-0034-01 Power Supply 97923225 Rev. D
402.12  15d 21h
134-0503// Amat Applied 0020-70278 Lifter 200mm Wafer Orienter P5
134-0503// Amat Applied 0020-70278 Lifter 200mm Wafer Orienter P5
1,200.00 28d 9h
AMAT 0150-07250 Cable Assembly, Digital Flow SW Display Exte 414470
AMAT 0150-07250 Cable Assembly, Digital Flow SW Display Exte 414470
200.00 6d 20h
Esi 181581 Fmp 33008-1 Assy
Esi 181581 Fmp 33008-1 Assy
555.00  19d 10h
Logical Design Group VME-2232-24 Interface Board 9000-30-011  Working
Logical Design Group VME-2232-24 Interface Board 9000-30-011  Working
511.11  19d 13h
BUSTRONIC ASSY. 101VMEJ106-9001 REV.B / Free Expedited Shipping
BUSTRONIC ASSY. 101VMEJ106-9001 REV.B / Free Expedited Shipping
182.00 1d 2h
ampere MACRO 6743  / Free Expedited Shipping
ampere MACRO 6743 / Free Expedited Shipping
199.00 20d 0h
ORBOTECH ORBOT-DNV1-016389  /  Free Expedited Shipping
ORBOTECH ORBOT-DNV1-016389 / Free Expedited Shipping
199.00 4d 0h
AMAT 0140-00884 Harness Assembly, Motion Control Interlock, 410775
AMAT 0140-00884 Harness Assembly, Motion Control Interlock, 410775
250.00 7d 17h
114-0301// Novellus 02-387943-00 Asm, Hdsioc 2 Hv Inext Pvd []
114-0301// Novellus 02-387943-00 Asm, Hdsioc 2 Hv Inext Pvd []
1,000.00 25d 5h
110-0601// Amat Applied 0270-18047 Adaptor,modified Asis
110-0601// Amat Applied 0270-18047 Adaptor,modified Asis
800.00 29d 1h
116-0303// AMAT APPLIED 0200-35576 ISOLATOR,LID,TxZ
116-0303// AMAT APPLIED 0200-35576 ISOLATOR,LID,TxZ
800.00 2d 3h
351-0101// Amat Applied 0050-25994 Manifold Water Source 13" Sst []
351-0101// Amat Applied 0050-25994 Manifold Water Source 13" Sst []
800.00 10d 0h
320-0501// Amat Applied 0020-22584 Box, Resistivity Meter,dot Two []
320-0501// Amat Applied 0020-22584 Box, Resistivity Meter,dot Two []
1,000.00 11d 5h
TEL Tokyo Electron D116700 Etch Shield Rev. B  Surplus
TEL Tokyo Electron D116700 Etch Shield Rev. B  Surplus
501.12  9d 16h
Brooks Transponder Reader ASC-I1 TLG-I2-FL6M-01
Brooks Transponder Reader ASC-I1 TLG-I2-FL6M-01
139.90  24d 6h
0190-14027 AMAT Applied Materials / FlatFinder
0190-14027 AMAT Applied Materials / FlatFinder
1,000.00  8d 7h
Applied Ceramics Double Cup Coil Support 91-01125A Lot of 11
Applied Ceramics Double Cup Coil Support 91-01125A Lot of 11
602.12  28d 20h
 Cyber Research Stc 10pmx Remote Computer
Top-Rated Plus Seller  Cyber Research Stc 10pmx Remote Computer
750.00  22d 13h
Dec-3224 Dio-035 Pcb
Dec-3224 Dio-035 Pcb
209.00 19d 3h
21150-5 Board, iRSC / Assy 21150-5 / EiS Inc / Executone
21150-5 Board, iRSC / Assy 21150-5 / EiS Inc / Executone
150.00 11d 17h
Tokyo Electron 3895-120321-12 Formula Ttlm10-11 Elvif-f Pcb ,
Tokyo Electron 3895-120321-12 Formula Ttlm10-11 Elvif-f Pcb ,
1,100.00  11d 11h
Tokyo Electron 3895-120322-11 Formula Ttlm11-11 W/tif-l Pcb ,
Tokyo Electron 3895-120322-11 Formula Ttlm11-11 W/tif-l Pcb ,
1,100.00  11d 11h
Automation Unlimited PCB 25013-6
Automation Unlimited PCB 25013-6
499.00 12d 18h
National Instruments BNL-2090 Terminal Block
National Instruments BNL-2090 Terminal Block
405.12  27d 19h
Amat 0270-00739 Install Tool Cathode Assembly, 300mm Hdp,
Amat 0270-00739 Install Tool Cathode Assembly, 300mm Hdp,
2,640.00  18d 5h
Tokyo TEL SAT-MCTR 3M81-001116-12 with 30 day warranty
Tokyo TEL SAT-MCTR 3M81-001116-12 with 30 day warranty
495.00 19d 19h
Tokyo TEL SAT-OFBR 3M81-019772-11 with 30 day warranty
Tokyo TEL SAT-OFBR 3M81-019772-11 with 30 day warranty
495.00 19d 19h
HPS MKS 7" ISO Vacuum Fitting with 1-1/8" Universal Port (3537)
Top-Rated Plus Seller HPS MKS 7" ISO Vacuum Fitting with 1-1/8" Universal Port (3537)
213.75  12d 19h
TEL Tokyo Electron Moly CR-125mm Clamp Ring D129479 Rev.A
TEL Tokyo Electron Moly CR-125mm Clamp Ring D129479 Rev.A
501.12  8d 16h
CMP PVA Sponge Brush Roll 70x31x216 mm for AMAT Mirra Mesa None-Core 1 Unit Ups#
CMP PVA Sponge Brush Roll 70x31x216 mm for AMAT Mirra Mesa None-Core 1 Unit Ups#
99.99 28d 9h
116-0403// Amat Applied 0200-10246 Uni-insert,gdp,liner,88 Hold,
116-0403// Amat Applied 0200-10246 Uni-insert,gdp,liner,88 Hold,
700.00 2d 3h
Csz Zphs-16-3 5-3 5-sc/ac
Csz Zphs-16-3 5-3 5-sc/ac
13,250.00  26d 0h
138-0601// Pearl Kogyo Zdk-926-100m Control Asis
138-0601// Pearl Kogyo Zdk-926-100m Control Asis
1,500.00 1d 3h
Recif Technologies FOE03A60325 Rotation Key Motor
Recif Technologies FOE03A60325 Rotation Key Motor
433.00  27d 2h
148-0501// Amat Applied 0150-35206 Harness Assy Mainframe Umbilic
148-0501// Amat Applied 0150-35206 Harness Assy Mainframe Umbilic
2,700.00 19d 5h
Kuhnke 657.440.01 Slave Board
Top-Rated Plus Seller Kuhnke 657.440.01 Slave Board
299.98  1d 14h
HORIBA D213-SCD GAS:CH2F2 200SCCM Digital Mass Flow Module,
HORIBA D213-SCD GAS:CH2F2 200SCCM Digital Mass Flow Module,
1,200.00  20d 3h
HP 704974-001 HSTNS-PR35 704969-301 716931-001 7001652-J000 REV: CZ Power Suppl
HP 704974-001 HSTNS-PR35 704969-301 716931-001 7001652-J000 REV: CZ Power Suppl
150.00  11d 6h
Amat 0140-04666 Harness Assy Swll- B Centu  ,
Amat 0140-04666 Harness Assy Swll- B Centu ,
1,000.00  1d 3h
Nikon 4S018-908-1 Interface PCB Card PNLRESET NSR-307E  Working
Nikon 4S018-908-1 Interface PCB Card PNLRESET NSR-307E  Working
254.17  29d 15h
Ex140-ssd1-x16 / Serial Unit, Sz3a60-5-m5-x7 Assy Sk2000 / Smc
Ex140-ssd1-x16 / Serial Unit, Sz3a60-5-m5-x7 Assy Sk2000 / Smc
69.00  23d 2h
136-0401// Amat Applied 0240-01704 Etch Corrosion []
136-0401// Amat Applied 0240-01704 Etch Corrosion []
9,600.00 3d 3h
Unit Ufc-1200a Mass Flow Controller Gas: O2 5 Slm,
Unit Ufc-1200a Mass Flow Controller Gas: O2 5 Slm,
1,000.00  2d 3h
Kammrath & Weiss GmbH Micromodul Controller Mainframe with 14 day warranty
Kammrath & Weiss GmbH Micromodul Controller Mainframe with 14 day warranty
950.00 17d 18h
 World Machining 12002558 Rev. 2 Cover, Vacuum Delivery Aluminum
Top-Rated Plus Seller  World Machining 12002558 Rev. 2 Cover, Vacuum Delivery Aluminum
27.98  9d 19h
417-0401// Yaskawa Sgdv-1r6a11a Servopack [/fast]
417-0401// Yaskawa Sgdv-1r6a11a Servopack [/fast]
300.00 20d 6h
417-0401// Yaskawa Sgdv-1r6a01a Servopack [/fast]
417-0401// Yaskawa Sgdv-1r6a01a Servopack [/fast]
300.00 20d 6h
417-0501// Yaskawa Sgd-01bpy10 Servopack [/fast]
417-0501// Yaskawa Sgd-01bpy10 Servopack [/fast]
300.00 21d 1h
7310-2889-01(7310-2829-01?) B Robot Effector AG Associates
7310-2889-01(7310-2829-01?) B Robot Effector AG Associates
2,500.00  9d 14h
Disco EAUF-270700, Control Panel. 412301
Disco EAUF-270700, Control Panel. 412301
750.00 13d 21h
152-0101// Sartorius 8842728 33074005 Dcu3 Touch [asis]
152-0101// Sartorius 8842728 33074005 Dcu3 Touch [asis]
3,000.00 2d 3h
Oriel 7072 Detection System  Working
Oriel 7072 Detection System  Working
85.00  16d 12h
Amat 1110-01045 Ntwrk Port Server 16-chan ,
Amat 1110-01045 Ntwrk Port Server 16-chan ,
950.00  22d 7h
120-0401// Amat Applied 0020-22920 Shield, 6" Preclean []
120-0401// Amat Applied 0020-22920 Shield, 6" Preclean []
600.00 23d 3h
TEL Tokyo Electron J3 Cable Assembly  Surplus
TEL Tokyo Electron J3 Cable Assembly  Surplus
204.16  28d 18h
Keithley 9172-102-02a Card
Keithley 9172-102-02a Card
799.00  21d 7h
Adept tech SIO IDE 10332-22000 rev D
Adept tech SIO IDE 10332-22000 rev D
388.00  4d 5h
APPLIED MATERIALS 0190-52244 HORIZONTAL / NEEDLE, RANGE 125-1250mL/min
APPLIED MATERIALS 0190-52244 HORIZONTAL / NEEDLE, RANGE 125-1250mL/min
570.00  21d 13h
Johnson Controls NU-XBN101-0 Output Module METASYS  Working
Johnson Controls NU-XBN101-0 Output Module METASYS  Working
258.13  19d 12h
Fusion Systems 288861 Rev A Unicassette System Interconnect with 14 day warranty
Fusion Systems 288861 Rev A Unicassette System Interconnect with 14 day warranty
750.00 12d 20h
Tokyo Electron TEL , PF-IB TM_IL , BX81-070011-11
Tokyo Electron TEL , PF-IB TM_IL , BX81-070011-11
388.00  29d 11h
Vexta 0400001941 5-phase Stepping Motor Uph569-a-a28 Dc 1.4a 402774
Vexta 0400001941 5-phase Stepping Motor Uph569-a-a28 Dc 1.4a 402774
250.00 7d 15h
CANON BG3-4761 Board
CANON BG3-4761 Board
2,999.00  2d 6h
AMAT 0020-18184, 200mm WEST COAST Quartz
AMAT 0020-18184, 200mm WEST COAST Quartz
999.90 22d 23h
Svg P.i.d Compasation 80218a
Svg P.i.d Compasation 80218a
399.00  18d 15h
859-0808-007 / A5154 Pcb, Interface Board/ Asml
859-0808-007 / A5154 Pcb, Interface Board/ Asml
120.98  10d 18h
Tcp Plate O-ring 734-091193-001
Tcp Plate O-ring 734-091193-001
10.00  6d 16h
332-0401// Amat Applied 0010-30528 (#1) Assembly, Upper Facilities Panel,
332-0401// Amat Applied 0010-30528 (#1) Assembly, Upper Facilities Panel,
2,000.00 4d 5h
136-0401// Amat Applied 0040-22415, 0100-20097 Panel Manifold Generater []
136-0401// Amat Applied 0040-22415, 0100-20097 Panel Manifold Generater []
2,800.00 25d 6h
316-0201// Amat Applied 0020-09021 Bottom Cover, Etch Chmbr
316-0201// Amat Applied 0020-09021 Bottom Cover, Etch Chmbr
1,500.00 8d 23h
333-0301// Amat Applied 0020-20171 Cover, Insulator 13" 2nd Source
333-0301// Amat Applied 0020-20171 Cover, Insulator 13" 2nd Source
1,800.00 21d 5h
333-0101// Amat Applied 0020-20296 Frame Source 13 2nd Source
333-0101// Amat Applied 0020-20296 Frame Source 13 2nd Source
2,800.00 21d 5h
Sopra PM2 386 NIR Photomultiplier Module with 14 day warranty
Sopra PM2 386 NIR Photomultiplier Module with 14 day warranty
950.00 28d 18h
406-0401// Amat 0190-22204 Sdu10 Controller [/fast]
406-0401// Amat 0190-22204 Sdu10 Controller [/fast]
1,000.00 13d 2h
417-0401// Yaskawa Sgds-30a05a Servopack [/fast]
417-0401// Yaskawa Sgds-30a05a Servopack [/fast]
1,000.00 20d 6h
424-0401// Ae 2052-000-c Mdx-052 Remote []
424-0401// Ae 2052-000-c Mdx-052 Remote []
1,100.00 12d 0h
AMAT 0150-03907 Harness Assy., 300MM Endpoint Adaptor, 417525
AMAT 0150-03907 Harness Assy., 300MM Endpoint Adaptor, 417525
250.00 28d 20h
TEL Tokyo Electron G140130 IRA Plate Assembly
TEL Tokyo Electron G140130 IRA Plate Assembly
206.16  13d 15h
TEL Tokyo Electron J12 Cable Assembly  Surplus
TEL Tokyo Electron J12 Cable Assembly  Surplus
204.18  28d 18h
NIKON 4S066-452- RSR-ISAT/NIK1-1, 4S088-494 iSAT-CB, 4S785-305, 4S020-249
NIKON 4S066-452- RSR-ISAT/NIK1-1, 4S088-494 iSAT-CB, 4S785-305, 4S020-249
2,800.00  2d 16h
Micrion 150-1630 rev A2 150-001630
Micrion 150-1630 rev A2 150-001630
369.00  25d 0h
ADTECH   SL5410SM2PWFV4FV4, 2Port, 1/4VCR
ADTECH SL5410SM2PWFV4FV4, 2Port, 1/4VCR
200.00  4d 22h
346-0303// Amat Applied 0720-02773 Conn Coax Adptr Str Skt Type 716 []
346-0303// Amat Applied 0720-02773 Conn Coax Adptr Str Skt Type 716 []
100.00 24d 3h
123-0102// Amat Applied 0090-35057 Assy, Wafer Lift Cyl W/switch []
123-0102// Amat Applied 0090-35057 Assy, Wafer Lift Cyl W/switch []
660.00 3d 5h
Tokyo Electron TEL , PF-CB CM_DC , BX81-070013-14
Tokyo Electron TEL , PF-CB CM_DC , BX81-070013-14
388.00  29d 11h
Mks 4640-1116 Htr4.0, Str16.0, 1ap1
Mks 4640-1116 Htr4.0, Str16.0, 1ap1
250.00  16d 19h
Nikon PCB EX-AIS 4S007-843-F Lot of 2  Working
Nikon PCB EX-AIS 4S007-843-F Lot of 2  Working
606.12  19d 17h
Applied Materials AMAT GAS FEED TUBE 0200-9450, NOS
Applied Materials AMAT GAS FEED TUBE 0200-9450, NOS
80.00  3d 16h
AMAT 0020-04527 Driver Housing, 451655
AMAT 0020-04527 Driver Housing, 451655
45.00 19d 15h
Amat 0140-00046 Receiver, Wiring Assy.
Amat 0140-00046 Receiver, Wiring Assy.
50.00  9d 1h
Amat 0140-00036 Emitter Wiring Assy
Amat 0140-00036 Emitter Wiring Assy
50.00  9d 1h
Heating Jacket
Heating Jacket
3,500.00  11d 14h
CIO-DDA06 / JR PWA, ANALOG OUTPUT 6-Channel, 12-Bit
CIO-DDA06 / JR PWA, ANALOG OUTPUT 6-Channel, 12-Bit
400.00  17d 0h
Edwards Middle Sleeve SCCM P1264 Refurbished
Edwards Middle Sleeve SCCM P1264 Refurbished
507.12  27d 14h
FEIN FOCUS PDN4 Board
FEIN FOCUS PDN4 Board
333.00  2d 11h
Hitachi High Technologies 1-815631 200mm Robot Wand
Hitachi High Technologies 1-815631 200mm Robot Wand
411.08  18d 21h
Clean Sciences 15-262478-00 PED Blankoff Novellus C3 Altus  Working
Clean Sciences 15-262478-00 PED Blankoff Novellus C3 Altus  Working
257.15  4d 20h
134-0401// Amat Applied 0010-03406 Assy Applicator 1.5kw Uwave Wxz Ver 2.5
134-0401// Amat Applied 0010-03406 Assy Applicator 1.5kw Uwave Wxz Ver 2.5
3,000.00 23d 3h
Amat 0150-77074 Cbl Assy,20ft Pwr Platen,
Amat 0150-77074 Cbl Assy,20ft Pwr Platen,
1,600.00  15d 3h
Brooks D-07745, Cable, 406298
Brooks D-07745, Cable, 406298
275.00 27d 18h
Novellus 03-028665-02, A173, Cable 402578
Novellus 03-028665-02, A173, Cable 402578
195.00 5d 13h
AERA FC-PA7800C-BA MFC, Mass Flow Controller CH4, 2 SLM, 423711
AERA FC-PA7800C-BA MFC, Mass Flow Controller CH4, 2 SLM, 423711
450.00 18d 21h
Genus Board 2299-01 Digital Isolator FAB 2290-00
Genus Board 2299-01 Digital Isolator FAB 2290-00
137.98  7d 18h
AMAT 0150-99126 Issue.A, Cable Assembly, MDL, ASH3/PH3, 15 Way. 417941
AMAT 0150-99126 Issue.A, Cable Assembly, MDL, ASH3/PH3, 15 Way. 417941
250.00 5d 18h
Pittman 276-009-001 C S Rev. E Amplifier Board Lot of 4  Working
Pittman 276-009-001 C S Rev. E Amplifier Board Lot of 4  Working
510.11  4d 12h
7310-2269-02 Rear Flange AG Associates Heatpulse RTP
7310-2269-02 Rear Flange AG Associates Heatpulse RTP
3,500.00  9d 17h
180-0601// Ofs Dcm120 Rightwave Tm Module []
180-0601// Ofs Dcm120 Rightwave Tm Module []
500.00 1d 23h
Unit Ufc-1100 Unit Mass Flow Controller , Gas:o2 5slm,
Unit Ufc-1100 Unit Mass Flow Controller , Gas:o2 5slm,
1,000.00  3d 20h
Staubli Unimation TP-CS5 UNIVAL Teach Pendant FSI Polaris W.211.100.20
Staubli Unimation TP-CS5 UNIVAL Teach Pendant FSI Polaris W.211.100.20
714.00 336.60  23d 19h
Lambda EWS50-5 Power Supply Hitachi I-900SRT Lot of 3  Working
Lambda EWS50-5 Power Supply Hitachi I-900SRT Lot of 3  Working
311.11  7d 18h
7310-4606–01 C Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108
7310-4606–01 C Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108
2,500.00  9d 13h
7200-0945-01 C Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108
7200-0945-01 C Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108
1,800.00  9d 13h
7200-0941-01 B Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108
7200-0941-01 B Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108
1,800.00  9d 13h
7310-3479-02 B Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108
7310-3479-02 B Robot Effector Robot ARM AG Associates Heatpulse 8108 8800 4108
2,500.00  9d 13h
119-0201// Amat Applied 0021-17770 Cover Ring, 300mm Sst
119-0201// Amat Applied 0021-17770 Cover Ring, 300mm Sst
1,900.00 5d 3h
TURCK RSV RKV 56-6M Minifast Cordset Set 5 Position Female Male U2286-3 U2286
Top-Rated Plus Seller TURCK RSV RKV 56-6M Minifast Cordset Set 5 Position Female Male U2286-3 U2286
99.99 16d 19h
AMAT 0620-02707 N/F Power Cable Assy, Harness, 422385
AMAT 0620-02707 N/F Power Cable Assy, Harness, 422385
250.00 12d 20h
Riken Keiki OX-571A Oxygen Module Volume Range 0-25% OS-B11N  Working
Riken Keiki OX-571A Oxygen Module Volume Range 0-25% OS-B11N  Working
207.15  4d 14h
105-0401// Amat Applied 0200-02067 Bushing Hoop Wafer Lift Producer E
105-0401// Amat Applied 0200-02067 Bushing Hoop Wafer Lift Producer E
1,000.00 26d 0h
346-0203// Edwards A505088000 Silencer []
346-0203// Edwards A505088000 Silencer []
1,000.00 15d 5h
114-0301// Novellus 02-279241-00 Sesioc 1 Ixt Pvd []
114-0301// Novellus 02-279241-00 Sesioc 1 Ixt Pvd []
1,200.00 25d 5h
VARIAN 0240053531 REGULATOR Farmon ID 406024
VARIAN 0240053531 REGULATOR Farmon ID 406024
250.00 19d 17h
AMAT 0100-00075 w PWB VIDEO CONTROLLER,
AMAT 0100-00075 w PWB VIDEO CONTROLLER,
1,000.00  20d 1h
12839 Tokyo Electron Pcb, Tab217-1/pc2-lf, 2l08-050043-11 2l81-050043-11
12839 Tokyo Electron Pcb, Tab217-1/pc2-lf, 2l08-050043-11 2l81-050043-11
950.49  23d 0h
ProgramMation BM303010000R.KPRI0037T-M, Photosensor Ring PCB. 322487
ProgramMation BM303010000R.KPRI0037T-M, Photosensor Ring PCB. 322487
200.00 6d 16h
Amat 0140-13224 H/a Fi Dist Pcba To Pdo ,
Amat 0140-13224 H/a Fi Dist Pcba To Pdo ,
700.00  1d 0h
Daifuku Opc2703a Board
Daifuku Opc2703a Board
499.95  3d 14h
2 Mitsubishi MR-J2S-20B Servo Motor 417009
2 Mitsubishi MR-J2S-20B Servo Motor 417009
500.00 11d 21h
Versatyme Vs16-12 Gating & Display Board
Versatyme Vs16-12 Gating & Display Board
100.00 11d 16h
AMAT 0140-02922 Rev.003, Interlock, Interconnect, Harness, Inside 300mm. 418750
AMAT 0140-02922 Rev.003, Interlock, Interconnect, Harness, Inside 300mm. 418750
250.00 22d 17h
CANON BG3-5531 Board
CANON BG3-5531 Board
1,999.00  2d 6h
CANON BG3-4531 Board
CANON BG3-4531 Board
2,999.00  2d 6h
CANON BG3-5210 Board
CANON BG3-5210 Board
1,999.00  2d 6h
Hitachi 3-824791 GV1 Cover
Hitachi 3-824791 GV1 Cover
200.08  16d 19h
STEC SEC-Z11DM MASS FLOW CONTROLLER 300 He H2  ,
STEC SEC-Z11DM MASS FLOW CONTROLLER 300 He H2 ,
1,200.00  9d 17h
Glenair 153-18556-00 Assembly, N/F, Controller, Cable, 405834
Glenair 153-18556-00 Assembly, N/F, Controller, Cable, 405834
175.00 13d 20h
AMAT Applied Materials 0190-07679 Control Station Operator Interface Working
AMAT Applied Materials 0190-07679 Control Station Operator Interface Working
257.15  13d 20h
Amat 0020-78705 Bracket ,
Amat 0020-78705 Bracket ,
800.00  17d 4h
Aera FC-PA7800C-BA MFC Mass Flow Controller, CH4, 2 SLM, AMAT 3030-16234, 423734
Aera FC-PA7800C-BA MFC Mass Flow Controller, CH4, 2 SLM, AMAT 3030-16234, 423734
450.00 24d 15h
332-0401// Amat Applied 0010-30528 (#2) Assembly, Upper Facilities Panel,
332-0401// Amat Applied 0010-30528 (#2) Assembly, Upper Facilities Panel,
2,000.00 7d 5h
Micromanipulator SRV1 Chuck Assembly Supply
Micromanipulator SRV1 Chuck Assembly Supply
299.99  22d 19h
Pro-face 3280007-12, Touch Panel Display, Rating : Class2 24V DC 0.98A
Pro-face 3280007-12, Touch Panel Display, Rating : Class2 24V DC 0.98A
300.00  23d 22h
Fostec 80952 8 Inch Single Lightline Nikon  Working
Fostec 80952 8 Inch Single Lightline Nikon  Working
529.09  19d 16h
Nemic-Lambda EWS100-24 DC Power Supply Lot of 3  Working
Nemic-Lambda EWS100-24 DC Power Supply Lot of 3  Working
604.12  4d 20h
Amat 03-78669-00 W Pcb Do 412322
Amat 03-78669-00 W Pcb Do 412322
550.00 14d 16h
Amat 0190-22280 336mm Gate Assy, Alum With G67p Oring ,
Amat 0190-22280 336mm Gate Assy, Alum With G67p Oring ,
1,400.00  4d 21h
2 RKC H-D0-E-D SR MINI HG SYSTEM TEMPERATURE CONTROL Farmon ID 402862
2 RKC H-D0-E-D SR MINI HG SYSTEM TEMPERATURE CONTROL Farmon ID 402862
180.00 13d 19h
Aviza Technology 166759-002 Solenoid Harness Pack to Gas Board Cable
Aviza Technology 166759-002 Solenoid Harness Pack to Gas Board Cable
206.18  11d 19h
RVSI 68410 rev C  71010 rev. E
RVSI 68410 rev C 71010 rev. E
209.00 19d 0h
PN TGL-39-735-003 Low Electrode Chuck 5 Inch Tegal Spare Parts
PN TGL-39-735-003 Low Electrode Chuck 5 Inch Tegal Spare Parts
2,500.00  11d 19h
Humphrey Products Air Valves Air Cylinders 0624E11205060
Humphrey Products Air Valves Air Cylinders 0624E11205060
120.00  27d 20h
Amat 0150-92668 Cfa X3a.p8/x1e,.p1 Cable 402669
Amat 0150-92668 Cfa X3a.p8/x1e,.p1 Cable 402669
175.00 6d 19h
Delta Design 1909502-501 Dual Stepper Controller Board PCB Rev. B Summit
Delta Design 1909502-501 Dual Stepper Controller Board PCB Rev. B Summit
154.17  9d 18h
130-0402// Amat Applied 0100-09154 Assy, Mult-emission Endpoint B
130-0402// Amat Applied 0100-09154 Assy, Mult-emission Endpoint B
700.00 29d 8h
Toyo Tanso RING,PRE-HEAT for AMAT Centura  old stock in box(#12-8)
Toyo Tanso RING,PRE-HEAT for AMAT Centura  old stock in box(#12-8)
225.00  15d 4h
Termotek P302-16466-1 ,2009 Vintage D380C-012
Termotek P302-16466-1 ,2009 Vintage D380C-012
3,250.00  23d 22h
Termotek P302-16466-1 2009 Vintage D380C-014
Termotek P302-16466-1 2009 Vintage D380C-014
3,250.00  23d 22h
Math Associates Eaton NOVA RCVR  EN-4078-101
Math Associates Eaton NOVA RCVR EN-4078-101
130.00  8d 18h
353-0301// Amat Applied 0195-11127 Applied Matrials Components
353-0301// Amat Applied 0195-11127 Applied Matrials Components
1,800.00 20d 3h
TEL Tokyo Electron WZ10-102837-11 XA Shield  Working
TEL Tokyo Electron WZ10-102837-11 XA Shield  Working
500.09  8d 18h
Whitey SS-44S6 Ball Valve, 452153
Whitey SS-44S6 Ball Valve, 452153
50.00 12d 19h
Whitey SS-44S6, Ball Valve, 452155
Whitey SS-44S6, Ball Valve, 452155
50.00 12d 19h
156-0403// Amat Applied 4315-0037 Applied Matrials Components
156-0403// Amat Applied 4315-0037 Applied Matrials Components
150.00 26d 5h
156-0404// Amat Applied 4515-0123 Applied Matrials Components
156-0404// Amat Applied 4515-0123 Applied Matrials Components
150.00 26d 23h
Omron E3S-1E1 Photoelectric Switch, E3S-1LE1, E3S-1DE1, 328922
Omron E3S-1E1 Photoelectric Switch, E3S-1LE1, E3S-1DE1, 328922
200.00 6d 14h
0190-35961 or 0190-03567; ASSEMBLY OPTICAL SENSOR/CABLE
0190-35961 or 0190-03567; ASSEMBLY OPTICAL SENSOR/CABLE
2,755.00  16d 22h
Vlv2b0068 / Valve-diaphragm Pfa Pnea 2 Way / Sms
Vlv2b0068 / Valve-diaphragm Pfa Pnea 2 Way / Sms
156.45  14d 15h
TEL DS000-OPQF99-8 Assembly, Tokyo Electron, C/L End Lid, Farmon ID 412501
TEL DS000-OPQF99-8 Assembly, Tokyo Electron, C/L End Lid, Farmon ID 412501
450.00 20d 22h
AMAT 0020-23043 Upper Shield, Tin, 8" Wafer, PVD 424185
AMAT 0020-23043 Upper Shield, Tin, 8" Wafer, PVD 424185
150.00 4d 15h
AMAT Circuit Board (Assy 670197, SCH 7802-D-5033)
AMAT Circuit Board (Assy 670197, SCH 7802-D-5033)
39.99  21d 20h
Tegal WAFER Transfer CW1078 W00303RW
Tegal WAFER Transfer CW1078 W00303RW
1,999.00 13d 3h
102-0601// Amat Applied 0200-02112 Liner, Lid, 300mm Ticl4 Tin
102-0601// Amat Applied 0200-02112 Liner, Lid, 300mm Ticl4 Tin
1,200.00 13d 1h
Amat 0150-16279 Cable Assy, Hr3, Driver-motor Power, Ref ,
Amat 0150-16279 Cable Assy, Hr3, Driver-motor Power, Ref ,
900.00  3h 59m
Eaton 544621 8-Port Serial Card 544611 PCB Board  Working
Eaton 544621 8-Port Serial Card 544611 PCB Board  Working
160.16  18d 15h
RKC Instrument DSX-BOL-11-33A Temperature Controller PCB DSX-BOL Lot of 2
RKC Instrument DSX-BOL-11-33A Temperature Controller PCB DSX-BOL Lot of 2
408.13  1d 19h
PCB For AG Assoicates RTP
PCB For AG Assoicates RTP
1,700.00 12d 18h
TURCK VB 1003-*-BSMK 14/S653/S1057 3410M Applied Materials 0090-03017
Top-Rated Plus Seller TURCK VB 1003-*-BSMK 14/S653/S1057 3410M Applied Materials 0090-03017
89.99 18d 12h
Shaffner FN258P-SS-34 Power Line Filter Module AMAT Quantam X
Shaffner FN258P-SS-34 Power Line Filter Module AMAT Quantam X
204.15  26d 14h
Parker Elbow Connector FEC-6-P-T Lot of 46
Parker Elbow Connector FEC-6-P-T Lot of 46
702.12  10d 14h
HP Hewlett Packard D3151-60001 Motherboard
HP Hewlett Packard D3151-60001 Motherboard
950.00 26d 18h
Asm Lithography, Serv.631.31311/4022.631.31311, Lens, Bxp Lens-a 8x0 Long-life
Asm Lithography, Serv.631.31311/4022.631.31311, Lens, Bxp Lens-a 8x0 Long-life
1,103.00  6d 6h
Amat 3060-01136 (iko Crwu40-65) Linear Slide
Amat 3060-01136 (iko Crwu40-65) Linear Slide
169.00  25d 10h
AMAT Applied Materials  0010-09340 SUSCEPTOR LIFT with 0090-09145 ASSY TC AMP
AMAT Applied Materials 0010-09340 SUSCEPTOR LIFT with 0090-09145 ASSY TC AMP
888.00  10d 10h
412-0101// Nsk Rs0608fn002 (broken) Motor [/fast]
412-0101// Nsk Rs0608fn002 (broken) Motor [/fast]
1,000.00 19d 5h
August Technology 704462 704463 Z & Theta control board with 14 day warranty
August Technology 704462 704463 Z & Theta control board with 14 day warranty
950.00 26d 19h
Tokyo Electron 3884-200261-11 Formula Ttld14-12 Wcif-l Pcb ,
Tokyo Electron 3884-200261-11 Formula Ttld14-12 Wcif-l Pcb ,
1,100.00  11d 12h
AMAT 0150-92440 Issue.C, CFA X4B.P15/X3A.J11. 417607
AMAT 0150-92440 Issue.C, CFA X4B.P15/X3A.J11. 417607
215.00 14h 14m
Yasakawa AC Servo Motor SGMAHA3A1A4S
Yasakawa AC Servo Motor SGMAHA3A1A4S
100.00  13d 2h
Parker GAFS-42 Compression Tube Fitting Reseller Lot of 16  Surplus
Parker GAFS-42 Compression Tube Fitting Reseller Lot of 16  Surplus
402.12  10d 13h
156-0401// Amat Applied 1410-01330 Htr Jacket Rough Line 208v
156-0401// Amat Applied 1410-01330 Htr Jacket Rough Line 208v
900.00 26d 4h
Hypervision Chip Unzip Backside Preparation System
Hypervision Chip Unzip Backside Preparation System
3,745.00  14d 17h
MMPIC Scanner Drive MMPIC-20A-3 Lot of 2  Working
MMPIC Scanner Drive MMPIC-20A-3 Lot of 2  Working
507.12  4d 19h
AMAT 0140-20518 Rev.PB, Harness Assembly BUF Extension. 419179
AMAT 0140-20518 Rev.PB, Harness Assembly BUF Extension. 419179
250.00 26d 21h
STI Technologies HTS Ready Duplexer DUP850BR19 Cellular B-band
STI Technologies HTS Ready Duplexer DUP850BR19 Cellular B-band
30.00  1d 17h
Amat 0200-00403 Isolator Pumping Ring, Middle, Producer,
Amat 0200-00403 Isolator Pumping Ring, Middle, Producer,
900.00  20d 3h
Tenta AS00850-02 HDFD Board includes Hitachi HDD
Tenta AS00850-02 HDFD Board includes Hitachi HDD
390.00 19d 21h
Thornton Type 702 resistivity controller
Thornton Type 702 resistivity controller
250.00 10d 16h
Leak Check Plate, 8" x 3" w/ 7" x 2.25" Inset for O-Ring,
Leak Check Plate, 8" x 3" w/ 7" x 2.25" Inset for O-Ring,
125.00  5d 16h
Keyence FS-L71 Photoelectric Sensor Reseller Lot of 2  Working
Keyence FS-L71 Photoelectric Sensor Reseller Lot of 2  Working
307.12  21d 12h
146-0401// Amat Applied 0150-76461 Cable, 55 Ft Rf Coaxial 13.56
146-0401// Amat Applied 0150-76461 Cable, 55 Ft Rf Coaxial 13.56
300.00 19d 3h
9904 Asml Pcb 4022.436.1075
9904 Asml Pcb 4022.436.1075
1,500.00  5d 1h
Asyst Mini environment Cassette, 6 Inch
Asyst Mini environment Cassette, 6 Inch
2,200.00 24d 19h
Asyst Mini environment Cassette, 6 Inch
Asyst Mini environment Cassette, 6 Inch
2,100.00 24d 19h
125-0301// AMAT APPLIED 0020-10122 wPLATE PERF 125 MM OXIDE 2ND SOURCE
125-0301// AMAT APPLIED 0020-10122 wPLATE PERF 125 MM OXIDE 2ND SOURCE
900.00 22d 0h
Migatron Tsr-1  Ultrasonic Sensor With 50' Cable Tsr1
Migatron Tsr-1  Ultrasonic Sensor With 50' Cable Tsr1
250.00  23d 14h
Greene Tweed 9388-swd18, O-ring, As-568a-388 Cpd D18
Greene Tweed 9388-swd18, O-ring, As-568a-388 Cpd D18
278.00  8d 2h
7310-3026-02  Ring Slip-free 6 In Notch, Ol
7310-3026-02 Ring Slip-free 6 In Notch, Ol
1,500.00 2d 17h
Rite-track 99-71122-03 Track Interface Board
Rite-track 99-71122-03 Track Interface Board
639.00  24d 16h
AG Associates 7310-4434-01A Quartz Tray With TC Station (Rear) 4-6 Inch
AG Associates 7310-4434-01A Quartz Tray With TC Station (Rear) 4-6 Inch
2,800.00  13d 16h
Schlumberger 715021420 Power Supply 97913074 Rev. B  Working
Schlumberger 715021420 Power Supply 97913074 Rev. B  Working
605.12  28d 18h
Gespac GESMPU-4B Circuit Card Assembly, WORKING
Gespac GESMPU-4B Circuit Card Assembly, WORKING
900.00  19d 4h
124-0404// Amat Applied 0020-30580 Blocker Perf Com Sil4-6" 2nd Source
124-0404// Amat Applied 0020-30580 Blocker Perf Com Sil4-6" 2nd Source
800.00 11d 4h
Lot of 2 Nordiko N600600EE PCB Module Board
Lot of 2 Nordiko N600600EE PCB Module Board
189.99 10d 16h
Kurt J Lesker Molybdenum Target,  Mo, 90% / 3.00 Dia. x .125 Thick, Part# EJTMOX
Kurt J Lesker Molybdenum Target, Mo, 90% / 3.00 Dia. x .125 Thick, Part# EJTMOX
93.15  29d 14h
Kurt J Lesker Molybdenum Target,  Mo, 90% / 3.00 Dia. x .125 Thick, Part# EJTMOX
Kurt J Lesker Molybdenum Target, Mo, 90% / 3.00 Dia. x .125 Thick, Part# EJTMOX
93.15  29d 16h
Kurt J Lesker Molybdenum Target,  Mo, 80% / 3.00 Dia. x .125 Thick, Part# EJTMOX
Kurt J Lesker Molybdenum Target, Mo, 80% / 3.00 Dia. x .125 Thick, Part# EJTMOX
93.15  29d 17h
Kurt J Lesker Molybdenum Target,  Mo, 90% / 3.00 Dia. x .125 Thick, Part# EJTMOX
Kurt J Lesker Molybdenum Target, Mo, 90% / 3.00 Dia. x .125 Thick, Part# EJTMOX
93.15  29d 16h
Kurt J Lesker Molybdenum Target,  Mo, 80% / 3.00 Dia. x .125 Thick, Part# EJTMOX
Kurt J Lesker Molybdenum Target, Mo, 80% / 3.00 Dia. x .125 Thick, Part# EJTMOX
93.15  29d 18h
Robitech 980-2300 Valve Module PCB Card 681-0181-009 Lot of 7  Working
Robitech 980-2300 Valve Module PCB Card 681-0181-009 Lot of 7  Working
702.12  26d 19h
105-0501// Amat Applied 0200-02591 Components
105-0501// Amat Applied 0200-02591 Components
1,100.00 11d 3h
Advantest BLC-029524 PCB Circuit Board M4542AD  Working
Advantest BLC-029524 PCB Circuit Board M4542AD  Working
156.16  24d 19h
105-0501// Amat Applied 0040-23552 Applied Matrials Components
105-0501// Amat Applied 0040-23552 Applied Matrials Components
1,100.00 11d 3h
Micrion Corp 150-1110 Rev A5 or Rev A6 Board
Micrion Corp 150-1110 Rev A5 or Rev A6 Board
950.00 25d 18h
Applied Materials 0010-77787 Idler Assy Hvm 300mm
Applied Materials 0010-77787 Idler Assy Hvm 300mm
108.00  19d 8h
Amat 0140-70899 Harness Assy Fi Pc To Kvm Evc Intfc Wit  ,
Amat 0140-70899 Harness Assy Fi Pc To Kvm Evc Intfc Wit ,
388.00  6d 8h
25 Tooling Pin, Magnetic, 411641
25 Tooling Pin, Magnetic, 411641
500.00 29d 16h
AMAT 0050-43751 Gas Line Overpres. CH A RAD TPCC, 409312
AMAT 0050-43751 Gas Line Overpres. CH A RAD TPCC, 409312
350.00 15d 17h
156-0202// Amat Applied 0195-00215 Gas Line, Stl Heater, Foreln Divert 2, P
156-0202// Amat Applied 0195-00215 Gas Line, Stl Heater, Foreln Divert 2, P
1,300.00 26d 3h
147-0701// Amat Applied 0226-09004 Applied Matrials Components
147-0701// Amat Applied 0226-09004 Applied Matrials Components
100.00 19d 4h
350-0303// Amat Applied 0020-38064 Cover, L/l Side []
350-0303// Amat Applied 0020-38064 Cover, L/l Side []
690.00 3d 5h
137-0401// Amat Applied 0050-76066 Exhaust Line Spool Piece []
137-0401// Amat Applied 0050-76066 Exhaust Line Spool Piece []
650.00 24d 2h
Al1021401 / Thermocouple (type J) 73082 / Watlow
Al1021401 / Thermocouple (type J) 73082 / Watlow
250.82  3d 15h
839-250568-001  / Blws,lwr Elctd / Lam
839-250568-001 / Blws,lwr Elctd / Lam
200.98  4d 19h
951657 / 4 P6 Black Cable / Novellus Systems Inc
951657 / 4 P6 Black Cable / Novellus Systems Inc
255.75  10d 17h
Matrox Marvel 2  521-0201 Rev. A
Matrox Marvel 2 521-0201 Rev. A
1,499.00  2d 9h
AMAT - Ring Chuck, 340mm (TOSOH 607-013-TA-30R-021)
AMAT - Ring Chuck, 340mm (TOSOH 607-013-TA-30R-021)
299.99  15d 14h
Amat 0140-01821 Harness, Pneumatic Lwr Electronics, Pres,
Amat 0140-01821 Harness, Pneumatic Lwr Electronics, Pres,
850.00  21d 23h
9030-0300-02 For 7100-0474-01 Robot Effector AG Associates
9030-0300-02 For 7100-0474-01 Robot Effector AG Associates
2,500.00  9d 14h
PCP(PENINSULA CONTROL PANELS, INC) 48687-00 REV.3 HEATER Controller
PCP(PENINSULA CONTROL PANELS, INC) 48687-00 REV.3 HEATER Controller
1,000.00  17d 0h
@@ Kawasaki Power Supply Model S82w-620
Top-Rated Plus Seller @@ Kawasaki Power Supply Model S82w-620
250.00  23d 19h
Applied Materials 0140-62282 Cable
Applied Materials 0140-62282 Cable
120.00  17d 8h
PCB Cage For AG Associates Heatpulse
PCB Cage For AG Associates Heatpulse
1,500.00 4d 21h
AMAT 100763 Stud Oval HD Type2 .500L .370B, Sold in Sets of 25 each
AMAT 100763 Stud Oval HD Type2 .500L .370B, Sold in Sets of 25 each
20.00  8d 18h
108-0501// AMAT APPLIED 0010-20223 (#1) wMAGNET REM 11.3"TIN ASY
108-0501// AMAT APPLIED 0010-20223 (#1) wMAGNET REM 11.3"TIN ASY
6,000.00 23d 4h
STEC 2811809 Type CA-H Cable Assembly 328949
STEC 2811809 Type CA-H Cable Assembly 328949
150.00 5d 21h
Lam P/n 853-064940-470
Lam P/n 853-064940-470
1,499.00  15d 5h
Aptech Sl5510sx-2pw-mv4-fv4 Regulator
Aptech Sl5510sx-2pw-mv4-fv4 Regulator
300.00  4d 22h
12837 Tokyo Electron Pcb, Tab22b-1/sys2-lf, 2l08-050038-11 2l81-050038-12
12837 Tokyo Electron Pcb, Tab22b-1/sys2-lf, 2l08-050038-11 2l81-050038-12
1,140.59  23d 0h
Chrom Tech Series II Isocratic Pumps P-1010
Chrom Tech Series II Isocratic Pumps P-1010
999.90 5d 3h
Idec Hw1l-m2f10qd-w-24v Nsnp
Idec Hw1l-m2f10qd-w-24v Nsnp
32.00  16h 46m
Amat 0150-40161, K Tech, Cable Assy, 60ftmainframe Pump. 411408
Amat 0150-40161, K Tech, Cable Assy, 60ftmainframe Pump. 411408
250.00 22d 15h
0200-35706 AMAT Ceramic DxZ Liner
0200-35706 AMAT Ceramic DxZ Liner
5,000.00  23d 21h
Densan DSB-516A (9254A) card
Densan DSB-516A (9254A) card
309.00  23d 0h
Micrion Model 150-841 Board
Micrion Model 150-841 Board
120.00 15d 23h
Hepa (9.125)(22.5)22.5EIIDG7.5E-S Hepa-Pleat II Filter
Hepa (9.125)(22.5)22.5EIIDG7.5E-S Hepa-Pleat II Filter
701.12  29d 15h
318-0301// Goldfinger 230069-001 Xp Programmable Rf Controller Asis
318-0301// Goldfinger 230069-001 Xp Programmable Rf Controller Asis
600.00 21d 5h
176-0402// Amat Applied 0050-09026 Manifold Llc Vent []
176-0402// Amat Applied 0050-09026 Manifold Llc Vent []
450.00 25d 4h
135-0504// Amat Applied 0020-25168 Support, Outer Magnet []
135-0504// Amat Applied 0020-25168 Support, Outer Magnet []
510.00 9d 22h
116-0202// Amat Applied 0200-10040 Ring,outer,4.00",dbl Annulus,sgd*
116-0202// Amat Applied 0200-10040 Ring,outer,4.00",dbl Annulus,sgd*
270.00 2d 0h
143-0402// Amat Applied 0190-20050 Cable Assy,nude Tube,30'
143-0402// Amat Applied 0190-20050 Cable Assy,nude Tube,30'
410.00 14d 4h
340-0202// Amat Applied 0020-34696  Filler, Slit Valve Asis
340-0202// Amat Applied 0020-34696 Filler, Slit Valve Asis
500.00 26d 23h
324-0203// Amat Applied 0040-09010 Arm, Lower Right []
324-0203// Amat Applied 0040-09010 Arm, Lower Right []
320.00 27d 4h
324-0203// Amat Applied 0040-09012 Arm, Lower Left []
324-0203// Amat Applied 0040-09012 Arm, Lower Left []
320.00 27d 4h
419-0401// Parker Cp*m83-135 Controller [/fast]
419-0401// Parker Cp*m83-135 Controller [/fast]
600.00 21d 4h
421-0202// Sanyo Denki 103h7851-72b3 (cut Cable) [/fast]
421-0202// Sanyo Denki 103h7851-72b3 (cut Cable) [/fast]
300.00 21d 22h
421-0202// Sanyo Denki 103h7851-72b2 Stepping Motor [/fast]
421-0202// Sanyo Denki 103h7851-72b2 Stepping Motor [/fast]
300.00 21d 22h
421-0202// Sanyo Denki 103h5510-70xs42 (cut Cable) Motor [/fast]
421-0202// Sanyo Denki 103h5510-70xs42 (cut Cable) Motor [/fast]
300.00 21d 22h
421-0501// Sanyo Denki Pmm-cs-801b-2 Pm Driver [/fast]
421-0501// Sanyo Denki Pmm-cs-801b-2 Pm Driver [/fast]
400.00 22d 1h
421-0401// Sanyo Denki Py2a015a1 Driver [/fast]
421-0401// Sanyo Denki Py2a015a1 Driver [/fast]
400.00 22d 1h
421-0401// Sanyo Denki Pv2a030sgdnp50 Servo Amplifier [/fast]
421-0401// Sanyo Denki Pv2a030sgdnp50 Servo Amplifier [/fast]
400.00 22d 1h
108-0301// Amat Applied 0010-20819 Applied Matrials Components
108-0301// Amat Applied 0010-20819 Applied Matrials Components
10,000.00 23d 3h
Interface Azi-2766 Pcb Board
Interface Azi-2766 Pcb Board
349.00  2d 10h
Modus Instruments DA-4-05M-0-RR-14-003 Display Alarm Lot of 2  Working
Modus Instruments DA-4-05M-0-RR-14-003 Display Alarm Lot of 2  Working
206.12  25d 15h
Applied Materials 3700-07747 O-ring Id 19.955 Csd .210 Viton 75 Duro Black
Applied Materials 3700-07747 O-ring Id 19.955 Csd .210 Viton 75 Duro Black
260.00 25d 11h
Power Logicon UTI Model 5C-5 Bonder Controller / Generator
Power Logicon UTI Model 5C-5 Bonder Controller / Generator
249.99  8d 19h
134-0501// Amat Applied 0040-09575 Hoop, Basket Wafer Support, Asp []
134-0501// Amat Applied 0040-09575 Hoop, Basket Wafer Support, Asp []
600.00 23d 0h
116-0202// Amat Applied 0200-09746 Collar Al 200mm Ceramic
116-0202// Amat Applied 0200-09746 Collar Al 200mm Ceramic
600.00 2d 0h
Boc Edwards Pressure Controller 1800 Pres Cntlr Blk Box Rack
Boc Edwards Pressure Controller 1800 Pres Cntlr Blk Box Rack
199.98  4d 16h
MS-0040-A Cylinder, Rod. I19-12, 450459
MS-0040-A Cylinder, Rod. I19-12, 450459
130.00 22d 20h
156-0503// Amat Applied 0190-35186 Assy, Htr,spool Exhaust 1 Wpi,
156-0503// Amat Applied 0190-35186 Assy, Htr,spool Exhaust 1 Wpi,
780.00 27d 2h
Novellus Systems 22-104716-00 Rev A
Novellus Systems 22-104716-00 Rev A
50.00  23d 1h
Amat 0150-37036 Cable, Emc Compassy Chmb ,
Amat 0150-37036 Cable, Emc Compassy Chmb ,
1,000.00  1d 0h
3805  PFA High Purity Bath Tank
3805 PFA High Purity Bath Tank
900.00  14d 16h
ETO ABX-X299 REV. H  /  Free Expedited Shipping
ETO ABX-X299 REV. H / Free Expedited Shipping
119.00 11d 2h
Spectrum 760056 014204-020 760059 with 14 day warranty
Spectrum 760056 014204-020 760059 with 14 day warranty
1,500.00 26d 20h
Cambridge 2T-10055093-A334-NDB Absolute Filter 19.75"L x 5.5"W x 4"H
Top-Rated Plus Seller Cambridge 2T-10055093-A334-NDB Absolute Filter 19.75"L x 5.5"W x 4"H
95.99  14d 1h
PN TGL-39-735-008 Low Electrode Chuck Tegal Spare Parts
PN TGL-39-735-008 Low Electrode Chuck Tegal Spare Parts
2,500.00  11d 19h
Mks 9699-1264 Htr2.3, Str4.0, 1ap1
Mks 9699-1264 Htr2.3, Str4.0, 1ap1
299.00  16d 18h
Amat 0040-48032 Insert, Liner, Tectra Tin  ,
Amat 0040-48032 Insert, Liner, Tectra Tin ,
1,400.00  27d 0h
AXIOMTEK SBC81825 Rev.A1 1906618410 single board computer with 14 day warranty
AXIOMTEK SBC81825 Rev.A1 1906618410 single board computer with 14 day warranty
750.00 9d 20h
Amat 0021-12555 Shield Upper Liftable Short Pvd ,
Amat 0021-12555 Shield Upper Liftable Short Pvd ,
1,850.00  13d 1h
AMAT 1120-01092 Assembly, Cable, Fiber Optics, 419340
AMAT 1120-01092 Assembly, Cable, Fiber Optics, 419340
475.00 2d 21h
104-0401// Amat Applied 0090-01277 Cable Assy, Pressure Sensor, Fa 300mm
104-0401// Amat Applied 0090-01277 Cable Assy, Pressure Sensor, Fa 300mm
800.00 8d 5h
149-0701// Amat Applied 0150-35431 Cable Assy,vds Interconnect
149-0701// Amat Applied 0150-35431 Cable Assy,vds Interconnect
220.00 23d 0h
Star 1651-29X-10 Runner Block, Ball Rail, 451856
Star 1651-29X-10 Runner Block, Ball Rail, 451856
150.00 2d 20h
Amat 0200-00036 Ring Cover Rim 4,5,6 Oxide
Amat 0200-00036 Ring Cover Rim 4,5,6 Oxide
275.00  10d 21h
LEP LUDI FLNOF 73000805 Busy Notch Flat Find
Top-Rated Plus Seller LEP LUDI FLNOF 73000805 Busy Notch Flat Find
499.98  1d 13h
 Amat Applied Materials 0050-71471 Vacuum Fitting
 Amat Applied Materials 0050-71471 Vacuum Fitting
169.99  25d 11h
 Amat Applied Materials 0050-51724 Vacuum Fitting
 Amat Applied Materials 0050-51724 Vacuum Fitting
169.99  28d 15h
Amat 0200-02302 Insert Ring, Nms, L-shape, 300mm Emax ,
Amat 0200-02302 Insert Ring, Nms, L-shape, 300mm Emax ,
1,800.00  5h 28m
AERA FC-PA7800C-BA MFC, Mass Flow Controller 0.5%PH3/H2, 2 SLM, 423712
AERA FC-PA7800C-BA MFC, Mass Flow Controller 0.5%PH3/H2, 2 SLM, 423712
450.00 18d 21h
AMAT 0020-23043 Upper Shield, Tin, 8" Wafer 424145
AMAT 0020-23043 Upper Shield, Tin, 8" Wafer 424145
150.00 28d 18h
005-0201// Mks B-5303-00 (#5) 2l39-000016-41 Generator [asis]
005-0201// Mks B-5303-00 (#5) 2l39-000016-41 Generator [asis]
4,500.00 1d 4h
Pittman 6400-0018-01 Servomotor, 418276
Pittman 6400-0018-01 Servomotor, 418276
150.00 13d 16h
AMAT 0150-03990 C/A Control to Pressure CNT, 451671
AMAT 0150-03990 C/A Control to Pressure CNT, 451671
75.00 19d 21h
AMAT 0021-78217 Bracket, Switch Autolift Retrofit, 200 MM, 451713
AMAT 0021-78217 Bracket, Switch Autolift Retrofit, 200 MM, 451713
95.00 24d 14h
AMAT 26-81342-00 Bushing, 451742
AMAT 26-81342-00 Bushing, 451742
95.00 25d 16h
AMAT 3400-01057 Hose Gas/Liq, Synth-RBR BLK (26'), 0242-70744-BNSO, 452012
AMAT 3400-01057 Hose Gas/Liq, Synth-RBR BLK (26'), 0242-70744-BNSO, 452012
95.00 5d 14h
5 AMAT 3700-01325 O-Ring, 75 Duro Black, ID .796, CSD .139, 452131
5 AMAT 3700-01325 O-Ring, 75 Duro Black, ID .796, CSD .139, 452131
30.00 11d 20h
5 AMAT 3700-01325 O-Ring ID .796 CSD .139 Viton 75Duro BLK, 452210
5 AMAT 3700-01325 O-Ring ID .796 CSD .139 Viton 75Duro BLK, 452210
30.00 18d 17h
Novellus 04-717947-01 Shield, Dep Quanium SCR., Varian 04717947-01, 420723
Novellus 04-717947-01 Shield, Dep Quanium SCR., Varian 04717947-01, 420723
250.00 16d 22h
7100-4304-01 BBU Battery Back-up Unit AG Associates 7100-4278-01 7100-4307-01
7100-4304-01 BBU Battery Back-up Unit AG Associates 7100-4278-01 7100-4307-01
1,500.00  10d 17h
148-0601// Amat Applied 0150-35209 Harness Assy Pneumatics Umbili
148-0601// Amat Applied 0150-35209 Harness Assy Pneumatics Umbili
2,600.00 19d 5h
156-0402// Amat Applied 1410-01060 Applied Matrials Components
156-0402// Amat Applied 1410-01060 Applied Matrials Components
300.00 26d 4h
Tokyo Electron TEL , TGB601-1/DMC3 , BX81-000006-14
Tokyo Electron TEL , TGB601-1/DMC3 , BX81-000006-14
388.00  29d 11h
Applied Materials RF Match 0021-07582, 0021-07580, 0140-01175 Assembly
Applied Materials RF Match 0021-07582, 0021-07580, 0140-01175 Assembly
75.00  1d 12h
NOVELLUS CHAMBER RING , Dark Space, 300mm Arcs Type 1 , 15-136486-02
NOVELLUS CHAMBER RING , Dark Space, 300mm Arcs Type 1 , 15-136486-02
1,388.00  7d 5h
Toyo Tanso RING,PRE-HEAT for AMAT Centura  old stock in box(#12-7)
Toyo Tanso RING,PRE-HEAT for AMAT Centura  old stock in box(#12-7)
225.00  15d 4h
Toyo Tanso RING,PRE-HEAT for AMAT Centura  old stock in box(#12-9)
Toyo Tanso RING,PRE-HEAT for AMAT Centura  old stock in box(#12-9)
225.00  15d 4h
Kurt J Lesker Molybdenum Target,  Mo, 90% / 3.00 Dia. x .125 Thick, Part# EJTMOX
Kurt J Lesker Molybdenum Target, Mo, 90% / 3.00 Dia. x .125 Thick, Part# EJTMOX
93.15  29d 14h
Kurt J Lesker Molybdenum Target,  Mo, 90% / 3.00 Dia. x .125 Thick, Part# EJTMOX
Kurt J Lesker Molybdenum Target, Mo, 90% / 3.00 Dia. x .125 Thick, Part# EJTMOX
93.15  29d 16h
Kurt J Lesker Molybdenum Target,  Mo, 90% / 3.00 Dia. x .125 Thick, Part# EJTMOX
Kurt J Lesker Molybdenum Target, Mo, 90% / 3.00 Dia. x .125 Thick, Part# EJTMOX
93.15  26d 15h
Kurt J Lesker Molybdenum Target,  Mo, 90% / 3.00 Dia. x .125 Thick, Part# EJTMOX
Kurt J Lesker Molybdenum Target, Mo, 90% / 3.00 Dia. x .125 Thick, Part# EJTMOX
93.15  26d 16h
Kurt J Lesker Molybdenum Target,  Mo, 80% / 3.00 Dia. x .125 Thick, Part# EJTMOX
Kurt J Lesker Molybdenum Target, Mo, 80% / 3.00 Dia. x .125 Thick, Part# EJTMOX
93.15  29d 14h
Kurt J Lesker Molybdenum Target,  Mo, 80% / 3.00 Dia. x .125 Thick, Part# EJTMOX
Kurt J Lesker Molybdenum Target, Mo, 80% / 3.00 Dia. x .125 Thick, Part# EJTMOX
93.15  29d 14h
Alphasem AG AS370-0-01 Communication Board PCB AS370-0  Working
Alphasem AG AS370-0-01 Communication Board PCB AS370-0  Working
124.17  21d 12h
Nissin NID-08942, Handle, Source, 406142
Nissin NID-08942, Handle, Source, 406142
150.00 21d 15h
High Energy Electrode 17062340 REV B
High Energy Electrode 17062340 REV B
90.00  12d 6h
AMAT 0020-31750 Rev.P2, 11213600, Support Loadlock Cover Lifter. 418615
AMAT 0020-31750 Rev.P2, 11213600, Support Loadlock Cover Lifter. 418615
225.00 20d 17h
Accutech, Smart Signal Conditioner, Sc-2000
Accutech, Smart Signal Conditioner, Sc-2000
270.00  12d 15h
National Instruments 180935C-01 Interface Board PCB SC-2062  Working
National Instruments 180935C-01 Interface Board PCB SC-2062  Working
155.17  22d 14h
EIT 4554 Sensor Stik Gas Transmitter Type 4500 096-1087L  Surplus
EIT 4554 Sensor Stik Gas Transmitter Type 4500 096-1087L  Surplus
251.12  4d 13h
Allen-Bradley 2706-D21J2 Dataliner, 423537
Allen-Bradley 2706-D21J2 Dataliner, 423537
150.00 6d 16h
Rexroth R021KK2071 Bosch group, R005522733, NSK50, Opti, 19-314838-00, linear
Rexroth R021KK2071 Bosch group, R005522733, NSK50, Opti, 19-314838-00, linear
590.00 16h 30m
AERA FC-PA7800C-BA MFC, Mass Flow Controller 0.5% B2H6/H2, 1 SLM, 423708
AERA FC-PA7800C-BA MFC, Mass Flow Controller 0.5% B2H6/H2, 1 SLM, 423708
450.00 17d 21h
AMAT 0020-23043 Upper Shield, Tin, 8" Wafer 424144
AMAT 0020-23043 Upper Shield, Tin, 8" Wafer 424144
150.00 28d 18h
Adept tech SIO IDE 10332-22000 rev A
Adept tech SIO IDE 10332-22000 rev A
388.00  4d 5h
AMAT 0020-33882 Insulating Washer,
AMAT 0020-33882 Insulating Washer,
1,300.00  9d 17h
Amat 0150-16286 Cable Assy  Dnet Rts To F,
Amat 0150-16286 Cable Assy Dnet Rts To F,
300.00  2d 0h
UE Precision Sensors LDP2WC/500P-15 Low Differential Press Indicating SW, 423485
UE Precision Sensors LDP2WC/500P-15 Low Differential Press Indicating SW, 423485
150.00 23d 17h
Socitec DT8407 IOP2 Board with 14 day warranty
Socitec DT8407 IOP2 Board with 14 day warranty
950.00 28d 19h
Matheson 1L-350 Gas Regulator W/63-3133, 409660
Matheson 1L-350 Gas Regulator W/63-3133, 409660
150.00 21d 19h
 Tokyo Electron 1d10-401722-11 Bel. Cover Coverbel (drm)
 Tokyo Electron 1d10-401722-11 Bel. Cover Coverbel (drm)
89.99  27d 20h
ELH002, Plate, Electrode 402869
ELH002, Plate, Electrode 402869
150.00 12d 15h
111-0201// Amat Applied 0020-10732 Plate Pumping Bw 200mm []
111-0201// Amat Applied 0020-10732 Plate Pumping Bw 200mm []
700.00 3d 1h
427-0501// Pro-face Fp3700-t41 Touch Screen [/fast]
427-0501// Pro-face Fp3700-t41 Touch Screen [/fast]
1,000.00 23d 0h
340-0401// Amat Applied 0021-76028 Brkt,wtr/smk Pcb/oms Interface []
340-0401// Amat Applied 0021-76028 Brkt,wtr/smk Pcb/oms Interface []
760.00 12d 22h
323-0502// Amat Applied 0190-01740 Applied Matrials Components
323-0502// Amat Applied 0190-01740 Applied Matrials Components
100.00 29d 3h
319-0202// Amat Applied 0040-61050 3020-01225 Applied Matrials Components Asis
319-0202// Amat Applied 0040-61050 3020-01225 Applied Matrials Components Asis
1,000.00 22d 5h
AMAT 0190-01984 OBS, SWITCH, WATER 3/4" HOSE W/ ANALOG O Proteus 95042208S24P1
AMAT 0190-01984 OBS, SWITCH, WATER 3/4" HOSE W/ ANALOG O Proteus 95042208S24P1
175.00  4d 17h
171-0701// Sansha Ktd-15 3/30at Controler Asis
171-0701// Sansha Ktd-15 3/30at Controler Asis
700.00 21d 5h
335-0101// Goldfinger Kaa2026 (#2) 1-60-890-001 230068-001 Asis
335-0101// Goldfinger Kaa2026 (#2) 1-60-890-001 230068-001 Asis
600.00 21d 5h
335-0201// Shimadzu Ei-3203md-a1 Power Unit Asis
335-0201// Shimadzu Ei-3203md-a1 Power Unit Asis
800.00 21d 5h
127-0201// Vat 07512-ua24-aad3 (#1) Valve Asis
127-0201// Vat 07512-ua24-aad3 (#1) Valve Asis
600.00 21d 6h
166-0301// Komatsu Dr62 Thermoelectric [asis]
166-0301// Komatsu Dr62 Thermoelectric [asis]
300.00 18d 1h
147-0201// Amat Applied 0150-76315 Cable Assy, Coaxial 13.56 Mhz
147-0201// Amat Applied 0150-76315 Cable Assy, Coaxial 13.56 Mhz
1,140.00 14d 4h
404-0401// Amat Applied 4ekgf63cx-4 Md4 Abm Pump Asis
404-0401// Amat Applied 4ekgf63cx-4 Md4 Abm Pump Asis
300.00 25d 0h
135-0301// Smc Xgt311-50336-1f-x489 Slit Valve
135-0301// Smc Xgt311-50336-1f-x489 Slit Valve
500.00 22d 5h
172-0101// Sansha Ktd-15 1/10at Controler
172-0101// Sansha Ktd-15 1/10at Controler
500.00 21d 5h
335-0101// Goldfinger Kaa2026 (#1) 230068-001
335-0101// Goldfinger Kaa2026 (#1) 230068-001
1,000.00 21d 5h
335-0101// Goldfinger Kaa2026 (#2) 1-60-890-001 230068-001
335-0101// Goldfinger Kaa2026 (#2) 1-60-890-001 230068-001
1,000.00 21d 5h
336-0201// Semes Seba-014217 Neopc []
336-0201// Semes Seba-014217 Neopc []
500.00 7d 0h
412-0101// Nsk Rs0608fn001 Cable Motor [/fast]
412-0101// Nsk Rs0608fn001 Cable Motor [/fast]
1,000.00 19d 5h
412-0101// Nsk Rs0608fn001 Motor [/fast]
412-0101// Nsk Rs0608fn001 Motor [/fast]
1,000.00 19d 5h
415-0101// Nsk Emb014cf1-04 (without Bolt) Driver [/fast]
415-0101// Nsk Emb014cf1-04 (without Bolt) Driver [/fast]
1,000.00 20d 0h
163-0201// Huntington A00-1549 Throttle Valve [asis]
163-0201// Huntington A00-1549 Throttle Valve [asis]
400.00 10d 4h
AMAT 0020-37666 Bus Bar, Flex, CH A, PH-B SCR, 422843
AMAT 0020-37666 Bus Bar, Flex, CH A, PH-B SCR, 422843
200.00 7d 19h
AMAT 0020-13874 Rev.001, Ball Syud Upper Gas Spring. 419514
AMAT 0020-13874 Rev.001, Ball Syud Upper Gas Spring. 419514
175.00 6d 22h
AMAT 0140-78135 Cable, Cleaner EMO 413748
AMAT 0140-78135 Cable, Cleaner EMO 413748
195.00 1d 17h
APPLIED MATERIALS 30602210000 PAL Drive ASSY
APPLIED MATERIALS 30602210000 PAL Drive ASSY
1,118.00  23d 3h
117-0501// Amat Applied 0020-09591 Left Panel, I/o Compartment []
117-0501// Amat Applied 0020-09591 Left Panel, I/o Compartment []
150.00 7d 5h
117-0501// Amat Applied 0020-09633 Right Panel I/o Compartm []
117-0501// Amat Applied 0020-09633 Right Panel I/o Compartm []
150.00 7d 5h
Applied Materials 0041-28630 Rev.01 200mm Retaining Ring
Applied Materials 0041-28630 Rev.01 200mm Retaining Ring
299.00  19d 17h
FEK Physiktechnik GmbH FK100E ultrasonic transducer
FEK Physiktechnik GmbH FK100E ultrasonic transducer
388.00  18d 7h
124-0102// Amat Applied 0020-27372 Clean Clamp Ring 8" Jmf Cold Al/ti
124-0102// Amat Applied 0020-27372 Clean Clamp Ring 8" Jmf Cold Al/ti
1,600.00 10d 5h
AG Associates Quartz Tray 7400-0109-06K 5 Inch
AG Associates Quartz Tray 7400-0109-06K 5 Inch
2,800.00  13d 16h
3400-01468 / Hose Assy Flex Braid 1/4idx24"l 1/4vcr-m/fvsst / Applied Materials
3400-01468 / Hose Assy Flex Braid 1/4idx24"l 1/4vcr-m/fvsst / Applied Materials
205.77  3d 14h
2506475-21 / Asm Processor Pcb Card / Asm America Inc
2506475-21 / Asm Processor Pcb Card / Asm America Inc
250.99  26d 18h
KOSTAT KS-8201 MQFP 28mm X 28mm BLACK CPU TRAYS (LOT OF 100)
KOSTAT KS-8201 MQFP 28mm X 28mm BLACK CPU TRAYS (LOT OF 100)
32.50 27d 17h
OTI ? UTI 2221 RF Generator 04020 ID-AWM-D-2-2-006
OTI ? UTI 2221 RF Generator 04020 ID-AWM-D-2-2-006
1,750.00  6d 21h
Tegal Chuck 39-336-018
Tegal Chuck 39-336-018
2,500.00 3d 17h
Lighthouse Universal Manifold and Controller
Lighthouse Universal Manifold and Controller
2,087.00  21d 16h
FEK Physiktechnik GmbH FK100F-1 ultrasonic transducer
FEK Physiktechnik GmbH FK100F-1 ultrasonic transducer
388.00  18d 7h
FEK Physiktechnik GmbH FK100F-2 ultrasonic transducer
FEK Physiktechnik GmbH FK100F-2 ultrasonic transducer
388.00  18d 7h
FEK Physiktechnik GmbH FK100-2 ultrasonic transducer
FEK Physiktechnik GmbH FK100-2 ultrasonic transducer
388.00  18d 7h
0040-01849 / Disc, Halogen Lamp Ltesc / Applied Materials Amat
0040-01849 / Disc, Halogen Lamp Ltesc / Applied Materials Amat
1,829.62  29d 22h
Pittman 6400-0018-01 Servomotor, 418271
Pittman 6400-0018-01 Servomotor, 418271
150.00 13d 15h
ADLINK MXC-6321D(G) Matrix Fanless Rugged I/O Platform / Free Expedited Shipping
ADLINK MXC-6321D(G) Matrix Fanless Rugged I/O Platform / Free Expedited Shipping
899.00 26d 5h
Novellus 03-303060-01 Cable, Lam, 450325
Novellus 03-303060-01 Cable, Lam, 450325
175.00 14d 18h
Cambridge Absolute Filter 2T-69516093-Z284-NDF
Cambridge Absolute Filter 2T-69516093-Z284-NDF
601.12  27d 20h
2 Position Wire to Board Terminal Block 45° 9993300000 Weidmüller Lot of 72
2 Position Wire to Board Terminal Block 45° 9993300000 Weidmüller Lot of 72
70.19 15d 16h
integrated circuit MC4328L Motorola Lot 6
integrated circuit MC4328L Motorola Lot 6
75.19 15d 16h
Seeka SST-112-L Wide Sensor Light Curtain, 422414
Seeka SST-112-L Wide Sensor Light Curtain, 422414
150.00 10d 18h
Plate For AG Associates Heatpulse -6
Plate For AG Associates Heatpulse -6
1,500.00 18d 13h
AMAT 0021-77126 Roller's Holder Roller Assembly
AMAT 0021-77126 Roller's Holder Roller Assembly
25.00 5d 20h
124-0101// Amat Applied 0020-27206 Clamp Ring 8" Jmf Padded Hthu
124-0101// Amat Applied 0020-27206 Clamp Ring 8" Jmf Padded Hthu
1,700.00 10d 5h
AMAT 0150-76401 Cable 300 MM Motion Control Interconnect, Harness, 412829
AMAT 0150-76401 Cable 300 MM Motion Control Interconnect, Harness, 412829
200.00 1d 17h
TEGAL 901E TEGAL 903E Tegal WAFER Transfer CW1078-40401
TEGAL 901E TEGAL 903E Tegal WAFER Transfer CW1078-40401
1,999.00 13d 3h
TEGAL 901E TEGAL 903E Tegal WAFER Transfer CW1078-50301
TEGAL 901E TEGAL 903E Tegal WAFER Transfer CW1078-50301
1,999.00 13d 3h
Esi 79575 Rev H Pcb  Board Assy
Esi 79575 Rev H Pcb Board Assy
488.00  13d 10h
Edwards A528 06 000 QDP Exhaust Pressure Module, 411797
Edwards A528 06 000 QDP Exhaust Pressure Module, 411797
350.00 4d 19h
325-0102// Amat Applied 0225-97984 Applied Matrials Components [asis]
325-0102// Amat Applied 0225-97984 Applied Matrials Components [asis]
300.00 24d 3h
325-0102// Amat Applied 3030-04976 Mfc 8160 200sccm Hbr 1/4vcr Mtl Nc [asis]
325-0102// Amat Applied 3030-04976 Mfc 8160 200sccm Hbr 1/4vcr Mtl Nc [asis]
300.00 24d 3h
325-0102// Amat Applied 3030-04981 Applied Matrials Components [asis]
325-0102// Amat Applied 3030-04981 Applied Matrials Components [asis]
300.00 24d 3h
325-0102// Amat Applied 3030-04982 Mfc 8160 200sccm Cl2 1/4v [asis]
325-0102// Amat Applied 3030-04982 Mfc 8160 200sccm Cl2 1/4v [asis]
300.00 24d 3h
325-0103// Amat Applied 3030-00142 Applied Matrials Components [asis]
325-0103// Amat Applied 3030-00142 Applied Matrials Components [asis]
300.00 24d 3h
325-0103// Amat Applied 3030-01138 Mfc 4400 100sccm N2 1/4vc [asis]
325-0103// Amat Applied 3030-01138 Mfc 4400 100sccm N2 1/4vc [asis]
300.00 24d 3h
325-0202// Amat Applied 3030-01115 Ufm-1100 He 3slm Sst Mass Flow Meter [asis]
325-0202// Amat Applied 3030-01115 Ufm-1100 He 3slm Sst Mass Flow Meter [asis]
300.00 24d 6h
322-0101// Amat Applied 0150-36234 Applied Matrials Components []
322-0101// Amat Applied 0150-36234 Applied Matrials Components []
360.00 1d 22h
151-0201// Amat Applied 0020-10665 Applied Matrials Components []
151-0201// Amat Applied 0020-10665 Applied Matrials Components []
400.00 10d 4h
116-0401// Amat Applied 0020-10941 Isolator,teflon Ring
116-0401// Amat Applied 0020-10941 Isolator,teflon Ring
230.00 2d 3h
116-0403// Amat Applied 0200-10246 Uni-insert,gdp,liner,88 Hold, 2nd Source
116-0403// Amat Applied 0200-10246 Uni-insert,gdp,liner,88 Hold, 2nd Source
350.00 2d 3h
116-0502// Amat Applied 0020-24363 Cover Safety Orienter @ Pos #
116-0502// Amat Applied 0020-24363 Cover Safety Orienter @ Pos #
250.00 2d 3h
125-0202// Amat Applied 0020-31343 Applied Matrials Componentsa
125-0202// Amat Applied 0020-31343 Applied Matrials Componentsa
250.00 2d 5h
125-0202// Amat Applied 0020-31492 Gas Dist.plate,101 Holes
125-0202// Amat Applied 0020-31492 Gas Dist.plate,101 Holes
230.00 2d 5h
147-0301// Amat Applied 0150-18035 Cbl Assy, Emc Comp, Pump Umbilical, 75ft
147-0301// Amat Applied 0150-18035 Cbl Assy, Emc Comp, Pump Umbilical, 75ft
380.00 9d 3h
340-0202// Amat Applied 0020-19003 Insert, Slit Valve Sho
340-0202// Amat Applied 0020-19003 Insert, Slit Valve Sho
280.00 26d 23h
322-0201// Amat Applied 0190-35168 Water Flow Switch,.8gpm Trip []
322-0201// Amat Applied 0190-35168 Water Flow Switch,.8gpm Trip []
250.00 4d 6h
410-0501// Hugle 311a Controller [/fast]
410-0501// Hugle 311a Controller [/fast]
400.00 14d 6h
418-0301// Yaskawa Ugrmem-02makoe (without Connector) Minertia Motor [/fast]
418-0301// Yaskawa Ugrmem-02makoe (without Connector) Minertia Motor [/fast]
300.00 22d 2h
MBC PIO-96 14124 Rev 2 Board with 14 day warranty
MBC PIO-96 14124 Rev 2 Board with 14 day warranty
495.00 18d 22h
407-0101// Simco 4011996 Ifc Pcm Controlle []
407-0101// Simco 4011996 Ifc Pcm Controlle []
400.00 14d 23h
428-0301// Parvex Rs220kr1048-z Motor []
428-0301// Parvex Rs220kr1048-z Motor []
400.00 6h 24m
428-0301// Parvex Rs220kr1048-z (cut Cable) Motor []
428-0301// Parvex Rs220kr1048-z (cut Cable) Motor []
400.00 6h 24m
2 Klockner Moeller K40A, Circuit Breaker, 402879
2 Klockner Moeller K40A, Circuit Breaker, 402879
120.00 12d 18h
Qualidyne 10FC00A-0154 Switching Power Supply 10099 876-5032-00  Working
Qualidyne 10FC00A-0154 Switching Power Supply 10099 876-5032-00  Working
157.15  9d 18h
Amat 0020-78793 Wfr Holdr 6jaws Titan Lc,
Amat 0020-78793 Wfr Holdr 6jaws Titan Lc,
400.00  28d 6h
Novellus 03-00038-00 REV.C, Cable Assy, CA 82
Novellus 03-00038-00 REV.C, Cable Assy, CA 82
250.00  26d 5h
Orifice;0015-02259
Orifice;0015-02259
120.00  5d 4h
343-0101// Amat Applied 0040-21184 Applied Matrials Components
343-0101// Amat Applied 0040-21184 Applied Matrials Components
100.00 11d 2h
ELH002 Electrode Plate, Farmon ID 412537
ELH002 Electrode Plate, Farmon ID 412537
150.00 21d 21h
Amat 1350-00247 Pressure Sensor,
Amat 1350-00247 Pressure Sensor,
300.00  9d 0h
HP Agilent E5515 Demodulation Downconverter 61231(4249) Made in UK
HP Agilent E5515 Demodulation Downconverter 61231(4249) Made in UK
149.00  14d 16h
Micrion Model 150-892 Board Rev D4
Micrion Model 150-892 Board Rev D4
120.00 15d 23h
Riken Keiki GD-K11D, GAS DETECTOR, Gas : SIH4
Riken Keiki GD-K11D, GAS DETECTOR, Gas : SIH4
400.00  2d 23h
7450-0036-04 S-r Rings R/b 7100-5751-01, 8 Inch
7450-0036-04 S-r Rings R/b 7100-5751-01, 8 Inch
1,500.00 2d 17h
124-0101// AMAT APPLIED 0020-27218 CLAMP RING,COH Ti/TiN POISON H
124-0101// AMAT APPLIED 0020-27218 CLAMP RING,COH Ti/TiN POISON H
2,000.00 10d 5h
142-0101// Amat Applied 0090-02607 Dc Power Foot
142-0101// Amat Applied 0090-02607 Dc Power Foot
700.00 27d 4h
331-0301// Amat Applied 0010-26286 Applied Matrials Components
331-0301// Amat Applied 0010-26286 Applied Matrials Components
5,000.00 22d 3h
Novellus 03-00123-03 REV.B, Cable Assy, CA 14
Novellus 03-00123-03 REV.B, Cable Assy, CA 14
300.00  26d 5h
7508 Asml Pcb Atal T-timing 4022.471.5284
7508 Asml Pcb Atal T-timing 4022.471.5284
534.40  11d 4h
6lv-dafr4 ( Set Of 2) / Pneumatic Diaphragm Valve / Nupro
6lv-dafr4 ( Set Of 2) / Pneumatic Diaphragm Valve / Nupro
150.99  19d 20h
349-0101// Amat Applied 0010-22156 (#2) Applied Matrials
349-0101// Amat Applied 0010-22156 (#2) Applied Matrials
10,000.00 23d 23h
AMAT 3860-01669 TBG Coiled 3/80D X 8' EL PFA, 410049
AMAT 3860-01669 TBG Coiled 3/80D X 8' EL PFA, 410049
220.00 5d 21h
AMAT 0140-00974, Cable, Harness Driver, Enclosure 300MM, Centura. 414397
AMAT 0140-00974, Cable, Harness Driver, Enclosure 300MM, Centura. 414397
200.00 5d 22h
Amat 0040-76577 Weight 300mm 5zone Profiler ,
Amat 0040-76577 Weight 300mm 5zone Profiler ,
3,000.00  6d 21h
Pycon, Inc. Plb188 Rev 4 Ubid 2.2, Esds 2.2+
Pycon, Inc. Plb188 Rev 4 Ubid 2.2, Esds 2.2+
755.00  13d 6h
340-0303// Amat Applied 0190-35254 Assy Bellows Upper Mag Coupled []
340-0303// Amat Applied 0190-35254 Assy Bellows Upper Mag Coupled []
540.00 13d 6h
6inCF Components nipple
6inCF Components nipple
350.00  6d 17h
AMAT 0140-36608 Harness POS D, I/C Box, EMXP 413789
AMAT 0140-36608 Harness POS D, I/C Box, EMXP 413789
350.00 29d 20h
Stec Sec-7340m Mass Flow Controller Horiba N211041,
Stec Sec-7340m Mass Flow Controller Horiba N211041,
850.00  11d 23h
Innotec Group Inc Rf Power Supply Hfp-85000
Innotec Group Inc Rf Power Supply Hfp-85000
3,333.00  1d 10h
130-0302// Amat Applied 0100-09037 Applied Matrials Components
130-0302// Amat Applied 0100-09037 Applied Matrials Components
500.00 21d 0h
mtt DSP 8040 REV.C PCB BOARD
mtt DSP 8040 REV.C PCB BOARD
799.00  6d 5h
SensArray Corporation ProcessProbe Instrumented Wafers 1530A-8-0033
SensArray Corporation ProcessProbe Instrumented Wafers 1530A-8-0033
2,500.00  2d 21h
FEI Company 43786 Board with 14 day warranty
FEI Company 43786 Board with 14 day warranty
950.00 1d 19h
105-0501// Amat Applied 0140-04272 Components
105-0501// Amat Applied 0140-04272 Components
1,200.00 11d 3h
 Micro Industries 9700146-0001d Pc Board 97001460001d
Top-Rated Plus Seller  Micro Industries 9700146-0001d Pc Board 97001460001d
150.00  12d 19h
AMAT 0040-35433 RTP Chamber Bottom Weldment Assembly, 325341
AMAT 0040-35433 RTP Chamber Bottom Weldment Assembly, 325341
2,500.00  8d 18h
177-0301// Amat Applied 3870-01262 Valve Blws 1/4in 2-way Sst Shut-off [asis]
177-0301// Amat Applied 3870-01262 Valve Blws 1/4in 2-way Sst Shut-off [asis]
250.00 15d 3h
Amat 0020-79974 Nozzle ,
Amat 0020-79974 Nozzle ,
100.00  7d 4h
RECIF Technologies STDAH0543 A LED Interface Board PCB PCB0543 A  Working
RECIF Technologies STDAH0543 A LED Interface Board PCB PCB0543 A  Working
150.17  15d 14h
SCRW,SKT HEX 10-32 x 1 Nickle Vented (6 per pk.)
SCRW,SKT HEX 10-32 x 1 Nickle Vented (6 per pk.)
11.00  22d 17h
AMAT 0140-02440 Harness Assembly, MF I/O Module DC Power, 411437
AMAT 0140-02440 Harness Assembly, MF I/O Module DC Power, 411437
195.00 22d 22h
Koganei CS5B2 Sensor, Cylinder, 411823
Koganei CS5B2 Sensor, Cylinder, 411823
125.00 4d 21h
BOC EDWARDS D37215000 HIGH VACUUM INT, NETWORK INTERFACE MODULE iQDP, 409037
BOC EDWARDS D37215000 HIGH VACUUM INT, NETWORK INTERFACE MODULE iQDP, 409037
225.00 12d 15h
16x2 Inch  Susceptor, SiC , Base And Cover
16x2 Inch Susceptor, SiC , Base And Cover
12,000.00 29d 0h
355-0501// Amat Applied 0020-22187 (#1) 0020-13953 Plate Mount Adapter [asis]
355-0501// Amat Applied 0020-22187 (#1) 0020-13953 Plate Mount Adapter [asis]
240.00 10d 0h
126-0203// Alctel 26332-ka64-1002 Valve [asis]
126-0203// Alctel 26332-ka64-1002 Valve [asis]
300.00 8d 3h
126-0203// Nc E32000129 Valve [asis]
126-0203// Nc E32000129 Valve [asis]
200.00 8d 4h
126-0203// Nc Stv-1502-nwb Valve [asis]
126-0203// Nc Stv-1502-nwb Valve [asis]
250.00 8d 5h
322-0202// Amat Applied 0150-09648 Cable Assy, Flow Switch [asis]
322-0202// Amat Applied 0150-09648 Cable Assy, Flow Switch [asis]
200.00 5d 3h
126-0203// Nc Aiv-1002-nwb Valve [asis]
126-0203// Nc Aiv-1002-nwb Valve [asis]
200.00 8d 3h
176-0104// Amat Applied 0050-04790 Applied Matrials Components [asis]
176-0104// Amat Applied 0050-04790 Applied Matrials Components [asis]
250.00 11d 23h
176-0104// Amat Applied 0050-34787 Line, 1/4 Manifold Ar-wf6 [asis]
176-0104// Amat Applied 0050-34787 Line, 1/4 Manifold Ar-wf6 [asis]
200.00 11d 23h
AMAT 0150-01561 ECP, Cable Extention Power Cable For STE, Extension, 414079
AMAT 0150-01561 ECP, Cable Extention Power Cable For STE, Extension, 414079
195.00 3d 18h
Microscope objective 40/0.65 160/0.17
Microscope objective 40/0.65 160/0.17
225.00  5d 15h
Microscope objective 40/0.65 160/0.17
Microscope objective 40/0.65 160/0.17
250.00  5d 15h
0021-35087; Amat, Plate Blocker , N2/he Carrier Gas Dxz/un
0021-35087; Amat, Plate Blocker , N2/he Carrier Gas Dxz/un
725.00  8d 22h
105-0101// Amat Applied 0040-76895 0010-19913 Applied Matrials Components
105-0101// Amat Applied 0040-76895 0010-19913 Applied Matrials Components
5,000.00 13d 1h
Amat 0140-08758 Harness Assy Megasonic Ld ,
Amat 0140-08758 Harness Assy Megasonic Ld ,
750.00  21d 5h
Amat 0820-00154 Sensor, Liquid Level, Capaciti Es-sp-113 ,
Amat 0820-00154 Sensor, Liquid Level, Capaciti Es-sp-113 ,
500.00  23d 23h
Cosel 252W PCB Mount Isolated DC-DC Converter SNDHS250B12
Top-Rated Plus Seller Cosel 252W PCB Mount Isolated DC-DC Converter SNDHS250B12
195.23  17d 14h
Cosel 51W 15VDC PCB Mount Isolated DC-DC Converter SNDHS50B15
Top-Rated Plus Seller Cosel 51W 15VDC PCB Mount Isolated DC-DC Converter SNDHS50B15
170.25  24d 17h
Robot Arm Robot Effector with optical sensor Robot Accessories
Robot Arm Robot Effector with optical sensor Robot Accessories
2,700.00  9d 14h
SAM SFC2480FA Mass Flow Controller Ar 3 SCCM, MC-4SAGL ,
SAM SFC2480FA Mass Flow Controller Ar 3 SCCM, MC-4SAGL ,
150.00  27d 22h
Irvine Optical UG LDF ELV Connection Board PCB Alphanetics Lot of 4
Irvine Optical UG LDF ELV Connection Board PCB Alphanetics Lot of 4
152.17  2d 19h
Varian 8800201001 Pressure Switch, 414781
Varian 8800201001 Pressure Switch, 414781
175.00 29d 15h
156-0402// Amat Applied 980701-5 Applied Matrials Components
156-0402// Amat Applied 980701-5 Applied Matrials Components
200.00 26d 4h
Amat 0190-08770 Control 30-3 (fixload) ,
Amat 0190-08770 Control 30-3 (fixload) ,
1,400.00  9d 3h
Amat 0021-78219 Cover, Short Upper,
Amat 0021-78219 Cover, Short Upper,
1,300.00  26d 4h
AMAT 0040-43824, Plate, Cover, Particle Port, F1-IECP 300. 414491
AMAT 0040-43824, Plate, Cover, Particle Port, F1-IECP 300. 414491
150.00 6d 21h
AMAT 0150-35847 C/A, 15 Pin - D Tylan MFC 9Ft. 413487
AMAT 0150-35847 C/A, 15 Pin - D Tylan MFC 9Ft. 413487
195.00 22d 22h
SAM SFC2480FA Mass Flow Controller NH3 100 SCCM, MC-4SAGL ,
SAM SFC2480FA Mass Flow Controller NH3 100 SCCM, MC-4SAGL ,
150.00  27d 23h
S4-0001-1-A Susceptor,6 Inch, Silica With SiC Coated, Base
S4-0001-1-A Susceptor,6 Inch, Silica With SiC Coated, Base
2,950.00 29d 1h
124-0203// Amat Applied 0020-27690 Clamp Ring 8" Snnf Shut Comp 1
124-0203// Amat Applied 0020-27690 Clamp Ring 8" Snnf Shut Comp 1
1,800.00 11d 0h
AMAT 0150-09276 Cable, Over Pressure HE, 408495
AMAT 0150-09276 Cable, Over Pressure HE, 408495
195.00 5d 19h
Amat 0150-77058 Cable Assy,digital I/obp,
Amat 0150-77058 Cable Assy,digital I/obp,
9,300.00  18d 0h
AMAT 0150-00596 Cable Assembly, Cell B Motion Interlock, Harness, 413999
AMAT 0150-00596 Cable Assembly, Cell B Motion Interlock, Harness, 413999
150.00 2d 17h
Fund-71g-6.35  (lot Of 2  .. Free Ship)
Fund-71g-6.35 (lot Of 2 .. Free Ship)
180.80  14d 0h
124-0102// Amat Applied 0020-26340 Clamp Ring 8 Jmf Sst 3.4mm Aca
124-0102// Amat Applied 0020-26340 Clamp Ring 8 Jmf Sst 3.4mm Aca
1,500.00 10d 5h
Applied Materials 0021-28032
Applied Materials 0021-28032
90.00  17d 4h
AMAT 0150-02486 Cable Assembly, ENET 50FT, CDI Control To MF, 412821
AMAT 0150-02486 Cable Assembly, ENET 50FT, CDI Control To MF, 412821
275.00 1d 16h
Applied Materials 0020-62423 Lower Shield
Applied Materials 0020-62423 Lower Shield
1,000.00  6d 15h
Asyst Mini environment Cassette
Asyst Mini environment Cassette
1,800.00 24d 19h
Amat 0040-83321 Flange, 200mm 6-port ,
Amat 0040-83321 Flange, 200mm 6-port ,
600.00  4d 23h
Thermco Model 600059-00 Analog Input3 Zone Board
Thermco Model 600059-00 Analog Input3 Zone Board
120.00 16d 0h
2161  Lot of 3 Eaton (0295-0044-0001, 0295-0017-2001) Aluminum Beam Shutters
2161 Lot of 3 Eaton (0295-0044-0001, 0295-0017-2001) Aluminum Beam Shutters
180.00  10d 14h
Disco EAUF--765400 Operation Panel Unit, Farmon ID 412505
Disco EAUF--765400 Operation Panel Unit, Farmon ID 412505
1,100.00 20d 23h
Semifusion 160 Comparator Connector PCB Lot of 4 Ultratech 1000  Working
Semifusion 160 Comparator Connector PCB Lot of 4 Ultratech 1000  Working
159.16  7d 18h
0020-62505 / Rf Connector 5 Inch Coil / Applied Materials Amat 0020-62505
0020-62505 / Rf Connector 5 Inch Coil / Applied Materials Amat 0020-62505
39.66  10d 16h
BOC EDWARDS D37215000 HIGH VACUUM INT, NETWORK INTERFACE MODULE iQDP, 409035
BOC EDWARDS D37215000 HIGH VACUUM INT, NETWORK INTERFACE MODULE iQDP, 409035
225.00 12d 15h
Schlumberger 97911054 C/H Comparator Board PCB Rev. 4  Working
Schlumberger 97911054 C/H Comparator Board PCB Rev. 4  Working
703.12  18d 14h
Square D Transformer 9070kf50d5 W/quick Connect Terminal Lr21455
Square D Transformer 9070kf50d5 W/quick Connect Terminal Lr21455
27.99  12d 11h
Pentagon Technologies Q15-0217 A Quartz Insulator Pinless  
Top-Rated Plus Seller Pentagon Technologies Q15-0217 A Quartz Insulator Pinless
295.00  17d 20h
AMAT 0140-21363 Harness, Cable Interlock 414123
AMAT 0140-21363 Harness, Cable Interlock 414123
225.00 3d 20h
326-0303// Amat Applied 0150-21689 Cable Assy. Sq Right Angle 2ft
326-0303// Amat Applied 0150-21689 Cable Assy. Sq Right Angle 2ft
1,200.00 16d 9h
AMAT 0140-02565, Harness Assembly, SMIF TPCC Adapted Wode Bpdy LLB. 414056
AMAT 0140-02565, Harness Assembly, SMIF TPCC Adapted Wode Bpdy LLB. 414056
200.00 3d 17h
AMAT 0150-77102 Cable Assembly, 409498
AMAT 0150-77102 Cable Assembly, 409498
250.00 19d 20h
AMAT 0040-22837 Bracket, Source Connector, Lower Water - C, 413872
AMAT 0040-22837 Bracket, Source Connector, Lower Water - C, 413872
200.00 18h 46m
005-0201// Mks B-5303-00 (#3) 2l39-000016-22(r) Generator [asis]
005-0201// Mks B-5303-00 (#3) 2l39-000016-22(r) Generator [asis]
4,500.00 1d 4h
FUJIKIN VALVE O.P. 0.39~0.59MPa TYPE N.C., C.No.469223
FUJIKIN VALVE O.P. 0.39~0.59MPa TYPE N.C., C.No.469223
70.00 3d 6h
Sopra Switch Box with 14 day warranty
Sopra Switch Box with 14 day warranty
295.00 28d 19h
Millipore A9bk3527 3000 Psi
Millipore A9bk3527 3000 Psi
130.00  13d 20h
Amat  P/n 0200-07071 Top Liner  Larger Id
Amat P/n 0200-07071 Top Liner Larger Id
2,999.00  9d 7h
151-0501// Amat Applied 0020-09825 Door Top []
151-0501// Amat Applied 0020-09825 Door Top []
150.00 7d 5h
Omron Ly4
Omron Ly4
16.00  5d 18h
AMAT 0150-03907 Harness Assy., 300mm Endpoint Adaptor, 417458
AMAT 0150-03907 Harness Assy., 300mm Endpoint Adaptor, 417458
250.00 26d 18h
Recif Technologies FO12E03A32085 CANOPY FWD/BKWD MOTER (FO5)
Recif Technologies FO12E03A32085 CANOPY FWD/BKWD MOTER (FO5)
433.00  27d 2h
2-39-52081 / Smc Cdq2a32-40dc-a Cylinder,32x40mm Dbl Act Hsg Mt Pneumatic / Dns
2-39-52081 / Smc Cdq2a32-40dc-a Cylinder,32x40mm Dbl Act Hsg Mt Pneumatic / Dns
35.68  4d 16h
715-250717-001 / Baf,top,upr Elctd,150mm / Lam
715-250717-001 / Baf,top,upr Elctd,150mm / Lam
100.98  6d 16h
otherm Controls D901/40A/10V/PA TCS Input Converter  Working
otherm Controls D901/40A/10V/PA TCS Input Converter  Working
159.16  23d 12h
Asml Serv.502.27927 Pipe Connection Cbl 1.5 Mtr
Asml Serv.502.27927 Pipe Connection Cbl 1.5 Mtr
110.00  1d 6h
AMAT 0140-00974 Harness Driver Enclosure 300MM Centura, Cable, 414112
AMAT 0140-00974 Harness Driver Enclosure 300MM Centura, Cable, 414112
200.00 3d 19h
343-0203// Amat Applied 0040-13024 Applied Matrials Components
343-0203// Amat Applied 0040-13024 Applied Matrials Components
100.00 14d 23h
156-0403// Amat Applied 4315-0017 Applied Matrials Components Asis
156-0403// Amat Applied 4315-0017 Applied Matrials Components Asis
150.00 26d 5h
Lot of 500 Skywell 1000000pF Capacitors 0805Y105M160BD
Lot of 500 Skywell 1000000pF Capacitors 0805Y105M160BD
250.00 9d 21h
AMAT 0140-75156 H/A, SMIF PLC LLB Interconnect, Phase II FACI, 413866
AMAT 0140-75156 H/A, SMIF PLC LLB Interconnect, Phase II FACI, 413866
425.00 18h 39m
Amat 0140-08896 Harn Assy Lla Producer T,
Amat 0140-08896 Harn Assy Lla Producer T,
560.00  21d 5h
Leitz Leica 301-354.288 Servo Driver with 14 day warranty
Leitz Leica 301-354.288 Servo Driver with 14 day warranty
950.00 23d 15h
Glentek SMA8315-144-013A-1A-1-03 Motion Controller, 406252
Glentek SMA8315-144-013A-1A-1-03 Motion Controller, 406252
750.00 26d 17h
PL RS-232-C SCC Card with 14 day warranty
PL RS-232-C SCC Card with 14 day warranty
750.00 10d 17h
Millipore SPT-204 Transducer, 1000 psia, 4-20 mA
Millipore SPT-204 Transducer, 1000 psia, 4-20 mA
69.99  17h 30m
CSF Single Board Computer 333-900944 3307553-R
CSF Single Board Computer 333-900944 3307553-R
950.00 24d 17h
Hitachi 573-7015    SHCPU Card,
Hitachi 573-7015 SHCPU Card,
350.00  18d 23h
Hitachi 573-7018 SHAIO Card,
Hitachi 573-7018 SHAIO Card,
350.00  18d 23h
AMAT 0140-02675, Harn Assy, Slit VLV I/O, Anneal Chamber, 410369
AMAT 0140-02675, Harn Assy, Slit VLV I/O, Anneal Chamber, 410369
200.00 19d 19h
Ksl-530l, Flowmeter;3rot173478,karman Diff
Ksl-530l, Flowmeter;3rot173478,karman Diff
250.00  7d 6h
FEI Company 18198 Pattern GEN/DAC Board with 14 day warranty
FEI Company 18198 Pattern GEN/DAC Board with 14 day warranty
1,500.00 18d 21h
APTECH AP1510SX-2PW-MV4-MV4, Regulator
APTECH AP1510SX-2PW-MV4-MV4, Regulator
350.00  27d 3h
130-0301// Amat Applied 0100-00001 Applied Matrials Components
130-0301// Amat Applied 0100-00001 Applied Matrials Components
500.00 21d 0h
122-0101// Amat Applied 0020-34694 Liner, Gdp, R2 Oxidegeco
122-0101// Amat Applied 0020-34694 Liner, Gdp, R2 Oxidegeco
4,500.00 5d 4h
420-0101// Tamagawa 1k-s543 Stepping Motor [/fast]
420-0101// Tamagawa 1k-s543 Stepping Motor [/fast]
100.00 22d 3h
420-0101// Tamagawa 2k-s544w Stepping Motor [/fast]
420-0101// Tamagawa 2k-s544w Stepping Motor [/fast]
100.00 22d 3h
FABCO-AIR FPS-1064/SVG#203-122 Pneumatic Cylinder The Pancake Line  Working
FABCO-AIR FPS-1064/SVG#203-122 Pneumatic Cylinder The Pancake Line  Working
155.15  26d 19h
AG Associates Heatpulse Ceramic Shield 8 Inch,PN 7310-5186-01?
AG Associates Heatpulse Ceramic Shield 8 Inch,PN 7310-5186-01?
4,500.00 29d 1h
3-850289-a (lot Of 2) / Shaft Pin Pusher Eps Sus316l / Hitachi
3-850289-a (lot Of 2) / Shaft Pin Pusher Eps Sus316l / Hitachi
150.68  4d 1h
Commonwealth Scientific CAF-38 Controller 175 Watts/117 Volts
Commonwealth Scientific CAF-38 Controller 175 Watts/117 Volts
596.59  3d 11h
Amat 0021-17770 Cover Ring, 300mm Sst ,
Amat 0021-17770 Cover Ring, 300mm Sst ,
1,900.00  22d 6h
123-0301// Amat Applied 0010-09022 Asy, Slit Valve [asis]
123-0301// Amat Applied 0010-09022 Asy, Slit Valve [asis]
500.00 16d 4h
Parker, Veriflo 95930W2PFSMM Pressure Regulator 407399
Parker, Veriflo 95930W2PFSMM Pressure Regulator 407399
350.00 4d 19h
402-0501// Pacific Scientific Pc3405dd-001-e  Controller [/fast]
402-0501// Pacific Scientific Pc3405dd-001-e Controller [/fast]
400.00 11d 22h
Integrated Power Designs SRW-100-1008 Power Supply Reseller Lot of 2
Integrated Power Designs SRW-100-1008 Power Supply Reseller Lot of 2
152.17  2d 21h
Matrox Meteor Ii Multi-channel 751_0201 Rev.a
Matrox Meteor Ii Multi-channel 751_0201 Rev.a
99.90 6d 6h
Assy, Cable, Tilt, Right 260CB172-03
Assy, Cable, Tilt, Right 260CB172-03
250.00  4d 16h
Amat 0140-12730 Harness Pneumatic, 200mm Desica, System Lo. 411059, 411060.
Amat 0140-12730 Harness Pneumatic, 200mm Desica, System Lo. 411059, 411060.
450.00 13d 21h
143-0403// Amat Applied 0010-37170 Hose, Assy, Cndctv, 3/8tfe/tbg,24"lg
143-0403// Amat Applied 0010-37170 Hose, Assy, Cndctv, 3/8tfe/tbg,24"lg
250.00 26d 23h
141-0403// Amat Applied 0010-37171 Hose, Assy, Cndctv, 3/8tfe/tbg,72"lg
141-0403// Amat Applied 0010-37171 Hose, Assy, Cndctv, 3/8tfe/tbg,72"lg
290.00 26d 23h
Recif Technologies FO12E03A70000 F05 Carrier Resting Plane
Recif Technologies FO12E03A70000 F05 Carrier Resting Plane
688.00  27d 2h
Serto 630.40321 LPE Restriction ASML 4022.630.82162 Lot of 10
Serto 630.40321 LPE Restriction ASML 4022.630.82162 Lot of 10
120.00 1d 6h
ASFH34x214 RE Compact Air Products For AG Asscoiates Heatpulse ,7200-1114-03 C
ASFH34x214 RE Compact Air Products For AG Asscoiates Heatpulse ,7200-1114-03 C
1,200.00  9d 0h
AMAT 0190-35185 WATER FLW SENSOR  Proteus 9301BPK1
AMAT 0190-35185 WATER FLW SENSOR Proteus 9301BPK1
145.00  13d 18h
 Amat Applied Materials 0050-28882 Vacuum Fitting
 Amat Applied Materials 0050-28882 Vacuum Fitting
169.99  4d 11h
 Amat Applied Materials 0050-53754 Vacuum Fitting
 Amat Applied Materials 0050-53754 Vacuum Fitting
169.99  28d 15h
156-0301// Watlow Columbia 06624081
156-0301// Watlow Columbia 06624081
150.00 26d 4h
Merlin Gerin C60n
Merlin Gerin C60n
22.00  13d 17h
AMAT 0140-21628 H/R Smoke Sensor, System AC Box System AC 414143
AMAT 0140-21628 H/R Smoke Sensor, System AC Box System AC 414143
250.00 4d 16h
Amat 0226-09101 Mass Flow Controller Gas: N2 10slm,
Amat 0226-09101 Mass Flow Controller Gas: N2 10slm,
1,000.00  2d 2h
Amat 0225-33278 Mass Flow Controller Gas: N2 10slm,
Amat 0225-33278 Mass Flow Controller Gas: N2 10slm,
1,000.00  2d 3h
Steel Shelving
Steel Shelving
200.00  24d 22h
AMAT 0021-00959 Bracket Handle 402679
AMAT 0021-00959 Bracket Handle 402679
250.00 6d 20h
AMAT 0140-76919, Harness Assembly, Control, Interlock, PCB, J2 to Contr's 413761
AMAT 0140-76919, Harness Assembly, Control, Interlock, PCB, J2 to Contr's 413761
125.00 1d 18h
4530-0016 / Insl. Heater Jacket Flange Jalapeno Mf80 / Hps
4530-0016 / Insl. Heater Jacket Flange Jalapeno Mf80 / Hps
108.62  4d 13h
344-0401// Amat Applied 0020-26097 Obs, Nut Connector, Dc Source
344-0401// Amat Applied 0020-26097 Obs, Nut Connector, Dc Source
110.00 16d 3h
344-0501// Amat Applied 0021-76231 Applied Matrials Components
344-0501// Amat Applied 0021-76231 Applied Matrials Components
80.00 9d 3h
344-0501// Amat Applied 0040-23133 Applied Matrials Components
344-0501// Amat Applied 0040-23133 Applied Matrials Components
100.00 9d 3h
345-0101// Amat Applied 0300-09049 Eprom Boss Synergy 4.5.2
345-0101// Amat Applied 0300-09049 Eprom Boss Synergy 4.5.2
100.00 3d 3h
141-0501// Amat Applied 0150-22042 Cable Assembly, Center Tab, Besc
141-0501// Amat Applied 0150-22042 Cable Assembly, Center Tab, Besc
90.00 9d 4h
141-0601// Amat Applied 0150-20705 Cable Assy Wtr Fl Inlk On Bd C
141-0601// Amat Applied 0150-20705 Cable Assy Wtr Fl Inlk On Bd C
70.00 5h 57m
142-0502// Amat Applied 0150-20716 Cable Assy Final Vlv/intlk Di  
142-0502// Amat Applied 0150-20716 Cable Assy Final Vlv/intlk Di
100.00 29d 3h
142-0603// Amat Applied 0150-10116 Cable,ribbon Vds Posn,d
142-0603// Amat Applied 0150-10116 Cable,ribbon Vds Posn,d
90.00 25d 3h
142-0603// Amat Applied 0226-43609 Cable, Assy, Thermcouple  Exte
142-0603// Amat Applied 0226-43609 Cable, Assy, Thermcouple Exte
80.00 25d 3h
442-0401// Oriental Motor Asm66ac Motor []
442-0401// Oriental Motor Asm66ac Motor []
100.00 6d 23h
A4100-4A 4 Inch Muffle SiC Coat , Susceptor Base
A4100-4A 4 Inch Muffle SiC Coat , Susceptor Base
3,750.00 29d 1h
137-0502// Amat Applied 0240-31485 5000 Etch Turn Off Pump Stack Heater  Asis
137-0502// Amat Applied 0240-31485 5000 Etch Turn Off Pump Stack Heater Asis
1,000.00 11d 3h
International Rectifier IRFT002 HEXFET POWER MODULE ZIP-11P [1 pc]
International Rectifier IRFT002 HEXFET POWER MODULE ZIP-11P [1 pc]
12.00  11d 16h
AMAT 0150-00596 Cable Assembly, Cell B Motion Interlock 413746
AMAT 0150-00596 Cable Assembly, Cell B Motion Interlock 413746
150.00 1d 17h
130-0601// Amat Applied 0010-09051 Assembly, 125mm Storage 2nd Source
130-0601// Amat Applied 0010-09051 Assembly, 125mm Storage 2nd Source
800.00 20d 0h
AMAT 0140-00281 Harness Assembly, DNET I/O Distribution DC, Cable, 414214
AMAT 0140-00281 Harness Assembly, DNET I/O Distribution DC, Cable, 414214
150.00 5d 14h
104-0401// Amat Applied 0021-79127 Finger, Short, Walking Beam, 200mm
104-0401// Amat Applied 0021-79127 Finger, Short, Walking Beam, 200mm
540.00 13d 1h
Applied Materials 0240-01062 KIT,Calibration tools
Applied Materials 0240-01062 KIT,Calibration tools
388.00  12d 10h
125-0304// Amat Applied 0021-39657 Plate, Universal Lift, 150/200 Mm Dcsxz
125-0304// Amat Applied 0021-39657 Plate, Universal Lift, 150/200 Mm Dcsxz
1,100.00 11d 5h
AMAT 0150-06187 Cable Assembly, Temperature Control HT, Harness, 412830
AMAT 0150-06187 Cable Assembly, Temperature Control HT, Harness, 412830
150.00 1d 17h
Texas Instruments 70CJ350200017, 1501160, PCB. 412052
Texas Instruments 70CJ350200017, 1501160, PCB. 412052
275.00 8d 22h
12392 Tokyo Electron Pcb, Ttli29-11 F-master3 3880-200181-11
12392 Tokyo Electron Pcb, Ttli29-11 F-master3 3880-200181-11
1,291.08  20d 22h
124-0502// Amat Applied 0020-29214 Coil 1/8 Thk, Center Holes-knurled, Vec
124-0502// Amat Applied 0020-29214 Coil 1/8 Thk, Center Holes-knurled, Vec
1,000.00 5d 4h
BOC EDWARDS D37215000 HIGH VACUUM INT, NETWORK INTERFACE MODULE iQDP, 409031
BOC EDWARDS D37215000 HIGH VACUUM INT, NETWORK INTERFACE MODULE iQDP, 409031
225.00 12d 15h
Turck WKU 5711-1.5m Devicenet Cordset U-38114 1.5 Meter
Top-Rated Plus Seller Turck WKU 5711-1.5m Devicenet Cordset U-38114 1.5 Meter
79.99 16d 19h
Amat 0021-20074 Shield Ac Outlet System Ac Left, 1.50 Farmon Id 405950
Amat 0021-20074 Shield Ac Outlet System Ac Left, 1.50 Farmon Id 405950
175.00 16d 16h
AMAT 0020-18095 Space, Relay, 407163
AMAT 0020-18095 Space, Relay, 407163
250.00 28d 18h
Kawasaki 50979-2182L01 Cable Harness Assembly for NS410TDC61
Kawasaki 50979-2182L01 Cable Harness Assembly for NS410TDC61
950.00 6d 14h
LOT OF 4 KING INSTRUMENT 75301215C13 Flow Meter 100 SCFH
LOT OF 4 KING INSTRUMENT 75301215C13 Flow Meter 100 SCFH
388.00  24d 6h
No Name 3922 536 07860 392253607860 Cassette Station
No Name 3922 536 07860 392253607860 Cassette Station
10.00 10d 16h
Coaxial Power Systems Ltd RFG 600  RF GENERATOR
Coaxial Power Systems Ltd RFG 600 RF GENERATOR
988.00  7d 10h
FEI Model 4035 272 12081 B, Lens Cable Assembly
FEI Model 4035 272 12081 B, Lens Cable Assembly
150.00  4d 15h
Hedland 705014 Flowmeter 0-14 Gallons Per Minute 406647
Hedland 705014 Flowmeter 0-14 Gallons Per Minute 406647
195.00 13d 19h
Amat 0150-95015, X14a.p2/bcde Rdr. Modem, 409161
Amat 0150-95015, X14a.p2/bcde Rdr. Modem, 409161
250.00 13d 14h
Amat 0020-78001 Cover, Long, Upper,
Amat 0020-78001 Cover, Long, Upper,
1,300.00  26d 4h
Amat 0150-04964, C/a Serial Mfc To Fdp 5.3fl. 411254
Amat 0150-04964, C/a Serial Mfc To Fdp 5.3fl. 411254
250.00 16d 22h
AMAT 0150-02447, Assy, CBL Series MAG Leg, 411193
AMAT 0150-02447, Assy, CBL Series MAG Leg, 411193
250.00 15d 22h
 Stainless Flask Vessel Semiconductor Assembly 0.635 MM FREE SHIPPING
 Stainless Flask Vessel Semiconductor Assembly 0.635 MM FREE SHIPPING
169.99  17d 15h
AMAT 0040-00559 Side Shield 4, 407102
AMAT 0040-00559 Side Shield 4, 407102
175.00 26d 21h
AMAT 0040-09005 BASE STD CATHODE with 0010-76306 ,
AMAT 0040-09005 BASE STD CATHODE with 0010-76306 ,
2,900.00  5d 3h
AMAT 0140-02675, Harn Assy, Slit VLV I/O, Anneal Chamber, 410545
AMAT 0140-02675, Harn Assy, Slit VLV I/O, Anneal Chamber, 410545
200.00 25d 17h
AMAT 0020-94064 Busbar, 406048
AMAT 0020-94064 Busbar, 406048
250.00 19d 17h
CKD SSD-DL-140-45 Pneumatic Cylinder Assembly,  
CKD SSD-DL-140-45 Pneumatic Cylinder Assembly,
350.00  14d 21h
AMAT 0200-10073 INSULATOR,QUARTZ,200MM, SIMPLE CATHODE , Refurbished
AMAT 0200-10073 INSULATOR,QUARTZ,200MM, SIMPLE CATHODE , Refurbished
790.00  3d 1h
Schlumberger A3165550078 Video Harness cable assy
Schlumberger A3165550078 Video Harness cable assy
200.00 4d 17h
Vexta UPH566-A-A19 5-Phase Stepping Motor, DC 0.75 A, 414773
Vexta UPH566-A-A19 5-Phase Stepping Motor, DC 0.75 A, 414773
190.00 28d 20h
AXCELIS Filter Repair Replacement 3000740. 407212
AXCELIS Filter Repair Replacement 3000740. 407212
195.00 1d 15h
Amat 0140-26399 Cable, Mfg Tank Lvl, Dr,wa,
Amat 0140-26399 Cable, Mfg Tank Lvl, Dr,wa,
300.00  2d 20h
Amat 0021-04010 Cover, Front Panel, Gplis2 Enc. 411091
Amat 0021-04010 Cover, Front Panel, Gplis2 Enc. 411091
150.00 14d 16h
Amat 0040-75329 Ch, Enp, Fast Cooldown ,
Amat 0040-75329 Ch, Enp, Fast Cooldown ,
5,200.00  2d 21h
2 Amat 0190-04827 Cdo, Union, 1-1/2 Soc , 214-24-026, 409092
2 Amat 0190-04827 Cdo, Union, 1-1/2 Soc , 214-24-026, 409092
150.00 12d 16h
Tdk Noise Filter Zrgt2210-m - Lot Of 2 (yx17)
Top-Rated Plus Seller Tdk Noise Filter Zrgt2210-m - Lot Of 2 (yx17)
50.00  13d 1h
Motion Engineering 1007-0043-FAB 1007 0043 REV Breakout Board
Motion Engineering 1007-0043-FAB 1007 0043 REV Breakout Board
120.00  1d 23h
AMAT 5" Quartz Esc Cover, 422690
AMAT 5" Quartz Esc Cover, 422690
250.00 28d 17h
Tray, Ptf Teach Comp 47295801
Tray, Ptf Teach Comp 47295801
299.00  8d 18h
Amat 0150-92513 Cfa X1a.p7/x1b.p4, 411507
Amat 0150-92513 Cfa X1a.p7/x1b.p4, 411507
195.00 23d 22h
7310-4755-01 g, SLIP 6",R2 VERSION, SLIP FREE RING
7310-4755-01 g, SLIP 6",R2 VERSION, SLIP FREE RING
1,500.00 2d 15h
7310-4755-01 g, SLIP 6",P2 VERSION, SLIP FREE RING
7310-4755-01 g, SLIP 6",P2 VERSION, SLIP FREE RING
1,500.00 2d 15h
 Lesker Eft1223258b Feedthru Kf40 2 Pin Copper 150 Amp 12kv
 Lesker Eft1223258b Feedthru Kf40 2 Pin Copper 150 Amp 12kv
114.99  17d 19h
Brooks Lxc Motion Controller Model:ebc-1000gb/ace-890a
Brooks Lxc Motion Controller Model:ebc-1000gb/ace-890a
1,999.00  12d 6h
156-0401// Amat Applied 0190-35188 Assy, Htr Upper Exhaust, 1 Wpw
156-0401// Amat Applied 0190-35188 Assy, Htr Upper Exhaust, 1 Wpw
720.00 26d 4h
AMAT 0150-90651 Cable Assembly "9F.03/9E.P5 7k .C1 411423
AMAT 0150-90651 Cable Assembly "9F.03/9E.P5 7k .C1 411423
300.00 22d 18h
AMAT 0150-00978 Cable Assy, Serial/Video Interconnect-2, 411415
AMAT 0150-00978 Cable Assy, Serial/Video Interconnect-2, 411415
175.00 22d 15h
12941 Applied Materials Pcb, Pwb Analog Input 0100-11000
12941 Applied Materials Pcb, Pwb Analog Input 0100-11000
1,013.85  2d 2h
Amat 0021-01415 Bracket Support, Bt Cover, Cuxz Chamber. 407272
Amat 0021-01415 Bracket Support, Bt Cover, Cuxz Chamber. 407272
150.00 2d 14h
AMAT 0150-01329 Rev.P2, Cable Assy, DC Power Wafer LDR. 416198
AMAT 0150-01329 Rev.P2, Cable Assy, DC Power Wafer LDR. 416198
250.00 24d 20h
AMAT 0190-00398 Microwave Control Module,
AMAT 0190-00398 Microwave Control Module,
3,000.00  20d 4h
Hikon V10-307-1 with 30 day warranty
Hikon V10-307-1 with 30 day warranty
1,500.00 16d 19h
303-0101// Amat Applied 0010-22012 Cleaned 8" Hi-temp Htr W/ Welded Lugs
303-0101// Amat Applied 0010-22012 Cleaned 8" Hi-temp Htr W/ Welded Lugs
5,500.00 26d 5h
TVL SAT-AO BB81-000003-12 with 30 day warranty
TVL SAT-AO BB81-000003-12 with 30 day warranty
495.00 19d 19h
Pall Gaskleen SGLF6101M4 Gas Filter, 0.01 Micron, 750 PSI 424347
Pall Gaskleen SGLF6101M4 Gas Filter, 0.01 Micron, 750 PSI 424347
250.00 12d 20h
Aluminum Electrode A For PY150, 835-2867A, 406168
Aluminum Electrode A For PY150, 835-2867A, 406168
175.00 22d 14h
SVG Silicon Valley Group 80105B REV B Motherboard
SVG Silicon Valley Group 80105B REV B Motherboard
179.99 6d 20h
YASKAWA MOTIONPACK 110 whole unit
YASKAWA MOTIONPACK 110 whole unit
800.00  12d 7h
AMAT 0021-09181, Adapter, Waterbox, MXP + Standard Catho, 411063
AMAT 0021-09181, Adapter, Waterbox, MXP + Standard Catho, 411063
315.00 13d 21h
Tokyo Electron Limited Tel Pcb Assy Mm Gas Box Terminator 3m81-019887-1 *
Tokyo Electron Limited Tel Pcb Assy Mm Gas Box Terminator 3m81-019887-1 *
688.00  22d 10h
A8100-4B 4 Inch Muffle SiC Coat , Susceptor Disc
A8100-4B 4 Inch Muffle SiC Coat , Susceptor Disc
2,750.00 29d 1h
Icd/heatflex 11"x7" 2.2kw 208vac 655008-09
Icd/heatflex 11"x7" 2.2kw 208vac 655008-09
349.99  28d 13h
Amat 0190-00962, Bearing;0190-00962,spacer Pin Guide
Amat 0190-00962, Bearing;0190-00962,spacer Pin Guide
300.00  8d 1h
Axcelis Implanter Ceramic Repeller (99089)
Axcelis Implanter Ceramic Repeller (99089)
75.00 7h 1m
SEMY Engineering PCB 6805-00202-0001 Rev. C.  ASM PCB 6805-00293-001,
SEMY Engineering PCB 6805-00202-0001 Rev. C. ASM PCB 6805-00293-001,
650.00  7d 22h
130-0403// AMAT APPLIED 0100-09110 wASSY MINI AI FILTER BOARD
130-0403// AMAT APPLIED 0100-09110 wASSY MINI AI FILTER BOARD
250.00 29d 23h
AMAT 0150-95809 Cable, F/O T16, 8000mm, 3X11.TX/3X7F.RX, 417654
AMAT 0150-95809 Cable, F/O T16, 8000mm, 3X11.TX/3X7F.RX, 417654
195.00 17h 38m
Shinko Sdp-v-1 Converter Unit Ac200/220 50/60hz
Shinko Sdp-v-1 Converter Unit Ac200/220 50/60hz
199.95  24d 23h
AMAT 1140-01418, Power Supply, 24V, 100w, XP, LDA 100. 418374
AMAT 1140-01418, Power Supply, 24V, 100w, XP, LDA 100. 418374
195.00 14d 21h
344-0401// Amat Applied 0020-24771 Coupler 4 Bar Linkage Shutter
344-0401// Amat Applied 0020-24771 Coupler 4 Bar Linkage Shutter
300.00 16d 3h
326-0403// Amat Applied 0620-02279 Applied Matrials Components
326-0403// Amat Applied 0620-02279 Applied Matrials Components
300.00 5d 0h
322-0102// AMAT APPLIED 0190-20030 wFLOW SWITCH&CONTRL BD
322-0102// AMAT APPLIED 0190-20030 wFLOW SWITCH&CONTRL BD
600.00 5d 0h
344-0501// Amat Applied 0020-21736 Applied Matrials Components
344-0501// Amat Applied 0020-21736 Applied Matrials Components
260.00 9d 2h
344-0502// Amat Applied 0090-20283 Elect Assy N2 Flow Switch 24 S
344-0502// Amat Applied 0090-20283 Elect Assy N2 Flow Switch 24 S
240.00 5d 0h
344-0502// Amat Applied 0090-36523 Assy, Monitor Light Pen Select Key Lock
344-0502// Amat Applied 0090-36523 Assy, Monitor Light Pen Select Key Lock
250.00 5d 0h
344-0502// Amat Applied 0090-39207 Assy,electrical Cover Interlock Sw,dome
344-0502// Amat Applied 0090-39207 Assy,electrical Cover Interlock Sw,dome
300.00 5d 0h
141-0501// Amat Applied 0140-01587 Harness Assy, Ceb Dnet Input
141-0501// Amat Applied 0140-01587 Harness Assy, Ceb Dnet Input
250.00 9d 0h
141-0602// Amat Applied 0150-35462 Assy,cable Delta Lamp Module
141-0602// Amat Applied 0150-35462 Assy,cable Delta Lamp Module
250.00 8d 0h
141-0701// Amat Applied 0150-09146 Cable Assy N2 Flow Swit
141-0701// Amat Applied 0150-09146 Cable Assy N2 Flow Swit
240.00 20d 0h
141-0703// Amat Applied 0140-02278 Applied Matrials Components
141-0703// Amat Applied 0140-02278 Applied Matrials Components
300.00 20d 4h
141-0703// Amat Applied 0140-09056 Harness Airflow Interlk
141-0703// Amat Applied 0140-09056 Harness Airflow Interlk
250.00 20d 4h
142-0503// Amat Applied 0150-08833 Cable Assy,  300mm Rf Gen Rs232 Mode, Ad
142-0503// Amat Applied 0150-08833 Cable Assy, 300mm Rf Gen Rs232 Mode, Ad
240.00 17d 22h
142-0601// Amat Applied 0140-40388 Hrns Assy, External Endpnt Int
142-0601// Amat Applied 0140-40388 Hrns Assy, External Endpnt Int
260.00 18d 3h
142-0601// Amat Applied 0225-30921 Harness, Rf Matches
142-0601// Amat Applied 0225-30921 Harness, Rf Matches
300.00 18d 5h
142-0602// Amat Applied 0140-09069 Harness Power & Signal Dist.
142-0602// Amat Applied 0140-09069 Harness Power & Signal Dist.
250.00 18d 5h
325-0303// Unit Ufc-8160 (#4) N2 50sccm [asis]
325-0303// Unit Ufc-8160 (#4) N2 50sccm [asis]
300.00 25d 6h
438-0102// Oriental Motor Drl60pa4-05m (unclean) Limo Motor []
438-0102// Oriental Motor Drl60pa4-05m (unclean) Limo Motor []
200.00 6d 3h
442-0401// Oriental Motor Asm66mc-h100 (unclean) Motor []
442-0401// Oriental Motor Asm66mc-h100 (unclean) Motor []
200.00 6d 23h
AMAT 0150-90651 Cable Assembly "9F.03/9E.P5 7k .C1 411422
AMAT 0150-90651 Cable Assembly "9F.03/9E.P5 7k .C1 411422
300.00 22d 18h
Nemic Lambda Lwd30-1212 Power Supply
Nemic Lambda Lwd30-1212 Power Supply
150.00  1d 3h
320-0401// Hana Kvme900 68353-896 Board
320-0401// Hana Kvme900 68353-896 Board
100.00 1d 23h
Mitsubishi NV50-CA Breaker, 40A, 3-Pole,100-200VAC
Mitsubishi NV50-CA Breaker, 40A, 3-Pole,100-200VAC
41.99  9d 17h
AMAT 0040-45392 Weldment Bracket, Astron, Tan, Farmon ID 413039
AMAT 0040-45392 Weldment Bracket, Astron, Tan, Farmon ID 413039
550.00 9d 22h
348-0102// Amat Applied 0140-35134 0020-36233 Applied Matrials Components
348-0102// Amat Applied 0140-35134 0020-36233 Applied Matrials Components
100.00 7d 23h
AMAT 0020-98752, Plate, Side Right, 411317
AMAT 0020-98752, Plate, Side Right, 411317
550.00 20d 15h
Amat 0020-79192 Gear,sweep ,
Amat 0020-79192 Gear,sweep ,
270.00  19d 21h
Mks Htr2.0 , Str17.0 , Bp3 4620-1018
Mks Htr2.0 , Str17.0 , Bp3 4620-1018
350.00  15d 19h
Setra 26710R1WD2ED9CD, Pressure Transducer
Setra 26710R1WD2ED9CD, Pressure Transducer
100.00  5d 6h
10769 Asml Pcb Ezcab Board, 4022.471.85701 4022.471.8570
10769 Asml Pcb Ezcab Board, 4022.471.85701 4022.471.8570
790.45  11d 1h
130-0601// Amat Applied 0010-09051 Assembly, 125mm Storage
130-0601// Amat Applied 0010-09051 Assembly, 125mm Storage
800.00 20d 0h
323-0201// Amat Applied 3700-01991 Oring Id 2.734 Csd .139 Chemra
323-0201// Amat Applied 3700-01991 Oring Id 2.734 Csd .139 Chemra
170.00 14d 23h
430-0103// Pacific P21nsxc-lnn-ns-03 (unclean) Motor []
430-0103// Pacific P21nsxc-lnn-ns-03 (unclean) Motor []
170.00 3d 23h
AMAT 0040-00556 Side Shield 1, 407103
AMAT 0040-00556 Side Shield 1, 407103
175.00 26d 21h
Lufran Sensor Level SLC-1-D9
Lufran Sensor Level SLC-1-D9
55.00  23d 13h
Adept Technologies T1 Pendant 10m 05215-010 Rev A with 14 day warranty
Adept Technologies T1 Pendant 10m 05215-010 Rev A with 14 day warranty
1,250.00 25d 19h
Genus Assembly 13056-00 Rev L Circuit Board
Top-Rated Plus Seller Genus Assembly 13056-00 Rev L Circuit Board
225.98  2d 20h
Upm2-f812-m / 1105406, Vlv, Mnl, 2-2 Way, 3/4 Ftf, Pfa / Furon
Upm2-f812-m / 1105406, Vlv, Mnl, 2-2 Way, 3/4 Ftf, Pfa / Furon
130.00  21d 20h
Cq2d50-100dcm / Pneumatics Compact Cylinder Rod End Male Threaded / Smc
Cq2d50-100dcm / Pneumatics Compact Cylinder Rod End Male Threaded / Smc
160.00  23d 18h
Y14101001 / Tms Inslatn 1 Mtr Length 40mm / Edwards
Y14101001 / Tms Inslatn 1 Mtr Length 40mm / Edwards
125.68  17h 28m
Ue410-can3 / Safety Relay / Sick
Ue410-can3 / Safety Relay / Sick
135.68  19d 21h
Hmi 77-603-110250-000,77-603-110250-001,dc Power Supply Module,
Hmi 77-603-110250-000,77-603-110250-001,dc Power Supply Module,
1,500.00  7d 2h
AMAT 0140-01067 Rev.P2, Harness SPCL To CNTRLR PWR 300MM CENTURAm RTron. 413420
AMAT 0140-01067 Rev.P2, Harness SPCL To CNTRLR PWR 300MM CENTURAm RTron. 413420
300.00 22d 17h
Novellus Systems, 17-260362-00, Shield, Al6061,528*97
Novellus Systems, 17-260362-00, Shield, Al6061,528*97
1,058.00  6d 23h
Amat 0140-03193 Harness, Etch 300mm Pump, Turbo, Leak Dp.410918
Amat 0140-03193 Harness, Etch 300mm Pump, Turbo, Leak Dp.410918
300.00 13d 18h
302-0201// Advantech Acp-2320mb0-00xbe Acp-2320mb Chassis, 2u
302-0201// Advantech Acp-2320mb0-00xbe Acp-2320mb Chassis, 2u
400.00 24d 6h
401-0102// Olympus Bh3-5nre-m
401-0102// Olympus Bh3-5nre-m
699.00 4h 6m
323-0201// Amat Applied 3700-01927 Oring Id 13.984 Csd .139 Kalre
323-0201// Amat Applied 3700-01927 Oring Id 13.984 Csd .139 Kalre
450.00 14d 23h
116-0502// Amat Applied 0200-09425 Ring Focusing Qtz Al 200mm .89
116-0502// Amat Applied 0200-09425 Ring Focusing Qtz Al 200mm .89
600.00 2d 4h
114-0401// Amat Applied 0242-38062 0021- 36695 Kit, Upper Dome Ring []
114-0401// Amat Applied 0242-38062 0021- 36695 Kit, Upper Dome Ring []
620.00 3d 2h
319-0303// Amat Applied 3620-01355 Kit Horizontal Water Ftg Seiko Stp []
319-0303// Amat Applied 3620-01355 Kit Horizontal Water Ftg Seiko Stp []
560.00 3d 4h
322-0103// Amat Applied 0090-70003 Motor-encoder Asy Robot Extension, []
322-0103// Amat Applied 0090-70003 Motor-encoder Asy Robot Extension, []
600.00 16d 0h
323-0402// Amat Applied 0040-00457 (#1) Cable Fibre Optic 6 Foot []
323-0402// Amat Applied 0040-00457 (#1) Cable Fibre Optic 6 Foot []
500.00 23d 5h
323-0302// Amat Applied 0010-20511 Assy, Dc Bais Short Monitor []
323-0302// Amat Applied 0010-20511 Assy, Dc Bais Short Monitor []
450.00 14d 23h
322-0203// Amat Applied 0190-10982 Sensor Hx/fcw Flowswitch 5 Sec Delay []
322-0203// Amat Applied 0190-10982 Sensor Hx/fcw Flowswitch 5 Sec Delay []
600.00 25d 5h
321-0501// Amat Applied 0630-01368 Cap Fix 15kv 210pf 35a Vacuum 8-32 Bot []
321-0501// Amat Applied 0630-01368 Cap Fix 15kv 210pf 35a Vacuum 8-32 Bot []
450.00 1d 22h
321-0203// Amat Applied 0870-00083 Drvr Step Motor, Devicenet Position Cont
321-0203// Amat Applied 0870-00083 Drvr Step Motor, Devicenet Position Cont
550.00 13d 4h
345-0101// Amat Applied 0020-23730 Receiver Cassette
345-0101// Amat Applied 0020-23730 Receiver Cassette
510.00 12d 5h
141-0602// Amat Applied 0150-76820 Cable, Harness Mfc Chamber D
141-0602// Amat Applied 0150-76820 Cable, Harness Mfc Chamber D
600.00 8d 3h
347-0303// Amat Applied 0190-20063  Tc (spec Control)
347-0303// Amat Applied 0190-20063 Tc (spec Control)
540.00 21d 5h
327-0402// Amat Applied 0040-76298 Manifold Adapter, Otb Fac I/f []
327-0402// Amat Applied 0040-76298 Manifold Adapter, Otb Fac I/f []
500.00 10d 3h
426-0401// Omron Nt31-st121b-v2 Interactive Display [/fast]
426-0401// Omron Nt31-st121b-v2 Interactive Display [/fast]
500.00 23d 1h
430-0203// Pittman Tcp-542-35-h1-or (unclean) Motor []
430-0203// Pittman Tcp-542-35-h1-or (unclean) Motor []
500.00 4d 2h
352-0501// Amat Applied 0240-05308 Kit, W/ Modified Flex Conductor []
352-0501// Amat Applied 0240-05308 Kit, W/ Modified Flex Conductor []
550.00 10d 0h
105-0401// Amat Applied 0140-12170 Harness Assy Match Cooling W/intlk []
105-0401// Amat Applied 0140-12170 Harness Assy Match Cooling W/intlk []
490.00 13d 6h
340-0303// Amat Applied 0190-35255 Assy Bellows Lower Mag Coupled []
340-0303// Amat Applied 0190-35255 Assy Bellows Lower Mag Coupled []
600.00 13d 6h
351-0201// Amat Applied 0020-23922 Applied Matrials Components []
351-0201// Amat Applied 0020-23922 Applied Matrials Components []
500.00 17d 3h
134-0502// Amat Applied 0020-21707 Lifter 8 [2nd ]
134-0502// Amat Applied 0020-21707 Lifter 8 [2nd ]
600.00 27d 5h
176-0403// Amat Applied 0050-75741 Gasline Lower,heater Bypass,wb,mixed []
176-0403// Amat Applied 0050-75741 Gasline Lower,heater Bypass,wb,mixed []
520.00 17d 2h
177-0501// Amat Applied 0040-36245 He Supply Line, Chamber C []
177-0501// Amat Applied 0040-36245 He Supply Line, Chamber C []
570.00 17d 5h
176-0504// Amat Applied 0040-76367 Manifold Tall []
176-0504// Amat Applied 0040-76367 Manifold Tall []
470.00 23d 0h
176-0504// Amat Applied 0040-93983 Pigtail, Bottle, Din8 []
176-0504// Amat Applied 0040-93983 Pigtail, Bottle, Din8 []
580.00 11d 6h
320-0403// Amat Applied 0190-45576 Applied Matrials Components []
320-0403// Amat Applied 0190-45576 Applied Matrials Components []
500.00 25d 5h
AMAT 0140-08133 Harness Assembly, Producer E, Left Chamber, Cable, 413346
AMAT 0140-08133 Harness Assembly, Producer E, Left Chamber, Cable, 413346
950.00 22d 17h
Siemens Sicomp IPC FI20 PLC Controller
Siemens Sicomp IPC FI20 PLC Controller
3,500.00  7d 10h
KYOTO DENKIKI PLSS-D Power Supply for LED illumination
KYOTO DENKIKI PLSS-D Power Supply for LED illumination
199.90 17d 6h
Applied Materials 0230-02883 ENDURA ESIP TA(N) W/SLTESC AND SHUTTER CHAMBER MANU
Applied Materials 0230-02883 ENDURA ESIP TA(N) W/SLTESC AND SHUTTER CHAMBER MANU
250.00  26d 5h
AMAT 0150-09046    wASSY CABLE DIST ,
AMAT 0150-09046 wASSY CABLE DIST ,
199.00  19d 21h
318-0302// Amat Applied 0010-10373 Hose Assembly Male, Q-disc 3/8
318-0302// Amat Applied 0010-10373 Hose Assembly Male, Q-disc 3/8
100.00 5d 3h
AMAT 0040-40261, Plug, Shipping Universal Producer, 411192
AMAT 0040-40261, Plug, Shipping Universal Producer, 411192
350.00 15d 22h
AMAT 0020-76818, Panel, Degas Cooldown Intake. 412987
AMAT 0020-76818, Panel, Degas Cooldown Intake. 412987
495.00 9d 18h
Ae1306 (lot Of 2) / 2 Led Ring Lights / Cttc
Ae1306 (lot Of 2) / 2 Led Ring Lights / Cttc
99.62  3d 23h
Novellus 03-058262-00, Cable, Vector
Novellus 03-058262-00, Cable, Vector
200.00  10d 4h
ESI CKA 155604 Serial uController Board
ESI CKA 155604 Serial uController Board
222.00  2d 11h
AMAT 3030-01074 MASS FLOW CONTROLLER UFC-1100A GAS HCl / 50SCCM
AMAT 3030-01074 MASS FLOW CONTROLLER UFC-1100A GAS HCl / 50SCCM
1,100.00  26d 1h
Recif Technologies SPPF05A35055 Up/Down Motor
Recif Technologies SPPF05A35055 Up/Down Motor
433.00  27d 2h
AMAT 0150-99126 Issue.A, Cable Assembly, MDL, ASH3/PH3, 15 Way. 417942
AMAT 0150-99126 Issue.A, Cable Assembly, MDL, ASH3/PH3, 15 Way. 417942
250.00 5d 18h
0242-37884, Amat
0242-37884, Amat
3,855.00  25d 4h
Amat 0620-02456 Cable Assy Emo Iqdp Pump Edwards
Amat 0620-02456 Cable Assy Emo Iqdp Pump Edwards
270.00  10d 15h
124-0301// Amat Applied 0020-28867 Disk 8" Advanced 101 Shutter 2nd Source
124-0301// Amat Applied 0020-28867 Disk 8" Advanced 101 Shutter 2nd Source
1,000.00 11d 2h
gold plated disc
gold plated disc
50.00  3d 14h
108-0401// AMAT APPLIED 0010-20223 (UNCLEAN) wMAGNET REM 11.3"TIN ASY ASIS
108-0401// AMAT APPLIED 0010-20223 (UNCLEAN) wMAGNET REM 11.3"TIN ASY ASIS
4,000.00 23d 4h
Novellus 03-263982-00 REV.A, Cable
Novellus 03-263982-00 REV.A, Cable
200.00  13d 23h
AMAT 0140-90618, Cable, 8AP/9FP1, Harness. 413865
AMAT 0140-90618, Cable, 8AP/9FP1, Harness. 413865
250.00 18h 48m
Nordson 210753-8 Rev-h
Nordson 210753-8 Rev-h
30.00  7d 20h
124-0301// Amat Applied 0021-20042 Disk 8" B101 Sst Shutter
124-0301// Amat Applied 0021-20042 Disk 8" B101 Sst Shutter
1,200.00 11d 2h
Novellus 16-01276-00 Cable, 406346
Novellus 16-01276-00 Cable, 406346
295.00 28d 19h
Applied Materials Amat 0090-04288 Harness Assy , Button Pane ,  
Applied Materials Amat 0090-04288 Harness Assy , Button Pane ,
666.00  8d 10h
PN: 7100-5727-01C For AG Associates Heatpulse 8108, 8800, 4108 RTP
PN: 7100-5727-01C For AG Associates Heatpulse 8108, 8800, 4108 RTP
3,800.00 13d 13h
PN: 7100-5727-01A For AG Associates Heatpulse 8108, 8800, 4108 RTP
PN: 7100-5727-01A For AG Associates Heatpulse 8108, 8800, 4108 RTP
3,800.00 13d 14h
PN: 7310-6046-01A For AG Associates Heatpulse 8108, 8800, 4108 RTP
PN: 7310-6046-01A For AG Associates Heatpulse 8108, 8800, 4108 RTP
3,200.00  13d 14h
Saginomiya Karuman Ace Flow Meter Qlk-2520pf251s
Saginomiya Karuman Ace Flow Meter Qlk-2520pf251s
333.00  13d 7h
AMAT 0090-77346 Assembly TRM Web Encoder PM1, PM2, 327899
AMAT 0090-77346 Assembly TRM Web Encoder PM1, PM2, 327899
200.00 28d 14h
Com Inc D6220.C2 with 30 day warranty
Com Inc D6220.C2 with 30 day warranty
1,500.00 16d 19h
Fujitsu Denso Pm-b Bh5-3519 Board
Fujitsu Denso Pm-b Bh5-3519 Board
349.99 8d 8h
SensArray Corporation ProcessProbe Instrumented Wafers 1501A-5-0189
SensArray Corporation ProcessProbe Instrumented Wafers 1501A-5-0189
5,000.00 4d 17h
Asm 02-180542d01 Assy-door Mounting Bar Left
Asm 02-180542d01 Assy-door Mounting Bar Left
5,200.00  24d 20h
Tokyo Electron ES1D10-204338 Upper Electrode
Tokyo Electron ES1D10-204338 Upper Electrode
6,000.00  22d 20h
Asm 02-180543d01 Assy-door Mounting Bar Right
Asm 02-180543d01 Assy-door Mounting Bar Right
5,200.00  24d 20h
LAM 678-009953-001, 10323020026, Heater Cartridge, 200W. 416676
LAM 678-009953-001, 10323020026, Heater Cartridge, 200W. 416676
250.00 4d 21h
Semiconductor Systems Intel PWA1003137-07 X  LJ
Semiconductor Systems Intel PWA1003137-07 X LJ
400.00  13d 17h
Swagelok NUPRO 6LV-DA7213-C. N22857.  1/4" MVCR, 1/4" FVCR FITTING
Swagelok NUPRO 6LV-DA7213-C. N22857. 1/4" MVCR, 1/4" FVCR FITTING
155.00  7d 1h
Applied Materials 0010-29958 Rev 001 CCM Hart 3 Mainframe
Applied Materials 0010-29958 Rev 001 CCM Hart 3 Mainframe
950.00 19d 21h
445-0201// Oriental Motor Pk543aw-h100s (broken) Motor []
445-0201// Oriental Motor Pk543aw-h100s (broken) Motor []
250.00 29d 6h
109-0101// Nfovion Psig-0215ia Controller
109-0101// Nfovion Psig-0215ia Controller
500.00 21d 6h
407-0401// Nc 3930-00015 Adaptive Pressure Controller
407-0401// Nc 3930-00015 Adaptive Pressure Controller
200.00 21d 6h
411-0101// Dkm 9srdg1-60fw 9wd10br Motor [/fast]
411-0101// Dkm 9srdg1-60fw 9wd10br Motor [/fast]
100.00 19d 3h
418-0301// Yaskawa Ugrmem-02msw11 Minertia Motor [/fast]
418-0301// Yaskawa Ugrmem-02msw11 Minertia Motor [/fast]
200.00 22d 2h
423-0201// Omron R88m-w75030h (broken) Ac Servo Motor [/fast]
423-0201// Omron R88m-w75030h (broken) Ac Servo Motor [/fast]
300.00 22d 3h
429-0401// Fuji Gra1100bz (unclean) Ac Servo Motor [/fast]
429-0401// Fuji Gra1100bz (unclean) Ac Servo Motor [/fast]
200.00 26d 0h
435-0103// Panasonic Mbmh01dzls1 Motor [/fast]
435-0103// Panasonic Mbmh01dzls1 Motor [/fast]
300.00 27d 1h
439-0501// Oriental Motor Kxm5120gd-abm (broken) Ac Servo Motor []
439-0501// Oriental Motor Kxm5120gd-abm (broken) Ac Servo Motor []
350.00 27d 22h
443-0302// Oriental Motor Bl230gd-amk (unclean) Motor []
443-0302// Oriental Motor Bl230gd-amk (unclean) Motor []
200.00 29d 0h
443-0203// Oriental Motor K0624-m (cut Cable) Brushless Dc Motor []
443-0203// Oriental Motor K0624-m (cut Cable) Brushless Dc Motor []
200.00 29d 0h
443-0201// Oriental Motor K0366-m Brushless Dc Motor [/fast]
443-0201// Oriental Motor K0366-m Brushless Dc Motor [/fast]
200.00 29d 0h
443-0101// Oriental Motor Bhi82st-g Bh8g-50 (unclean) Induction Motor []
443-0101// Oriental Motor Bhi82st-g Bh8g-50 (unclean) Induction Motor []
200.00 29d 0h
430-0301// Syowa Kensan Million Skm-50 Motor []
430-0301// Syowa Kensan Million Skm-50 Motor []
200.00 4d 2h
430-0402// Aichi Cl3076 Motor []
430-0402// Aichi Cl3076 Motor []
200.00 4d 4h
430-0402// Aichi Cl3062 Motor []
430-0402// Aichi Cl3062 Motor []
200.00 4d 4h
430-0302// Inductive Ic-10368-0 Motor []
430-0302// Inductive Ic-10368-0 Motor []
200.00 4d 4h
438-0103// Oriental Motor Drl60pa4-05m (unclean) Actuator [asis]
438-0103// Oriental Motor Drl60pa4-05m (unclean) Actuator [asis]
200.00 6d 3h
438-0101// Oriental Motor Drl60pb4-05m (unclean) Motor []
438-0101// Oriental Motor Drl60pb4-05m (unclean) Motor []
200.00 6d 3h
440-0402// Oriental Motor Bm315-412 Motor []
440-0402// Oriental Motor Bm315-412 Motor []
200.00 6d 5h
442-0201// Orienatal Motor A5653-9215ktgm Motor []
442-0201// Orienatal Motor A5653-9215ktgm Motor []
200.00 6d 21h
442-0401// Oriental Motor Asm66mc-h100 (short Cable) Motor []
442-0401// Oriental Motor Asm66mc-h100 (short Cable) Motor []
200.00 6d 23h
316-0403// Amat Applied 0020-22391 Shield Hi Pressure Flow Restrictor []
316-0403// Amat Applied 0020-22391 Shield Hi Pressure Flow Restrictor []
200.00 10d 23h
173-0701// Riken Keiki Gd-k8dg Nf3 Gas Detector [asis]
173-0701// Riken Keiki Gd-k8dg Nf3 Gas Detector [asis]
400.00 11d 3h
118-0401// Mrc C16-0437-a4528-02 Target [asis]
118-0401// Mrc C16-0437-a4528-02 Target [asis]
500.00 12d 4h
141-0401// Amat Applied 0020-30872 Penel Mini Controller 110 7 Amp []
141-0401// Amat Applied 0020-30872 Penel Mini Controller 110 7 Amp []
300.00 12d 4h
114-0501// Amat Applied 0015-20030 Mod Interior Trim 54 Position []
114-0501// Amat Applied 0015-20030 Mod Interior Trim 54 Position []
160.00 12d 6h
114-0501// Amat Applied 0020-09522 Housing, Power Connector []
114-0501// Amat Applied 0020-09522 Housing, Power Connector []
190.00 12d 6h
355-0501// Amat Applied 0020-22187 Plate Mount Adapter []
355-0501// Amat Applied 0020-22187 Plate Mount Adapter []
210.00 10d 0h
123-0103// Amat Applied 3020-01126 Cyl Air 63mm Bore 25mm Stroke W/auto []
123-0103// Amat Applied 3020-01126 Cyl Air 63mm Bore 25mm Stroke W/auto []
200.00 29d 22h
176-0303// Smc Kka7s-x33 Coupler Stainless Steel [asis]
176-0303// Smc Kka7s-x33 Coupler Stainless Steel [asis]
200.00 29d 6h
349-0501// Amat Applied 0020-22351 Housing Position D []
349-0501// Amat Applied 0020-22351 Housing Position D []
200.00 4d 6h
404-0302// Burkert 766-083423-001 Lam Solenoid Valve 790-257830-042 [asis]
404-0302// Burkert 766-083423-001 Lam Solenoid Valve 790-257830-042 [asis]
300.00 4d 6h
Applied Materials AMAT Mott Flow Restirctor, 3510-00193
Applied Materials AMAT Mott Flow Restirctor, 3510-00193
165.00  19d 8h
Applied Materials AMAT Mott Flow Restirctor, 3510-00243
Applied Materials AMAT Mott Flow Restirctor, 3510-00243
165.00  19d 8h
Advanced Radiaton Corporation 30273 Q4197
Advanced Radiaton Corporation 30273 Q4197
88.00  18d 16h
Advanced Radiaton Corporation 30273 Q4197 60v
Advanced Radiaton Corporation 30273 Q4197 60v
88.00  18d 16h
Advanced Radiaton Corporation 30273 Q4197 64v
Advanced Radiaton Corporation 30273 Q4197 64v
88.00  18d 16h
Mks 9620-1770 Heater Jacket
Mks 9620-1770 Heater Jacket
99.00  8d 20h
323-0302// Amat Applied 0010-21952 Amat Component
323-0302// Amat Applied 0010-21952 Amat Component
450.00 28d 5h
343-0401// Amat Applied 0040-38750 Lift Pin, Long, Alumina, Non-cond., Cera
343-0401// Amat Applied 0040-38750 Lift Pin, Long, Alumina, Non-cond., Cera
500.00 20d 3h
AMAT 0150-03907 Harness Assy., 300mm Endpoint Adapter, 415216
AMAT 0150-03907 Harness Assy., 300mm Endpoint Adapter, 415216
250.00 25d 14h
Amat 0020-07211 O-ring Seal Tv, 300mm
Amat 0020-07211 O-ring Seal Tv, 300mm
475.00  7d 5h
HP Agilent E5515 Measurement Downconverter 61029 (4001) Made in UK
HP Agilent E5515 Measurement Downconverter 61029 (4001) Made in UK
149.00  7d 19h
PN 7100-4059-01 AG Associates Heatpulse PCB
PN 7100-4059-01 AG Associates Heatpulse PCB
2,800.00 21d 13h
130-0203// AMAT APPLIED 0100-09081 wPCB ASSY N2-DRYVAC DIST
130-0203// AMAT APPLIED 0100-09081 wPCB ASSY N2-DRYVAC DIST
500.00 21d 0h
10 Varian E17066300, Shield, Top, End plate. 329175
10 Varian E17066300, Shield, Top, End plate. 329175
50.00 13d 18h
Amat 0020-42292 Adapter Sensor Hyt Wxz Adaptor 407140
Amat 0020-42292 Adapter Sensor Hyt Wxz Adaptor 407140
175.00 27d 20h
Hitachi 573-7024 SHPMC2 Card,
Hitachi 573-7024 SHPMC2 Card,
350.00  18d 23h
Amat 0021-7465, Cover, Side, Enclosure, 409160
Amat 0021-7465, Cover, Side, Enclosure, 409160
250.00 13d 14h
AMAT 1140-01194 Power Supply Module, 120V AC Outlet, 423940
AMAT 1140-01194 Power Supply Module, 120V AC Outlet, 423940
200.00 14d 21h
Amat 0040-46237 Rev.002
Amat 0040-46237 Rev.002
2,999.00  22d 8h
AMAT 0140-11788 Harness Assembly Srd Exhaust I/O Breakout, 415277
AMAT 0140-11788 Harness Assembly Srd Exhaust I/O Breakout, 415277
250.00 25d 18h
325-0303// Unit Ufc-8160 (#8) Cl2 200sccm [asis]
325-0303// Unit Ufc-8160 (#8) Cl2 200sccm [asis]
300.00 25d 6h
Lid, Exhaust Duct HITACHI INTEL
Lid, Exhaust Duct HITACHI INTEL
400.00 29d 6h
1947  Applied Materials 8100D (P/N: 01-81913-00/E ) DC Power Supply
1947 Applied Materials 8100D (P/N: 01-81913-00/E ) DC Power Supply
900.00  8d 18h
Amat 0200-03691 Insulator External W/lip ,
Amat 0200-03691 Insulator External W/lip ,
1,900.00  3h 9m
AMAT 0150-97024 Cable Assembly, Monitor Video, 30FT, 409505
AMAT 0150-97024 Cable Assembly, Monitor Video, 30FT, 409505
175.00 19d 21h
AMAT 0620-02707, N/F Power Cable Assembly. 417077
AMAT 0620-02707, N/F Power Cable Assembly. 417077
250.00 15d 16h
AMAT 1220-01017 XMTR 2-Wire ORP 4-MA-OUT, 420747
AMAT 1220-01017 XMTR 2-Wire ORP 4-MA-OUT, 420747
250.00 20d 15h
AMAT 0140-02298 Harness Assemby, DNET Power To CDCG, 417983
AMAT 0140-02298 Harness Assemby, DNET Power To CDCG, 417983
165.00 6d 17h
AMAT 0200-00006 Insulator, Ground Plate, 8330, 420628
AMAT 0200-00006 Insulator, Ground Plate, 8330, 420628
250.00 10d 17h
Amat 0140-03697 Cable Gnd Lower Cb Bracket 407313
Amat 0140-03697 Cable Gnd Lower Cb Bracket 407313
250.00 2d 20h
Amat 0041-53904 ,
Amat 0041-53904 ,
488.00  21d 5h
AMAT 0140-06954 HARNESS ASSY, PRODUCER ET 1-11938000-33 Farmon ID 405889
AMAT 0140-06954 HARNESS ASSY, PRODUCER ET 1-11938000-33 Farmon ID 405889
175.00 14d 21h
AMAT 0150-01561, Harness, ECP, Cable Extention Power Cable for STE. 414253
AMAT 0150-01561, Harness, ECP, Cable Extention Power Cable for STE. 414253
175.00 5d 16h
7114 Applied Materials Pcb 4 Channel Devicenet Scanner 0190-34512
7114 Applied Materials Pcb 4 Channel Devicenet Scanner 0190-34512
300.00  25d 4h
AMAT 0020-10084 Bracket Thru The Wall Door Clamp, 406141
AMAT 0020-10084 Bracket Thru The Wall Door Clamp, 406141
175.00 21d 15h
ON SEMI  MC14007UBDR2 Qty of 75 per Lot semiconductor
Top-Rated Plus Seller ON SEMI MC14007UBDR2 Qty of 75 per Lot semiconductor
65.00  1d 18h
Applied Komatsu -  0100-66016 -  Expanded Communications Distribution Board
Applied Komatsu - 0100-66016 - Expanded Communications Distribution Board
250.00  6d 2h
343-0301// Amat Applied 0040-03239 Applied Matrials Components
343-0301// Amat Applied 0040-03239 Applied Matrials Components
500.00 15d 0h
AMAT 0140-09346 HARNESS ASSEMBLY HX FLOW SWITCH 1-11938000-44 Farmon ID 405938
AMAT 0140-09346 HARNESS ASSEMBLY HX FLOW SWITCH 1-11938000-44 Farmon ID 405938
250.00 15d 18h
124-0402// Amat Applied 0020-22237 Cover Ring 8"
124-0402// Amat Applied 0020-22237 Cover Ring 8"
1,000.00 11d 3h
346-0302// Amat Applied 0020-01174 Nut Lock
346-0302// Amat Applied 0020-01174 Nut Lock
200.00 28d 9h
PATLITE CLE-24 LED Work Light,
PATLITE CLE-24 LED Work Light,
150.00  2d 23h
Micrion Model 150-891 Board
Micrion Model 150-891 Board
120.00 15d 23h
Appiled Motion Products 3540I Step Motor Driver, 420658
Appiled Motion Products 3540I Step Motor Driver, 420658
250.00 13d 18h
Verteq 1081852 Board 8201 I/O Hardened
Top-Rated Plus Seller Verteq 1081852 Board 8201 I/O Hardened
199.98  27d 13h
Ae Rapid-f Rps Amat Pn: 0190-13025
Ae Rapid-f Rps Amat Pn: 0190-13025
7,000.00  23d 16h
Applied materials 0190-09475
Applied materials 0190-09475
829.00  8d 0h
ASM HIPEC MOTION SOLUTION 01-18100 rev A FREE SHIPPING
ASM HIPEC MOTION SOLUTION 01-18100 rev A FREE SHIPPING
599.90 7d 1h
AMAT 0020-31750 Rev.P2, Support Loadlock Cover Lifter. 418683
AMAT 0020-31750 Rev.P2, Support Loadlock Cover Lifter. 418683
225.00 21d 20h
177-0202// Nupro Ss-4by-1c-6226 Valve [asis]
177-0202// Nupro Ss-4by-1c-6226 Valve [asis]
300.00 15d 1h
AMAT 0150-21804 Cable Assy, Rotation MTR Interconnect, 418018
AMAT 0150-21804 Cable Assy, Rotation MTR Interconnect, 418018
195.00 6d 18h
Novellus 03-041051-03, A175P1, A0P1, Cable 402574
Novellus 03-041051-03, A175P1, A0P1, Cable 402574
250.00 4d 15h
27 Nylon, ISM020, Compression Collar, 406316
27 Nylon, ISM020, Compression Collar, 406316
270.00 28d 13h
Fwbr-71-6.35-ljo#a
Fwbr-71-6.35-ljo#a
991.60  16d 5h
131-0201// Amat Applied 0020-24534 (#2)  0020-26340 0020-25311 13 Flt Src-w
131-0201// Amat Applied 0020-24534 (#2) 0020-26340 0020-25311 13 Flt Src-w
2,000.00 2d 23h
AC DC Power Box For AG Associates Heatpulse
AC DC Power Box For AG Associates Heatpulse
2,500.00 19d 0h
Trikon Platen Shield / 155822b02 Ftm /spts Technologies
Trikon Platen Shield / 155822b02 Ftm /spts Technologies
95.97  17h 50m
2021544-00 /modem Industrial Sixnet/ Lam
2021544-00 /modem Industrial Sixnet/ Lam
95.98  6d 18h
Tokyo TEL SAT-IOE 3M81-019772-11 3M81-019774-11 with 30 day warranty
Tokyo TEL SAT-IOE 3M81-019772-11 3M81-019774-11 with 30 day warranty
495.00 19d 19h
Applied Materials/AMAT (Part Number: 0150-16922 Rev. 0001)
Applied Materials/AMAT (Part Number: 0150-16922 Rev. 0001)
165.00  23d 17h
Amat 0040-77086 Spacer ,
Amat 0040-77086 Spacer ,
900.00  15d 1h
Industrial Computer Source 7308-24V
Industrial Computer Source 7308-24V
1,750.00 28d 17h
TEL Tokyo Electron SS05012 Sensor, 423856
TEL Tokyo Electron SS05012 Sensor, 423856
195.00 8d 19h
Lot of 4 372-45063-1 .002 100MM Seal 414627
Lot of 4 372-45063-1 .002 100MM Seal 414627
160.00 14d 17h
104-0101// Amat Applied 0010-22930 Facility Plate, Position 2, Wi
104-0101// Amat Applied 0010-22930 Facility Plate, Position 2, Wi
6,000.00 5d 3h
Digital View Bare PCB Interface Part 416960051-3, 2008. Discontinued
Top-Rated Plus Seller Digital View Bare PCB Interface Part 416960051-3, 2008. Discontinued
40.00  1d 14h
0021-36086 Lamp Reflector, MODII, ATM, 1.320" Long **.
0021-36086 Lamp Reflector, MODII, ATM, 1.320" Long **.
75.00  20d 19h
otherm EFit By Schneider Electric PN 70727224 3700095947 EFIT/50A/240V
otherm EFit By Schneider Electric PN 70727224 3700095947 EFIT/50A/240V
1,950.00  29d 23h
AMAT 0002-13874 Ball Stud, UPR, Gas Spring, 419667
AMAT 0002-13874 Ball Stud, UPR, Gas Spring, 419667
175.00 9d 21h
TEGAL 901E TEGAL 903E Tegal WAFER Transfer CW1002-6200RW
TEGAL 901E TEGAL 903E Tegal WAFER Transfer CW1002-6200RW
1,999.00 13d 3h
Colman DC Motors CYHC-43000-761 24 VDC CMP Daid 412861
Colman DC Motors CYHC-43000-761 24 VDC CMP Daid 412861
250.00 2d 22h
AMAT 3860-01669 TBG Coiled 3/8OD x 8'EL PFA, 417839
AMAT 3860-01669 TBG Coiled 3/8OD x 8'EL PFA, 417839
215.00 4d 16h
MKS HPS 100314416 Elbow, Long Radius, 90 Deg, 423606
MKS HPS 100314416 Elbow, Long Radius, 90 Deg, 423606
250.00 15d 20h
Cwmfw-4-79.8
Cwmfw-4-79.8
579.50  16d 0h
Innovative Integration M62/67 Board PCB 71247 piggy back with 14 day warranty
Innovative Integration M62/67 Board PCB 71247 piggy back with 14 day warranty
950.00 28d 20h
3 Shibaura SFA1151-RO Sensor, 409799
3 Shibaura SFA1151-RO Sensor, 409799
190.00 27d 21h
427-0501// Pro-face Gp2501-tc11 Touch Screen [/fast]
427-0501// Pro-face Gp2501-tc11 Touch Screen [/fast]
500.00 23d 0h
AG Associates Heatpulse Ceramic Shield 6 Inch
AG Associates Heatpulse Ceramic Shield 6 Inch
3,800.00 29d 1h
408-0301// Glentek Sma9815-000-000-1a-1 Controller [/fast]
408-0301// Glentek Sma9815-000-000-1a-1 Controller [/fast]
400.00 13d 4h
421-0501// Sanyo Denki Pm-udpc1a02-20 Driver [/fast]
421-0501// Sanyo Denki Pm-udpc1a02-20 Driver [/fast]
400.00 22d 1h
6DS15-095 Replacement Filter Element for Finite HN4L-6DS, 0.01 Micron Particulat
6DS15-095 Replacement Filter Element for Finite HN4L-6DS, 0.01 Micron Particulat
126.51 5d 21h
AG Associates 7310-4434-01A Quartz Tray With TC Station (Rear) 4-6 Inch
AG Associates 7310-4434-01A Quartz Tray With TC Station (Rear) 4-6 Inch
2,800.00  13d 16h
176-0401// Amat Applied 0040-13358 Adptr, Pressure Gauge, Std And 2nd Source
176-0401// Amat Applied 0040-13358 Adptr, Pressure Gauge, Std And 2nd Source
600.00 28d 9h
AMAT 0020-01021 Plate, Quartz, 417390
AMAT 0020-01021 Plate, Quartz, 417390
250.00 23d 19h
Tokyo Electron Model-120-01ctl  Temperature Controller
Tokyo Electron Model-120-01ctl Temperature Controller
1,499.00  9d 4h
7100-1091-01   ( FLUKE 1780A ) FLUKE, MOD (W/O FEET) AG Associates Heatpulse
7100-1091-01 ( FLUKE 1780A ) FLUKE, MOD (W/O FEET) AG Associates Heatpulse
200.00 2d 14h
Amat 0150-77106 Cbl Assy, Power Pcond,
Amat 0150-77106 Cbl Assy, Power Pcond,
1,600.00  13d 6h
Nikon KAB11000-3401 Rev 2 MIC-CNT 2S005-230 4S005-342 with 30 day warranty
Nikon KAB11000-3401 Rev 2 MIC-CNT 2S005-230 4S005-342 with 30 day warranty
495.00 3d 20h
180-0401// Xcm 800-0399-003 Control Module []
180-0401// Xcm 800-0399-003 Control Module []
500.00 1d 6h
Lot of 2 MILLIPORE SPT 204 TRANSDUCER 100 PSIG
Lot of 2 MILLIPORE SPT 204 TRANSDUCER 100 PSIG
140.00 5d 22h
Asm 830110119 Mcb 12.5-16a Abb Ms325
Asm 830110119 Mcb 12.5-16a Abb Ms325
45.00  20d 20h
AMAT 0150-06295 Harness Assembly, Producer E, DNET PWR To GA, Cable, 413426
AMAT 0150-06295 Harness Assembly, Producer E, DNET PWR To GA, Cable, 413426
295.00 22d 17h
20 Disco MODVO5060 Dresser Board, 6", 450428
20 Disco MODVO5060 Dresser Board, 6", 450428
250.00 20d 16h
141-0502// Amat Applied 0140-09076 Harness Heating Element
141-0502// Amat Applied 0140-09076 Harness Heating Element
30.00 9d 5h
141-0502// Amat Applied 0226-42789 Cable, Extension Flow/temp 1/2
141-0502// Amat Applied 0226-42789 Cable, Extension Flow/temp 1/2
40.00 3h 21m
141-0601// Amat Applied 0150-10454 Cable,lid/cover Interlock Sw,d
141-0601// Amat Applied 0150-10454 Cable,lid/cover Interlock Sw,d
40.00 5h 54m
141-0702// Amat Applied 0150-10408 Cable, Assy, Emo Interconnect,
141-0702// Amat Applied 0150-10408 Cable, Assy, Emo Interconnect,
50.00 20d 4h
142-0501// Amat Applied 0150-70016 Applied Matrials Components
142-0501// Amat Applied 0150-70016 Applied Matrials Components
40.00 22d 5h
142-0703// Amat Applied 0150-39388 Cable,cell,end Pt Det
142-0703// Amat Applied 0150-39388 Cable,cell,end Pt Det
50.00 28d 4h
143-0502// Amat Applied 0150-09884 Cable, Assy Lid Interlock Wxz
143-0502// Amat Applied 0150-09884 Cable, Assy Lid Interlock Wxz
40.00 5d 3h
143-0503// Amat Applied 0150-20640 Cable Assy 2-phase Driver Output
143-0503// Amat Applied 0150-20640 Cable Assy 2-phase Driver Output
50.00 10d 23h
114-0601// Amat Applied 0020-10016 Shield Contactor Remote Ac []
114-0601// Amat Applied 0020-10016 Shield Contactor Remote Ac []
40.00 12d 22h
350-0203// Amat Applied 0020-23469 K.mfg., Block, Mount, Unit Mfc [asis]
350-0203// Amat Applied 0020-23469 K.mfg., Block, Mount, Unit Mfc [asis]
20.00 4d 5h
AMAT 0021-32122 Rev.003, PIN Shield #1, PPR, IECP. 419673
AMAT 0021-32122 Rev.003, PIN Shield #1, PPR, IECP. 419673
250.00 9d 21h
RECIF Technologies IDLW8-A9087 Cassette, Sensor, B, OCR Optical Character 450322
RECIF Technologies IDLW8-A9087 Cassette, Sensor, B, OCR Optical Character 450322
95.00 14d 15h
VARIAN PARALLE I/O 04-719030-01 B, FAB 04-719031-01, Board
VARIAN PARALLE I/O 04-719030-01 B, FAB 04-719031-01, Board
200.00  5d 4h
Applied Motion HT23-593 Stepping Motor, 409964
Applied Motion HT23-593 Stepping Motor, 409964
150.00 4d 15h
RU TK-010N Photoelectric Liquid Sensor, 412191
RU TK-010N Photoelectric Liquid Sensor, 412191
350.00 11d 21h
Mks 9699-1361 Htr2.08, Str4.94, 1ap1
Mks 9699-1361 Htr2.08, Str4.94, 1ap1
199.00  22d 17h
Mks 9615-1779 Htr1.5, El90lr, Spec, 1ap1
Mks 9615-1779 Htr1.5, El90lr, Spec, 1ap1
199.00  22d 17h
4432  Applied Materials 0010-00148 Cryo Temperature Sensor
4432 Applied Materials 0010-00148 Cryo Temperature Sensor
150.00  4d 21h
Shibaura 9QA2414, G-210(P), Perfluoro, O-ring, 406419
Shibaura 9QA2414, G-210(P), Perfluoro, O-ring, 406419
200.00 16h 18m
342-0303// Amat Applied 0020-24349 Brkt Clamp Coh Flt-trgt Ch 1 &
342-0303// Amat Applied 0020-24349 Brkt Clamp Coh Flt-trgt Ch 1 &
630.00 15d 23h
Allide Telesyn Twisted Pair Transceiver AT-MX20T
Allide Telesyn Twisted Pair Transceiver AT-MX20T
75.90  14d 15h
Applied Materials 0021-21444 Upper Shield
Applied Materials 0021-21444 Upper Shield
1,250.00  6d 15h
Lc1d32 / Contactor W/ Lad4bbvu, 100-250v / Schneider
Lc1d32 / Contactor W/ Lad4bbvu, 100-250v / Schneider
100.99  22d 19h
Varian H1648001 Rev.A, 527424, A Plate, Aperture. 419555
Varian H1648001 Rev.A, 527424, A Plate, Aperture. 419555
195.00 5d 23h
RF Connector, 406602
RF Connector, 406602
250.00 12d 21h
8470 Applied Materials Pcb Isolation Amplifier (0110-00156) 0100-00156
8470 Applied Materials Pcb Isolation Amplifier (0110-00156) 0100-00156
393.21  6d 2h
143-0303// Amat Applied 0224-43921 Applied Matrials Components
143-0303// Amat Applied 0224-43921 Applied Matrials Components
200.00 5h 32m
AMAT 0020-31750, Support Loadlock Cover Lifter. 418608
AMAT 0020-31750, Support Loadlock Cover Lifter. 418608
225.00 20d 16h
Applied Materials 0020-22493 Clamp,Shield Collimator Upper 8",AMAT,un5356
Applied Materials 0020-22493 Clamp,Shield Collimator Upper 8",AMAT,un5356
109.00  16d 1h
Amat 0040-77141 Main Shaft ,
Amat 0040-77141 Main Shaft ,
700.00  6d 21h
Novellus 03-155625-03 Rev. A Cable 414556
Novellus 03-155625-03 Rev. A Cable 414556
150.00 12d 16h
125-0401// Amat Applied 0020-31767 Cylinder,clamping,200mm Poly/w 2nd Source
125-0401// Amat Applied 0020-31767 Cylinder,clamping,200mm Poly/w 2nd Source
1,000.00 11d 23h
127-0501// Amat Applied 0090-00045 Controller. A/c Window
127-0501// Amat Applied 0090-00045 Controller. A/c Window
1,080.00 5d 23h
421-0301// Sanyo Denki P30b06040hxs8rm (cut Cable) Ac Servo Motor []
421-0301// Sanyo Denki P30b06040hxs8rm (cut Cable) Ac Servo Motor []
700.00 22d 1h
418-0302// Yaskawa Usahem-01ds21 (unclean) Motor [/fast]
418-0302// Yaskawa Usahem-01ds21 (unclean) Motor [/fast]
1,000.00 22d 2h
422-0501// Danaher Dmc2 30515 9032 0121 21 Controller [/fast]
422-0501// Danaher Dmc2 30515 9032 0121 21 Controller [/fast]
1,000.00 22d 22h
422-0501// Danaher Dmc2 30515p 9032 0121 29 Controller [/fast]
422-0501// Danaher Dmc2 30515p 9032 0121 29 Controller [/fast]
1,000.00 22d 22h
103-0601// Amat Applied 0040-20068 Bellows Lifter Pvd []
103-0601// Amat Applied 0040-20068 Bellows Lifter Pvd []
1,080.00 13d 6h
111-0201// Amat Applied 0020-10731 Plate, Pumping 100mm-150 Mm []
111-0201// Amat Applied 0020-10731 Plate, Pumping 100mm-150 Mm []
1,080.00 3d 1h
Amat 0140-08897 Harn Assy, Llb Producer T ,
Amat 0140-08897 Harn Assy, Llb Producer T ,
600.00  21d 21h
112-0203// Amat Applied 0010-09341 (#2) Wafer Lift Assy [asis]
112-0203// Amat Applied 0010-09341 (#2) Wafer Lift Assy [asis]
900.00 14d 22h
AMAT 0190-00086 DCM Oil Reservoir, 410374
AMAT 0190-00086 DCM Oil Reservoir, 410374
1,200.00 19d 19h
7875 Cmd Pcb Processor Module Bd Sba-de5620-000
7875 Cmd Pcb Processor Module Bd Sba-de5620-000
699.04  1d 23h
Genus 31377-00 Interlocking Hub Core Card ,
Genus 31377-00 Interlocking Hub Core Card ,
800.00  5d 5h
Nemic-Lambda EWS100-5 Power Supply 5V  Working
Nemic-Lambda EWS100-5 Power Supply 5V  Working
157.15  21d 12h
SMC AMAT Applied Materials PFA 1/2 Union Fitting LQ3U4 LQ3U4A LQ3
Top-Rated Plus Seller SMC AMAT Applied Materials PFA 1/2 Union Fitting LQ3U4 LQ3U4A LQ3
19.99 20d 18h
AMAT 0140-01156 Harness Pump Breaker To Bulkhead 300MM C 414156
AMAT 0140-01156 Harness Pump Breaker To Bulkhead 300MM C 414156
150.00 4d 17h
VME OE-MIO011-1 use on Hitachi CM-270 tested #
VME OE-MIO011-1 use on Hitachi CM-270 tested #
289.00  9d 0h
330-0201// Amat Applied 0010-75448 Assy, 150mm Cassette Handler Right
330-0201// Amat Applied 0010-75448 Assy, 150mm Cassette Handler Right
6,000.00 1d 0h
Com Inc D6201.B HB-C1154 with 30 day warranty
Com Inc D6201.B HB-C1154 with 30 day warranty
1,500.00 16d 19h
130-0402// AMAT APPLIED 0100-09136 wPCBA MINI DI/DO SHORT CIRCUIT
130-0402// AMAT APPLIED 0100-09136 wPCBA MINI DI/DO SHORT CIRCUIT
500.00 29d 8h
AMAT Applied Materials 3700-02329 O-Ring Duro White Reseller Lot of 4
AMAT Applied Materials 3700-02329 O-Ring Duro White Reseller Lot of 4
155.18  14d 19h
Ion Systems NilStat 5024(e)-CE Controller
Top-Rated Plus Seller Ion Systems NilStat 5024(e)-CE Controller
99.98  14h 56m
ASML 99-47664-01 CES E-Chain 114"
ASML 99-47664-01 CES E-Chain 114"
750.00  11d 21h
EFOS Replacement Lamp, EFOS Lite, 452111
EFOS Replacement Lamp, EFOS Lite, 452111
95.00 11d 14h
Philips Analytical CPU Board
Philips Analytical CPU Board
875.00  19d 16h
LAM Research DC BIAS SOCKET 715-038611-402
LAM Research DC BIAS SOCKET 715-038611-402
75.90  24d 12h
Genus 34523-00,34524-00 Dc Power Supply, Lynx3 ,
Genus 34523-00,34524-00 Dc Power Supply, Lynx3 ,
500.00  20d 2h
333-0101// Amat Applied 0020-20296 Frame Source 13"
333-0101// Amat Applied 0020-20296 Frame Source 13"
2,500.00 2d 23h
Monitor 995-10532 for Matrix 105 Matrix 106 Matrix 303, Matrix 403
Monitor 995-10532 for Matrix 105 Matrix 106 Matrix 303, Matrix 403
1,500.00 18d 4h
Novellus 03-00212-01, 1-1.B, Assembly, Cable, LFCA, CA249. 418739
Novellus 03-00212-01, 1-1.B, Assembly, Cable, LFCA, CA249. 418739
250.00 22d 17h
AMAT 0150-97293 Issue.A, Cable Assembly, X14C.P1/X14E.DIST UNIT. 417646
AMAT 0150-97293 Issue.A, Cable Assembly, X14C.P1/X14E.DIST UNIT. 417646
150.00  19h 8m
341-0303// Amat Applied 0020-21253 Applied Matrials Components
341-0303// Amat Applied 0020-21253 Applied Matrials Components
500.00 8d 4h
200mm Silicon Top Ring Super E
200mm Silicon Top Ring Super E
825.00  25d 15h
MKS/HPS SST BELLOWS 19" ISO100xISO100
MKS/HPS SST BELLOWS 19" ISO100xISO100
299.99  3d 13h
Oriental Motor PH265-05-A25 2-Phase Vexta Stepping Motor, DC 1.4v, 3-8A, 418432
Oriental Motor PH265-05-A25 2-Phase Vexta Stepping Motor, DC 1.4v, 3-8A, 418432
250.00 18d 16h
0100-00206 / Wpwb Assy Detect Ii Ease/non-ease / Applied Materials Amat
0100-00206 / Wpwb Assy Detect Ii Ease/non-ease / Applied Materials Amat
1,128.00  26d 14h
130-0203// Amat Applied 0100-09018 Applied Matrials Components
130-0203// Amat Applied 0100-09018 Applied Matrials Components
600.00 12d 7h
Horiba-STEC MFC SEC-V11DM      H2  1SLM
Horiba-STEC MFC SEC-V11DM H2 1SLM
1,299.00  15d 9h
Amat 1400-01162 Tstr Uv/ir Sensor 180-240nm Out Engy 180deg Radn,
Amat 1400-01162 Tstr Uv/ir Sensor 180-240nm Out Engy 180deg Radn,
500.00  11d 23h
Advanced Graphic Systems Relay Assy Computer Controlled I/O  230-00602
Advanced Graphic Systems Relay Assy Computer Controlled I/O 230-00602
284.95  22d 15h
AMAT 0150-02417 Cable Assembly, 24V, Power Distribution, 417980
AMAT 0150-02417 Cable Assembly, 24V, Power Distribution, 417980
175.00 6d 17h
Yamamoto Electric Works MS65L Differential Pressure Switch, 0.01~0.6 kPa, Air
Yamamoto Electric Works MS65L Differential Pressure Switch, 0.01~0.6 kPa, Air
32.99  18d 11h
AMAT 0021-22377, Post, Electrical Feedthru, Copper. 418736
AMAT 0021-22377, Post, Electrical Feedthru, Copper. 418736
175.00 22d 17h
TEGAL 901E TEGAL 903E Tegal WAFER Transfer CW1078 W00454
TEGAL 901E TEGAL 903E Tegal WAFER Transfer CW1078 W00454
1,999.00 13d 3h
Dupont Displays 11001702  18channel Current Supply
Dupont Displays 11001702 18channel Current Supply
799.00  11d 21h
9830-0390 Station AG Associates Heatpulse, With9820-2020 SVPC-1
9830-0390 Station AG Associates Heatpulse, With9820-2020 SVPC-1
1,200.00 17d 17h
346-0401// Amat Applied 0020-40863 Applied Matrials Components
346-0401// Amat Applied 0020-40863 Applied Matrials Components
60.00 3d 0h
318-0303// Amat Applied 0227-10344 Applied Matrials Components
318-0303// Amat Applied 0227-10344 Applied Matrials Components
60.00 5d 4h
141-0702// Amat Applied 0150-00273 Cable Assy.remote Recipe Colle
141-0702// Amat Applied 0150-00273 Cable Assy.remote Recipe Colle
60.00 20d 3h
142-0501// Amat Applied 0140-10097 Applied Matrials Components
142-0501// Amat Applied 0140-10097 Applied Matrials Components
60.00 22d 2h
138-0301// Amat Applied 3400-01140 Hose  Flex Blws Thin-wall []
138-0301// Amat Applied 3400-01140 Hose Flex Blws Thin-wall []
60.00 25d 1h
142-0603// Amat Applied 0140-70323 Harness Assy, Adapter Harness []
142-0603// Amat Applied 0140-70323 Harness Assy, Adapter Harness []
60.00 11d 6h
419-0202// Km35 Ka1 Square Button []
419-0202// Km35 Ka1 Square Button []
60.00 10d 5h
7100-5762-02 assy s-f/pkg notch 6 in. 7310-5737-02
7100-5762-02 assy s-f/pkg notch 6 in. 7310-5737-02
1,500.00 2d 16h
Power-One 18-007284 International Series Power Supply
Power-One 18-007284 International Series Power Supply
150.00  11d 21h
Oriental Motor PH265-05-A25 2-Phase Vexta Stepping Motor, DC 1.4v, 3-8A, 418431
Oriental Motor PH265-05-A25 2-Phase Vexta Stepping Motor, DC 1.4v, 3-8A, 418431
250.00 18d 16h
126-0203// Mdc Kav-100-p-opt-03 Valve [asis]
126-0203// Mdc Kav-100-p-opt-03 Valve [asis]
250.00 8d 4h
10601 Tokyo Electron Pcb, Ywp-c, Tab229-1/gas2-lf, 2l08-050041-11 2l81-050041-11
10601 Tokyo Electron Pcb, Ywp-c, Tab229-1/gas2-lf, 2l08-050041-11 2l81-050041-11
1,053.94  27d 7h
SVG 99-48555-07 E-Chain Assembly
SVG 99-48555-07 E-Chain Assembly
500.00  11d 21h
Yaskawa Electric SGDH-CB01AA-T Servo Drive Power/Interface PCB DF9203769-A0
Yaskawa Electric SGDH-CB01AA-T Servo Drive Power/Interface PCB DF9203769-A0
159.18  29d 12h
157-0101// Plasmart Pf05100-3b36s-1 Match Asis
157-0101// Plasmart Pf05100-3b36s-1 Match Asis
8,000.00 21d 23h
157-0101// Plasmart Pf05100-3b36s-3 Match Asis
157-0101// Plasmart Pf05100-3b36s-3 Match Asis
8,000.00 21d 23h
341-0102// Amat Applied 0040-32289 Block Mixer Microwave Remote P
341-0102// Amat Applied 0040-32289 Block Mixer Microwave Remote P
500.00 2d 0h
Nortech Engineering FPI1900-R-RUA Industrial Computer with 30 day warranty
Nortech Engineering FPI1900-R-RUA Industrial Computer with 30 day warranty
1,900.00 13d 20h
343-0101// Amat Applied 0040-90752 Spacer E3
343-0101// Amat Applied 0040-90752 Spacer E3
500.00 11d 2h
Varian E17132320 Repeller Nut, 422221
Varian E17132320 Repeller Nut, 422221
250.00 5d 16h
Lot Of 17 Tube Fittings
Lot Of 17 Tube Fittings
28.49  11h 16m
Weidmuller  8845740000    IE-SW8-M
Weidmuller 8845740000 IE-SW8-M
255.00  19d 1h
Amat 0270-00772 Gage, Lift Stop Lift Assy, 300mm Hdp-cvd,
Amat 0270-00772 Gage, Lift Stop Lift Assy, 300mm Hdp-cvd,
700.00  26d 0h
Power One SWA175-4100 Power Supply
Power One SWA175-4100 Power Supply
250.00 4d 17h
Amat 0190-35522 Controller, Temp, Heated Exhaust ,
Amat 0190-35522 Controller, Temp, Heated Exhaust ,
750.00  18d 5h
156-0301// Amat Applied 0195-00217  Gas Line, Stl Heater, Gplis Middle, Pdcr
156-0301// Amat Applied 0195-00217 Gas Line, Stl Heater, Gplis Middle, Pdcr
1,400.00 26d 4h
AMAT 0150-76499 Rev.B, Receptacle, B/D/F Spare Flow Sensor In. 419611
AMAT 0150-76499 Rev.B, Receptacle, B/D/F Spare Flow Sensor In. 419611
150.00 6d 21h
Genus 2269-01 RID Water Temp. Board 2260-00 Rev. D
Top-Rated Plus Seller Genus 2269-01 RID Water Temp. Board 2260-00 Rev. D
92.98  4d 16h
Cwv-4-10  (lot Of 4  .. Free Ship)
Cwv-4-10 (lot Of 4 .. Free Ship)
229.20  22d 3h
Applied Materials 0040-13377 CDSL-A-490,AMAT,un5349
Applied Materials 0040-13377 CDSL-A-490,AMAT,un5349
229.00  13d 2h
316-0403// Amat Applied 0020-28256 Blade Transfer 8" Metal Hthu/cvd
316-0403// Amat Applied 0020-28256 Blade Transfer 8" Metal Hthu/cvd
500.00 8d 4h
Amat 0190-15840 Regulator Mks
Amat 0190-15840 Regulator Mks
999.90 4d 7h
Amat Cover Ring 0020-24386-167-006
Amat Cover Ring 0020-24386-167-006
1,300.00  19d 17h
Amat Cover Ring 0020-24386-354.014
Amat Cover Ring 0020-24386-354.014
1,300.00  19d 20h
2 AMAT 0040-98691 Earthing Blade Pin, 406548
2 AMAT 0040-98691 Earthing Blade Pin, 406548
150.00 11d 18h
342-0203// Amat Applied 0010-01052 Panel Bridge Assy Pwr Sply
342-0203// Amat Applied 0010-01052 Panel Bridge Assy Pwr Sply
500.00 18d 1h
AMAT 3030-01056 MASS FLOW CONTROLLER UFC-1100A GAS He 50SCCM
AMAT 3030-01056 MASS FLOW CONTROLLER UFC-1100A GAS He 50SCCM
1,100.00  24d 3h
AMAT 0020-19620 Cover, Mainframe, AC RT/LF BLA, 407994
AMAT 0020-19620 Cover, Mainframe, AC RT/LF BLA, 407994
250.00 22d 20h
106-0101// Amat Applied 0090-02139 Applied Matrials Components Asis
106-0101// Amat Applied 0090-02139 Applied Matrials Components Asis
3,000.00 10d 4h
AMAT 0040-40146 Rev.A, 10182800, Connector, RF Bias Assembly. 419456
AMAT 0040-40146 Rev.A, 10182800, Connector, RF Bias Assembly. 419456
175.00 4d 18h
AMAT 0021-22377 Post Electrical Feedthru, Copper, 419247
AMAT 0021-22377 Post Electrical Feedthru, Copper, 419247
175.00 29d 21h
AMAT 0200-00312 Tube, Insulator, Long Shaft Common Heater, 450333
AMAT 0200-00312 Tube, Insulator, Long Shaft Common Heater, 450333
150.00 15d 14h
AMAT 0140-00281, Cable, Harness Assembly, DNET I/O, Distribution DC. 413991
AMAT 0140-00281, Cable, Harness Assembly, DNET I/O, Distribution DC. 413991
150.00 2d 17h
6 Amat 0020-02036 Pin 2 417056
6 Amat 0020-02036 Pin 2 417056
195.00 14d 16h
Vat P/n 448290 / 0001
Vat P/n 448290 / 0001
3,999.00 21d 6h
ATMI NA-48 Tool Conn Removal  ,
ATMI NA-48 Tool Conn Removal ,
333.00  21d 4h
342-0101// Amat Applied 0040-07538 Nest 26 Slot Ep Universal Cass
342-0101// Amat Applied 0040-07538 Nest 26 Slot Ep Universal Cass
500.00 21d 1h
Vexta PK18664-H01 Insert, Cavity, Upper (28 PON), 406144
Vexta PK18664-H01 Insert, Cavity, Upper (28 PON), 406144
150.00 21d 15h
VAT, Cable, 230327
VAT, Cable, 230327
250.00  27d 23h
APPLIED MATERIALS 0100-09112 Thermal Electric Driver Board Assembly
APPLIED MATERIALS 0100-09112 Thermal Electric Driver Board Assembly
824.99  7d 20h
5 AMAT 0020-22201 Clamp Gas Line 2 Line, 420671
5 AMAT 0020-22201 Clamp Gas Line 2 Line, 420671
200.00 14d 15h
149-0601// Amat Applied 0227-05124 Cable, Assy Dc Power/interlock
149-0601// Amat Applied 0227-05124 Cable, Assy Dc Power/interlock
150.00 23d 0h
Varian 102934001 Aperture, 2nd, Graphite, 102934001-5, 420199
Varian 102934001 Aperture, 2nd, Graphite, 102934001-5, 420199
150.00 17h 35m
Tokyo Electron Limited Tel Mk2 Module Terminator 3m81-019550-1a
Tokyo Electron Limited Tel Mk2 Module Terminator 3m81-019550-1a
688.00  22d 10h
1110-01030 / Ntwrk Splitter Dnet 8 Drop Pas / Applied Materials Amat
1110-01030 / Ntwrk Splitter Dnet 8 Drop Pas / Applied Materials Amat
222.00  7d 0h
D37360350 / Tool Interface Lam / Edwards Vacuum
D37360350 / Tool Interface Lam / Edwards Vacuum
250.99  27d 16h
3870-00877 / Valve Pneu Diaphr 125 Psig Vcr M To M / Applied Mateials Amat
3870-00877 / Valve Pneu Diaphr 125 Psig Vcr M To M / Applied Mateials Amat
189.77  3d 12h
Ds2110-339559-11 / Heater,panel (5911) / Tokyo Electron Tel
Ds2110-339559-11 / Heater,panel (5911) / Tokyo Electron Tel
200.99  13d 16h
AMAT 0020-82820 Insert, Inner, 422247
AMAT 0020-82820 Insert, Inner, 422247
250.00 14d 21h
KF40 90 Insulation Jacket
KF40 90 Insulation Jacket
5.00  22d 17h
Applied Materials Cable 0140-19722 Jx33 Upper Lamp Cable
Applied Materials Cable 0140-19722 Jx33 Upper Lamp Cable
90.00 10d 3h
Yaskawa CRC-015 Rev B BC9310788 Lot No V41-004 with 30 day warranty
Yaskawa CRC-015 Rev B BC9310788 Lot No V41-004 with 30 day warranty
950.00 17d 19h
SMI ID Slicing Blades 21" OD x 7 1/4"  ID ( 1-Blade)
SMI ID Slicing Blades 21" OD x 7 1/4" ID ( 1-Blade)
75.00  6d 17h
AMAT 0150-40171 Cable Assembly, Facility Gas Backup 413965
AMAT 0150-40171 Cable Assembly, Facility Gas Backup 413965
150.00 2d 16h
AMAT 0140-01443, RTron, Harness, Assembly, DNET, VME/Remote Distr. 413903
AMAT 0140-01443, RTron, Harness, Assembly, DNET, VME/Remote Distr. 413903
295.00 1d 15h
Adaptec 1646206-00 with 30 day warranty
Adaptec 1646206-00 with 30 day warranty
750.00  29d 15h
Densan Dcp586/11 Processor Board
Densan Dcp586/11 Processor Board
1,599.00  25d 8h
Applied Materials 0050-25197 Reduced FTG Ampule Out CVD
Applied Materials 0050-25197 Reduced FTG Ampule Out CVD
250.00  22d 20h
328-0401// Amat Applied 0200-60047 Amat Component 2nd Source
328-0401// Amat Applied 0200-60047 Amat Component 2nd Source
650.00 16d 8h
Nemic Lambda V39-217700-2 Regulator, Switching, Farmon ID 408862
Nemic Lambda V39-217700-2 Regulator, Switching, Farmon ID 408862
195.00 18d 21h
AMAT Applied Materials 0150-09087 SUSC CAL Display Power Cable
AMAT Applied Materials 0150-09087 SUSC CAL Display Power Cable
156.16  10d 14h
Echotek Corporation ECAD-1-08400 PN:30-0136 PCB Rev.: NR with 30 day warranty
Echotek Corporation ECAD-1-08400 PN:30-0136 PCB Rev.: NR with 30 day warranty
1,500.00 16d 20h
428-0501// Smb 3alh-0160-30-5/pe3 Motor []
428-0501// Smb 3alh-0160-30-5/pe3 Motor []
400.00 3d 5h
96 MEC Tech MEC83106-1078L Screw Cover, Farmon ID 413281
96 MEC Tech MEC83106-1078L Screw Cover, Farmon ID 413281
180.00 16d 16h
Applied Materials 0150-08646 Cable Assy Emo Jamper
Applied Materials 0150-08646 Cable Assy Emo Jamper
90.00 22d 5h
AMAT 0150-02969 Cable Assy Emo Interconnect, 25FT, 423913
AMAT 0150-02969 Cable Assy Emo Interconnect, 25FT, 423913
150.00 12d 15h
Varian 8102201132 Exhaust Plate, 418479
Varian 8102201132 Exhaust Plate, 418479
195.00 18d 19h
Omron E5zt-n08tc01 Temperature Controller
Omron E5zt-n08tc01 Temperature Controller
100.00 9d 11h
Matheson Totalizer Model 8124 0-100 Counts Per Minute And port P6000
Matheson Totalizer Model 8124 0-100 Counts Per Minute And port P6000
1,950.00  14m 3s
344-0102// Amat Applied 0020-99314 Support Pillar
344-0102// Amat Applied 0020-99314 Support Pillar
600.00 23d 23h
Disco MOGCL289---Y, Pressure, Power Switch. 414885
Disco MOGCL289---Y, Pressure, Power Switch. 414885
185.00 6d 21h
999-9999// Amat Applied 0020-22387 (delivery 21 Days) 8hoop With [2nd Source]
999-9999// Amat Applied 0020-22387 (delivery 21 Days) 8hoop With [2nd Source]
500.00 16d 23h
Fusion Systems Assy, 61971 Rev.c, 323244. 411627
Fusion Systems Assy, 61971 Rev.c, 323244. 411627
150.00 28d 21h
Keyence AP-31 Pressure Sensor, 12-24V
Keyence AP-31 Pressure Sensor, 12-24V
22.99  21d 12h
Applied Materials Amat 0090-06080 Harness Assy , Button Pane ,  
Applied Materials Amat 0090-06080 Harness Assy , Button Pane ,
666.00  8d 11h
E3s-x3ce4 / Photoelectric Switch, 12-24vdc / Omron
E3s-x3ce4 / Photoelectric Switch, 12-24vdc / Omron
160.99  19d 17h
Cil-3503a-1 / Pcb Board (zone Unit) / Daifuku
Cil-3503a-1 / Pcb Board (zone Unit) / Daifuku
149.66  9d 19h
11598 Tel Pcb, Ts Net16 Bs A Board 1b81-010123-15
11598 Tel Pcb, Ts Net16 Bs A Board 1b81-010123-15
1,053.94  13d 23h
Eaton 406121 Gemini 9h044-9 ,
Eaton 406121 Gemini 9h044-9 ,
850.00  11d 4h
342-0403// Amat Applied 0040-24325 Fork Blade Wafer Loader Assy E
342-0403// Amat Applied 0040-24325 Fork Blade Wafer Loader Assy E
500.00 15d 5h
AXCELIS 17332490 PLATE, Liner (2) Striker Plate FEM
AXCELIS 17332490 PLATE, Liner (2) Striker Plate FEM
225.00  8d 4h
Philips Analytical PCB 400702290042
Philips Analytical PCB 400702290042
800.00  19d 16h
452-S-1109 Elect Assy Active Filter/For NTR-51C
452-S-1109 Elect Assy Active Filter/For NTR-51C
3,787.50  7d 12h
141-0103// Amat Applied 0150-71213 Applied Matrials Components
141-0103// Amat Applied 0150-71213 Applied Matrials Components
400.00 23d 5h
5718 Applied Materials Pcb Assy Card Four Channel 0190-07908
5718 Applied Materials Pcb Assy Card Four Channel 0190-07908
1,224.54  19d 1h
Symbol Technologies LS40041-I100 Barcode Scanner Lot of 9  Working
Symbol Technologies LS40041-I100 Barcode Scanner Lot of 9  Working
209.12  11d 16h
Turck BSMV BKFDV 14-M18-755-4/S653/S1055 U-15517 Versa Fast Cordset
Top-Rated Plus Seller Turck BSMV BKFDV 14-M18-755-4/S653/S1055 U-15517 Versa Fast Cordset
99.99 16d 20h
7100-1970-02 (7200-1010-02+7300-0173-03+7310-0568-01) Robot Effector
7100-1970-02 (7200-1010-02+7300-0173-03+7310-0568-01) Robot Effector
2,700.00  9d 14h
ESI-Manual-Functions-Board-PCB-ASSY-24971N  ESI-Manual-Functions-Board-PCB-ASSY
ESI-Manual-Functions-Board-PCB-ASSY-24971N ESI-Manual-Functions-Board-PCB-ASSY
58.00  14d 19h
ASM HiPEC MOTION SOLUTION 01-18949 REV  A
ASM HiPEC MOTION SOLUTION 01-18949 REV A
1,799.00  7d 9h
PSE Electronik Modular Board - SMP3200 B w/Warranty
PSE Electronik Modular Board - SMP3200 B w/Warranty
200.00  4d 12h
Hitachi  ZVC041 BOARD ,
Hitachi ZVC041 BOARD ,
200.00  20d 2h
MKS 134193-G1 Rev 5 912091 Rev 2 with 30 day warranty
MKS 134193-G1 Rev 5 912091 Rev 2 with 30 day warranty
750.00 11d 16h
Tencor 50-0112 Rev B Adusutable Amperage to 1.70A Precision Lamp, Light Source
Tencor 50-0112 Rev B Adusutable Amperage to 1.70A Precision Lamp, Light Source
149.00  27d 12h
343-0301// Amat Applied 0226-48349 Lever,smif Intfc,rt Extender
343-0301// Amat Applied 0226-48349 Lever,smif Intfc,rt Extender
600.00 15d 0h
Applied Materials Cable 0140-23913 Px31 Baseplate Connector Cable
Applied Materials Cable 0140-23913 Px31 Baseplate Connector Cable
90.00 10d 3h
Vexta PK18664-H01 Insert, Cavity, Upper (28 PON), 420644
Vexta PK18664-H01 Insert, Cavity, Upper (28 PON), 420644
150.00 10d 20h
Applied Materials Cable 0140-24226 Jx33 Upper Lamp Cable
Applied Materials Cable 0140-24226 Jx33 Upper Lamp Cable
90.00 10d 3h
Tricoll Typ 50/60 Commerzstahl München Motherboards- Lot Of 6
Top-Rated Plus Seller Tricoll Typ 50/60 Commerzstahl München Motherboards- Lot Of 6
150.00  3d 19h
Vem Ti Target 99.999% Vem-22-0492
Vem Ti Target 99.999% Vem-22-0492
1,500.00  2d 17h
2 CompuMotor OS Series Model # CP*OEM5740DS-10369
2 CompuMotor OS Series Model # CP*OEM5740DS-10369
130.00 11d 18h
124-0303// Amat Applied 0020-23181 Cover Ring,6" 101% Tiw
124-0303// Amat Applied 0020-23181 Cover Ring,6" 101% Tiw
700.00 11d 2h
AMAT 0150-06187 Cable, (Temperature Control) High Temp T, Harness, 413973
AMAT 0150-06187 Cable, (Temperature Control) High Temp T, Harness, 413973
150.00 2d 16h
AMAT 0020-06826 Shaft, 411240
AMAT 0020-06826 Shaft, 411240
150.00 16d 18h
Innovative Integration M62/67 PCI 71219 with 14 day warranty
Innovative Integration M62/67 PCI 71219 with 14 day warranty
1,500.00 9d 20h
157-0101// Plasmart Pf05100-3b36s Match Asis
157-0101// Plasmart Pf05100-3b36s Match Asis
8,000.00 21d 23h
130-0202// Amat Applied 0100-70000
130-0202// Amat Applied 0100-70000
750.00 2d 2h
SVG Silicon Valley Group 85-19607-003 Short Stroke Arm 90S Working
SVG Silicon Valley Group 85-19607-003 Short Stroke Arm 90S Working
509.09  3d 15h
322-0202// Amat Applied 0190-35145 Water Flow Switch 1.0 Gpm [asis]
322-0202// Amat Applied 0190-35145 Water Flow Switch 1.0 Gpm [asis]
230.00 5d 3h
322-0202// Amat Applied 0190-75066 0.8 Gpm Brs Flow Switch, Water [asis]
322-0202// Amat Applied 0190-75066 0.8 Gpm Brs Flow Switch, Water [asis]
250.00 5d 3h
Applied Materials 0040-75753 Upper Membrane Clamp 200mm 5 Zone Profiler
Applied Materials 0040-75753 Upper Membrane Clamp 200mm 5 Zone Profiler
425.00  11d 21h
Applied Materials 0040-76893-001 Zone 2 Clamp 200mm 5 Zone Profiler
Applied Materials 0040-76893-001 Zone 2 Clamp 200mm 5 Zone Profiler
550.00  11d 21h
Applied Materials 0021-25471-003 Damped Gimbal 200mm 5 Zone Profiler
Applied Materials 0021-25471-003 Damped Gimbal 200mm 5 Zone Profiler
325.00  11d 21h
Applied Materials 0020-46477-002 Zone 5 Clamp 200MM 5 Zone Profiler
Applied Materials 0020-46477-002 Zone 5 Clamp 200MM 5 Zone Profiler
475.00  11d 21h
Applied Materials 0020-47420-001 Metal Capture Ring 200mm 5 Zone Profiler
Applied Materials 0020-47420-001 Metal Capture Ring 200mm 5 Zone Profiler
325.00  11d 21h
Recif Technologies VSNO/SD Wand Vacuum
Recif Technologies VSNO/SD Wand Vacuum
280.00  11d 21h
SVG 38465-01 Spin Chuck
SVG 38465-01 Spin Chuck
500.00  11d 21h
SCP Global Technologies 271084 Termiflex Teach Pendant
SCP Global Technologies 271084 Termiflex Teach Pendant
350.00  11d 21h
SCP Global Technologies 279346 Termiflex Teach Pendant
SCP Global Technologies 279346 Termiflex Teach Pendant
355.00  11d 21h
DI AN 3000175 , P/N(1P)50000342 5242
DI AN 3000175 , P/N(1P)50000342 5242
699.00  28d 18h
445-0103// Oriental Motor Pk299-03a Stepping Motor []
445-0103// Oriental Motor Pk299-03a Stepping Motor []
100.00 29d 6h
Vexta PH265L-04 2-Phase Stepping Motor, DC 5V, 1A, 410099
Vexta PH265L-04 2-Phase Stepping Motor, DC 5V, 1A, 410099
150.00 6d 19h
Amat Cover Ring 0020-24386-007
Amat Cover Ring 0020-24386-007
1,300.00  19d 17h
107-0501// Amat Applied 0190-21443 (broken) Applied Materials Components Asis
107-0501// Amat Applied 0190-21443 (broken) Applied Materials Components Asis
8,000.00 11d 2h
Amat 0150-77075 Cbl Assy,20 Ft,cntrl Bk,
Amat 0150-77075 Cbl Assy,20 Ft,cntrl Bk,
3,200.00  15d 2h
Honeywall MIDAS-T-00P MDA Scientific Midas Pyrolyzer Module
Top-Rated Plus Seller Honeywall MIDAS-T-00P MDA Scientific Midas Pyrolyzer Module
89.99 10d 16h
Applied Materials 0620-00070 Cable Assy Dnet Drop 2.0 Meter Turck Rsc Rkc 572-2m
Applied Materials 0620-00070 Cable Assy Dnet Drop 2.0 Meter Turck Rsc Rkc 572-2m
90.00  11d 5h
AMAT 0680-01376 Earth Leakage Breaker 30A 3-Phase Fuji EG104A/30-30MA 415 VAC
AMAT 0680-01376 Earth Leakage Breaker 30A 3-Phase Fuji EG104A/30-30MA 415 VAC
149.00  1d 11h
Canon Mle-if Bh8-2461-01  Bg3-3825
Canon Mle-if Bh8-2461-01 Bg3-3825
1,299.00 17d 11h
Amat 0040-64000 Bulkhead, Next Gen Cathode, 300mm Emax ,
Amat 0040-64000 Bulkhead, Next Gen Cathode, 300mm Emax ,
4,500.00  25d 0h
Applied Materials AMAT Circuit Breaker, 0680-00608
Applied Materials AMAT Circuit Breaker, 0680-00608
155.00  28d 11h
0190-13874 (pkg Of 8) / Gasket Id 20.30" Width 0.285" Gore-bg Tape / Amat
0190-13874 (pkg Of 8) / Gasket Id 20.30" Width 0.285" Gore-bg Tape / Amat
266.83  2d 20h
Millipore SPT-204 Transducer &250 PSIG
Millipore SPT-204 Transducer &250 PSIG
129.00 8h 32m
Microscope objective 100/1.25 oil 160/0.17
Microscope objective 100/1.25 oil 160/0.17
250.00  5d 15h
Westinghouse Gate Drive Assy 5881c51g01 Rev 15
Westinghouse Gate Drive Assy 5881c51g01 Rev 15
403.74  5d 18h
Applied Materials AMAT VCR Weldment, 0050-45870
Applied Materials AMAT VCR Weldment, 0050-45870
145.00  29d 8h
Kuhnke 657.441.11 A/D E981600495 Board Module 71.657.441.11.01
Top-Rated Plus Seller Kuhnke 657.441.11 A/D E981600495 Board Module 71.657.441.11.01
229.98  1d 14h
AMAT Applied Materials 0140-16371 Harness Assembly P/C Chambr LEF
AMAT Applied Materials 0140-16371 Harness Assembly P/C Chambr LEF
222.00  29d 8h
TEL Sagami Limited, Flame Sensor Alarm Controller
TEL Sagami Limited, Flame Sensor Alarm Controller
150.00  27d 15h
AMAT 0150-22608 Rev.P2, Cable Assembly, PNEUM BLK WL ECP. 418519
AMAT 0150-22608 Rev.P2, Cable Assembly, PNEUM BLK WL ECP. 418519
130.00 19d 17h
Leak Check Plate, 9.5" x 4" w/ 7.5" x 3" O-Ring,
Leak Check Plate, 9.5" x 4" w/ 7.5" x 3" O-Ring,
125.00  5d 15h
Genus P/n 30602-02 I/o Terminal Board ,
Genus P/n 30602-02 I/o Terminal Board ,
500.00  19d 22h
Schumacher Vmdvtra 1483-0400a Mass Flow Controller (#2)
Top-Rated Plus Seller Schumacher Vmdvtra 1483-0400a Mass Flow Controller (#2)
1,120.00  16d 12h
112-0203// Amat Applied 0010-09341 (#1) Wafer Lift Assy [asis]
112-0203// Amat Applied 0010-09341 (#1) Wafer Lift Assy [asis]
1,000.00 14d 22h
3/8-16 X 3/4 Polypropylene Hex Cap Screw Bolt Lot of 10
3/8-16 X 3/4 Polypropylene Hex Cap Screw Bolt Lot of 10
99.99 22d 13h
48600136 4 88,42700383 4 88,42800891,48600133,427-00384 Veriflo
48600136 4 88,42700383 4 88,42800891,48600133,427-00384 Veriflo
1,100.00 14d 16h
Vexta PH266L-31B 2-phase Stepping Motor, DC6V, 1.2A, Farmon ID 412070
Vexta PH266L-31B 2-phase Stepping Motor, DC6V, 1.2A, Farmon ID 412070
175.00 11d 18h
LUDI EFNF AP LEP FLNOF 73000805 Wired for Macro Inspect Laser 24V
Top-Rated Plus Seller LUDI EFNF AP LEP FLNOF 73000805 Wired for Macro Inspect Laser 24V
499.98  1d 13h
156-0201// Amat Applied 1410-01154 Htr   208vac 1.5w/sq In For Fo
156-0201// Amat Applied 1410-01154 Htr 208vac 1.5w/sq In For Fo
1,200.00 26d 0h
Nozzle FLT 80787-04 80deg@40psi, Looks , Lot of 8
Nozzle FLT 80787-04 80deg@40psi, Looks , Lot of 8
250.00 26d 21h
Recif Technologies MOBJH0131 STANDARD , RACKET , MOTHERBOARD
Recif Technologies MOBJH0131 STANDARD , RACKET , MOTHERBOARD
688.00  27d 3h
Amat 0040-47677 Showerhead Plate
Amat 0040-47677 Showerhead Plate
1,200.00  25d 17h
Applied 8330, Window, Loadlock, Frnt. 411293
Applied 8330, Window, Loadlock, Frnt. 411293
250.00 17d 21h
Nitric Etcher Iv 05-026-00 0502600 B & G Enterprises Free Shipping!
Nitric Etcher Iv 05-026-00 0502600 B & G Enterprises Free Shipping!
250.75  11d 16h
Ion Technology Selectable Aparture Shield, 762751-1, , Sealed
Ion Technology Selectable Aparture Shield, 762751-1, , Sealed
32.50  11d 22h
Turck RSV RKV 5711-1M U7270 U-7270 Cordset
Top-Rated Plus Seller Turck RSV RKV 5711-1M U7270 U-7270 Cordset
49.99 16d 19h
3/8-16 X 1 1/4 Polypropylene Hex Cap Screws Bolts Lot of 10
3/8-16 X 1 1/4 Polypropylene Hex Cap Screws Bolts Lot of 10
139.99 22d 13h
Amat 3300-08980 Ftg Tbg Conn Bulkhd 3/4t X 3/4mnpt S30, 423878
Amat 3300-08980 Ftg Tbg Conn Bulkhd 3/4t X 3/4mnpt S30, 423878
185.00 9d 17h
143-0503// Amat Applied 0150-09462 Assy Cable Chamber Atmosphere
143-0503// Amat Applied 0150-09462 Assy Cable Chamber Atmosphere
240.00 4d 5h
406-0102// Omron H7an-w4dm Counter [/fast]
406-0102// Omron H7an-w4dm Counter [/fast]
300.00 12d 22h
409-0401// Allen-bradley 2092-kap8 Kinetix 3 Axis Module []
409-0401// Allen-bradley 2092-kap8 Kinetix 3 Axis Module []
400.00 19d 3h
124-0102// Amat Applied 0020-26340 Clamp Ring 8 Jmf Sst 3.4mm Aca
124-0102// Amat Applied 0020-26340 Clamp Ring 8 Jmf Sst 3.4mm Aca
2,300.00 10d 5h
RING, SLIP-FREE 6 Inch
RING, SLIP-FREE 6 Inch
1,500.00 2d 18h
RING, SLIP-FREE 6 Inch
RING, SLIP-FREE 6 Inch
1,500.00 2d 18h
Unit Instruments: UFC-1100A  MFC.  Range: 500 SCCM   Gas:O2 <
Unit Instruments: UFC-1100A MFC. Range: 500 SCCM Gas:O2 <
79.99  8d 15h
423-0301// Omron Pa204r Oc225 Oa224 Oc222 Od212 Plc [/fast]
423-0301// Omron Pa204r Oc225 Oa224 Oc222 Od212 Plc [/fast]
200.00 22d 3h
TEL 810-4-21472-1 Insulating Ring, 418485
TEL 810-4-21472-1 Insulating Ring, 418485
175.00 18d 21h
TMI Target Materials Inc Copper Cu 99.997% , 3.99" Dia x 0.25" Thick
TMI Target Materials Inc Copper Cu 99.997% , 3.99" Dia x 0.25" Thick
188.00  2d 9h
Omron E5zt-w08 Power Controller
Omron E5zt-w08 Power Controller
100.00 9d 11h
25322 Oem Pcb Assy, Sr2543a Sr2540a-i
25322 Oem Pcb Assy, Sr2543a Sr2540a-i
220.00  25d 1h
Plate With 7200-0459-04 F ,PCB Door Reversal  7100-5136- AG Associates Heatpulse
Plate With 7200-0459-04 F ,PCB Door Reversal 7100-5136- AG Associates Heatpulse
1,500.00 4d 21h
Applied Materials 0200-39137 Dome Ceramic DPS Chamber
Applied Materials 0200-39137 Dome Ceramic DPS Chamber
2,800.00  11d 18h
150-0501// Amat Applied 0140-09444 Harness Assembly A&b
150-0501// Amat Applied 0140-09444 Harness Assembly A&b
700.00 23d 3h
Nemic-Lambda NNS30-15 15VDC @ 1.4-3.4A Power Supply ,
Nemic-Lambda NNS30-15 15VDC @ 1.4-3.4A Power Supply ,
100.00  17d 4h
HP Agilent E5515 Hard Disk Drive E5515-61133 (3910) Made in UK
HP Agilent E5515 Hard Disk Drive E5515-61133 (3910) Made in UK
149.00  7d 20h
Fuji Electric 05146562-5802 Uninterruptable Power Supply
Fuji Electric 05146562-5802 Uninterruptable Power Supply
500.00  6d 15h
139-0301// Amat Applied 0190-34238w 3155132-008 Navigator-3013 Advanced Rf Asis
139-0301// Amat Applied 0190-34238w 3155132-008 Navigator-3013 Advanced Rf Asis
3,000.00 1d 3h
Orca Diagnostics Corporation Oxygen Analyzer
Orca Diagnostics Corporation Oxygen Analyzer
600.00  13d 20h
32  Burndy Hyfen MT16R4 Connector Insert Plug-In Socket + Hardware No Pins
32  Burndy Hyfen MT16R4 Connector Insert Plug-In Socket + Hardware No Pins
58.47 11d 15h
Adtech SL5506SX-2PW-FV4-FV4 Regulator
Adtech SL5506SX-2PW-FV4-FV4 Regulator
250.00  4d 22h
Psesc-c5000j Esc Controller
Psesc-c5000j Esc Controller
799.00  29d 8h
Vacuum Flange  Tag #83
Vacuum Flange Tag #83
788.00  5d 16h
Lambda 703-1047-01 Power Supply  Working
Lambda 703-1047-01 Power Supply  Working
179.09  4d 19h
Schlumberger IDS10000da System
Schlumberger IDS10000da System
1,819.99  15h 26m
AMAT 0140-03019 Assy Cable Rear Panel Interlock, Harness, 413844
AMAT 0140-03019 Assy Cable Rear Panel Interlock, Harness, 413844
150.00 17h 46m
Brooks Automation Model NO 6100-87 PN 1085-0007 For Matrix 105 Matrix 106
Brooks Automation Model NO 6100-87 PN 1085-0007 For Matrix 105 Matrix 106
6,500.00 18d 4h
Applied Materials AMAT VCR Weldment, 0050-01041
Applied Materials AMAT VCR Weldment, 0050-01041
125.00  29d 8h
7450-0091-01 Ring, Slip-free 8 Inch
7450-0091-01 Ring, Slip-free 8 Inch
1,500.00 2d 16h
Plasmart Rf Filter Raf-01
Plasmart Rf Filter Raf-01
599.90 16d 6h
M.E.C. Technology Semiconductor MEC384T-6000 Clamp Ring 150mm
Top-Rated Plus Seller M.E.C. Technology Semiconductor MEC384T-6000 Clamp Ring 150mm
195.98  10d 18h
Amat 0040-78872 Shield,outer,pcii/rpc+, 300mm ,
Amat 0040-78872 Shield,outer,pcii/rpc+, 300mm ,
1,600.00  2h 57m
Hmi 77-603-080500-000-0 Sccecc-a Controller ,
Hmi 77-603-080500-000-0 Sccecc-a Controller ,
3,500.00  16d 6h
Amat 0022-77040 Release End ,
Amat 0022-77040 Release End ,
300.00  11d 6h
130-0401// Amat Applied 0100-09024 Board
130-0401// Amat Applied 0100-09024 Board
430.00 29d 7h
AMAT 0020-23043 Upper Shield, Tin, 8" Wafer 424149
AMAT 0020-23043 Upper Shield, Tin, 8" Wafer 424149
150.00 28d 18h
AMAT 0226-98444 Harness Assembly, Soft/Hard Shutdown, 420891
AMAT 0226-98444 Harness Assembly, Soft/Hard Shutdown, 420891
125.00 27d 15h
325-0401// Tylan Fc-280sakz (#2) Sicl4 500sccm [asis]
325-0401// Tylan Fc-280sakz (#2) Sicl4 500sccm [asis]
300.00 25d 6h
419-0101// Parker Cm231gj-115002 Px23-030 Motor [/fast]
419-0101// Parker Cm231gj-115002 Px23-030 Motor [/fast]
500.00 21d 4h
Amat 0870-01068 Driver, Servomotor 200vac, 100w ,
Amat 0870-01068 Driver, Servomotor 200vac, 100w ,
600.00  14d 1h
Applied Materials 0020-76426 CDS Leopold
Applied Materials 0020-76426 CDS Leopold
89.00  1d 11h
Alcatel Controller Interface Control
Top-Rated Plus Seller Alcatel Controller Interface Control
165.00  7d 16h
Genus 2299-02 Digital Isolator Board
Top-Rated Plus Seller Genus 2299-02 Digital Isolator Board
129.98  5d 18h
Elwood Gettys M22-23D-6204AB Hybrid PM Step Motor
Elwood Gettys M22-23D-6204AB Hybrid PM Step Motor
295.00 20d 18h
AMAT 0150-06187 Cable, (Temperature Control) High Temp T 414042
AMAT 0150-06187 Cable, (Temperature Control) High Temp T 414042
150.00 2d 22h
AMAT 0200-18016, 200mm WEST COAST Quartz
AMAT 0200-18016, 200mm WEST COAST Quartz
599.90 22d 23h
Cutler-Hammer QC3040HT 40A 3-Pole Circuit Breaker Special Purpose Breaker
Cutler-Hammer QC3040HT 40A 3-Pole Circuit Breaker Special Purpose Breaker
95.00  1d 12h
Porous Ceremic Chuck
Porous Ceremic Chuck
2,600.00  7d 15h
Dynax F104-CPU Processor CPU Board PCB Assembly F104-IO/1 DNX5171 DNX5191
Dynax F104-CPU Processor CPU Board PCB Assembly F104-IO/1 DNX5171 DNX5191
250.00 3d 8h
104-0201// Amat Applied 0090-02847 Coil Outer 300mm Enabler
104-0201// Amat Applied 0090-02847 Coil Outer 300mm Enabler
1,500.00 8d 23h
103-0401// Advanced 3152012-041ab Master
103-0401// Advanced 3152012-041ab Master
3,000.00 8d 5h
AMAT 0140-77433 CBL, RMT Star/stop cont
AMAT 0140-77433 CBL, RMT Star/stop cont
295.00 23d 21h
PCB OPTO 22 PB 24 AC Input AC Output DC Input DC Output AG 2100-0005-01
PCB OPTO 22 PB 24 AC Input AC Output DC Input DC Output AG 2100-0005-01
650.00 2d 13h
124-0304// Amat Applied 0020-24101 8" Pc Ii Snnf Process Ti Ped 2nd Source
124-0304// Amat Applied 0020-24101 8" Pc Ii Snnf Process Ti Ped 2nd Source
800.00 11d 3h
Filter Concepts 3H60 F 3X60A 277/480 VAC 50/60 Hz
Filter Concepts 3H60 F 3X60A 277/480 VAC 50/60 Hz
1,800.00 14d 18h
102-0501// Endura Turbo-trap Turbo-trap Interface
102-0501// Endura Turbo-trap Turbo-trap Interface
2,000.00 23d 3h
Texas Instruments TIRIS -RFM-XOO5 R.02
Texas Instruments TIRIS -RFM-XOO5 R.02
229.99  20d 11h
44715-03    / Gas Module Display Pcb / Genus Incorporated
44715-03 / Gas Module Display Pcb / Genus Incorporated
110.82  23d 16h
AMAT 0140-01443 Harness Assembly, DNET VME/Remotes Dist. Mai 413891
AMAT 0140-01443 Harness Assembly, DNET VME/Remotes Dist. Mai 413891
150.00 22h 8m
344-0401// Amat Applied 0021-21469 Ring Restraint, 1.574 Dia Shft, 8 Besc
344-0401// Amat Applied 0021-21469 Ring Restraint, 1.574 Dia Shft, 8 Besc
400.00 16d 4h
344-0502// Amat Applied 0090-00326 Assembly,300mm Cda Pressure Sw
344-0502// Amat Applied 0090-00326 Assembly,300mm Cda Pressure Sw
400.00 5h 4m
345-0102// Amat Applied 0190-40057 Cable Assy, Match To Adapter, 5 Adapter
345-0102// Amat Applied 0190-40057 Cable Assy, Match To Adapter, 5 Adapter
420.00 5d 0h
347-0301// Amat Applied 0020-55944 Ufo-xz-200-nch-center
347-0301// Amat Applied 0020-55944 Ufo-xz-200-nch-center
400.00 8d 23h
142-0603// Amat Applied 0150-09276 Cable, Over Pressure He
142-0603// Amat Applied 0150-09276 Cable, Over Pressure He
400.00 25d 3h
142-0703// Amat Applied 0150-90524 Cable Assy Ijj3/ijj4
142-0703// Amat Applied 0150-90524 Cable Assy Ijj3/ijj4
380.00 28d 4h
323-0201// Amat Applied 3700-02071 Oring Id 9.234 Csd .139 Kalrez
323-0201// Amat Applied 3700-02071 Oring Id 9.234 Csd .139 Kalrez
350.00 15d 0h
323-0201// Amat Applied 3700-03098 Use 3700-02571 Seal Ctr Ring A
323-0201// Amat Applied 3700-03098 Use 3700-02571 Seal Ctr Ring A
380.00 15d 0h
116-0503// Amat Applied 0200-09197 Plate Gas Dist,lower, Quartz,4
116-0503// Amat Applied 0200-09197 Plate Gas Dist,lower, Quartz,4
370.00 2d 4h
128-0903// Amat Applied 3700-03051 Seal Assy Formed .228w Fluoroly W/sst S
128-0903// Amat Applied 3700-03051 Seal Assy Formed .228w Fluoroly W/sst S
400.00 23d 3h
320-0403// Amat Applied 0100-09213 Display Board, Asp []
320-0403// Amat Applied 0100-09213 Display Board, Asp []
400.00 15d 2h
347-0201// Amat Applied 0020-22994 Side Receiver, Left 6"
347-0201// Amat Applied 0020-22994 Side Receiver, Left 6"
390.00 28d 23h
316-0403// Amat Applied 0020-70285 Blade 8 Inch, Buffer, Frog Leg
316-0403// Amat Applied 0020-70285 Blade 8 Inch, Buffer, Frog Leg
400.00 29d 5h
417-0401// Yaskawa Sgdv-2r8a01a Servopack [/fast]
417-0401// Yaskawa Sgdv-2r8a01a Servopack [/fast]
400.00 20d 6h
319-0303// Amat Applied 3620-01355 Kit Horizontal Water Ftg Seiko Stp []
319-0303// Amat Applied 3620-01355 Kit Horizontal Water Ftg Seiko Stp []
400.00 3d 4h
350-0402// Amat Applied 0020-31288 Adapter, Top Lid, 25mm Prsp3 []
350-0402// Amat Applied 0020-31288 Adapter, Top Lid, 25mm Prsp3 []
650.00 13d 3h
Applied Materials 0040-70198 Lower Membrane Clamp 200mm 5 Zone Profiler
Applied Materials 0040-70198 Lower Membrane Clamp 200mm 5 Zone Profiler
900.00  11d 21h
Applied Materials 0020-47198 Cover 200mm Profiler
Applied Materials 0020-47198 Cover 200mm Profiler
750.00  11d 21h
Applied Materials 0020-38753 Left Pin
Applied Materials 0020-38753 Left Pin
35.00  10d 3h
AMAT 0140-09254, Cable, Harness, Box Fan Power. 414071
AMAT 0140-09254, Cable, Harness, Box Fan Power. 414071
150.00 3d 18h
Eaton 311231 Ac/acu 5b253a,
Eaton 311231 Ac/acu 5b253a,
800.00  12d 1h
Amat 0020-79068 Bracket ,
Amat 0020-79068 Bracket ,
550.00  23d 1h
117-0301// Tazmo S0032 S00321040033 Aligner Asis
117-0301// Tazmo S0032 S00321040033 Aligner Asis
1,500.00 18d 3h
117-0301// Tazmo S0032 S00321060039 Aligner Asis
117-0301// Tazmo S0032 S00321060039 Aligner Asis
1,500.00 18d 3h
Adaptec 429306-00 Rev J with 14 day warranty
Adaptec 429306-00 Rev J with 14 day warranty
350.00 7d 16h
Amat 0150-76217 Emc Comp. Oper Panel, Extended 46 Ft,
Amat 0150-76217 Emc Comp. Oper Panel, Extended 46 Ft,
150.00  14d 1h
110-0602// Amat Applied 0020-33416 Bracket,hinge,r2
110-0602// Amat Applied 0020-33416 Bracket,hinge,r2
1,020.00 29d 1h
116-0301// AMAT APPLIED 0270-09247 WINDOW, QUARTZ, LID, WxZ
116-0301// AMAT APPLIED 0270-09247 WINDOW, QUARTZ, LID, WxZ
1,000.00 2d 4h
345-0501// Asml Shb-bf Ii 24v Service
345-0501// Asml Shb-bf Ii 24v Service
1,000.00 21d 6h
NEOCERA MAGMA 303 SQUID Controller
Top-Rated Plus Seller NEOCERA MAGMA 303 SQUID Controller
995.00 1d 18h
7450-0032-03 Ring, Slip-free,  6in , E Version
7450-0032-03 Ring, Slip-free, 6in , E Version
1,500.00 2d 17h
AP3625S 3PWD FV4 FV4 MV4 ASGT (5) ,AP1510S 5PW AL APTech
AP3625S 3PWD FV4 FV4 MV4 ASGT (5) ,AP1510S 5PW AL APTech
950.00 14d 16h
AMAT 0140-02011 Harness Assembly, DNET I/O Distribution DC, Cable, 413919
AMAT 0140-02011 Harness Assembly, DNET I/O Distribution DC, Cable, 413919
150.00 2d 14h
ULVAC ROBOT 300mm Backbone COVOT-6-X5A ROBOT
ULVAC ROBOT 300mm Backbone COVOT-6-X5A ROBOT
7,000.00 20d 3h
Logetronics Mrb Board 326-00-217
Logetronics Mrb Board 326-00-217
376.15  19d 20h
Logetronics Mrb Board 326-00-215
Logetronics Mrb Board 326-00-215
376.15  19d 20h
131-0501// Amat Applied 0240-33168 (#1) Mxp Chamber, Vc
131-0501// Amat Applied 0240-33168 (#1) Mxp Chamber, Vc
1,300.00 2d 23h
Applied Materials 0022-21401 PLATE ANTI-ROTATION NGFV/TEP
Applied Materials 0022-21401 PLATE ANTI-ROTATION NGFV/TEP
70.00  17d 4h
Varian D-12004145 Precision Deadband Amp Assy D-12004145 A9291 405851
Varian D-12004145 Precision Deadband Amp Assy D-12004145 A9291 405851
350.00 14d 18h
AMAT 0020-77371 Bracket 414044
AMAT 0020-77371 Bracket 414044
200.00 2d 22h
Amat 0650-01095 Cmptr Switch Box 2-to-1 Blackbox Swd12a ,
Amat 0650-01095 Cmptr Switch Box 2-to-1 Blackbox Swd12a ,
350.00  3d 4h
AMAT 0150-10385 Cable Harness Assy P3 414466
AMAT 0150-10385 Cable Harness Assy P3 414466
150.00 8d 13h
AMAT 0020-02226 MNT, Right Rear Y Stage, 406031
AMAT 0020-02226 MNT, Right Rear Y Stage, 406031
450.00 17d 17h
Lam Research Upper Baffle Plate 8.3,715-011912-083-J-1011232-AUK-15-058-0435
Lam Research Upper Baffle Plate 8.3,715-011912-083-J-1011232-AUK-15-058-0435
37.43 10d 20h
Amat 0150-13836 Cable Assy Htr Jkt Cntrlr,
Amat 0150-13836 Cable Assy Htr Jkt Cntrlr,
250.00  28d 3h
BTU Engineering 3161351 Video Display Processor Board, PCB, 3161350, 414728
BTU Engineering 3161351 Video Display Processor Board, PCB, 3161350, 414728
375.00 27d 17h
SMC SR4010 Clean Regulator
SMC SR4010 Clean Regulator
29.99  19d 15h
Buford Corp.  Series 2000  Etyer Needle FABC01267
Buford Corp. Series 2000 Etyer Needle FABC01267
512.00  4d 12h
Lynn Electrode L147-G263SFG Showerhead
Lynn Electrode L147-G263SFG Showerhead
250.00  15h 31m
LSI Logic L1-01037-07 Board with 14 day warranty
LSI Logic L1-01037-07 Board with 14 day warranty
950.00 14d 20h
328-0401// Amat Applied 0200-00221 Insulator, Pinless 8" Snnf, 2nd Source
328-0401// Amat Applied 0200-00221 Insulator, Pinless 8" Snnf, 2nd Source
1,000.00 24d 5h
417-0401// Yaskawa Sgds-02a12a Servopack [/fast]
417-0401// Yaskawa Sgds-02a12a Servopack [/fast]
300.00 20d 6h
136-0301// Amat Applied 3930-01078 Cntrl Turbo Pump 100/240vac Sg 305w
136-0301// Amat Applied 3930-01078 Cntrl Turbo Pump 100/240vac Sg 305w
1,500.00 23d 3h
Amat 0040-77343 Flexure ,
Amat 0040-77343 Flexure ,
700.00  29d 10h
Boston Gear YB64R, 10578-56, 411989
Boston Gear YB64R, 10578-56, 411989
150.00 6d 22h
Genus 1700012001 Digital Isolator 2299-01 Board
Top-Rated Plus Seller Genus 1700012001 Digital Isolator 2299-01 Board
99.98  14d 20h
142-0503// Amat Applied 0140-00150 Expanded Harness Assy,b
142-0503// Amat Applied 0140-00150 Expanded Harness Assy,b
260.00 29d 3h
355-0501// Amat Applied 0240-35702 Kit, Calibration Disk, 8" []
355-0501// Amat Applied 0240-35702 Kit, Calibration Disk, 8" []
270.00 3d 3h
351-0201// Amat Applied 0020-28487 A-1 Machine Mfg. []
351-0201// Amat Applied 0020-28487 A-1 Machine Mfg. []
280.00 17d 3h
348-0203// Amat Applied 1040-01065 Meter Flow Gas 70lph Sst W/sst Viton []
348-0203// Amat Applied 1040-01065 Meter Flow Gas 70lph Sst W/sst Viton []
270.00 14d 4h
177-0302// Amat Applied 3870-02150 Valve Blws 1/4vcr Nor Open Male Sst Air []
177-0302// Amat Applied 3870-02150 Valve Blws 1/4vcr Nor Open Male Sst Air []
280.00 15d 5h
NOVELLUS 17-314885-00 Shield, Heat
NOVELLUS 17-314885-00 Shield, Heat
800.00  7d 5h
Philips Analytical 4007 022 90162 Rev B Xe Detector Interface
Philips Analytical 4007 022 90162 Rev B Xe Detector Interface
925.00  5d 18h
Versalogic Corp Vl-cbr-5009b Rev 2
Versalogic Corp Vl-cbr-5009b Rev 2
100.00  20h 56m
STEC SEF-4600R, MFC, Gas : N2, Range : 100LM
STEC SEF-4600R, MFC, Gas : N2, Range : 100LM
300.00  8d 4h
Applied Materials AMAT Adaptor Connector, 0720-03239
Applied Materials AMAT Adaptor Connector, 0720-03239
75.00  28d 11h
Amat 0020-08299 Clamp, Inner Shield, 300mm Sip ,
Amat 0020-08299 Clamp, Inner Shield, 300mm Sip ,
1,950.00  13d 3h
101-0301// Cti 8052001 8001 Controller Asis
101-0301// Cti 8052001 8001 Controller Asis
200.00 25d 5h
118-0401// Amat Applied 0020-23620 Target Flat 13coherent Dummy [asis]
118-0401// Amat Applied 0020-23620 Target Flat 13coherent Dummy [asis]
500.00 12d 4h
177-0502// Amat Applied 0224-45666 Manifold, 6 Pos, Ti Avezzano []
177-0502// Amat Applied 0224-45666 Manifold, 6 Pos, Ti Avezzano []
330.00 2h 55m
Upper Rotor FNGRLS Align Pin 1.5, 0212T0174-07, F029352-000 Rev A
Upper Rotor FNGRLS Align Pin 1.5, 0212T0174-07, F029352-000 Rev A
37.50 22d 17h
AMAT 0015-20114 Sprocket Mod 45 Teeth, 420652
AMAT 0015-20114 Sprocket Mod 45 Teeth, 420652
125.00 13d 16h
Applied Materials 0140-20694 Harness Assy Pvd Shutter
Applied Materials 0140-20694 Harness Assy Pvd Shutter
88.00  22d 8h
Nikon 2S005-229 Board with 30 day warranty
Nikon 2S005-229 Board with 30 day warranty
750.00 4d 19h
Nikon 2S005-343 Board with 30 day warranty
Nikon 2S005-343 Board with 30 day warranty
750.00 4d 19h
Hmi 77-603-430100-000 Gun High Voltage Subsystem,
Hmi 77-603-430100-000 Gun High Voltage Subsystem,
1,500.00  25d 2h
007-0201// Amat Applied Pfdual-6f36a-8 Plasmart Match Asis
007-0201// Amat Applied Pfdual-6f36a-8 Plasmart Match Asis
3,500.00 8d 4h
Aluminum copper 95.5/4.5 wt%, .25" x .25", 73g
Aluminum copper 95.5/4.5 wt%, .25" x .25", 73g
30.00  22d 22h
Amat 0150-77073 Cbl Assy,20 Ft Pwr, Plate,
Amat 0150-77073 Cbl Assy,20 Ft Pwr, Plate,
1,600.00  15d 3h
Micro Controller 92-023394-01b Acr8010 U13 1.18.12
Micro Controller 92-023394-01b Acr8010 U13 1.18.12
19.50  18d 18h
Lynn Electrode L147-587513NC Showerhead
Lynn Electrode L147-587513NC Showerhead
200.00  1d 13h
AMAT 0050-73218 Rev.1
AMAT 0050-73218 Rev.1
1,000.00  25d 5h
lot of 6 - CKD 4SB119-A4 24VDC 0.2-0.7MPa SOLENOID VALVE W/MANIFOLD
lot of 6 - CKD 4SB119-A4 24VDC 0.2-0.7MPa SOLENOID VALVE W/MANIFOLD
85.00  5d 3h
MIS OPT-IN32 Rev.B 9000057, NEC,  Input Board, PCB Board 329020
MIS OPT-IN32 Rev.B 9000057, NEC, Input Board, PCB Board 329020
250.00 7d 21h
Applied Materials 0040-36180 Heater TXZ
Applied Materials 0040-36180 Heater TXZ
665.00  25d 18h
DryTek 2800257E Oscillator/Buffer Board
Top-Rated Plus Seller DryTek 2800257E Oscillator/Buffer Board
119.98  20h 8m
AMAT 0150-00585 Cable Assembly, Cell A Interlock, Harness, 413422
AMAT 0150-00585 Cable Assembly, Cell A Interlock, Harness, 413422
150.00 22d 16h
325-0401// Tylan Fc-280sakz (#1) Sih2cl2 1slpm [asis]
325-0401// Tylan Fc-280sakz (#1) Sih2cl2 1slpm [asis]
300.00 25d 6h
325-0401// Tylan Fc-280sav (#1) Sih4 2slpm [asis]
325-0401// Tylan Fc-280sav (#1) Sih4 2slpm [asis]
300.00 25d 6h
325-0401// Tylan Fc-280sav (#2) Cl2 3slpm [asis]
325-0401// Tylan Fc-280sav (#2) Cl2 3slpm [asis]
300.00 25d 6h
325-0401// TYLAN FC-280SAV (#3) C5H5n 500SCCM [ASIS]
325-0401// TYLAN FC-280SAV (#3) C5H5n 500SCCM [ASIS]
300.00 25d 6h
325-0403// Lintec Mc-2101mc (#2) Sf6 200sccm Mass Flow Controller [asis]
325-0403// Lintec Mc-2101mc (#2) Sf6 200sccm Mass Flow Controller [asis]
300.00 7d 5h
322-0403// Amat Applied 0021-23550 Manifold, Input Mod []
322-0403// Amat Applied 0021-23550 Manifold, Input Mod []
390.00 29d 5h
176-0401// Amat Applied 0050-09057 (#1) Tube Weldment Cap Man []
176-0401// Amat Applied 0050-09057 (#1) Tube Weldment Cap Man []
300.00 5d 3h
322-0102// Amat Applied 0190-35606 Switch, Flow, Water 1 Gpm Set Proteus []
322-0102// Amat Applied 0190-35606 Switch, Flow, Water 1 Gpm Set Proteus []
310.00 2d 0h
409-0401// Moritex Mhf-h50lr Controller [/fast]
409-0401// Moritex Mhf-h50lr Controller [/fast]
300.00 19d 3h
409-0401// Lust Cda32.008,c1,4,hf Controller [/fast]
409-0401// Lust Cda32.008,c1,4,hf Controller [/fast]
400.00 19d 3h
410-0501// Whedco Imc-1130-1-a Controller [/fast]
410-0501// Whedco Imc-1130-1-a Controller [/fast]
400.00 19d 4h
419-0101// Parker Cm231gj-115002 Px23-030-s2 Motor [/fast]
419-0101// Parker Cm231gj-115002 Px23-030-s2 Motor [/fast]
500.00 21d 4h
419-0101// Parker Cm231gj-114066 Px23-030 Motor [/fast]
419-0101// Parker Cm231gj-114066 Px23-030 Motor [/fast]
500.00 21d 4h
430-0501// National Acf-0705t (unclean) Ac Servo Motor []
430-0501// National Acf-0705t (unclean) Ac Servo Motor []
500.00 4d 4h
116-0404// Amat Applied 0020-20896 Reflector Heater, 5/6, Degas []
116-0404// Amat Applied 0020-20896 Reflector Heater, 5/6, Degas []
560.00 12d 2h
322-0102// Amat Applied 0190-09470 Coolant Flow Switch, 50gpm,proteus,sst []
322-0102// Amat Applied 0190-09470 Coolant Flow Switch, 50gpm,proteus,sst []
360.00 1d 22h
Amat 0140-77433, Cbl,rmt Star/stop Cont. 411413
Amat 0140-77433, Cbl,rmt Star/stop Cont. 411413
350.00 22d 16h
AMAT 0020-78449 Rev.P1, V.ID200010695, Cover. 418656
AMAT 0020-78449 Rev.P1, V.ID200010695, Cover. 418656
125.00 20d 20h
PolyPhaser IS-35VDC-30A-FG Impluse Suppressor
PolyPhaser IS-35VDC-30A-FG Impluse Suppressor
212.11  16d 18h
Tokyo TEL SFLUX-AMP 3M81-005973-14 with 30 day warranty
Tokyo TEL SFLUX-AMP 3M81-005973-14 with 30 day warranty
495.00 19d 19h
Mitsubishi Qx42 Input Unit ,
Mitsubishi Qx42 Input Unit ,
150.00  28d 3h
E1051-002-10 Pcb, Working
E1051-002-10 Pcb, Working
500.00  5h 34m
Nikon NK2551 assy
Nikon NK2551 assy
379.00 19d 4h
Amat 3700-01474
Amat 3700-01474
199.00 22d 6h
Novellus 03-158790-00, FAB : 26-158790-00, SCH : 76-158790-00, Board
Novellus 03-158790-00, FAB : 26-158790-00, SCH : 76-158790-00, Board
300.00  20d 5h
AMAT 0226-98444 Harness Assembly, Soft/Hard Shutdown, 420895
AMAT 0226-98444 Harness Assembly, Soft/Hard Shutdown, 420895
125.00 27d 15h
Applied Materials 0022-21401 Plate Anti-rotation Ngfv/tep
Applied Materials 0022-21401 Plate Anti-rotation Ngfv/tep
70.00  17d 8h
AMAT 0015-20114 Sprocket Mod 45 Teeth, 420651
AMAT 0015-20114 Sprocket Mod 45 Teeth, 420651
125.00 13d 16h
AMAT 0020-99502 Bracket Light Curtain, 419426
AMAT 0020-99502 Bracket Light Curtain, 419426
125.00 4d 16h
1517591 / Pcb, Electrode Divider Readout Reva1 / Eaton
1517591 / Pcb, Electrode Divider Readout Reva1 / Eaton
250.62  16d 19h
SVG ASML 865-8025-001-D A1300 Power I/O with 30 day warranty
SVG ASML 865-8025-001-D A1300 Power I/O with 30 day warranty
900.00 26d 16h
Qj71c24n-r2 / Serial Port Communication Module / Mitsubishi
Qj71c24n-r2 / Serial Port Communication Module / Mitsubishi
70.00  18d 17h
EPIX A2.0.A Digital Interface with 14 day warranty
EPIX A2.0.A Digital Interface with 14 day warranty
450.00 15d 16h
320-0203// Amat Applied 0100-35263 Assy, Pcb Ac Dist
320-0203// Amat Applied 0100-35263 Assy, Pcb Ac Dist
800.00 17d 0h
104-0101// Amat Applied 0090-02846 Oil, Inner, 300mm, Enabler
104-0101// Amat Applied 0090-02846 Oil, Inner, 300mm, Enabler
1,900.00 5d 3h
105-0201// Amat Applied 0010-00510 (#1) Assembly Bearing
105-0201// Amat Applied 0010-00510 (#1) Assembly Bearing
3,000.00 10d 4h
103-0101// Amat Applied 0227-06385 Isolation Transformer W/tb, 20
103-0101// Amat Applied 0227-06385 Isolation Transformer W/tb, 20
2,000.00 11d 5h
Fusion Systems Rf Detector Rf-4
Fusion Systems Rf Detector Rf-4
799.00  9d 7h
Dec-3381 Dec-3381a Pcb
Dec-3381 Dec-3381a Pcb
249.00 19d 0h
Novellus Systems, 16-327424-02, Pin-holder,ring, Lift Pin,split,right, Pvd300mm
Novellus Systems, 16-327424-02, Pin-holder,ring, Lift Pin,split,right, Pvd300mm
1,019.00  6d 23h
150-0201// Amat Applied 9444-26864 Applied Matrials Components
150-0201// Amat Applied 9444-26864 Applied Matrials Components
600.00 23d 0h
Amat 0040-51473 Tank, Output Module, 300mm Cleaner ,
Amat 0040-51473 Tank, Output Module, 300mm Cleaner ,
1,700.00  17d 0h
Amat 0040-77690 Panel, Upper, Rear Skins,
Amat 0040-77690 Panel, Upper, Rear Skins,
1,500.00  26d 4h
125-0101// Amat Applied 0020-03703 Pumping Plate Dcxz
125-0101// Amat Applied 0020-03703 Pumping Plate Dcxz
950.00 11d 4h
HORIBA STEC  D219W-SCT N2  1000 SCCM Mass Flow Controller
HORIBA STEC D219W-SCT N2 1000 SCCM Mass Flow Controller
1,299.00 9d 5h
105-0501// Amat Applied 3800-00088 Rgltr Press 0-60psi 3/4" Plare Pvdf Hous
105-0501// Amat Applied 3800-00088 Rgltr Press 0-60psi 3/4" Plare Pvdf Hous
800.00 23h 20m
Applied Materials 0020-47143-001 Outer Rolling Seal 200mm 5 Zone Profiler
Applied Materials 0020-47143-001 Outer Rolling Seal 200mm 5 Zone Profiler
500.00  11d 21h
Veeco Caliber Nanodrive Controller Part # 840-014-800
Veeco Caliber Nanodrive Controller Part # 840-014-800
900.00  4d 20h
344-0201// Amat Applied 0200-10027 Ring,inner,1.50",dbl Annulus,s
344-0201// Amat Applied 0200-10027 Ring,inner,1.50",dbl Annulus,s
300.00 17d 23h
Amat 3020-01191 Cyl Air 16mm Bore 75mm
Amat 3020-01191 Cyl Air 16mm Bore 75mm
205.00  3d 20h
Amat 3020-01197 Cyl Air 16mm Bore 20mm
Amat 3020-01197 Cyl Air 16mm Bore 20mm
185.00  3d 20h
124-0302// Amat Applied 0020-26971 6" Ti Shutter Disk 2nd Source
124-0302// Amat Applied 0020-26971 6" Ti Shutter Disk 2nd Source
450.00 11d 2h
Idec Corporation HWIB-V4F02-R Pushbutton, Lot of two
Idec Corporation HWIB-V4F02-R Pushbutton, Lot of two
49.99 26d 20h
3 Eagle 5300-1000 Digital Counters
3 Eagle 5300-1000 Digital Counters
200.00 22d 17h
3700-0110-01 Nitsuko Corporation Model BCR26 BCV5100 001100008 DC Power Supply
3700-0110-01 Nitsuko Corporation Model BCR26 BCV5100 001100008 DC Power Supply
680.00  10d 17h
Amat 0020-23093 Washer Insulator For Pvd Lifter , Lot Of 3 ,
Amat 0020-23093 Washer Insulator For Pvd Lifter , Lot Of 3 ,
149.00  2d 3h
131-0201// Amat Applied 0020-24534 (#1) Adapter Coh (ar) 13"flt Src-w/
131-0201// Amat Applied 0020-24534 (#1) Adapter Coh (ar) 13"flt Src-w/
1,500.00 2d 23h
124-0502// Amat Applied 0020-05426 Coil 1/8 Thk Ctr Holes-knurled, Vectra I
124-0502// Amat Applied 0020-05426 Coil 1/8 Thk Ctr Holes-knurled, Vectra I
3,000.00 11d 3h
Applied Materials  Flange Insulating Taper 0020-04277
Applied Materials Flange Insulating Taper 0020-04277
349.99  10d 14h
342-0101// Amat Applied 0020-04211  Bracket Maglev Install
342-0101// Amat Applied 0020-04211 Bracket Maglev Install
240.00 21d 0h
AMAT 0020-04332 Rev.B, AMP-A-221, Support Blade 150MM. 412399
AMAT 0020-04332 Rev.B, AMP-A-221, Support Blade 150MM. 412399
295.00 15d 22h
Photohelic, 3000MR, MAX.PRESS : 25 PSIG, 24 VDC
Photohelic, 3000MR, MAX.PRESS : 25 PSIG, 24 VDC
105.00  3d 5h
Applied Materials 0620-03576 Turck Rkc Rj455 841-10m
Applied Materials 0620-03576 Turck Rkc Rj455 841-10m
100.00 8d 2h
325-0503// Stec Sec-4400mc (#2) N2 50sccm Mass Flow Controller [refurbished]
325-0503// Stec Sec-4400mc (#2) N2 50sccm Mass Flow Controller [refurbished]
500.00 8d 4h
177-0404// Amat Applied 0050-20198 Kit Loadlock Chambr 2 Stage Vent, [2nd ]
177-0404// Amat Applied 0050-20198 Kit Loadlock Chambr 2 Stage Vent, [2nd ]
300.00 17d 4h
177-0404// Amat Applied 0050-75192 Weldment, Chc, He Delivery-initial [2nd ]
177-0404// Amat Applied 0050-75192 Weldment, Chc, He Delivery-initial [2nd ]
240.00 17d 5h
177-0404// Amat Applied 0040-00552 He Vent Manifold [2nd ]
177-0404// Amat Applied 0040-00552 He Vent Manifold [2nd ]
220.00 17d 4h
177-0404// Amat Applied 0050-76271 Gasline, Dual Stage Vent ,lla [2nd ]
177-0404// Amat Applied 0050-76271 Gasline, Dual Stage Vent ,lla [2nd ]
250.00 17d 5h
342-0401// Amat Applied 0200-00057 Window, Small Std Window [2nd ]
342-0401// Amat Applied 0200-00057 Window, Small Std Window [2nd ]
300.00 29d 5h
342-0401// Amat Applied 0200-09024 Window, Small [2nd ]
342-0401// Amat Applied 0200-09024 Window, Small [2nd ]
300.00 29d 5h
Quartz Cylinder, 9CLC009F Rev D, , Sealed, W/ Test Documentation
Quartz Cylinder, 9CLC009F Rev D, , Sealed, W/ Test Documentation
55.63  27d 20h
Nordson 210753-10 Rev-f
Nordson 210753-10 Rev-f
30.00  7d 20h
Dynax Processor CPU Board PCB Assembly F104-IO/1 DNX5171 DNX5191
Dynax Processor CPU Board PCB Assembly F104-IO/1 DNX5171 DNX5191
250.00 3d 8h
General Electric Lpx1010-c2snw-1 Nsmp
General Electric Lpx1010-c2snw-1 Nsmp
110.00  20d 14h
Dec-3181 Dec-3181a Pcb
Dec-3181 Dec-3181a Pcb
249.00 18d 23h
Shinkawa FRP-320 (label: 99.12 FX3A GV 00) PCB
Shinkawa FRP-320 (label: 99.12 FX3A GV 00) PCB
299.00 2d 5h
Force Computer Sp/sw/24-2-100t/8240 Ethernet Module With Additional Dual 10/100
Force Computer Sp/sw/24-2-100t/8240 Ethernet Module With Additional Dual 10/100
775.00  3d 19h
Applied Materials 3020-01152, 0040-42640 Rev 003, Smc Ncdq2wb63-uia970110
Applied Materials 3020-01152, 0040-42640 Rev 003, Smc Ncdq2wb63-uia970110
1,000.00  6d 1h
Veriflo Parker Regulator IR2
Veriflo Parker Regulator IR2
60.00  20d 1h
419-0201// Parker Sm232ae-kgsg10 Motor [asis]
419-0201// Parker Sm232ae-kgsg10 Motor [asis]
400.00 16d 0h
Keyence Ap-31 Digital Pressure Sensor
Keyence Ap-31 Digital Pressure Sensor
99.00  10d 8h
AMAT 0140-20204 Harn Assembly DC Power Control Emote Gas Dist, 405871
AMAT 0140-20204 Harn Assembly DC Power Control Emote Gas Dist, 405871
350.00 14d 19h
354-0401// Amat Applied 0010-20328 Optional 8"al Magnet Asy
354-0401// Amat Applied 0010-20328 Optional 8"al Magnet Asy
6,000.00 27d 23h
Bede scientific Minicam 3 Type A
Bede scientific Minicam 3 Type A
999.00 23d 5h
142-0203// Amat Applied 0150-76962 Orienter F (25 Ft) Emc Compliant
142-0203// Amat Applied 0150-76962 Orienter F (25 Ft) Emc Compliant
500.00 27d 5h
SAMSUNG WTR-AD3001/HOO Rockwell Samsung Automation,
SAMSUNG WTR-AD3001/HOO Rockwell Samsung Automation,
1,500.00  17d 22h
BIHL + WIEDEMANN PROFI NET BWU2330 AS-i 3.0
BIHL + WIEDEMANN PROFI NET BWU2330 AS-i 3.0
400.00  25d 8h
Amat 0020-97167 Flange, Removable Replaces 0010-94859. 411155
Amat 0020-97167 Flange, Removable Replaces 0010-94859. 411155
650.00 15d 21h
Harvested Spare Assy, Springloaded, TC Watlow AF1031402 406763
Harvested Spare Assy, Springloaded, TC Watlow AF1031402 406763
250.00 18d 15h
11932 Opal Pcb, 7830i Itu Supervisor Board Ea70316590300
11932 Opal Pcb, 7830i Itu Supervisor Board Ea70316590300
1,053.94  28d 2h
10768 Asml Pcb Module Board, 4022.437.13125 4022.437.1312
10768 Asml Pcb Module Board, 4022.437.13125 4022.437.1312
658.71  11d 1h
Lam 853-801876-014 ADIO GTWY PMP-TCU Assembly Vendor 1023220
Lam 853-801876-014 ADIO GTWY PMP-TCU Assembly Vendor 1023220
79.99 13d 15h
Tokyo Electron Ltd. TEL A129306: 150MM Backplane Htr.<
Tokyo Electron Ltd. TEL A129306: 150MM Backplane Htr.<
1,199.99  5d 20h
6 AMAT 0045-00013 Clamp, Gas Tube, 412465
6 AMAT 0045-00013 Clamp, Gas Tube, 412465
150.00 19d 21h
131-0401// Amat Applied 0020-21467 Adapter Source 11.30"
131-0401// Amat Applied 0020-21467 Adapter Source 11.30"
2,000.00 11d 5h
6.5 Inch Disk , Graphite With SiC Coating
6.5 Inch Disk , Graphite With SiC Coating
850.00 29d 0h
347-0202// Amat Applied 0020-22363 Shaft, Lifter, Tc 2nd Source
347-0202// Amat Applied 0020-22363 Shaft, Lifter, Tc 2nd Source
600.00 8d 4h
Mitsubishi Mr-rb12 + Mr-rb032 Elect Regeneration Resist
Mitsubishi Mr-rb12 + Mr-rb032 Elect Regeneration Resist
39.95 26d 6h
129-0202// Amat Applied 03-81848-00 Applied Materials 64-81729-00
129-0202// Amat Applied 03-81848-00 Applied Materials 64-81729-00
250.00 21d 3h
AMAT 0021-25554 Block, Face Seal, 300mm, IA, 409311
AMAT 0021-25554 Block, Face Seal, 300mm, IA, 409311
250.00 14d 16h
Matrox GS/RRUPG/N 763-04 REV A with 30 day warranty
Matrox GS/RRUPG/N 763-04 REV A with 30 day warranty
450.00  29d 17h
421-0202// Sanyo Denki 103h5505-80e1 Denki Motor [/fast]
421-0202// Sanyo Denki 103h5505-80e1 Denki Motor [/fast]
200.00 21d 22h
421-0301// Sanyo Denki Pbm565dxc20 (cut Cable) Motor [/fast]
421-0301// Sanyo Denki Pbm565dxc20 (cut Cable) Motor [/fast]
200.00 22d 1h
Densan Dve-535  Board
Densan Dve-535 Board
399.90 12d 0h
Nikon 2S005-228-3 MCR-CNTRL2 Board with 30 day warranty
Nikon 2S005-228-3 MCR-CNTRL2 Board with 30 day warranty
950.00 3d 20h
Hitachi 384364601KC Ceramic Insulation Ring
Hitachi 384364601KC Ceramic Insulation Ring
900.00  22d 20h
140-0301// Amat Applied 0010-30318 Top Lid For Liner, Ssgd, 5000
140-0301// Amat Applied 0010-30318 Top Lid For Liner, Ssgd, 5000
3,000.00 3h 18m
Amat 0020-24386 Cover Ring 6 101 Sst/matl ,
Amat 0020-24386 Cover Ring 6 101 Sst/matl ,
1,200.00  16d 3h
Kammrath & Weiss GmbH Z-R Stage Controller with 14 day warranty
Kammrath & Weiss GmbH Z-R Stage Controller with 14 day warranty
950.00 17d 16h
LUDI LEP FLNOF 73000805 Wired for Macro Inspect laser 24V
Top-Rated Plus Seller LUDI LEP FLNOF 73000805 Wired for Macro Inspect laser 24V
499.98  1d 13h
LEP LUDI FLNOF 73000805 Wired for Macro Inspect Laser 24V
Top-Rated Plus Seller LEP LUDI FLNOF 73000805 Wired for Macro Inspect Laser 24V
499.98  1d 13h
7310-3479-02 AG Associates Heatpulse Arm , Thin, Vestal Chuck
7310-3479-02 AG Associates Heatpulse Arm , Thin, Vestal Chuck
2,500.00  12d 21h
AMAT 0300-00028 EPROM Set, 8310, Rev N, Farmon ID 412364
AMAT 0300-00028 EPROM Set, 8310, Rev N, Farmon ID 412364
295.00 14d 19h
2 Furon 1/2" Union Elbow, AMAT 3300-05283, 424380
2 Furon 1/2" Union Elbow, AMAT 3300-05283, 424380
125.00 19d 18h
STAINLESS STEEL BELLOWS FLANGE TYPE OD.178mm 209mm ID.114mm LENGTH 106mm FREE
STAINLESS STEEL BELLOWS FLANGE TYPE OD.178mm 209mm ID.114mm LENGTH 106mm FREE
530.00 17d 0h
Amat 0140-17254 Harness Assy Source Match ,
Amat 0140-17254 Harness Assy Source Match ,
250.00  7d 0h
Nikon 4S005-343 Sensor Board with 30 day warranty
Nikon 4S005-343 Sensor Board with 30 day warranty
950.00 3d 20h
134-0301// Amat Applied 3700-03912 Applied Matrials Components
134-0301// Amat Applied 3700-03912 Applied Matrials Components
600.00 2d 4h
125-0201// Amat Applied 0021-10589 Plate Internal, Pumping Chnl, Asp, 200mm
125-0201// Amat Applied 0021-10589 Plate Internal, Pumping Chnl, Asp, 200mm
1,200.00 1d 23h
7450-0059-02 Ring, Slip-free W/ No Flat,5 Inch
7450-0059-02 Ring, Slip-free W/ No Flat,5 Inch
1,500.00 2d 17h
Varian Assy No.e1147480 Rev.a Analog/digital I/o Interfacemodule
Varian Assy No.e1147480 Rev.a Analog/digital I/o Interfacemodule
1,299.00  28d 7h
344-0303// Amat Applied 0020-95287 Screw,vac Csk Hd M5x25
344-0303// Amat Applied 0020-95287 Screw,vac Csk Hd M5x25
40.00 15d 23h
344-0403// Amat Applied 0227-42688 Applied Matrials Components
344-0403// Amat Applied 0227-42688 Applied Matrials Components
20.00 20d 0h
344-0403// Amat Applied 100763 Stud, Oval Hd
344-0403// Amat Applied 100763 Stud, Oval Hd
20.00 20d 0h
344-0403// Amat Applied 205933-3 Applied Matrials Components
344-0403// Amat Applied 205933-3 Applied Matrials Components
10.00 20d 0h
141-0502// Amat Applied 0140-70172 Harness, 20a Outlet
141-0502// Amat Applied 0140-70172 Harness, 20a Outlet
40.00 41m 20s
142-0602// Amat Applied 0150-09366 Assy Cable Feeder Wire K4-2 To
142-0602// Amat Applied 0150-09366 Assy Cable Feeder Wire K4-2 To
20.00 24d 23h
352-0403// Amat Applied 0020-79083 Screw
352-0403// Amat Applied 0020-79083 Screw
20.00 25d 4h
OPTO 22 SC28 ISA Bus To Pamux Bus Adapter, PCB, 420424
OPTO 22 SC28 ISA Bus To Pamux Bus Adapter, PCB, 420424
250.00 8d 15h
AMAT Applied Materials 0150-18533 Cable ASSY  
AMAT Applied Materials 0150-18533 Cable ASSY
188.00  29d 7h
156-0401// Amat Applied 1410-01296 Applied Matrials Components
156-0401// Amat Applied 1410-01296 Applied Matrials Components
150.00 26d 4h
Applied Materials 0041-93120 Base Cover Slip Ring Fv-v2 Lkp, Lot Of 5
Applied Materials 0041-93120 Base Cover Slip Ring Fv-v2 Lkp, Lot Of 5
70.00  17d 7h
007-0201// Amat Applied Pfdual-6f36a-1 Plasmart Match Asis
007-0201// Amat Applied Pfdual-6f36a-1 Plasmart Match Asis
3,500.00 8d 4h
142-0202// Amat Applied 0190-89032 O-ring,id 20.30,csd .275,teflo
142-0202// Amat Applied 0190-89032 O-ring,id 20.30,csd .275,teflo
450.00 27d 5h
Shinkawa CMU-04 Camera Assembly Module
Top-Rated Plus Seller Shinkawa CMU-04 Camera Assembly Module
75.98  11d 16h
Disco MODRS142 Base (Table) 133E1144, Farmon ID 411852
Disco MODRS142 Base (Table) 133E1144, Farmon ID 411852
350.00 4d 22h
0010-20098 AMAT Shield Treatment Controller
0010-20098 AMAT Shield Treatment Controller
399.99  6d 16h
104-0101// Amat Applied 0010-70001 Cassette Assy Handler-bottom
104-0101// Amat Applied 0010-70001 Cassette Assy Handler-bottom
600.00 5d 3h
AMAT 0140-04278 Cable, Emo Main Frame Dist, Producer SE 413739
AMAT 0140-04278 Cable, Emo Main Frame Dist, Producer SE 413739
250.00 22h 15m
Panasonic Panadac 610-mlt-a Module
Panasonic Panadac 610-mlt-a Module
188.00  10d 9h
Amat 0140-23593 Harn Assy, Chx Rs-486 1ft,
Amat 0140-23593 Harn Assy, Chx Rs-486 1ft,
350.00  21d 20h
4QU15-095 Replacement Filter Element for Finite HN4L-4QU, 0.01 Micron Particulat
4QU15-095 Replacement Filter Element for Finite HN4L-4QU, 0.01 Micron Particulat
115.48 5d 21h
11820 Tokyo Electron Pcb, Ttld10-11 F-di_32 3884-200168-12
11820 Tokyo Electron Pcb, Ttld10-11 F-di_32 3884-200168-12
724.59  9d 7h
Amat 0020-46477 Clamp, Zone 5, 200mm 5 Zone Profiler ,
Amat 0020-46477 Clamp, Zone 5, 200mm 5 Zone Profiler ,
700.00  5d 0h
Comark 54-03901-002 rev A 54-03901-001 rev D ISA carrier board 14 day warranty
Comark 54-03901-002 rev A 54-03901-001 rev D ISA carrier board 14 day warranty
295.00 17d 21h
Amat 0190-35489 Controller, Temp, Heated Exhaust ,
Amat 0190-35489 Controller, Temp, Heated Exhaust ,
750.00  18d 5h
AMAT 1270-00636 SW Thermostat Open on Rise 200C Close @ 17, 417010
AMAT 1270-00636 SW Thermostat Open on Rise 200C Close @ 17, 417010
7.00 11d 21h
Panduit Strain Relief Quick Release Bracket Kit - SRBBRWC-KIT
Panduit Strain Relief Quick Release Bracket Kit - SRBBRWC-KIT
48.50  22d 20h
156-0503// Amat Applied 1410-01304 Applied Matrials Components Asis
156-0503// Amat Applied 1410-01304 Applied Matrials Components Asis
300.00 27d 2h
342-0401// Amat Applied 0200-20163 Insulator, Lamp Cover, Left
342-0401// Amat Applied 0200-20163 Insulator, Lamp Cover, Left
310.00 16d 2h
Amat 0200-35612 Plug Gas Feed Txz ,
Amat 0200-35612 Plug Gas Feed Txz ,
700.00  16d 0h
HYUNAM, HPR-2004, CONTROLLER;HPR-2004, POP DATA Terminal/HYUNAM
HYUNAM, HPR-2004, CONTROLLER;HPR-2004, POP DATA Terminal/HYUNAM
591.00  11d 3h
PN TGL-39-344-001 Tegal Spare Parts
PN TGL-39-344-001 Tegal Spare Parts
2,200.00  12d 14h
Fusion 500601 3-axis Robot Acu/pcu ,
Fusion 500601 3-axis Robot Acu/pcu ,
6,500.00  18d 5h
Fusion 249021 3-axis Robot Acu/pcu ,
Fusion 249021 3-axis Robot Acu/pcu ,
6,500.00  24d 22h
Tokyo Electron 3884-200171-12 Formula Ttlb19-11 Bus_cnn_e1 Pcb ,
Tokyo Electron 3884-200171-12 Formula Ttlb19-11 Bus_cnn_e1 Pcb ,
1,100.00  11d 12h
Gavitec VisionSpy 2300SEMI
Gavitec VisionSpy 2300SEMI
449.00  29d 1h
115-0101// Amat Applied 0100-01815 (unclean) Remote Rem Rack Asis
115-0101// Amat Applied 0100-01815 (unclean) Remote Rem Rack Asis
4,500.00 11d 3h
AXCELIS 17270050, PLATE;17270050,liner #5 striker FEM AXCELIS
AXCELIS 17270050, PLATE;17270050,liner #5 striker FEM AXCELIS
250.00  25d 21h
AMAT 0020-10084 Bracket thru the Wall Door Clamp, 421903
AMAT 0020-10084 Bracket thru the Wall Door Clamp, 421903
175.00 14d 16h
Applied Materials 0190-13327Thermocouple Assembly with Extender Cable
Applied Materials 0190-13327Thermocouple Assembly with Extender Cable
139.00  1d 11h
344-0102// Amat Applied 0021-01358 Applied Matrials Components
344-0102// Amat Applied 0021-01358 Applied Matrials Components
150.00 23d 22h
Lot of 3   Veriflo 959100W2PFSMf 43900112 REGULATOR
Lot of 3  Veriflo 959100W2PFSMf 43900112 REGULATOR
154.73  28d 15h
4 Dinsin SO04446 BFS O-ring, Blue Fluoro Silicon (2-352), 408008
4 Dinsin SO04446 BFS O-ring, Blue Fluoro Silicon (2-352), 408008
240.00 26d 20h
MCG 2282-ME3776, Servo Motor, 4.76A, 7.50 LB-In Torque. 416353
MCG 2282-ME3776, Servo Motor, 4.76A, 7.50 LB-In Torque. 416353
200.00 27d 20h
KSI S1-1-1000  High Voltage Power Supply
KSI S1-1-1000 High Voltage Power Supply
888.00  11d 9h
Novellus Systems General Information Safety Practices 73-00252-02 Rev C 1996
Top-Rated Plus Seller Novellus Systems General Information Safety Practices 73-00252-02 Rev C 1996
19.98  27d 19h
Amat 0190-04745 Rev004 Dip Board Cdn494, Dip-087-220
Amat 0190-04745 Rev004 Dip Board Cdn494, Dip-087-220
499.90 22d 2h
KLA-Tencor 0045260-000 OPTICAL UNIT
KLA-Tencor 0045260-000 OPTICAL UNIT
333.00  1d 4h
Lot of 4 Hewlett Packard HP 16320-66501 Switch Boards with 14 day warranty
Lot of 4 Hewlett Packard HP 16320-66501 Switch Boards with 14 day warranty
390.00 28d 18h
Yaskawa 0190-08045, TRACK Track Encoder Battery UNIT REPLA
Yaskawa 0190-08045, TRACK Track Encoder Battery UNIT REPLA
200.00  25d 6h
123-0301// Amat Applied 0010-70162 (#1) Assy, Actuator Slit Valve [asis]
123-0301// Amat Applied 0010-70162 (#1) Assy, Actuator Slit Valve [asis]
500.00 16d 5h
Pfeiffer Vacuum Pump TPD022
Pfeiffer Vacuum Pump TPD022
1,500.00  13d 2h
AMAT 0015-09091 Assembly Lamp Driver ,
AMAT 0015-09091 Assembly Lamp Driver ,
649.00  5d 21h
343-0102// Amat Applied 26-81669-00 Side Guide
343-0102// Amat Applied 26-81669-00 Side Guide
230.00 11d 4h
Lam Research 678-099961-001, HEATER, MKS 9515-0143, HTR1.5
Lam Research 678-099961-001, HEATER, MKS 9515-0143, HTR1.5
164.00  7d 22h
THK    EK8+EF8 Ground Ball Screw 480MM,
THK EK8+EF8 Ground Ball Screw 480MM,
120.00  10d 23h
134-0503// Amat Applied 0020-25226 Obs: Hoop, Hthu 8" Heater
134-0503// Amat Applied 0020-25226 Obs: Hoop, Hthu 8" Heater
450.00 29d 2h
UIC 42804703X Carrier Clip Universal Assy Lot of 4
UIC 42804703X Carrier Clip Universal Assy Lot of 4
40.19 15d 16h
2842173-01 / Asm Assy, Prox. Switch Doorplate / Asm America Inc
2842173-01 / Asm Assy, Prox. Switch Doorplate / Asm America Inc
160.68  14d 15h
100001662 / Bellows Kit Assy Nw25 / Mks
100001662 / Bellows Kit Assy Nw25 / Mks
120.68  25d 14h
Amat Cover Ring 0020-24386-268-008
Amat Cover Ring 0020-24386-268-008
1,300.00  19d 18h
Amat Cover Ring 0020-24386-405-008
Amat Cover Ring 0020-24386-405-008
1,300.00  19d 20h
354-0101// Amat Applied 0010-01198 Assembly Mini Lp-3 Magnet
354-0101// Amat Applied 0010-01198 Assembly Mini Lp-3 Magnet
9,000.00 29d 7h
Silicon Thermal Powercool LB300 Thermal Controller- Fair Condition
Top-Rated Plus Seller Silicon Thermal Powercool LB300 Thermal Controller- Fair Condition
149.99  7d 14h
125-0103// Amat Applied 0020-24535 Ring Shield Mnt Coh (ar) 13" F
125-0103// Amat Applied 0020-24535 Ring Shield Mnt Coh (ar) 13" F
800.00 4d 5h
Proteus 98004PN1P1 Liquid Flow Meter 5 VDC=1.0 GPM
Proteus 98004PN1P1 Liquid Flow Meter 5 VDC=1.0 GPM
89.00 18d 15h
Smc Directional Block + Smc Device Net Unit M/n Ex230-sdn1 #14930g  Old Stock
Smc Directional Block + Smc Device Net Unit M/n Ex230-sdn1 #14930g  Old Stock
400.00  14d 17h
342-0303// Amat Applied 0020-60007 Bracket Motor Controller
342-0303// Amat Applied 0020-60007 Bracket Motor Controller
250.00 15d 23h
Amat 0020-27204 8” Clamp Ring
Amat 0020-27204 8” Clamp Ring
395.00  8d 15h
Amat 0020-26115 8” Clamp Ring
Amat 0020-26115 8” Clamp Ring
395.00  8d 15h
124-0601// Amat Applied 0020-20937 Clamping Ring 8"al Major Flat
124-0601// Amat Applied 0020-20937 Clamping Ring 8"al Major Flat
900.00 11d 4h
Unit  Ufc-1100 / N2 / 2 Slm
Unit Ufc-1100 / N2 / 2 Slm
350.00  4d 23h
Amat 0140-24168 Ha,adpter Sys Mdl To Gp,
Amat 0140-24168 Ha,adpter Sys Mdl To Gp,
300.00  8d 23h
347-0403// Amat Applied 0020-40723 Applied Matrials Components
347-0403// Amat Applied 0020-40723 Applied Matrials Components
300.00 26d 3h
Novellus 38-122736-00 Cable Assembly, 406051
Novellus 38-122736-00 Cable Assembly, 406051
250.00 19d 17h
OPTO 22 SC28 ISA Bus To Pamux Bus Adapter, PCB, 420425
OPTO 22 SC28 ISA Bus To Pamux Bus Adapter, PCB, 420425
250.00 8d 15h
Ekusa Tc203-s Controller Power Supply ,
Ekusa Tc203-s Controller Power Supply ,
1,500.00  5d 23h
10772 Asml Pcb Board 4022.472.06963
10772 Asml Pcb Board 4022.472.06963
1,185.68  11d 1h
10771 Asml Pcb Board 4022.471.75823
10771 Asml Pcb Board 4022.471.75823
922.20  11d 1h
Applied Materials Deposition Ring 00200-01725-n-a
Applied Materials Deposition Ring 00200-01725-n-a
1,195.00  22d 17h
344-0502// Amat Applied 0090-16018 Sensor Assy. Loadlock Cassette Present []
344-0502// Amat Applied 0090-16018 Sensor Assy. Loadlock Cassette Present []
150.00 16d 4h
Tokyo Electron 3881-000019-12, 3884-200001-11, Pcb, Lan.ttls06-11 Mmi-conv-f Pcb
Tokyo Electron 3881-000019-12, 3884-200001-11, Pcb, Lan.ttls06-11 Mmi-conv-f Pcb
200.00  12d 4h
145-0501// Amat Applied 0150-55139 Applied Matrials Components
145-0501// Amat Applied 0150-55139 Applied Matrials Components
400.00 21d 23h
Rvsi 60952 & 61266 & 61263
Rvsi 60952 & 61266 & 61263
529.00  29d 5h
OKANO WORKS, LTD. DMP200N12 MANOMETER  /  Free International Shipping
OKANO WORKS, LTD. DMP200N12 MANOMETER / Free International Shipping
359.00 17d 4h
Micrion 150-874 rev C
Micrion 150-874 rev C
499.00  23d 23h
445-0303// Oriental Motor Pk566-nbc Vexta Stepping Motor []
445-0303// Oriental Motor Pk566-nbc Vexta Stepping Motor []
100.00 55m 55s
323-0402// Amat Applied 0090-35847 Assy, Switch R/f Cable Attach 2nd Source
323-0402// Amat Applied 0090-35847 Assy, Switch R/f Cable Attach 2nd Source
300.00 20d 3h
Siemens I-T-E Sentron Series Circuit Breaker
Siemens I-T-E Sentron Series Circuit Breaker
150.00  21d 17h
223080 / Ring, Wafer Release 2nd (l) / Zmisc
223080 / Ring, Wafer Release 2nd (l) / Zmisc
75.82  24d 15h
129-0201// Amat Applied 0190-09791 Tas-sw Applied Matrials Components
129-0201// Amat Applied 0190-09791 Tas-sw Applied Matrials Components
250.00 21d 2h
Orbotech 0349373B-T Ver 8/8 Board with 14 day warranty
Orbotech 0349373B-T Ver 8/8 Board with 14 day warranty
950.00 23d 19h
347-0402// Amat Applied 0010-37119 0140-36075 Assy, Adapter Plate, Autobias
347-0402// Amat Applied 0010-37119 0140-36075 Assy, Adapter Plate, Autobias
300.00 13d 6h
Sierratherm 5-48-00017 Rev A Overtemp Card with 30 day warranty
Sierratherm 5-48-00017 Rev A Overtemp Card with 30 day warranty
450.00 13d 19h
AMAT 0150-02335 Cable Assembly, MF I/O Assembly Power 414037
AMAT 0150-02335 Cable Assembly, MF I/O Assembly Power 414037
150.00 2d 20h
355-0101// Amat Applied 0010-05940 Assy, Rh-3 Magnet Rp
355-0101// Amat Applied 0010-05940 Assy, Rh-3 Magnet Rp
10,000.00 29d 7h
177-0103// Fujikin B9xn00 021329 Valve [asis]
177-0103// Fujikin B9xn00 021329 Valve [asis]
40.00 22d 4h
177-0103// Fujikin Bdj700 038819 Valve [asis]
177-0103// Fujikin Bdj700 038819 Valve [asis]
40.00 22d 4h
177-0103// Fujikin Cgmx00 038819 Valve [asis]
177-0103// Fujikin Cgmx00 038819 Valve [asis]
40.00 22d 4h
177-0103// Fujikin D73700 051732 Valve [asis]
177-0103// Fujikin D73700 051732 Valve [asis]
40.00 22d 4h
The Micromanipulator Co. 7000-SSA Base
Top-Rated Plus Seller The Micromanipulator Co. 7000-SSA Base
79.98  22d 19h
Amat 0050-30501 Plmb,wldmnt,he In,slot 5-gas Pnl ,
Amat 0050-30501 Plmb,wldmnt,he In,slot 5-gas Pnl ,
599.00  12d 20h
Applied Materials AMAT Gas Line -- 0050-41415 --
Applied Materials AMAT Gas Line -- 0050-41415 --
95.00  19d 16h
Amat 0020-26312 Upper Shield
Amat 0020-26312 Upper Shield
999.00  26d 19h
VAT KLR9100 US Pat, 4809950,
VAT KLR9100 US Pat, 4809950,
250.00  11d 3h
Special Transforms BET-PWE-R02 Film Frame Expander SN: 1002077
Special Transforms BET-PWE-R02 Film Frame Expander SN: 1002077
5,000.00  23d 22h
Special Transforms BET-PWE-R02 Film Frame Expander SN: 1002076
Special Transforms BET-PWE-R02 Film Frame Expander SN: 1002076
5,000.00  23d 22h
Novellus 03-155625-02 Rev. A Cable 414557
Novellus 03-155625-02 Rev. A Cable 414557
150.00 12d 16h
Novellus 03-155625-01 Rev. A Cable 414555
Novellus 03-155625-01 Rev. A Cable 414555
150.00 12d 15h
Struthers Dunn Relays M60aaa-120a Mercury Power Relay 120vac 60amp
Struthers Dunn Relays M60aaa-120a Mercury Power Relay 120vac 60amp
229.99  17d 20h
Nikon 4s005-206 Ppd-ccd Board
Nikon 4s005-206 Ppd-ccd Board
799.00 11d 5h
Lot of 28 Novellus 0700-700404, O'rings 2-271 N70
Lot of 28 Novellus 0700-700404, O'rings 2-271 N70
250.00 12d 22h
Applied Materials AMAT 0022-13417
Applied Materials AMAT 0022-13417
222.00  24d 7h
Process Computer ‘0IC’
Process Computer ‘0IC’
1,995.00  25d 20h
7093 Applied Materials Pcb Devicenet Digi I/o Bd W/ 0010-20453
7093 Applied Materials Pcb Devicenet Digi I/o Bd W/ 0010-20453
714.32  10d 4h
103-0301// Kaiser S1-1-1000 Generator
103-0301// Kaiser S1-1-1000 Generator
500.00 23d 3h
343-0402// Amat Applied 0020-13587 Sleeve, Al Cassette Handler Ce
343-0402// Amat Applied 0020-13587 Sleeve, Al Cassette Handler Ce
250.00 2d 5h
339-0201// Amat Applied 0021-06079 Shield, Inner, Bkm3 Txz
339-0201// Amat Applied 0021-06079 Shield, Inner, Bkm3 Txz
500.00 16d 23h
Honey Well Data Instrument Model 9641801 Strain Gauge
Honey Well Data Instrument Model 9641801 Strain Gauge
150.00  22d 14h
Lot of 1100 AVX Kyocera Tantalum capacitor 10uF TAJC106K020R
Lot of 1100 AVX Kyocera Tantalum capacitor 10uF TAJC106K020R
55.00 9d 20h
146-0201// Amat Applied 0150-13156 Cable Power Mag Driver To Cham
146-0201// Amat Applied 0150-13156 Cable Power Mag Driver To Cham
750.00 2d 0h
11821 Tokyo Electron Pcb, Ttld12-11 F-do_32rly 3884-200172-11
11821 Tokyo Electron Pcb, Ttld12-11 F-do_32rly 3884-200172-11
724.59  9d 7h
Genus P/n 30602-05 I/o Terminal Board ,
Genus P/n 30602-05 I/o Terminal Board ,
500.00  19d 22h
AMAT 0140-02298 Rev.002, G240546, Harness Assembly, DNET Power to CDCG. 417939
AMAT 0140-02298 Rev.002, G240546, Harness Assembly, DNET Power to CDCG. 417939
165.00 5d 18h
Boc Edwards Pneumatic Pfc Interface Kit A55420007
Boc Edwards Pneumatic Pfc Interface Kit A55420007
351.52  1d 15h
Shimadzu 262-76411-15v2 Turbo Pump Cable ,
Shimadzu 262-76411-15v2 Turbo Pump Cable ,
799.00  9d 3h
AMAT 0020-47524 SENSOR BRACKET, LOW & LowLow, MEG MODULE
AMAT 0020-47524 SENSOR BRACKET, LOW & LowLow, MEG MODULE
300.00  10d 22h
343-0102// Amat Applied 0021-09516 Pully,1/5" Pitch,15 Grove,.37
343-0102// Amat Applied 0021-09516 Pully,1/5" Pitch,15 Grove,.37
480.00 11d 4h
343-0203// Amat Applied 0045-20002 Clamp Actuator Shutter Linkage
343-0203// Amat Applied 0045-20002 Clamp Actuator Shutter Linkage
250.00 14d 23h
Aera Tc Fc-d985y-bf, Mfc, 5slm, N2
Aera Tc Fc-d985y-bf, Mfc, 5slm, N2
275.00  27d 3h
8DS15-095 Replacement Filter Element for Finite HN4L-8DS, 0.01 Micron Particulat
8DS15-095 Replacement Filter Element for Finite HN4L-8DS, 0.01 Micron Particulat
108.55 5d 21h
OPTRA 1-1207-4 XY1 Encoder 282.5229.01 & NANOGRID SENSOR 3mW 785nm
OPTRA 1-1207-4 XY1 Encoder 282.5229.01 & NANOGRID SENSOR 3mW 785nm
399.90 17d 3h
003-0201// Amat Applied Npg-3kg Npp Rf Generator Asis
003-0201// Amat Applied Npg-3kg Npp Rf Generator Asis
3,500.00 21d 22h
346-0302// Amat Applied 0020-10758 Housing, Tc Amp
346-0302// Amat Applied 0020-10758 Housing, Tc Amp
300.00 28d 9h
99-173-004 Tegal PCB 98-173-003
99-173-004 Tegal PCB 98-173-003
499.00 13d 2h
121-0201// Amat Applied 0021-21799 Shield Upper Chimney
121-0201// Amat Applied 0021-21799 Shield Upper Chimney
1,000.00 14d 23h
AMAT 0140-01396, Cable, Harness, Ext Brake I/O. 414019
AMAT 0140-01396, Cable, Harness, Ext Brake I/O. 414019
150.00 2d 18h
323-0201// Amat Applied 3700-01669 Oring Id 4.110 Csd .210 Chemra
323-0201// Amat Applied 3700-01669 Oring Id 4.110 Csd .210 Chemra
230.00 11d 5h
323-0201// Amat Applied 3700-02352 Oring Id 15.955 Csd .139 Chemr
323-0201// Amat Applied 3700-02352 Oring Id 15.955 Csd .139 Chemr
330.00 15d 0h
156-0402// Amat Applied 0240-31300 0190-09329 0190-09330 Spare Kit []
156-0402// Amat Applied 0240-31300 0190-09329 0190-09330 Spare Kit []
450.00 3d 3h
351-0202// Amat Applied 0020-38058 Cylinder, Lifter []
351-0202// Amat Applied 0020-38058 Cylinder, Lifter []
270.00 3d 5h
176-0404// Amat Applied 0050-10028 Restrictor Weldment, 29 Slot, N2 Purge []
176-0404// Amat Applied 0050-10028 Restrictor Weldment, 29 Slot, N2 Purge []
220.00 17d 2h
176-0404// Amat Applied 0050-33304 Applied Matrials Components []
176-0404// Amat Applied 0050-33304 Applied Matrials Components []
280.00 17d 2h
176-0404// Amat Applied 0050-75499 Weldment, Ch C, He Delivery - Initial I []
176-0404// Amat Applied 0050-75499 Weldment, Ch C, He Delivery - Initial I []
290.00 17d 2h
177-0401// Amat Applied 0050-33658 Wldmnt, Purge Ln #2, Pos B []
177-0401// Amat Applied 0050-33658 Wldmnt, Purge Ln #2, Pos B []
220.00 17d 3h
177-0401// Amat Applied 0050-36678 Line He Supply 2 Of 3 []
177-0401// Amat Applied 0050-36678 Line He Supply 2 Of 3 []
260.00 17d 3h
115-0401// Amat Applied 0050-38104 Applied Matrials Components []
115-0401// Amat Applied 0050-38104 Applied Matrials Components []
400.00 22d 23h
115-0401// Amat Applied 0050-75482 Applied Matrials Components []
115-0401// Amat Applied 0050-75482 Applied Matrials Components []
400.00 22d 23h
115-0401// Amat Applied 0050-75483 Applied Matrials Components []
115-0401// Amat Applied 0050-75483 Applied Matrials Components []
400.00 22d 23h
177-0302// Amat Applied 3870-02798 Valve Mnl Diaph 1/4vcr-m/f 1/4short Hdl []
177-0302// Amat Applied 3870-02798 Valve Mnl Diaph 1/4vcr-m/f 1/4short Hdl []
300.00 14d 2h
318-0303// Amat Applied 0240-03931 Kit, Water Resistivity Meter 2
318-0303// Amat Applied 0240-03931 Kit, Water Resistivity Meter 2
500.00 5d 4h
409-0401// otherm En60947-4-3 Controller [/fast]
409-0401// otherm En60947-4-3 Controller [/fast]
300.00 19d 3h
421-0202// Sanyo Denki 103h7521-70gb2 G10-619 Stepping Motor [/fast]
421-0202// Sanyo Denki 103h7521-70gb2 G10-619 Stepping Motor [/fast]
300.00 21d 22h
323-0402// Amat Applied 0010-20472 Assy Wafer Sensor Monolith [2nd Source ]
323-0402// Amat Applied 0010-20472 Assy Wafer Sensor Monolith [2nd Source ]
250.00 10d 0h
340-0303// Amat Applied 1350-00149 Snsr Press 0-145psi 1/4mvcr 1-5vdc []
340-0303// Amat Applied 1350-00149 Snsr Press 0-145psi 1/4mvcr 1-5vdc []
310.00 13d 6h
112-0201// Amat Applied 0240-23257 0140-20741 Retrofit Kit Harness Pvd []
112-0201// Amat Applied 0240-23257 0140-20741 Retrofit Kit Harness Pvd []
270.00 3d 2h
351-0202// Amat Applied 0020-38085 Screw, Rod Stoppergeco []
351-0202// Amat Applied 0020-38085 Screw, Rod Stoppergeco []
330.00 3d 5h
351-0202// Amat Applied 0050-37815 Tubing, Coolant Line []
351-0202// Amat Applied 0050-37815 Tubing, Coolant Line []
230.00 9d 5h
128-0601// Amat Applied 3700-02569 Oring Id 6.234 Csd.139 Kalrez 2037 80du []
128-0601// Amat Applied 3700-02569 Oring Id 6.234 Csd.139 Kalrez 2037 80du []
230.00 9d 23h
348-0203// Amat Applied 0020-31785 Ring,robot Cooling Phase Iia Metch []
348-0203// Amat Applied 0020-31785 Ring,robot Cooling Phase Iia Metch []
550.00 14d 3h
322-0103// Amat Applied 0010-00071 2.25" Stepping Mtr Assy,atmosphere []
322-0103// Amat Applied 0010-00071 2.25" Stepping Mtr Assy,atmosphere []
400.00 15d 23h
176-0404// Amat Applied 0050-21943 Applied Matrials Components []
176-0404// Amat Applied 0050-21943 Applied Matrials Components []
220.00 17d 2h
176-0404// Amat Applied 0050-30919 Gas Line, Position B-c, R2, 5000 []
176-0404// Amat Applied 0050-30919 Gas Line, Position B-c, R2, 5000 []
300.00 17d 2h
176-0404// Amat Applied 0050-33657 Applied Matrials Components []
176-0404// Amat Applied 0050-33657 Applied Matrials Components []
220.00 17d 2h
137-0401// Amat Applied 0050-25241 Applied Matrials Components []
137-0401// Amat Applied 0050-25241 Applied Matrials Components []
570.00 24d 2h
176-0103// Veriflo 945y2nc/ncfsffm4902 Valve []
176-0103// Veriflo 945y2nc/ncfsffm4902 Valve []
500.00 11d 23h
177-0302// Amat Applied 3870-03641 Valve,pneumatic,nc,1/4od,5/32pilot Conn []
177-0302// Amat Applied 3870-03641 Valve,pneumatic,nc,1/4od,5/32pilot Conn []
250.00 14d 2h
346-0103// Amat Applied 0020-20483 Hub  Lamp Corner Cover
346-0103// Amat Applied 0020-20483 Hub Lamp Corner Cover
250.00 27d 5h
347-0402// Amat Applied 0020-27136 Support, Actuator Shutter Linkage
347-0402// Amat Applied 0020-27136 Support, Actuator Shutter Linkage
250.00 14d 9h
Assy 00200-AD01 REV B ( 14907-01 / 14908-01 / 14909-01 PCB )
Assy 00200-AD01 REV B ( 14907-01 / 14908-01 / 14909-01 PCB )
999.00  17d 4h
Tel Alpha 805c Boat Elevator
Tel Alpha 805c Boat Elevator
5,499.99  16d 3h
Amat 0020-22237 Cover Ring 8" 101% Tin Coverage ,
Amat 0020-22237 Cover Ring 8" 101% Tin Coverage ,
1,000.00  4d 23h
127-0201// Vat 07512-ua24-aad3 (#2) Valve Asis
127-0201// Vat 07512-ua24-aad3 (#2) Valve Asis
550.00 21d 6h
167-0301// Vcr Dhc-06 Heating Jacket System [asis]
167-0301// Vcr Dhc-06 Heating Jacket System [asis]
500.00 18d 1h
127-0301// Tera Tgva-kf50 Valve [asis]
127-0301// Tera Tgva-kf50 Valve [asis]
200.00 22d 3h
OPTO 22 SC28 ISA Bus To Pamux Bus Adapter, PCB, 420426
OPTO 22 SC28 ISA Bus To Pamux Bus Adapter, PCB, 420426
200.00 8d 15h
325-0203// Unit Ufc-1100 (#1) N2 300sccm Mass Flow Controller [asis]
325-0203// Unit Ufc-1100 (#1) N2 300sccm Mass Flow Controller [asis]
300.00 24d 6h
325-0203// Unit Ufc-1200a Cl2 100sccm Mass Flow Controller [asis]
325-0203// Unit Ufc-1200a Cl2 100sccm Mass Flow Controller [asis]
300.00 24d 6h
325-0203// Unit Ufc-1260a Nh3 2slm Mass Flow Controller [asis]
325-0203// Unit Ufc-1260a Nh3 2slm Mass Flow Controller [asis]
300.00 24d 6h
325-0203// UNIT UFC-1400 Ar 1.5SLM MASS FLOW CONTROLLER [ASIS]
325-0203// UNIT UFC-1400 Ar 1.5SLM MASS FLOW CONTROLLER [ASIS]
300.00 24d 6h
325-0302// Unit Ufc-1660 (#3) N2 3slm Mass Flow Controller [asis]
325-0302// Unit Ufc-1660 (#3) N2 3slm Mass Flow Controller [asis]
300.00 25d 4h
325-0401// Aera Fc-7700cd Ar 2slm [asis]
325-0401// Aera Fc-7700cd Ar 2slm [asis]
300.00 26d 4h
403-0101// Metronix Apm-hc05hc(a4)h-motor-1
403-0101// Metronix Apm-hc05hc(a4)h-motor-1
299.00 1d 2h
403-0302// Ls Apm-hc05hc(c7)h Ls (without Connector) -motor
403-0302// Ls Apm-hc05hc(c7)h Ls (without Connector) -motor
299.00 1d 2h
403-0302// Ls Apm-hc05hc(c7)h Motor-1
403-0302// Ls Apm-hc05hc(c7)h Motor-1
299.00 1d 2h
325-0403// Fujikin Fcs-4jr-124-f2l#b Fcs Flow Controller [asis]
325-0403// Fujikin Fcs-4jr-124-f2l#b Fcs Flow Controller [asis]
300.00 7d 5h
325-0501// Mfc 0227-06162 2900mepl 100 Sccm Cf4, Surge Protect [asis]
325-0501// Mfc 0227-06162 2900mepl 100 Sccm Cf4, Surge Protect [asis]
300.00 7d 6h
325-0501// Mfc 0227-06163 2900mepl 200 Sccm Sf6, Surg [asis]
325-0501// Mfc 0227-06163 2900mepl 200 Sccm Sf6, Surg [asis]
300.00 7d 6h
325-0501// Mfc 0227-06164 2900mepl 300 Sccm Nf3, Surge Protect [asis]
325-0501// Mfc 0227-06164 2900mepl 300 Sccm Nf3, Surge Protect [asis]
300.00 7d 6h
325-0501// Mfc 0227-06165 2900mepl 50 Sccm Nf3, Surge Protect [asis]
325-0501// Mfc 0227-06165 2900mepl 50 Sccm Nf3, Surge Protect [asis]
300.00 7d 6h
325-0501// Mfc 0227-06166 2900mepl 10 Sccm O2, Surge Protect [asis]
325-0501// Mfc 0227-06166 2900mepl 10 Sccm O2, Surge Protect [asis]
300.00 7d 6h
176-0201// Aptech Ap1510sx 4pw Mv4 Mv4 Regulator []
176-0201// Aptech Ap1510sx 4pw Mv4 Mv4 Regulator []
200.00 8d 5h
176-0201// Veriflo Npr400w3pv3fsmmm Regulator []
176-0201// Veriflo Npr400w3pv3fsmmm Regulator []
200.00 8d 5h
176-0201// Yutaka Crown Sr-1hs-op Regulator []
176-0201// Yutaka Crown Sr-1hs-op Regulator []
200.00 8d 5h
127-0301// Vat 02010-ba24-aey1 Gate Valve Asis
127-0301// Vat 02010-ba24-aey1 Gate Valve Asis
500.00 11d 23h
116-0102// Amat Applied 0200-10196 Shield, Tapered, 125mm Asis
116-0102// Amat Applied 0200-10196 Shield, Tapered, 125mm Asis
280.00 29d 5h
147-0301// Amat Applied 0150-18035 Cbl Assy, Emc Comp, Pump Umbilical, 75ft Asis
147-0301// Amat Applied 0150-18035 Cbl Assy, Emc Comp, Pump Umbilical, 75ft Asis
240.00 9d 3h
147-0601// Amat Applied 0150-20009 Cable Assy, Convectron Interconnect Ii 2 Asis
147-0601// Amat Applied 0150-20009 Cable Assy, Convectron Interconnect Ii 2 Asis
360.00 14d 5h
302-0201// Idis Xdrpro1600 Compact Disc Recordable Asis
302-0201// Idis Xdrpro1600 Compact Disc Recordable Asis
200.00 24d 6h
332-0301// Amat Applied 0030-09029 Crt Bezel
332-0301// Amat Applied 0030-09029 Crt Bezel
200.00 4d 4h
332-0301// Amat Applied 0030-76014 Obs: Bezel, Monitor 15", Ttw
332-0301// Amat Applied 0030-76014 Obs: Bezel, Monitor 15", Ttw
200.00 4d 4h
171-0701// Sansha Ktd-15 0.3/3at Controler
171-0701// Sansha Ktd-15 0.3/3at Controler
300.00 21d 5h
103-0401// Eci Tqsf2100 Quali Surf
103-0401// Eci Tqsf2100 Quali Surf
300.00 21d 6h
421-0101// Sanyo Denki 103g814-5730 Bra82-15g-φ9.525 (unclean) Motor []
421-0101// Sanyo Denki 103g814-5730 Bra82-15g-φ9.525 (unclean) Motor []
200.00 21d 4h
419-0202// Parker Cp*71-006880-01 (cut Cable) Motor [/fast]
419-0202// Parker Cp*71-006880-01 (cut Cable) Motor [/fast]
300.00 21d 4h
421-0201// Sanyo Denki 103-8572-7044 Steping Motor [/fast]
421-0201// Sanyo Denki 103-8572-7044 Steping Motor [/fast]
300.00 21d 22h
421-0201// Sanyo Denki 103-8572-6044 Stepping Motor [/fast]
421-0201// Sanyo Denki 103-8572-6044 Stepping Motor [/fast]
300.00 21d 22h
421-0301// Sanyo Denki Pbm565dxc20 Stepping Motor [/fast]
421-0301// Sanyo Denki Pbm565dxc20 Stepping Motor [/fast]
200.00 22d 1h
429-0102// Electro Craft E243 0243-03-011 Motor [/fast]
429-0102// Electro Craft E243 0243-03-011 Motor [/fast]
200.00 23d 4h
429-0102// Electro Craft E19-3 0644-06-011 Motor [/fast]
429-0102// Electro Craft E19-3 0644-06-011 Motor [/fast]
200.00 23d 4h
429-0302// Mcg 3486-me4527 Cym3289-a Motor [/fast]
429-0302// Mcg 3486-me4527 Cym3289-a Motor [/fast]
500.00 26d 0h
429-0402// Sig Pos/tec Rdm264/50l8a Motor [/fast]
429-0402// Sig Pos/tec Rdm264/50l8a Motor [/fast]
200.00 26d 3h
443-0101// Oriental Motor Bhi82st-g Bh8g-50 (without Connector) Induction []
443-0101// Oriental Motor Bhi82st-g Bh8g-50 (without Connector) Induction []
200.00 29d 0h
430-0301// Syowa Kensan Million Skm-50 (no Connector) Motor []
430-0301// Syowa Kensan Million Skm-50 (no Connector) Motor []
200.00 4d 2h
430-0501// Macon R-bldc-0130-015-024-ilw-h-s015a Motor []
430-0501// Macon R-bldc-0130-015-024-ilw-h-s015a Motor []
500.00 4d 4h
430-0501// Berger 5913/50 Eexd-p Motor []
430-0501// Berger 5913/50 Eexd-p Motor []
500.00 4d 4h
430-0403// Miki Pulley Bxh-12-10-a-20 Motor []
430-0403// Miki Pulley Bxh-12-10-a-20 Motor []
500.00 4d 4h
434-0101// Panasonic Amkc060b10lfg Bb87-000111 Ac Servo Motor []
434-0101// Panasonic Amkc060b10lfg Bb87-000111 Ac Servo Motor []
300.00 4d 6h
434-0101// Panasonic Amkc060b10lfg Bb87-000111 (without Connector) []
434-0101// Panasonic Amkc060b10lfg Bb87-000111 (without Connector) []
300.00 4d 6h
434-0101// Panasonic Amkc060b10lfg Bb87-000110 Ac Servo Motor []
434-0101// Panasonic Amkc060b10lfg Bb87-000110 Ac Servo Motor []
300.00 4d 6h
436-0202// Mitsubishi Ha-so103b (without Connector) Ac Servo Motor []
436-0202// Mitsubishi Ha-so103b (without Connector) Ac Servo Motor []
300.00 5d 2h
436-0201// Mitsubishi Ha-fe63 Ac Servo Motor []
436-0201// Mitsubishi Ha-fe63 Ac Servo Motor []
500.00 5d 2h
440-0401// Oriental Motor Mbm206-412 2gn50k Ac Magnetic Brake Motor []
440-0401// Oriental Motor Mbm206-412 2gn50k Ac Magnetic Brake Motor []
200.00 6d 5h
419-0301// Mclean 1rb80 Fan []
419-0301// Mclean 1rb80 Fan []
200.00 10d 4h
405-0501// Fine Suntronix Esf600-24 Power Supply []
405-0501// Fine Suntronix Esf600-24 Power Supply []
200.00 12d 0h
115-0201// Amat Applied 0190-70066 Fantray 300cfm19"x1.75" X8"115vac []
115-0201// Amat Applied 0190-70066 Fantray 300cfm19"x1.75" X8"115vac []
560.00 12d 4h
176-0201// Tescom 64-2662krm12 Regulator []
176-0201// Tescom 64-2662krm12 Regulator []
200.00 8d 5h
176-0201// Veriflo Sq90-1003pesf1f-4159 Regulator []
176-0201// Veriflo Sq90-1003pesf1f-4159 Regulator []
200.00 8d 5h
AMAT 0140-04579 Rev.001, Harness Main A/C Producer. SE. 418665
AMAT 0140-04579 Rev.001, Harness Main A/C Producer. SE. 418665
150.00 20d 22h
1 hp 3P Motor 1725 RPM  208 230 460v
1 hp 3P Motor 1725 RPM 208 230 460v
85.00 7d 21h
MKS 122AA-00100BB Baratron Pressure Transducer
MKS 122AA-00100BB Baratron Pressure Transducer
450.00  11d 21h
Applied Materials 0020-30059 Pumping Plate 13 Hole
Applied Materials 0020-30059 Pumping Plate 13 Hole
650.00  11d 21h
Nikon 4S015-084 PCB Relay Control Board BUSMON3
Nikon 4S015-084 PCB Relay Control Board BUSMON3
599.00  11d 21h
Applied Materials 0040-83321-001 Flange 200mm 6-PORT
Applied Materials 0040-83321-001 Flange 200mm 6-PORT
575.00  11d 21h
MKS 127A-13431 Baratron Pressure Transducer
MKS 127A-13431 Baratron Pressure Transducer
450.00  11d 21h
142-0202// Amat Applied 0010-35158 Applied Matrials Components
142-0202// Amat Applied 0010-35158 Applied Matrials Components
260.00 27d 4h
339-0201// Amat Applied 0020-35576 Applied Matrials Components
339-0201// Amat Applied 0020-35576 Applied Matrials Components
500.00 16d 23h
156-0503// Amat Applied 0227-44849 Applied Matrials Components
156-0503// Amat Applied 0227-44849 Applied Matrials Components
300.00 27d 2h
344-0302// Amat Applied 0020-75639 Applied Matrials Components
344-0302// Amat Applied 0020-75639 Applied Matrials Components
500.00 22d 4h
Applied Materials AMAT Ball Beraring, 3060-01123
Applied Materials AMAT Ball Beraring, 3060-01123
145.00  17d 8h
108-0601// Amat Applied 0010-20768 (#2) Applied Matrials Components
108-0601// Amat Applied 0010-20768 (#2) Applied Matrials Components
5,000.00 23d 3h
121-0701// Amat Applied 0020-23045 Shield 101% Tin Coverage
121-0701// Amat Applied 0020-23045 Shield 101% Tin Coverage
400.00 14d 23h
Fujikin FCS-4WS-F500 Mass Flow Controller w/ Valves .39~59 MPa N.C. N2 Gas
Top-Rated Plus Seller Fujikin FCS-4WS-F500 Mass Flow Controller w/ Valves .39~59 MPa N.C. N2 Gas
196.00  6d 17h
111-0301// Amat Applied 0020-34299 Flange, Insulation, Unibody, .400thk
111-0301// Amat Applied 0020-34299 Flange, Insulation, Unibody, .400thk
450.00 5d 4h
319-0203// Amat Applied 4020-01145 Fltrin-line Gas 3000psi 1/4vcr-m 100slm
319-0203// Amat Applied 4020-01145 Fltrin-line Gas 3000psi 1/4vcr-m 100slm
250.00 26d 7h
PANASONIC PANADAC P615-OET-HDPV I/O Data Transfer unit
PANASONIC PANADAC P615-OET-HDPV I/O Data Transfer unit
188.00  15d 9h
Amat 0140-00047 Z Axis Home Harness Assy 407282
Amat 0140-00047 Z Axis Home Harness Assy 407282
150.00 2d 15h
009-0102// Amat Applied Npg-8000h (ver 06) Npp Rf Generator Asis
009-0102// Amat Applied Npg-8000h (ver 06) Npp Rf Generator Asis
3,000.00 21d 22h
AMAT 0021-77722 Bracket, Gripper, W.B. Left, 411120
AMAT 0021-77722 Bracket, Gripper, W.B. Left, 411120
150.00 14d 20h
AMAT 0150-02416 Cable Assembly, AC Power - TB1 (RT), Anneal Connector, 411076
AMAT 0150-02416 Cable Assembly, AC Power - TB1 (RT), Anneal Connector, 411076
125.00 13d 21h
Hewlett Packard HP 04085-66563 Board with 14 day warranty
Hewlett Packard HP 04085-66563 Board with 14 day warranty
495.00 28d 18h
7100-6159-05 Lamp Driver
7100-6159-05 Lamp Driver
2,500.00 3d 0h
143-0203// Amat Applied 0150-35662 Cable, 24vac, Rear Service Lam
143-0203// Amat Applied 0150-35662 Cable, 24vac, Rear Service Lam
300.00 4h 10m
Tecnomara Fireboy,SMB0291,220/110Vac 63/125mA 5W,4293
Tecnomara Fireboy,SMB0291,220/110Vac 63/125mA 5W,4293
83.90  5d 4h
Mfc Tylan Fc-2960 Mep5 / 0.1 Slpm / N2
Mfc Tylan Fc-2960 Mep5 / 0.1 Slpm / N2
250.00  5d 5h
TGL-99-300-001 Tegal PCB Tegal Spare Parts
TGL-99-300-001 Tegal PCB Tegal Spare Parts
799.00 5d 15h
Amat Cover Ring 0020-24386-005
Amat Cover Ring 0020-24386-005
1,300.00  19d 17h
Liner,gdp,r2,oxide Geco 0020-34694 S/n.119426-236-044
Liner,gdp,r2,oxide Geco 0020-34694 S/n.119426-236-044
3,500.00  26d 2h
ALUMINIUM ELECTRODE A FOR PY150 832-2687A Farmon ID 405991
ALUMINIUM ELECTRODE A FOR PY150 832-2687A Farmon ID 405991
175.00 16d 18h
124-0301// Amat Applied 0021-20042 Disk 8" B101 Sst Shutter
124-0301// Amat Applied 0021-20042 Disk 8" B101 Sst Shutter
800.00 11d 2h
SensArray Corporation ProcessProbe Instrumented Wafers 1530B-8-0010
SensArray Corporation ProcessProbe Instrumented Wafers 1530B-8-0010
800.00 1d 23h
Hitachi Zv811 Vme-j1-mb5,
Hitachi Zv811 Vme-j1-mb5,
300.00  16d 3h
AMAT 0140-02044, Harness Assembly, HTR AC PWR, ANNEAL Control CH2. 416938
AMAT 0140-02044, Harness Assembly, HTR AC PWR, ANNEAL Control CH2. 416938
150.00 9d 21h
Amat 0227-36763 Kit C/a Cert Of Comp Emc ,
Amat 0227-36763 Kit C/a Cert Of Comp Emc ,
350.00  7d 4h
180M 15 A 446, W92305345. Solenoid Manifold. 411942
180M 15 A 446, W92305345. Solenoid Manifold. 411942
350.00 8d 16h
AMAT 0020-04018 Rev G NDM-176-041 Chuck ID-AWM-D-2-1
AMAT 0020-04018 Rev G NDM-176-041 Chuck ID-AWM-D-2-1
2,750.00 6d 21h
NIKON X4RCSLIF Board Working
NIKON X4RCSLIF Board Working
550.00  2d 11h
Varian E17048720 Feedthru Assembly 419748
Varian E17048720 Feedthru Assembly 419748
250.00 11d 17h
407-0301// MKS 1150C-4216M Si2CL6 1000SCCM VAPOR SOURCE MFC [ASIS]
407-0301// MKS 1150C-4216M Si2CL6 1000SCCM VAPOR SOURCE MFC [ASIS]
900.00 10d 6h
175-0301// Amat Applied 0010-09265 125mm Cassette Handler Head []
175-0301// Amat Applied 0010-09265 125mm Cassette Handler Head []
1,200.00 29d 5h
112-0601// Amat Applied 0040-70150 Wldmnt Arm Source Wide B
112-0601// Amat Applied 0040-70150 Wldmnt Arm Source Wide B
950.00 11d 23h
127-0301// Vat 02010-ba24-1008 Gate Valve Asis
127-0301// Vat 02010-ba24-1008 Gate Valve Asis
500.00 11d 23h
127-0401// Vat 02112-ba24-bcc1 Gate Valve Asis
127-0401// Vat 02112-ba24-bcc1 Gate Valve Asis
800.00 12d 0h
129-0701// Amat Applied 0010-70386 Assy,vga Monitor,stand Alone
129-0701// Amat Applied 0010-70386 Assy,vga Monitor,stand Alone
600.00 25d 0h
325-0203// Unit Ufc-1100 (#2) Nh3 100sccm Mass Flow Controller [asis]
325-0203// Unit Ufc-1100 (#2) Nh3 100sccm Mass Flow Controller [asis]
300.00 24d 6h
325-0302// Unit Ufc-1660 (#5) H2 50sccm Mass Flow Controller [asis]
325-0302// Unit Ufc-1660 (#5) H2 50sccm Mass Flow Controller [asis]
300.00 25d 4h
AMAT 0150-02416 Cable Assembly, AC Power - TB1 (RT), Anneal Connector, 411075
AMAT 0150-02416 Cable Assembly, AC Power - TB1 (RT), Anneal Connector, 411075
125.00 13d 21h
112-0201// Amat Applied 0240-33914 3310-01056 0690-01585 Kit []
112-0201// Amat Applied 0240-33914 3310-01056 0690-01585 Kit []
700.00 3d 2h
114-0301// Amat Applied 1200-0056 Occb/a2 Applied Matrials Components []
114-0301// Amat Applied 1200-0056 Occb/a2 Applied Matrials Components []
1,000.00 3d 2h
136-0201// Amat Applied 0040-63760 End Cover Ind, Divert Producer Se, Pmd []
136-0201// Amat Applied 0040-63760 End Cover Ind, Divert Producer Se, Pmd []
760.00 11d 5h
136-0401// Amat Applied 0040-22415 0100-20097 Panel Manifold Rf Generator []
136-0401// Amat Applied 0040-22415 0100-20097 Panel Manifold Rf Generator []
1,300.00 11d 5h
Socapel Servo Drive Main Board 024.7036.A  ST1, 10-310
Socapel Servo Drive Main Board 024.7036.A ST1, 10-310
350.00 23d 16h
Applied Ceramics 91-00535A Window, Recessed, Endpoint, 406553
Applied Ceramics 91-00535A Window, Recessed, Endpoint, 406553
150.00 11d 19h
Philips Analytical 4007 022 90051 Rev A DACQ Channel Board
Philips Analytical 4007 022 90051 Rev A DACQ Channel Board
625.00  5d 18h
342-0503// Amat Applied 0020-02184 Applied Matrials Components
342-0503// Amat Applied 0020-02184 Applied Matrials Components
300.00 8d 0h
142-0302// Amat Applied 0010-38861 Applied Matrials Components
142-0302// Amat Applied 0010-38861 Applied Matrials Components
230.00 27d 5h
347-0403// Amat Applied 0021-38040 Applied Matrials Components 2nd Source
347-0403// Amat Applied 0021-38040 Applied Matrials Components 2nd Source
300.00 2d 3h
001-0101// Amat Applied Wga-50e Daihen Generator Asis
001-0101// Amat Applied Wga-50e Daihen Generator Asis
4,500.00 21d 22h
115-0201// Cubic Rfg-4 L163426 Re Generator
115-0201// Cubic Rfg-4 L163426 Re Generator
5,000.00 11d 3h
AMAT 0021-09068, Tool, Install, Thermal Switch. 418687
AMAT 0021-09068, Tool, Install, Thermal Switch. 418687
250.00 21d 20h
347-0401// Amat Applied 0050-10047 Weldment, 2 Manometrs, 1/2vcr,asp
347-0401// Amat Applied 0050-10047 Weldment, 2 Manometrs, 1/2vcr,asp
300.00 9d 10h
ATTO APG-RA-050 Ar Pneumatic Getter Purifier,
ATTO APG-RA-050 Ar Pneumatic Getter Purifier,
3,500.00  10d 1h
AMAT 0140-09590, Harn Assy, Current XFMR CH B H-SYS, 411505
AMAT 0140-09590, Harn Assy, Current XFMR CH B H-SYS, 411505
150.00 23d 22h
Com Inc D6202.B D6203.B HB-C1155 HB-C1156 with 30 day warranty
Com Inc D6202.B D6203.B HB-C1155 HB-C1156 with 30 day warranty
1,500.00 16d 19h
Fujikin FCST1005MZFC-4CL2-F2L-H2-U037-EP H2 2SLM Mass Flow Controller
Top-Rated Plus Seller Fujikin FCST1005MZFC-4CL2-F2L-H2-U037-EP H2 2SLM Mass Flow Controller
99.99  1d 18h
Fujikin FCST1005MZFC-4CL2-F500-SIH4-U037-EP Mass Flow Controller
Top-Rated Plus Seller Fujikin FCST1005MZFC-4CL2-F500-SIH4-U037-EP Mass Flow Controller
89.99  9d 15h
Mycom PS466-01A 4 Phase Stepping Motor, 6V 1.2A 640081470, 1.8 Deg/Step, 420225
Mycom PS466-01A 4 Phase Stepping Motor, 6V 1.2A 640081470, 1.8 Deg/Step, 420225
250.00 26d 20h
Mycom PS466-01A 4 Phase Stepping Motor, 6V 1.2A 640081470, 1.8 Deg/Step, 420226
Mycom PS466-01A 4 Phase Stepping Motor, 6V 1.2A 640081470, 1.8 Deg/Step, 420226
250.00 26d 20h
Mycom PS466-01A 4 Phase Stepping Motor, 6V 1.2A 640081470, 1.8 Deg/Step, 420244
Mycom PS466-01A 4 Phase Stepping Motor, 6V 1.2A 640081470, 1.8 Deg/Step, 420244
250.00 26d 20h
81-007-138 Bellow  for Tegal equipment
81-007-138 Bellow for Tegal equipment
740.00  8d 20h
13351 Tel Pcb, 3880-200114-11 W/ Ttld11-12 F-do_32 Ttld12-12 F-do_32rly
13351 Tel Pcb, 3880-200114-11 W/ Ttld11-12 F-do_32 Ttld12-12 F-do_32rly
1,267.32  28d 4h
129-0401// Amat Applied 0100-11002 Pwb Digital I/o  
129-0401// Amat Applied 0100-11002 Pwb Digital I/o
500.00 20d 23h
AMAT 0900-02233 REV.001 HDPCVD Interlock PCB Card
AMAT 0900-02233 REV.001 HDPCVD Interlock PCB Card
1,299.00  13d 3h
PANASONIC MBSK013ASA Motor Speed Controlle ,
PANASONIC MBSK013ASA Motor Speed Controlle ,
599.00  4d 6h
Insulating Flange
Insulating Flange
525.00  1d 11h
Mycom PS466-01A 4 Phase Stepping Motor, 6V 1.2A 9090925666, 1.8 Deg/Step, 420227
Mycom PS466-01A 4 Phase Stepping Motor, 6V 1.2A 9090925666, 1.8 Deg/Step, 420227
250.00 26d 20h
Applied Materials Motor Di Board (sink) # 678667  Rev B
Applied Materials Motor Di Board (sink) # 678667 Rev B
100.00 10d 18h
Rheodyne O3-905260-50 Flow Controller 03-905260-50
Top-Rated Plus Seller Rheodyne O3-905260-50 Flow Controller 03-905260-50
275.00  24d 22h
2 Varian 05720001 Retaier Seal, 450407
2 Varian 05720001 Retaier Seal, 450407
150.00 16d 15h
Jinyoung Contech VX1500M-T, MONITOR-LCD, 5267-5100112, Touch Screen
Jinyoung Contech VX1500M-T, MONITOR-LCD, 5267-5100112, Touch Screen
480.00  26d 3h
AMAT 4060-00012 Manifold Assy Pneumatic 16 Station,
AMAT 4060-00012 Manifold Assy Pneumatic 16 Station,
1,000.00  13d 4h
129-0201// Amat Applied 0100-00429 Board
129-0201// Amat Applied 0100-00429 Board
450.00 29d 7h
AMAT 0150-02577, Harness, Cable Assembly, Laser Cover 2, Interlock. 413979
AMAT 0150-02577, Harness, Cable Assembly, Laser Cover 2, Interlock. 413979
150.00 2d 18h
EMERSON LPS203-M Power Supply
EMERSON LPS203-M Power Supply
75.00  11d 6h
Gas Lines With Valves And Meters
Gas Lines With Valves And Meters
950.00 14d 16h
AMAT 0020-82672 Clip, Fixed, Locating, 150 - 7 Deg, 417782
AMAT 0020-82672 Clip, Fixed, Locating, 150 - 7 Deg, 417782
175.00 2d 15h
Applied Ceramics 91-01293A Window Endpoint, DPS, MEC, Farmon ID 413260
Applied Ceramics 91-01293A Window Endpoint, DPS, MEC, Farmon ID 413260
150.00 15d 21h
AMAT 0150-10385 Cable Assembly, Fault/Warn. INTLK, Facility, 422693
AMAT 0150-10385 Cable Assembly, Fault/Warn. INTLK, Facility, 422693
150.00 28d 17h
Mycom PS466-01A, 4 Phase Stepping Motor, 6V, 1.2A, 1.8 Deg/Step, 420228
Mycom PS466-01A, 4 Phase Stepping Motor, 6V, 1.2A, 1.8 Deg/Step, 420228
250.00 26d 20h
Amat 0040-01547 Manifold Out Lh 200mm Producer Sacvd,
Amat 0040-01547 Manifold Out Lh 200mm Producer Sacvd,
1,120.00  10d 23h
156-0403// Amat Applied 4515-0004 Applied Matrials Components
156-0403// Amat Applied 4515-0004 Applied Matrials Components
300.00 26d 5h
AMAT 0140-03813 Chamber Interlock Harness, 409503
AMAT 0140-03813 Chamber Interlock Harness, 409503
550.00 19d 20h
125-0103// Amat Applied 0021-21798 Shield Dark Space
125-0103// Amat Applied 0021-21798 Shield Dark Space
1,000.00 4d 5h
Swagelok Adapter 1/8" Microfit to 1/8" Flare SS, 1 lot of 8
Swagelok Adapter 1/8" Microfit to 1/8" Flare SS, 1 lot of 8
250.00 15d 16h
Amat 0140-17322 Harness Assy 24vdc Distri ,
Amat 0140-17322 Harness Assy 24vdc Distri ,
500.00  23d 15h
AMAT 0150-94378 CFA X25C.P3/X2H.P1 Cable, Harness, 413995
AMAT 0150-94378 CFA X25C.P3/X2H.P1 Cable, Harness, 413995
155.00 2d 17h
Amat 0200-20059 Pa Insulator 5" Smrmf Pc ⅱ,
Amat 0200-20059 Pa Insulator 5" Smrmf Pc ⅱ,
900.00  12d 3h
AMAT 0620-02392, Cable Harness Assy 22AWG SGL-END LGH, 413725
AMAT 0620-02392, Cable Harness Assy 22AWG SGL-END LGH, 413725
140.00 26d 20h
Crossing Automation 3200-4454 PCB
Crossing Automation 3200-4454 PCB
140.00  1d 22h
Amat 0150-09607 Cable Assy,flow Switch, Cvd, Sst ,
Amat 0150-09607 Cable Assy,flow Switch, Cvd, Sst ,
249.00  12d 17h
176-0401// Amat Applied 0050-76319 Wb, Pressure Guage Adptr, Cajon
176-0401// Amat Applied 0050-76319 Wb, Pressure Guage Adptr, Cajon
600.00 28d 9h
Amat 0140-77432 Cbl,signal,nova & Mgsonic,
Amat 0140-77432 Cbl,signal,nova & Mgsonic,
500.00  14d 4h
116-0601// Amat Applied 0200-09022 Shield, 125mm
116-0601// Amat Applied 0200-09022 Shield, 125mm
450.00 2d 5h
403-0501// Riken Gd-k71d Keiki Gas Detector
403-0501// Riken Gd-k71d Keiki Gas Detector
400.00 5d 23h
322-0102// Amat Applied 0190-00530 Water Flow Switch W/ Meter,1.5-1-8 Lpm []
322-0102// Amat Applied 0190-00530 Water Flow Switch W/ Meter,1.5-1-8 Lpm []
240.00 1d 22h
344-0502// Amat Applied 0090-20225 Valve Assy, Htr Auto Shutoff,  
344-0502// Amat Applied 0090-20225 Valve Assy, Htr Auto Shutoff,
380.00 4d 23h
322-0201// Amat Applied 0190-35083 Water Flow Switch .50 Gpm
322-0201// Amat Applied 0190-35083 Water Flow Switch .50 Gpm
250.00 3d 5h
336-0402// Sekidenko 951-1100-00 1000f Controller []
336-0402// Sekidenko 951-1100-00 1000f Controller []
300.00 22d 4h
417-0501// Yaskawa Sgda-08as Servopack [/fast]
417-0501// Yaskawa Sgda-08as Servopack [/fast]
300.00 21d 0h
417-0501// Yaskawa Sgda-02bpy79 Servopack [/fast]
417-0501// Yaskawa Sgda-02bpy79 Servopack [/fast]
300.00 21d 0h
435-0302// Panasonic Msma042t1e2 Ac Servo Motor [/fast]
435-0302// Panasonic Msma042t1e2 Ac Servo Motor [/fast]
300.00 27d 4h
430-0403// Akrion Cm-5000-2000-gld (unclean) Motor []
430-0403// Akrion Cm-5000-2000-gld (unclean) Motor []
500.00 4d 4h
111-0502// Amat Applied 0270-76133 6" Hthu Heater Calibration Tool []
111-0502// Amat Applied 0270-76133 6" Hthu Heater Calibration Tool []
260.00 15d 5h
347-0201// Amat Applied 0020-24756 Bracket Sp Cass
347-0201// Amat Applied 0020-24756 Bracket Sp Cass
200.00 8d 0h
343-0401// Amat Applied 0270-00691 Applied Matrials Components
343-0401// Amat Applied 0270-00691 Applied Matrials Components
600.00 20d 3h
DGAC-TSUF3XXX/TIVLCT 2.430 THK 994553-B- Lot of 4
DGAC-TSUF3XXX/TIVLCT 2.430 THK 994553-B- Lot of 4
499.00  6d 18h
VERIFLO SQ140302PFSMFPM, Veriflo regulator , 1/4 inch , Male-Female
VERIFLO SQ140302PFSMFPM, Veriflo regulator , 1/4 inch , Male-Female
300.00  5d 4h
341-0403// Amat Applied 0020-29294 Panel, Flex Line Bracket
341-0403// Amat Applied 0020-29294 Panel, Flex Line Bracket
600.00 25d 22h
Tokyo Electron Limited MC-31151P / 1181-000569-13 REV.1C
Tokyo Electron Limited MC-31151P / 1181-000569-13 REV.1C
899.00  21d 7h
Amat 0020-77236 Ball Screw Clamp ,
Amat 0020-77236 Ball Screw Clamp ,
400.00  27d 2h
Anelva 849-4082 ILD-4013 Process Controller
Anelva 849-4082 ILD-4013 Process Controller
550.00 7d 16h
146-0601// Amat Applied 0620-01407 Applied Matrials Components
146-0601// Amat Applied 0620-01407 Applied Matrials Components
4,500.00 2d 0h
354-0301// Amat Applied 0010-21206 Magnet Assy Durasource 13 Jmw1
354-0301// Amat Applied 0010-21206 Magnet Assy Durasource 13 Jmw1
8,000.00 29d 7h
FLATMAN FB121CMILS0KU TFT Touch Panel 12.1" with Frontplate
FLATMAN FB121CMILS0KU TFT Touch Panel 12.1" with Frontplate
1,350.00  13d 19h
Tachi Fujikoshi FGS6FPC3000 Optical Fiber Cable
Tachi Fujikoshi FGS6FPC3000 Optical Fiber Cable
500.00 16d 15h
7053 Polyspede Adjustable Speed Drive System W/ Manual () Prd2-16
7053 Polyspede Adjustable Speed Drive System W/ Manual () Prd2-16
1,252.50  3d 2h
AMAT 0040-45100 Handle Bar Hinge, ECP Anneal 300mm, 419246
AMAT 0040-45100 Handle Bar Hinge, ECP Anneal 300mm, 419246
150.00 29d 19h
11162 General Micro Systems Pcb, V295 Spx, 0195-11149 90-2285-000
11162 General Micro Systems Pcb, V295 Spx, 0195-11149 90-2285-000
922.20  29d 3h
TGL-99-158-001 Tegal PCB Tegal Spare Parts
TGL-99-158-001 Tegal PCB Tegal Spare Parts
799.00 5d 14h
ABB Contactor, SFL491001R8111
ABB Contactor, SFL491001R8111
100.00  8d 9h
AMAT 1050-76499, Receptacle, B/D/F Spare Flow Sensor In, 415238
AMAT 1050-76499, Receptacle, B/D/F Spare Flow Sensor In, 415238
150.00 25d 16h
AKT CVD BOARD  P/N 0100-71261 REV 03 INTERLOCK BOARD AMAT Applied Materials
AKT CVD BOARD P/N 0100-71261 REV 03 INTERLOCK BOARD AMAT Applied Materials
499.90 7d 2h
Peninsula Control Panels 48657-00 Heater Controller ,
Peninsula Control Panels 48657-00 Heater Controller ,
1,900.00  14d 2h
AMAT 0150-02496 Cable Assy, MFC Extension, Anneal CH2, 417452
AMAT 0150-02496 Cable Assy, MFC Extension, Anneal CH2, 417452
145.00 26d 16h
Tokyo Electron Limited MC-31151S / 1181-000572-14 REV.1D
Tokyo Electron Limited MC-31151S / 1181-000572-14 REV.1D
799.00 21d 7h
Tokyo Electron Limited MC-31151M / 1181-000570-13 REV.1C
Tokyo Electron Limited MC-31151M / 1181-000570-13 REV.1C
899.00 21d 7h
Tokyo Electron Limited MC-31151T / 1181-000571-13 REV.1C
Tokyo Electron Limited MC-31151T / 1181-000571-13 REV.1C
899.00 21d 7h
QJM94-2306 Compact Air Products For AG Asscoiates Heatpulse With 7200-1114-03 C
QJM94-2306 Compact Air Products For AG Asscoiates Heatpulse With 7200-1114-03 C
1,200.00  8d 23h
Amat 0150-77202 Cable, Platen Encoder, Cntrlr Adapt 75ft,
Amat 0150-77202 Cable, Platen Encoder, Cntrlr Adapt 75ft,
700.00  26d 2h
156-0403// Amat Applied 1410-01131 Htr 208vac 2.5w/sq In For Amat
156-0403// Amat Applied 1410-01131 Htr 208vac 2.5w/sq In For Amat
150.00 26d 4h
Blue power TE1N-700-GR TD-13240 Power Transformer - Free US Shipping
Blue power TE1N-700-GR TD-13240 Power Transformer - Free US Shipping
399.99  10d 22h
130-0401// Amat Applied 0100-09099 (#1) (with Cover) 0020-09359 Interconn
130-0401// Amat Applied 0100-09099 (#1) (with Cover) 0020-09359 Interconn
250.00 2d 3h
AMAT 0021-22560 Rev.P2, I/O Block Connector Plate, 12754200. 418102
AMAT 0021-22560 Rev.P2, I/O Block Connector Plate, 12754200. 418102
150.00 12d 15h
111-0303// Amat Applied 0020-23029 Applied Matrials Components
111-0303// Amat Applied 0020-23029 Applied Matrials Components
300.00 26d 4h
325-0302// UNIT UFC-1660 (#7) Ar 1SLM MASS FLOW CONTROLLER [ASIS]
325-0302// UNIT UFC-1660 (#7) Ar 1SLM MASS FLOW CONTROLLER [ASIS]
300.00 25d 4h
AMAT 0150-02496 Cable Assy, MFC Extension, Anneal CH2, 417483
AMAT 0150-02496 Cable Assy, MFC Extension, Anneal CH2, 417483
145.00 26d 21h
343-0202// Amat Applied 0020-20512 Applied Matrials Components
343-0202// Amat Applied 0020-20512 Applied Matrials Components
250.00 15d 2h
KULICKE SOFFE 00775-9120-000 MAIN LOGIC qty 5 boards
KULICKE SOFFE 00775-9120-000 MAIN LOGIC qty 5 boards
850.00 4d 14h
Applied Materials Nitrogen Box 0010-E5310
Applied Materials Nitrogen Box 0010-E5310
250.00  25d 7h
Shimatec S1CH25WR50 with 30 day warranty
Shimatec S1CH25WR50 with 30 day warranty
495.00 12d 19h
Amat 0140-01852 Cable Chem Facility Inter Box Facility B,
Amat 0140-01852 Cable Chem Facility Inter Box Facility B,
400.00  21d 21h
Lam Research Drytek Disk Interface Board 2800343
Lam Research Drytek Disk Interface Board 2800343
199.99  26d 17h
346-0103// Amat Applied 0020-20524 Hub Left, Corner Cover  Lamp Bake Out
346-0103// Amat Applied 0020-20524 Hub Left, Corner Cover Lamp Bake Out
250.00 27d 5h
Fei Board 4022 192 70342
Fei Board 4022 192 70342
299.90 13d 2h
130-0301// Amat Applied 0100-00025 Pwb, 60v Power Supply
130-0301// Amat Applied 0100-00025 Pwb, 60v Power Supply
250.00 21d 0h
105-0501// Amat Applied 4060-00200 Components
105-0501// Amat Applied 4060-00200 Components
500.00 11d 3h
AMAT 0020-13874 Rev.1, Ball Stud, Upper, Gas Spring. 419515
AMAT 0020-13874 Rev.1, Ball Stud, Upper, Gas Spring. 419515
175.00 6d 21h
14121 Iei Pcb, 4-slot Backplane Bp-4s
14121 Iei Pcb, 4-slot Backplane Bp-4s
506.93  22d 3h
Lam Research 715-007598-005 CAM, INSR, PLGR,WAP CAM, THD, ANDZ, Metron
Lam Research 715-007598-005 CAM, INSR, PLGR,WAP CAM, THD, ANDZ, Metron
86.00  14d 3h
129-0203// Amat Applied 0100-00011 Pcb Assembly Chopper Drive I Asis
129-0203// Amat Applied 0100-00011 Pcb Assembly Chopper Drive I Asis
600.00 21d 5h
143-0303// Amat Applied 0150-55149 Applied Matrials Components
143-0303// Amat Applied 0150-55149 Applied Matrials Components
400.00 5h 28m
Applied Materials 3700-01220, O-ring, 100 at lot of 22
Applied Materials 3700-01220, O-ring, 100 at lot of 22
100.00  2d 6h
AMAT 0140-35210 Harness Assembly, Transfer Chamber, Temp SW, Cable, 414216
AMAT 0140-35210 Harness Assembly, Transfer Chamber, Temp SW, Cable, 414216
125.00 5d 14h
342-0101// Amat Applied 0020-26094 Cover, Dc Source Connector, 30
342-0101// Amat Applied 0020-26094 Cover, Dc Source Connector, 30
550.00 21d 0h
Shimaden Psh-1043-nf Heater Power Unit R2,
Shimaden Psh-1043-nf Heater Power Unit R2,
4,500.00  7d 3h
343-0501// Amat Applied 0020-34428 Mount, Bracket, Bulkhead, Dps
343-0501// Amat Applied 0020-34428 Mount, Bracket, Bulkhead, Dps
600.00 17d 2h
PD Burn-In System TESTECH 9400
PD Burn-In System TESTECH 9400
9,900.00  21d 5h
AMAT 0140-78284 Rev.P1, DCA 4304, Cable Cleaner EMO 1 200mm. 418379
AMAT 0140-78284 Rev.P1, DCA 4304, Cable Cleaner EMO 1 200mm. 418379
150.00 14d 21h
AMAT 0150-02496, Cable Assembly, MFC Extension, ANNEAL CH2. 416247
AMAT 0150-02496, Cable Assembly, MFC Extension, ANNEAL CH2. 416247
145.00 24d 21h
156-0503// Amat Applied 0224-40643 Applied Matrials Components
156-0503// Amat Applied 0224-40643 Applied Matrials Components
200.00 27d 2h
Machine Technology Inc Motor Control Board Iii 2217605501
Machine Technology Inc Motor Control Board Iii 2217605501
499.00 12d 18h
Fujihen Ftu-7000 ⅱ-2 Transformer,
Fujihen Ftu-7000 ⅱ-2 Transformer,
1,000.00  16d 4h
Applied Materials 0200-15362 Ring Focus 195MM Semi NTCH N/FLT 1" 60° Quartz DPS
Applied Materials 0200-15362 Ring Focus 195MM Semi NTCH N/FLT 1" 60° Quartz DPS
2,500.00  11d 18h
Amat 9010038w Orbot Laser Assy,
Amat 9010038w Orbot Laser Assy,
2,500.00  16d 0h
Nikon 4S018-749 STGMTRX4 PCB Circuit Board 4S013-371 4S013-370 4S013-369
Nikon 4S018-749 STGMTRX4 PCB Circuit Board 4S013-371 4S013-370 4S013-369
1,650.00  2d 13h
Amat 0020-29348 Cup Outer Feedthru Support Sst Recessed,
Amat 0020-29348 Cup Outer Feedthru Support Sst Recessed,
300.00  22d 2h
Applied Materials Address Board # 678692 Rev B
Applied Materials Address Board # 678692 Rev B
100.00 10d 18h
Amat 0140-16508 Harness Assy 208vac & Rtd ,
Amat 0140-16508 Harness Assy 208vac & Rtd ,
250.00  5d 4h
AMAT 0140-01094 Harness Assembly, SEB Remotes Breakout, Cable, 414009
AMAT 0140-01094 Harness Assembly, SEB Remotes Breakout, Cable, 414009
145.00 2d 18h
AMAT 0140-03952 Rev.001, Harness Assembly, Power, FAC Tray to PDO Tray. 417972
AMAT 0140-03952 Rev.001, Harness Assembly, Power, FAC Tray to PDO Tray. 417972
125.00 6d 17h
Applied Materials Automation Direct Programming Module 0730-00160
Applied Materials Automation Direct Programming Module 0730-00160
199.99  8d 16h
16384-01 Interlock Chamber Pcb
16384-01 Interlock Chamber Pcb
750.00  28d 18h
APPLIED MATERIALS 0020-05338 Lower Column Pyrometer Radiance Chamber
APPLIED MATERIALS 0020-05338 Lower Column Pyrometer Radiance Chamber
764.99  13d 20h
Airpax LMLC1-1RLS4-24314-36 x 4 LMLC1-1RLS4-24314-37 LMLC1-1RLS4-24314-38 Lot of
Airpax LMLC1-1RLS4-24314-36 x 4 LMLC1-1RLS4-24314-37 LMLC1-1RLS4-24314-38 Lot of
65.00 5d 14h
Varian M103019171 Electrode Plate, 421605
Varian M103019171 Electrode Plate, 421605
150.00 12d 15h
AMAT 0021-26848 Rev.E1, 11168200, Screen Holder Lower. 417915
AMAT 0021-26848 Rev.E1, 11168200, Screen Holder Lower. 417915
150.00 4d 22h
Nikon Nrm3300 Overlay System ,
Nikon Nrm3300 Overlay System ,
49,999.00  23d 1h
Amat 0020-19848 Golfclub, Ground -  Extrn ,
Amat 0020-19848 Golfclub, Ground -  Extrn ,
550.00  28d 2h
Brooks Delta Class SLA7950S1EGG MF3 15SLPM MFC
Brooks Delta Class SLA7950S1EGG MF3 15SLPM MFC
299.00  27d 8h
Celerity Unit UFC-8565 Digital UltraCleam Mekal Seal(NH3/700CC),
Celerity Unit UFC-8565 Digital UltraCleam Mekal Seal(NH3/700CC),
500.00  20d 3h
Celerity Unit UFC-8565 Digital UltraCleam Mekal Seal(NH3/700SCCM),
Celerity Unit UFC-8565 Digital UltraCleam Mekal Seal(NH3/700SCCM),
500.00  20d 3h
AST LSC-16 standard Board with 14 day warranty
AST LSC-16 standard Board with 14 day warranty
390.00 23d 14h
Hmi 77-604-031110 Image Compensation Unit  ,
Hmi 77-604-031110 Image Compensation Unit ,
600.00  9d 3h
Quad Systems 10-19282 /3 QSV Main Interface Board with Daughter Board 10-18814
Quad Systems 10-19282 /3 QSV Main Interface Board with Daughter Board 10-18814
555.00  5d 1h
177-0104// Parker Sq140e502pfsffpm Valve [/fast]
177-0104// Parker Sq140e502pfsffpm Valve [/fast]
130.00 13d 6h
177-0104// Parker Sq340-50-2p-fs8-mm Valve [/fast]
177-0104// Parker Sq340-50-2p-fs8-mm Valve [/fast]
130.00 13d 6h
441-0302// Oriental Motor 5rk40gn-a 5gn180ra Reversible Motor []
441-0302// Oriental Motor 5rk40gn-a 5gn180ra Reversible Motor []
130.00 28d 6h
438-0402// Oriental Motor Mf930-dc Motor []
438-0402// Oriental Motor Mf930-dc Motor []
100.00 6d 3h
 Veriflo Regulator 542279 11300466 11300408
 Veriflo Regulator 542279 11300466 11300408
78.74  4d 17h
AMAT 0150-02725, Harness, Cable Assembly, MFC, Anneal, SF3. 414451
AMAT 0150-02725, Harness, Cable Assembly, MFC, Anneal, SF3. 414451
195.00 6d 18h
Amat 3020-01196 Cyl Air 16mm Bore 10mm
Amat 3020-01196 Cyl Air 16mm Bore 10mm
145.00  3d 20h
Amat 3020-01193 Cyl Air 16mm Bore 10mm
Amat 3020-01193 Cyl Air 16mm Bore 10mm
145.00  3d 20h
2-817406-11 /    Driver Motor Servo Svah2-a /  Hitachi
2-817406-11 / Driver Motor Servo Svah2-a / Hitachi
3,170.62  22d 16h
2111a-20slat / Pwr Sup Argon 21-010240 Twave / Cyonics - Uniphase
2111a-20slat / Pwr Sup Argon 21-010240 Twave / Cyonics - Uniphase
3,115.00  16d 14h
Dmc 30515 Ext 24v / Servo Controller Dme 30515 / Danaher Motion
Dmc 30515 Ext 24v / Servo Controller Dme 30515 / Danaher Motion
2,250.00  19d 18h
739913-001 / Housing, Gas, 72-0455, 2321-6 / Aviza Technology
739913-001 / Housing, Gas, 72-0455, 2321-6 / Aviza Technology
2,500.30  27d 14h
Applied Ceramics 91-01293A, Window Endpoint DPS MEC. 418408
Applied Ceramics 91-01293A, Window Endpoint DPS MEC. 418408
150.00 15d 19h
Hitachi 573-7003 OPIF BOARD,
Hitachi 573-7003 OPIF BOARD,
500.00  19d 21h
Celerity Unit UFC-8565 Digital UltraCleam Mekal Seal(SIH4/1SLM),
Celerity Unit UFC-8565 Digital UltraCleam Mekal Seal(SIH4/1SLM),
500.00  20d 3h
Tel Tokyo Electron 3d81-000046-v2 Tyb61l-1/elif
Tel Tokyo Electron 3d81-000046-v2 Tyb61l-1/elif
1,500.00  5d 16h
Tel 1810-328745-11 Block Pt-sensor -1
Tel 1810-328745-11 Block Pt-sensor -1
699.00  23d 2h
Panduit F60 F-60 3 Inch Zip Tie Lot of 960 Count
Panduit F60 F-60 3 Inch Zip Tie Lot of 960 Count
75.99 12d 21h
AMAT 0040-02674 Cover, Cell Connector, ECP Cell, 419065
AMAT 0040-02674 Cover, Cell Connector, ECP Cell, 419065
85.00 25d 15h
125-0501// Amat Applied 0090-09258 0020-39834 Esc Assy 195mm Flat Simple Asis
125-0501// Amat Applied 0090-09258 0020-39834 Esc Assy 195mm Flat Simple Asis
800.00 17d 23h
Iwaki Pfc-250n-15 Pfc Unit / Pump Inverter Power On Tested
Iwaki Pfc-250n-15 Pfc Unit / Pump Inverter Power On Tested
799.99 12d 12h
Amat 0190-09267, Magn Control Cable 55 Proof Asp
Amat 0190-09267, Magn Control Cable 55 Proof Asp
300.00  5d 21h
Amat 0190-13295 Xfmr Assy, 2kva Pri 480/440/415/400/380v ,
Amat 0190-13295 Xfmr Assy, 2kva Pri 480/440/415/400/380v ,
1,350.00  12d 1h
Philips 4022 332 8550 line input  card
Philips 4022 332 8550 line input card
195.50  6d 13h
108-0601// Amat Applied 0010-20768 (#3) Applied Matrials Components
108-0601// Amat Applied 0010-20768 (#3) Applied Matrials Components
5,000.00 17d 23h
134-0503// Amat Applied 0020-21686 Disk Heater See 2nd Source
134-0503// Amat Applied 0020-21686 Disk Heater See 2nd Source
400.00 28d 9h
Patlite WME-302AFB-RVG, Type : Rating 1 Amp : 0.3A Volt : 24V AC/DC
Patlite WME-302AFB-RVG, Type : Rating 1 Amp : 0.3A Volt : 24V AC/DC
385.00  24d 3h
Screen APA-11 (ANC) 2342562 card
Screen APA-11 (ANC) 2342562 card
299.00 17d 4h
Fei Board 4022 192 70376
Fei Board 4022 192 70376
499.90 13d 2h
Philips Analytical Isolated Interlocks Board 4007 022 90443
Philips Analytical Isolated Interlocks Board 4007 022 90443
495.00  13d 11h
347-0201// Amat Applied 0020-23981 Side Receiver
347-0201// Amat Applied 0020-23981 Side Receiver
250.00 8d 0h
2105-220254-12(214) Cap Temp Check  ROHM Device
2105-220254-12(214) Cap Temp Check ROHM Device
950.00 17d 17h
Watkins Johnson 905536-001 PWB Status/Switch Interface
Watkins Johnson 905536-001 PWB Status/Switch Interface
26.99  28d 13h
PCI-DA12-4 Rev B PCI-DA1206 with 30 day warranty
PCI-DA12-4 Rev B PCI-DA1206 with 30 day warranty
495.00 27d 19h
Ibt Industrial Solutions O-ring, 42 Pack -
Ibt Industrial Solutions O-ring, 42 Pack -
19.99  24d 15h
0020-26075; Sheild Upper 17.5”
0020-26075; Sheild Upper 17.5”
10,750.00  1d 22h
AMAT 0240-03510, Kit, 2 Leds for SMIF fabs, 413958
AMAT 0240-03510, Kit, 2 Leds for SMIF fabs, 413958
325.00 2d 16h
Tokyo Electronic Industry TE6036A7 Touch Screen Monitor LCD1012A  Working
Tokyo Electronic Industry TE6036A7 Touch Screen Monitor LCD1012A  Working
599.95  20d 9h
Lot of 740 OSRAM LS5360-JM 5mm LED
Lot of 740 OSRAM LS5360-JM 5mm LED
259.00 9d 19h
ORIENTAL MOTOR ASD10AK     Close Loop Driver,
ORIENTAL MOTOR ASD10AK Close Loop Driver,
250.00  18d 22h
129-0202// Radisys 60-0368-00 61-0511-30 Board
129-0202// Radisys 60-0368-00 61-0511-30 Board
300.00 21d 3h
Keyence N-400, Multi-Drop Link Unit
Keyence N-400, Multi-Drop Link Unit
120.00  12d 0h
Applied Materials AMAT Mott Flow Restirctor, 3510-00152
Applied Materials AMAT Mott Flow Restirctor, 3510-00152
165.00  19d 8h
Tegal 50574-01 Tegal CM1104-00402 CM1104-00403? Tegal PCB
Tegal 50574-01 Tegal CM1104-00402 CM1104-00403? Tegal PCB
499.00 28d 22h
 TC Lufran Probe 1/4 Sheath Part Number RTD-10-SP AMAT DL Controls
 TC Lufran Probe 1/4 Sheath Part Number RTD-10-SP AMAT DL Controls
59.99 29d 3h
Amat 0140-00726 H/a Lamp Integration Ltesc,
Amat 0140-00726 H/a Lamp Integration Ltesc,
600.00  18d 2h
325-0302// UNIT UFC-1660 (#8) BCl3 100SCCM MASS FLOW CONTROLLER [ASIS]
325-0302// UNIT UFC-1660 (#8) BCl3 100SCCM MASS FLOW CONTROLLER [ASIS]
300.00 25d 4h
AST GPL1-ED40-24 Power Supply Module Board with 14 day warranty
AST GPL1-ED40-24 Power Supply Module Board with 14 day warranty
390.00 23d 14h
Nikon Iu-iop2  4s064-413-1 Controller Nsr Working
Nikon Iu-iop2 4s064-413-1 Controller Nsr Working
710.00 17d 5h
AMAT 0150-04489 Rev.002, Cable Assembly, VAC/PRESS Sensor, INTCON. 415264
AMAT 0150-04489 Rev.002, Cable Assembly, VAC/PRESS Sensor, INTCON. 415264
125.00 25d 17h
AMAT 0020-40685 Rev.A, Cover, Insulating. 418072
AMAT 0020-40685 Rev.A, Cover, Insulating. 418072
175.00 7d 16h
Amat 0020-20937 Clamping Ring 8al Major Flat ,
Amat 0020-20937 Clamping Ring 8al Major Flat ,
900.00  10d 23h
AMAT 0150-38444 Cable Assy, Chamber Ethernet Interconnect, Farmon ID 413100
AMAT 0150-38444 Cable Assy, Chamber Ethernet Interconnect, Farmon ID 413100
145.00 10d 22h
326-0401// Amat Applied 0150-09069 Assy Ribbon Cabl, Mfc Helium/etch
326-0401// Amat Applied 0150-09069 Assy Ribbon Cabl, Mfc Helium/etch
40.00 16d 3h
Lam Research Amn Mkiii Encoder Buffer Control Bd 027355
Lam Research Amn Mkiii Encoder Buffer Control Bd 027355
249.99  26d 17h
S&a 0000416-03
S&a 0000416-03
249.00 23d 0h
04-709111-01, Cable
04-709111-01, Cable
350.00  21d 5h
04-708834-01, Cable
04-708834-01, Cable
350.00  21d 5h
Loughborough Sound Images PCC31V4 LK2796-01320 card with 14 day warranty
Loughborough Sound Images PCC31V4 LK2796-01320 card with 14 day warranty
350.00 7d 18h
Patlite MES-A & MES-02A Signal Towers 5.5” Lot of 2
Patlite MES-A & MES-02A Signal Towers 5.5” Lot of 2
75.00 18d 15h
Amat 3030-01882 Mfc 1660 5slm N2 1/4vcr Mtl Nc Hov Cde ,
Amat 3030-01882 Mfc 1660 5slm N2 1/4vcr Mtl Nc Hov Cde ,
449.00  6d 4h
AMAT 0140-36610 Harness Control Module Omega II, 417873
AMAT 0140-36610 Harness Control Module Omega II, 417873
145.00 4d 22h
Fp-uddf-71-9.52-2-nl-pa#a
Fp-uddf-71-9.52-2-nl-pa#a
242.70  8d 0h
Fpr-uddf-71-9.52-nl-pa#a
Fpr-uddf-71-9.52-nl-pa#a
261.00  8d 0h
Fpr-uddf-71-9.52-2-nl-pa#a
Fpr-uddf-71-9.52-2-nl-pa#a
242.70  8d 0h
Fpr-nd-71-9.52-2
Fpr-nd-71-9.52-2
179.20  8d 3h
Fpr-71-9.52-2-pa-br#a
Fpr-71-9.52-2-pa-br#a
220.70  8d 3h
Fpr-71-9.52-2-br#a
Fpr-71-9.52-2-br#a
202.50  8d 3h
Fundl-71g-9.52-316lp-r
Fundl-71g-9.52-316lp-r
254.30  14d 3h
Fp-uddf-71-9.52-nl-pa#a
Fp-uddf-71-9.52-nl-pa#a
216.90  21d 4h
Micro-aide Pcb 80-0023 Rev B
Micro-aide Pcb 80-0023 Rev B
90.00  5d 21h
AMAT 0021-13802 Seal Insert Gripper Assembly Right Scrubbers, 419599
AMAT 0021-13802 Seal Insert Gripper Assembly Right Scrubbers, 419599
150.00 6d 20h
Spring Door Bar Vac018168-01
Spring Door Bar Vac018168-01
88.00  13d 21h
341-0403// Amat Applied 0020-20430 Columator 8" 2nd Source
341-0403// Amat Applied 0020-20430 Columator 8" 2nd Source
500.00 25d 22h
341-0101// Amat Applied 0040-35496 Plate, Rf Box
341-0101// Amat Applied 0040-35496 Plate, Rf Box
450.00 2d 0h
Airproducts Gasgaurd Ap10
Airproducts Gasgaurd Ap10
5,999.00  10d 22h
Sopra Compt CI111700B Board with 14 day warranty
Sopra Compt CI111700B Board with 14 day warranty
950.00 28d 19h
320-0302// Amat Applied 0010-21699 Assy, Tc Isolation Amplifier
320-0302// Amat Applied 0010-21699 Assy, Tc Isolation Amplifier
1,000.00 23d 7h
AMAT 0620-01229 Cable Assy 6FT 7COND D89 Male to DB25 Female, Harness, 329123
AMAT 0620-01229 Cable Assy 6FT 7COND D89 Male to DB25 Female, Harness, 329123
125.00 12d 21h
Amat 0250-76600 Calibration Procedure, Otf Centerfinder. 407289
Amat 0250-76600 Calibration Procedure, Otf Centerfinder. 407289
150.00 2d 16h
Microscope objective PL 3.2x/0.06
Microscope objective PL 3.2x/0.06
250.00  5d 15h
Tel Tokyo Electronic Sv03010 Seal Unit Msp-08-0041 406705
Tel Tokyo Electronic Sv03010 Seal Unit Msp-08-0041 406705
150.00 14d 16h
176-0103// Amat Applied 0050-38454 Applied Matrials Components [asis]
176-0103// Amat Applied 0050-38454 Applied Matrials Components [asis]
200.00 11d 22h
344-0302// Amat Applied 0021-90211 Mount Pillar
344-0302// Amat Applied 0021-90211 Mount Pillar
240.00 22d 5h
AMAT 0190-07450 REV.005 Digital I/O  PCB
AMAT 0190-07450 REV.005 Digital I/O PCB
499.00 21d 8h
Datel DVME-614-A2 ASSY D-17742 5C with 30 day warranty
Datel DVME-614-A2 ASSY D-17742 5C with 30 day warranty
1,500.00 16d 19h
Alphagaz 2500 Regulator, GR92608, 410289
Alphagaz 2500 Regulator, GR92608, 410289
150.00 13d 17h
Tescom 50 PSIG Max Outlet --  --
Tescom 50 PSIG Max Outlet --  --
50.00  13d 17h
Tegal PCB 98-044-002 / 99-044-002
Tegal PCB 98-044-002 / 99-044-002
499.00 28d 19h
421-0202// Sanyo Denki 103g715-1112 Stepping Motor [/fast]
421-0202// Sanyo Denki 103g715-1112 Stepping Motor [/fast]
100.00 21d 22h
421-0201// Sanyo Denki 103-7501-7020 Stepping Motor [/fast]
421-0201// Sanyo Denki 103-7501-7020 Stepping Motor [/fast]
100.00 21d 22h
445-0102// Oriental Motor Pk264a1-sg3.6 Stepping Motor []
445-0102// Oriental Motor Pk264a1-sg3.6 Stepping Motor []
100.00 29d 3h
444-0101// Oriental Motor Ph265l-04 Vexta Stepping Motor []
444-0101// Oriental Motor Ph265l-04 Vexta Stepping Motor []
100.00 7d 2h
141-0301// Amat Applied 0225-34340 Harness Assy Edwards Pump Int
141-0301// Amat Applied 0225-34340 Harness Assy Edwards Pump Int
400.00 23d 5h
Assy, Pcb Interface Processor Dist. Amat 0100-13024  Equivalent *usa Seller*
Assy, Pcb Interface Processor Dist. Amat 0100-13024 Equivalent *usa Seller*
1,808.00 8d 21h
Pcb Assy, Mainframe Interlocks Amat 0100-35053 Equivalent *usa Seller*
Pcb Assy, Mainframe Interlocks Amat 0100-35053 Equivalent *usa Seller*
1,830.60 9d 21h
IDK VAC-2000ES RGB Video Distribution Amplifier ,
IDK VAC-2000ES RGB Video Distribution Amplifier ,
600.00  2d 3h
Watkins-Johnson 976259-001 Rev.A, Status Alarm Panel. 413021
Watkins-Johnson 976259-001 Rev.A, Status Alarm Panel. 413021
150.00 10d 16h
Mykrolis EGDZHPX0F ETCHGARD HPX Disposable Chemical Filter
Mykrolis EGDZHPX0F ETCHGARD HPX Disposable Chemical Filter
999.00  23d 1h
Philips Analytical 4007 022 90042 CPU Board
Philips Analytical 4007 022 90042 CPU Board
740.50  2d 13h
AMAT 0010-00682, NDM-B-480, SCR DBL Mesh Turbo Por, Screen, Assy. 412612
AMAT 0010-00682, NDM-B-480, SCR DBL Mesh Turbo Por, Screen, Assy. 412612
150.00 25d 20h
Tol O Matic BC220 Neumatic Rodless Linear Actuator
Tol O Matic BC220 Neumatic Rodless Linear Actuator
150.00  6d 15h
Peba-000084 / Ad33-0702-022 Signal Converter
Peba-000084 / Ad33-0702-022 Signal Converter
349.00  8d 3h
AMAT 0020-84423 Spreader Plate, 418843
AMAT 0020-84423 Spreader Plate, 418843
99.00 25d 15h
Credence 389-4488-01 389448801 Board
Credence 389-4488-01 389448801 Board
699.30  5d 2h
326-0401// Amat Applied 0140-01257 Harness Assy, Purge Heater 300
326-0401// Amat Applied 0140-01257 Harness Assy, Purge Heater 300
260.00 14d 23h
CUI Inc, 41-12-500, Class 2 Transformer, 452132
CUI Inc, 41-12-500, Class 2 Transformer, 452132
10.00 11d 20h
Aurora Biosciences 00006911 6K Breakout Board PCB Rev. A  Working
Aurora Biosciences 00006911 6K Breakout Board PCB Rev. A  Working
101.19  3d 14h
Aurora Biosciences 00006911 6K Breakout Board PCB Rev. C  Working
Aurora Biosciences 00006911 6K Breakout Board PCB Rev. C  Working
101.19  3d 14h
National Instruments SCH-1307 32-Channel Isothermal Terminal Block, 450481
National Instruments SCH-1307 32-Channel Isothermal Terminal Block, 450481
40.00 29d 20h
ADE 3800 Non-Contact Capactive Dimensional Gauging Module, 423559
ADE 3800 Non-Contact Capactive Dimensional Gauging Module, 423559
95.00 7d 16h
180-0601// Fotec Lct100 Link Confidence Tester []
180-0601// Fotec Lct100 Link Confidence Tester []
100.00 1d 22h
AMAT Applied Materials 0040-09272 BRACKET, WAFER LIFT
AMAT Applied Materials 0040-09272 BRACKET, WAFER LIFT
129.00  25d 12h
Screen IA-3 23430386-51
Screen IA-3 23430386-51
399.00 15d 3h
AMAT 3300-03613 FTG HOSE conn 1/2h x 1/2MNPT SST ,
AMAT 3300-03613 FTG HOSE conn 1/2h x 1/2MNPT SST ,
300.00  12d 21h
Applied Materials 0200-01237 PIN CERAMIC 120 FIXED
Applied Materials 0200-01237 PIN CERAMIC 120 FIXED
168.00  18d 10h
121-0201// Amat Applied 0021-21811 Shield, Dark Space Cover
121-0201// Amat Applied 0021-21811 Shield, Dark Space Cover
700.00 14d 23h
DeviceNet Scanner Amat 0190-88680 REV.005 SST-DNP-CPC-3U-2-NC
DeviceNet Scanner Amat 0190-88680 REV.005 SST-DNP-CPC-3U-2-NC
599.00  9h 41m
130-0203// Amat Applied 0100-09271 Bd Assy 486 Rs 232 Distribution
130-0203// Amat Applied 0100-09271 Bd Assy 486 Rs 232 Distribution
500.00 2d 3h
Applied Ceramics 91-00535A Windows Recessed Endpoint, 328956
Applied Ceramics 91-00535A Windows Recessed Endpoint, 328956
150.00 6d 14h
Omron G9S-301 Safety Relay Unit  Working
Omron G9S-301 Safety Relay Unit  Working
159.12  23d 14h
Amat 3030-01950 Mfc 1660 100sccm O2 1/4vcr Mtl Nc Hov,
Amat 3030-01950 Mfc 1660 100sccm O2 1/4vcr Mtl Nc Hov,
449.00  6d 4h
Varian 113135001 Sensor Assembly, Reflect, 421630
Varian 113135001 Sensor Assembly, Reflect, 421630
125.00 12d 18h
Amat 0150-19831 Cable Assy, Smif Indexr B,
Amat 0150-19831 Cable Assy, Smif Indexr B,
250.00  7d 10h
Varian 102934001 Aperture, 2nd, Graphite, 102934001-5, 420202
Varian 102934001 Aperture, 2nd, Graphite, 102934001-5, 420202
150.00 17h 50m
AMAT 0200-03015, Threaded Nozzle, All Ceramic, 2.55L, 98%. 419352
AMAT 0200-03015, Threaded Nozzle, All Ceramic, 2.55L, 98%. 419352
150.00 2d 21h
AMAT 0020-06486, 2-12939900-174 Rev.C, Clamp, Chamber. 412598
AMAT 0020-06486, 2-12939900-174 Rev.C, Clamp, Chamber. 412598
150.00 25d 15h
Micromanipulator 6200
Micromanipulator 6200
13,062.50  27d 16h
Applied Materials 0150-39503 Cable Heater Raychem Parallel Self Regulating Cable
Applied Materials 0150-39503 Cable Heater Raychem Parallel Self Regulating Cable
135.00  11d 13h
Applied Materials 0150-13066 Cable Heater Raychem Parallel Self Regulating Cable
Applied Materials 0150-13066 Cable Heater Raychem Parallel Self Regulating Cable
135.00  24d 13h
Amat 0200-02542 Ring Insulator Stepped Producer Etch,
Amat 0200-02542 Ring Insulator Stepped Producer Etch,
1,100.00  14d 3h
Parker Compumotor PCA 71-006085-02 REV K
Parker Compumotor PCA 71-006085-02 REV K
999.00  17d 8h
Dynamic Automated Systems,part,D.A.S INC  DAS P/N710497-01 REV-D  3652
Dynamic Automated Systems,part,D.A.S INC DAS P/N710497-01 REV-D 3652
6,999.00  28d 18h
323-0301// Amat Applied 0020-75600 Insulator, 300mm Preclean Shaft Top
323-0301// Amat Applied 0020-75600 Insulator, 300mm Preclean Shaft Top
500.00 21d 1h
SCI Engineered Materials Nickel Ni Target 99.98% Pure 4" Dia x 0.25" Thick
SCI Engineered Materials Nickel Ni Target 99.98% Pure 4" Dia x 0.25" Thick
188.00  2d 8h
323-0302// Amat Applied 0040-20055 Feed Thru Adj Ar
323-0302// Amat Applied 0040-20055 Feed Thru Adj Ar
280.00 23h 56m
341-0102// Amat Applied 0020-24141 Shield Heater Reflow Chamber
341-0102// Amat Applied 0020-24141 Shield Heater Reflow Chamber
300.00 2d 0h
Amat 0270-18001 Press, Locating Pin, Lot 2,
Amat 0270-18001 Press, Locating Pin, Lot 2,
300.00  26d 1h
Applied Materials 9150-04369 F/o, T1, 5200, Px43f.b.rx/ Px80e.t Amat *un*
Applied Materials 9150-04369 F/o, T1, 5200, Px43f.b.rx/ Px80e.t Amat *un*
99.00  25d 12h
Mass Flow Controller Intelliflow II, programmable with software, 100 sccm SiF4
Mass Flow Controller Intelliflow II, programmable with software, 100 sccm SiF4
160.00  16d 0h
Applied Ceramics 91-01293 Window Endpoint DPS MEC, 419077
Applied Ceramics 91-01293 Window Endpoint DPS MEC, 419077
150.00 25d 18h
Applied Materials 1280127 Rev B 1280121 Rev B Mux
Applied Materials 1280127 Rev B 1280121 Rev B Mux
350.00 10d 1h
344-0301// Amat Applied 0050-70063 Gas Line, Short One Piece
344-0301// Amat Applied 0050-70063 Gas Line, Short One Piece
400.00 22d 4h
Fujikin FCS-4WS-F20 Mass Flow Controller w/ Valves .39~59 MPa N.C. O2 Gas
Top-Rated Plus Seller Fujikin FCS-4WS-F20 Mass Flow Controller w/ Valves .39~59 MPa N.C. O2 Gas
196.00  6d 17h
Amat 0040-83331 Liner, Cathode, Direct-cooled, Baffle, E ,  Cleaned
Amat 0040-83331 Liner, Cathode, Direct-cooled, Baffle, E ,  Cleaned
6,500.00  17d 6h
AMAT 0150-01299 Cable Assembly, Source Conditioning Interface, 420919
AMAT 0150-01299 Cable Assembly, Source Conditioning Interface, 420919
125.00 27d 21h
Dalsa Bo-l1-04k25-00e Controller ,
Dalsa Bo-l1-04k25-00e Controller ,
799.00  8d 23h
156-0202// Amat Applied 1410-00016 Apm215014 Htr Jkt O2 Line
156-0202// Amat Applied 1410-00016 Apm215014 Htr Jkt O2 Line
200.00 26d 3h
104-0401// Amat Applied 0650-01036 Cmptr Country Kit Kybd+mouse+p
104-0401// Amat Applied 0650-01036 Cmptr Country Kit Kybd+mouse+p
270.00 11d 23h
125-0501// Amat Applied 0200-09572 Collar, Si, Ox/mlr/nit, 200mm,
125-0501// Amat Applied 0200-09572 Collar, Si, Ox/mlr/nit, 200mm,
540.00 5d 23h
352-0402// Amat Applied 0020-10157 Manifold Water
352-0402// Amat Applied 0020-10157 Manifold Water
130.00 24d 5h
340-0203// Leica 11307072067/bz 12v 100w [asis]
340-0203// Leica 11307072067/bz 12v 100w [asis]
300.00 23d 5h
325-0102// Amat Applied 3030-05391 Mfc1660 200sccm N2 1/4vcr M/k-f Nc [asis]
325-0102// Amat Applied 3030-05391 Mfc1660 200sccm N2 1/4vcr M/k-f Nc [asis]
300.00 24d 3h
325-0103// Amat Applied 3030-07380 Mfc D980 1slm N2s 1/4vcr Mtl N/c [asis]
325-0103// Amat Applied 3030-07380 Mfc D980 1slm N2s 1/4vcr Mtl N/c [asis]
300.00 24d 3h
325-0202// AMAT APPLIED 0225-33925 wUNIT MFC1160A O2 20SCCM [ASIS]
325-0202// AMAT APPLIED 0225-33925 wUNIT MFC1160A O2 20SCCM [ASIS]
300.00 24d 6h
325-0202// Amat Applied 0226-48732 Unit Ufc-1100a N2 5slm [asis]
325-0202// Amat Applied 0226-48732 Unit Ufc-1100a N2 5slm [asis]
300.00 24d 6h
325-0401// Aera Fc-7810cd C3h9n 2slm [asis]
325-0401// Aera Fc-7810cd C3h9n 2slm [asis]
300.00 26d 4h
403-0301// Mecapion Apm-hc05hc(c7)h (broken) Motor-4
403-0301// Mecapion Apm-hc05hc(c7)h (broken) Motor-4
200.00 1d 2h
111-0502// Amat Applied 0020-20292 Block Gas Spring Fixture []
111-0502// Amat Applied 0020-20292 Block Gas Spring Fixture []
220.00 15d 3h
126-0102// Smc Cdrb1bw80-180s Rotary Actuator []
126-0102// Smc Cdrb1bw80-180s Rotary Actuator []
150.00 2h 5m
341-0402// Amat Applied 0020-21685 Plate Blankoff Degas/ Orienter 2nd Source
341-0402// Amat Applied 0020-21685 Plate Blankoff Degas/ Orienter 2nd Source
300.00 3d 0h
407-0401// Amat Applied 260ps-3b Mks Power Supply
407-0401// Amat Applied 260ps-3b Mks Power Supply
500.00 24d 22h
405-0102// Rockwell Automation Csmt-06bb1abt3 (unclean) Motor [/fast]
405-0102// Rockwell Automation Csmt-06bb1abt3 (unclean) Motor [/fast]
250.00 6d 3h
422-0302// Parkem Phr70c4-44s Motor [/fast]
422-0302// Parkem Phr70c4-44s Motor [/fast]
300.00 22d 22h
429-0102// Electro-craft E586 0586-00-022 (unclean) Motor [/fast]
429-0102// Electro-craft E586 0586-00-022 (unclean) Motor [/fast]
200.00 23d 4h
439-0502// Oriental Motor Kxpm460gd-ab 4gd15k (short Cable) Ac Motor []
439-0502// Oriental Motor Kxpm460gd-ab 4gd15k (short Cable) Ac Motor []
200.00 27d 22h
441-0301// Oriental Motor 5tk20cgn-a 5gn6k (cut Cable) Torque Motor []
441-0301// Oriental Motor 5tk20cgn-a 5gn6k (cut Cable) Torque Motor []
200.00 28d 4h
443-0302// Oriental Motor Blhm450kc-gfs Gfs4g10 Gear Motor []
443-0302// Oriental Motor Blhm450kc-gfs Gfs4g10 Gear Motor []
200.00 29d 0h
428-0102// Electroid Bfsb-15-4-24v Power Off Brake []
428-0102// Electroid Bfsb-15-4-24v Power Off Brake []
200.00 4h 55m
430-0303// Cmc Mh2105-057e E2-500-250-i Pm Servo Motor []
430-0303// Cmc Mh2105-057e E2-500-250-i Pm Servo Motor []
200.00 4d 4h
430-0301// Bodine 32x5bepm-w2 (cut Cable) Motor []
430-0301// Bodine 32x5bepm-w2 (cut Cable) Motor []
200.00 4d 4h
434-0102// Panasonic Asm500b10k102 Motor []
434-0102// Panasonic Asm500b10k102 Motor []
500.00 4d 6h
434-0301// Panasonic M9mc90gb4y M9gc75b (cut Cable) Motor []
434-0301// Panasonic M9mc90gb4y M9gc75b (cut Cable) Motor []
300.00 4d 23h
438-0101// Oriental Motor Drl60pa4-05n Motor []
438-0101// Oriental Motor Drl60pa4-05n Motor []
200.00 6d 3h
440-0401// Oriental Motor Mbm315-412 3gn30k Motor []
440-0401// Oriental Motor Mbm315-412 3gn30k Motor []
200.00 6d 5h
440-0401// Oriental Motor Mbm315-412 3gn5k Control Motor []
440-0401// Oriental Motor Mbm315-412 3gn5k Control Motor []
200.00 6d 5h
442-0401// Oriental Motor Asm66ma Motor []
442-0401// Oriental Motor Asm66ma Motor []
200.00 6d 23h
442-0402// Oriental Motor Asm69mc (cut Cable) Motor []
442-0402// Oriental Motor Asm69mc (cut Cable) Motor []
200.00 7d 0h
421-0401// Metronix Apd-vs05n Ac Servo Drive []
421-0401// Metronix Apd-vs05n Ac Servo Drive []
250.00 11d 3h
Amat 0820-90087 Down Tube 3/8" Mnpt 2.9" Es-sp-117 ,
Amat 0820-90087 Down Tube 3/8" Mnpt 2.9" Es-sp-117 ,
500.00  5d 5h
Omron 3gb8b3-m0000 Board
Omron 3gb8b3-m0000 Board
799.00  4d 8h
Hitachi ZVL808-0 PCP,
Hitachi ZVL808-0 PCP,
350.00  19d 2h
156-0101// Amat Applied 1410-01141 Htr   208vac 2.5w/sq In For Ht
156-0101// Amat Applied 1410-01141 Htr 208vac 2.5w/sq In For Ht
600.00 26d 0h
Applied Ceramics 91-00535a Window Recessed Endpoint Samsung 402785
Applied Ceramics 91-00535a Window Recessed Endpoint Samsung 402785
150.00 7d 19h
Amat 0021-25471 Damped Gimbal, 200mm 5 Zone Profiler ,
Amat 0021-25471 Damped Gimbal, 200mm 5 Zone Profiler ,
350.00  12d 3h
4022.437.02811 Asml
4022.437.02811 Asml
150.00 29d 5h
129-0201// Amat Applied 0190-12159 Applied Matrials Components
129-0201// Amat Applied 0190-12159 Applied Matrials Components
400.00 21d 2h
Amat 0021-16083 Baffle, 300mm ,
Amat 0021-16083 Baffle, 300mm ,
900.00  17d 12h
Amat 3760-00090 Slide, Left Hand, Liquid Delivery Module ,
Amat 3760-00090 Slide, Left Hand, Liquid Delivery Module ,
900.00  24d 4h
Brooks 6256S 6256SCZWCMAC Mass Flow Controller HE 10SLPM,
Brooks 6256S 6256SCZWCMAC Mass Flow Controller HE 10SLPM,
350.00  19d 20h
AMAT 0020-80589 Location Block 413890
AMAT 0020-80589 Location Block 413890
150.00 21h 34m
156-0102// Amat Applied 1410-01130 Htr 208vac 2.5w/sq In For Ht T
156-0102// Amat Applied 1410-01130 Htr 208vac 2.5w/sq In For Ht T
600.00 26d 0h
Robot Effector Robot ARM
Robot Effector Robot ARM
2,700.00  9d 13h
8803 Tokyo Electron Pcb Sat-mctr Bb81-000005-12
8803 Tokyo Electron Pcb Sat-mctr Bb81-000005-12
349.52  17d 8h
MKS, HPS Heater Jacket #5,714, 738 9615-1262 REV E
MKS, HPS Heater Jacket #5,714, 738 9615-1262 REV E
140.00  23d 4h
130-0402// AMAT APPLIED 0660-01081 wBACK PLANE AT 6 SLOTS
130-0402// AMAT APPLIED 0660-01081 wBACK PLANE AT 6 SLOTS
220.00 29d 8h
Brooks Sla7950s1egg1m1e1 N2 25slpm Mass Flow Controller,
Brooks Sla7950s1egg1m1e1 N2 25slpm Mass Flow Controller,
300.00  7d 23h
Pcb / Card / 12150533a 12159513c Free Ship
Pcb / Card / 12150533a 12159513c Free Ship
550.00 4d 6h
129-0203// Amat Applied 0100-00014 Pwb Opto Detector Asis
129-0203// Amat Applied 0100-00014 Pwb Opto Detector Asis
400.00 21d 5h
AMAT 0150-02905, Harness, Reset Signal Cable, 413977
AMAT 0150-02905, Harness, Reset Signal Cable, 413977
150.00 2d 16h
Stainless Tube Stainless Pipe
Stainless Tube Stainless Pipe
300.00  11d 22h
2105-220251-12(214) CAP Quartz ROHM Device
2105-220251-12(214) CAP Quartz ROHM Device
950.00 17d 17h
Amat 0200-02873 200mm Gdp Palte, Working
Amat 0200-02873 200mm Gdp Palte, Working
600.00  19d 3h
Tokyo Electron 3881-020017-11 Tel Formula Ttlb17-11 Bus_cnn_c2 Pcb
Tokyo Electron 3881-020017-11 Tel Formula Ttlb17-11 Bus_cnn_c2 Pcb
400.00  1d 0h
Barnant 900-1555 Pump, Working
Barnant 900-1555 Pump, Working
300.00  27d 23h
Applied Materials Ami 0820-01040 Det Calibration Kit H2 0.8%, Regulartor Amat
Applied Materials Ami 0820-01040 Det Calibration Kit H2 0.8%, Regulartor Amat
169.00  9d 6h
Tylan 2900, Model Fm-3900mep, 500 Sccm N2
Tylan 2900, Model Fm-3900mep, 500 Sccm N2
85.00 18d 15h
TEGAL 901E TEGAL 903E Tegal WAFER Transfer CW1078
TEGAL 901E TEGAL 903E Tegal WAFER Transfer CW1078
1,999.00 13d 3h
177-0201// Nupro Ss-4bk10-319 Valve [asis]
177-0201// Nupro Ss-4bk10-319 Valve [asis]
150.00 15d 0h
177-0201// Nupro Ss-4bk1c-319 Valve [asis]
177-0201// Nupro Ss-4bk1c-319 Valve [asis]
150.00 15d 0h
142-0102// Lam Cable 684-139074-002 [asis]
142-0102// Lam Cable 684-139074-002 [asis]
150.00 14d 3h
177-0202// Nupro Ss-4bk-1c-340 Valve [asis]
177-0202// Nupro Ss-4bk-1c-340 Valve [asis]
150.00 15d 0h
130-0301// Amat Applied 0100-00025 Pwb, 60v Power Supply
130-0301// Amat Applied 0100-00025 Pwb, 60v Power Supply
400.00 21d 0h
146-0501// Amat Applied 0150-21228 Cable Assychamber D Intcnt--50
146-0501// Amat Applied 0150-21228 Cable Assychamber D Intcnt--50
750.00 2d 0h
Applied Materials Ram Memory Board # 672532 Schem Ref 5400-d-0041 Rev. E
Applied Materials Ram Memory Board # 672532 Schem Ref 5400-d-0041 Rev. E
100.00 10d 17h
Tokyo Electronics Card A1 Module #2 3M81-023124-14 3M08-023124-12
Tokyo Electronics Card A1 Module #2 3M81-023124-14 3M08-023124-12
99.99  27d 21h
Mks 100886000, Cf, N/r, Thru, 6.00",blank
Mks 100886000, Cf, N/r, Thru, 6.00",blank
500.00  25d 22h
Mks 100887000, Cf, N/r, Thru, 8.00",blank
Mks 100887000, Cf, N/r, Thru, 8.00",blank
600.00  25d 22h
343-0501// Amat Applied 0020-38687 Brkt,outer Housing
343-0501// Amat Applied 0020-38687 Brkt,outer Housing
280.00 17d 4h
Parker Uhp 50-4v-2lp-r Qty 10
Parker Uhp 50-4v-2lp-r Qty 10
50.00  3d 16h
Mks 4640-1104 Htr4 , Str4.0, 1ap1
Mks 4640-1104 Htr4 , Str4.0, 1ap1
65.00  16d 19h
Brooks 6256S 6256S(COMMON) Mass Flow Controller NF3 5000SCCM,
Brooks 6256S 6256S(COMMON) Mass Flow Controller NF3 5000SCCM,
350.00  19d 20h
2506653-21 / Pcb, I/o Interface / Asml
2506653-21 / Pcb, I/o Interface / Asml
450.00  12d 21h
152567c02 / Heater Element (ced136-0085tf, Gr-4740) / Trikon/spts Technologies
152567c02 / Heater Element (ced136-0085tf, Gr-4740) / Trikon/spts Technologies
333.11  20d 19h
Y5304400 / Real Time Stage Reader / Biorad Micromeasurements
Y5304400 / Real Time Stage Reader / Biorad Micromeasurements
375.99  10d 21h
AMAT 26-81508-00 Shaft, Pivot Arm. 407155
AMAT 26-81508-00 Shaft, Pivot Arm. 407155
150.00 28d 18h
Elmo Sba-15/55e6, Csn: Cssba17
Elmo Sba-15/55e6, Csn: Cssba17
250.00  1d 2h
BF-006 IHC24-2.4 11944 International Power DC Power Supplies
BF-006 IHC24-2.4 11944 International Power DC Power Supplies
680.00  10d 17h
Panasonic MCMAET5 Control Board - Free Shipping
Panasonic MCMAET5 Control Board - Free Shipping
999.99  1m 25s
Amat 0020-77229 Nut, Ball Screw,
Amat 0020-77229 Nut, Ball Screw,
200.00  28d 20h
 OEM/ORIGINAL Applied Materials AMAT 0150-20391 RF Power Cable (96.0" Long)
 OEM/ORIGINAL Applied Materials AMAT 0150-20391 RF Power Cable (96.0" Long)
159.97  24d 4h
AMAT 0021-79196 Bracket Linear Slide Input Shuttle Assembly, 411125
AMAT 0021-79196 Bracket Linear Slide Input Shuttle Assembly, 411125
150.00 14d 21h
Internix Profort810 Pf810-as Teach Pendant
Internix Profort810 Pf810-as Teach Pendant
649.90 16d 10h
Lam Research Optical Prism Filter, 655-333835-000,655-333827-000,R000070533
Lam Research Optical Prism Filter, 655-333835-000,655-333827-000,R000070533
279.99  2d 20h
Brooks 6256S 6256SCZWCMAC Mass Flow Controller NF3 5SLPM,
Brooks 6256S 6256SCZWCMAC Mass Flow Controller NF3 5SLPM,
350.00  19d 4h
434-0301// Panasonic M9mc90gb4y (cut Cable) Motor []
434-0301// Panasonic M9mc90gb4y (cut Cable) Motor []
250.00 4d 23h
442-0402// Oriental Motor Asm69mc Motor []
442-0402// Oriental Motor Asm69mc Motor []
200.00 6d 23h
Novellus 979203, Assy PAC cond. Brush with inserts
Novellus 979203, Assy PAC cond. Brush with inserts
150.00 12d 21h
Aixtron 40014872 Diaphragm Bellow Thermocouple
Aixtron 40014872 Diaphragm Bellow Thermocouple
949.99  28d 20h
Amat  P/n 0010-16577 Rev 002
Amat P/n 0010-16577 Rev 002
1,499.00 25d 1h
Amat 0090-00828 Cable Heater DPS Metal 30
Amat 0090-00828 Cable Heater DPS Metal 30
199.00  24d 14h
Brooks 6256S 6256SCZWCMAC Mass Flow Controller N2 10SLPM,
Brooks 6256S 6256SCZWCMAC Mass Flow Controller N2 10SLPM,
350.00  19d 3h
Amat 0190-27049w Ovation 35162 3150881-002 Rf Generator,
Amat 0190-27049w Ovation 35162 3150881-002 Rf Generator,
7,000.00  22d 1h
AMAT 0040-90647 Resistor Chain ISO TXX10 414647
AMAT 0040-90647 Resistor Chain ISO TXX10 414647
150.00 15d 16h
TEL TOKYO ELECTRON TM ARM Accessories SET
TEL TOKYO ELECTRON TM ARM Accessories SET
260.00  10d 5h
316-0501// Amat Applied 0020-20390 Arm, Frog Leg
316-0501// Amat Applied 0020-20390 Arm, Frog Leg
300.00 2d 7h
7390 Tokyo Electron Pcb Tsbc-v30slv 881-521490-1
7390 Tokyo Electron Pcb Tsbc-v30slv 881-521490-1
918.41  27d 5h
Engelhardt GmbH SNMB-1 Module Power Supply MSNMB203 990101293
Top-Rated Plus Seller Engelhardt GmbH SNMB-1 Module Power Supply MSNMB203 990101293
199.98  1d 14h
Amat 0660-00078 Dip-199-000 Cdn396 Pcb Card
Amat 0660-00078 Dip-199-000 Cdn396 Pcb Card
1,499.00  13d 3h
Brooks Sla7950s1egg1m1e1 N20 20slpm Mass Flow Controller ,
Brooks Sla7950s1egg1m1e1 N20 20slpm Mass Flow Controller ,
300.00  7d 23h
Yaskawa Clsr-0l-45, Clsr-ol-45 Board
Yaskawa Clsr-0l-45, Clsr-ol-45 Board
380.00  21d 2h
Applied Materials 3700-01220 ID 5.484 CSD .139 VITON 75 DURO BLK, LOT OF 16
Applied Materials 3700-01220 ID 5.484 CSD .139 VITON 75 DURO BLK, LOT OF 16
140.00 7d 6h
Richmond IBC20-1 Intelligent Balance Controller
Richmond IBC20-1 Intelligent Balance Controller
198.00  13d 5h
Tegal 50590-01 Tegal CM1104-00403 Tegal PCB
Tegal 50590-01 Tegal CM1104-00403 Tegal PCB
499.00 28d 22h
Amat 0140-08862 Harness Assy, Analog I/o Hd2-4, 200mm 5- ,
Amat 0140-08862 Harness Assy, Analog I/o Hd2-4, 200mm 5- ,
1,100.00  16d 3h
Varian E17016010 Antimony Kit Single E17015970 E43000149 VSEA  Surplus
Varian E17016010 Antimony Kit Single E17015970 E43000149 VSEA  Surplus
155.16  20d 18h
Applied Materials 0041-43334 Mounting Ring DTCU 200mm DPS
Applied Materials 0041-43334 Mounting Ring DTCU 200mm DPS
2,000.00  11d 18h
Amat 0020-22472 8” Clamp Ring
Amat 0020-22472 8” Clamp Ring
395.00  8d 14h
Qty Lot (9) Tokyo Electron O-ring VA01 O-RING Viton G-45 Black (Notch Alignment)
Qty Lot (9) Tokyo Electron O-ring VA01 O-RING Viton G-45 Black (Notch Alignment)
99.95  8d 19h
Aera TC FC-D981C Mass Flow Controller -7.5 MULTI,
Aera TC FC-D981C Mass Flow Controller -7.5 MULTI,
500.00  23d 2h
Brooks 6256S 6256SCZWCMAC Mass Flow Controller N20 1SLPM,
Brooks 6256S 6256SCZWCMAC Mass Flow Controller N20 1SLPM,
350.00  19d 20h
Micro Memory Mm-6200 2mb Vme Module ()****
Micro Memory Mm-6200 2mb Vme Module ()****
395.00  24d 14h
Ludi Electronic 73002060 Lep Mac22 Daio Module Card
Top-Rated Plus Seller Ludi Electronic 73002060 Lep Mac22 Daio Module Card
229.98  1d 12h
11790 Tokyo Electron Pcb, Tsbc-v30b, 881-520440-1, 808-520440-1 1881-022118-13
11790 Tokyo Electron Pcb, Tsbc-v30b, 881-520440-1, 808-520440-1 1881-022118-13
790.45  29d 23h
Amat 0050-82774 Hose Assembly Cooliing Water Return Ozone Sacvd Prod,
Amat 0050-82774 Hose Assembly Cooliing Water Return Ozone Sacvd Prod,
350.00  5d 5h
Yamamoto Electric Works MS-61L Differential Pressure Switch, 5~30 mm H2O, Air
Yamamoto Electric Works MS-61L Differential Pressure Switch, 5~30 mm H2O, Air
19.99  18d 11h
156-0101// Amat Applied 1410-01129 Htr 208vac 2.5w/sq In For Htr-
156-0101// Amat Applied 1410-01129 Htr 208vac 2.5w/sq In For Htr-
540.00 25d 23h
344-0302// Amat Applied 0021-39362 Clamp, Thermocouple Port, Base Plate
344-0302// Amat Applied 0021-39362 Clamp, Thermocouple Port, Base Plate
400.00 22d 4h
AMAT 3020-01032 AIR CYLINDER, 5.2 BORE QJ85-347 Compact Air Products QJ85-347
AMAT 3020-01032 AIR CYLINDER, 5.2 BORE QJ85-347 Compact Air Products QJ85-347
175.00  17d 14h
Celerity AAPGN9008 Gas:N2 173-438 SCCM IN3XP Mass Flow Controller
Top-Rated Plus Seller Celerity AAPGN9008 Gas:N2 173-438 SCCM IN3XP Mass Flow Controller
189.99  15d 16h
Mecs Robot Controller Utw1010hg Front Cover Missing. Free Ship
Mecs Robot Controller Utw1010hg Front Cover Missing. Free Ship
1,450.00 25d 5h
319-0203// Amat Applied 1270-01625 Sw    Press 75-100psig 0.1-1a@12vdc 9/16
319-0203// Amat Applied 1270-01625 Sw Press 75-100psig 0.1-1a@12vdc 9/16
350.00 26d 6h
AG Associates Quartz Tray 7310-0692-02 For Heatpulse 4100 M-tray Slip Free 6 Inc
AG Associates Quartz Tray 7310-0692-02 For Heatpulse 4100 M-tray Slip Free 6 Inc
1,400.00  13d 17h
Nordiko A09081 Push Ring
Nordiko A09081 Push Ring
200.00  7d 15h
Amat 0195-01644 Lcwe/pneumatic Box Assembly/300mm ,
Amat 0195-01644 Lcwe/pneumatic Box Assembly/300mm ,
17,000.00  13d 3h
Nordiko A06996 Worm Wheel
Nordiko A06996 Worm Wheel
200.00  7d 15h
[1unit] Rexroth Hcs01.1e-w0003-a-02 Servo Drive
[1unit] Rexroth Hcs01.1e-w0003-a-02 Servo Drive
249.99 25d 6h
KONICS KN-2000W Series, KN-2210W , Temp Controller
KONICS KN-2000W Series, KN-2210W , Temp Controller
200.00  5d 4h
000-0000// Amat Hx75 Hx+75w Recirculation Chiller [asis]
000-0000// Amat Hx75 Hx+75w Recirculation Chiller [asis]
2,500.00 15d 1h
Iai Rca-e Robo Cylinder Teach Pendant
Iai Rca-e Robo Cylinder Teach Pendant
188.00  9d 5h
Omron CS1G-CPU44-V1 Sysmac Programmable Controller
Omron CS1G-CPU44-V1 Sysmac Programmable Controller
200.00  11d 20h
Diavac Limited LCAV-40HF Pneumatic Angle Valve
Diavac Limited LCAV-40HF Pneumatic Angle Valve
175.00  11d 20h
AMAT Applied Materials Quartz Plate
AMAT Applied Materials Quartz Plate
325.00  20d 21h
111-0301// Amat Applied 0040-22202 Spacer, Pillow Block, Vectra Imp
111-0301// Amat Applied 0040-22202 Spacer, Pillow Block, Vectra Imp
800.00 5d 4h
Transport Controller Rev 1 PCB 1000-0003 Rev 20 For Matrix 105 106 103 303 404..
Transport Controller Rev 1 PCB 1000-0003 Rev 20 For Matrix 105 106 103 303 404..
999.00 18d 4h
Schlumberger Technologies 97851140 P2X Ion Column Control PCB 15-Pin DIN Board
Top-Rated Plus Seller Schlumberger Technologies 97851140 P2X Ion Column Control PCB 15-Pin DIN Board
70.29  21d 1h
Orbot Instruments WF Memory 710-75011-DD REV 4 MEM 4000020 PCB Card -B
Top-Rated Plus Seller Orbot Instruments WF Memory 710-75011-DD REV 4 MEM 4000020 PCB Card -B
89.99  2d 1h
999-9999// Amat Applied 0020-23591 (delivery 21 Days) [2nd Source]
999-9999// Amat Applied 0020-23591 (delivery 21 Days) [2nd Source]
400.00 23d 23h
Lot of 5 Nagano Keiki CE10 Electronic Pressure Switch with 30 day warranty
Lot of 5 Nagano Keiki CE10 Electronic Pressure Switch with 30 day warranty
350.00 12d 17h
105-0501// Amat Applied 1350-00034 Components
105-0501// Amat Applied 1350-00034 Components
400.00 11d 3h
149-0501// Amat Applied 0150-66539 Applied Matrials Components
149-0501// Amat Applied 0150-66539 Applied Matrials Components
300.00 29d 22h
343-0501// Amat Applied 0020-07721 Rest Point 101 Ss E-polish Enh
343-0501// Amat Applied 0020-07721 Rest Point 101 Ss E-polish Enh
220.00 17d 3h
147-0401// Amat Applied 0150-35440 C/a Pump Exhaust Centura Ht 25
147-0401// Amat Applied 0150-35440 C/a Pump Exhaust Centura Ht 25
700.00 19d 3h
347-0501//amat Applied 0010-13294 0100-09058 Assy, Bezel Mainframe, Mark Ii
347-0501//amat Applied 0010-13294 0100-09058 Assy, Bezel Mainframe, Mark Ii
1,000.00 23h 47m
Amat 0020-29141 Clamp Ring 8 Jmf Sst E/e 4.445mm,
Amat 0020-29141 Clamp Ring 8 Jmf Sst E/e 4.445mm,
800.00  18d 4h
Applied Materials P/n  0040-81084 Rev.001 Chamber Cover
Applied Materials P/n 0040-81084 Rev.001 Chamber Cover
1,499.99  12d 14h
Tdk Zrct5100-mf  Emc Filter,
Tdk Zrct5100-mf Emc Filter,
800.00  21d 23h
Wonik Quartz Bubbler 14738 Rev F, , Sealed
Wonik Quartz Bubbler 14738 Rev F, , Sealed
30.00 11d 16h
Lep Ludi Pcb Mapper Z Axis 73000804 Mcmsel
Top-Rated Plus Seller Lep Ludi Pcb Mapper Z Axis 73000804 Mcmsel
229.98  1d 12h
Lep Ludi Pcb Mapper Z Axis 73000804 Mcmsel
Top-Rated Plus Seller Lep Ludi Pcb Mapper Z Axis 73000804 Mcmsel
229.98  1d 13h
344-0401// Amat Applied 0021-35822 Clamp Asp []
344-0401// Amat Applied 0021-35822 Clamp Asp []
360.00 16d 4h
141-0602// Amat Applied 0226-43621 Cable Assy,tc,etch Chamber Lid
141-0602// Amat Applied 0226-43621 Cable Assy,tc,etch Chamber Lid
360.00 8d 2h
323-0201// Amat Applied 3700-02031 Oring Id 11.484 Csd .139 Chemr
323-0201// Amat Applied 3700-02031 Oring Id 11.484 Csd .139 Chemr
400.00 14d 23h
323-0201// Amat Applied 3700-02829 Oring Gate Valve 10.0id Kalrez
323-0201// Amat Applied 3700-02829 Oring Gate Valve 10.0id Kalrez
400.00 15d 0h
116-0303// Amat Applied 0020-30700 Insert Polysulfone 125mm Ox/ml
116-0303// Amat Applied 0020-30700 Insert Polysulfone 125mm Ox/ml
400.00 2d 2h
324-0202// Amat Applied 0021-04338 Pin, Source Alignment Vectra I []
324-0202// Amat Applied 0021-04338 Pin, Source Alignment Vectra I []
360.00 27d 3h
324-0203// Amat Applied 0200-76021 Window Quartz Centerfinder Transfer Cha []
324-0203// Amat Applied 0200-76021 Window Quartz Centerfinder Transfer Cha []
360.00 27d 3h
134-0503// Amat Applied 0020-21221 Hoop Cd 200mm [2nd ]
134-0503// Amat Applied 0020-21221 Hoop Cd 200mm [2nd ]
400.00 27d 5h
176-0104// Amat Applied 0050-03181 Manifold 1-1 Process 03 To 02, Fujikin []
176-0104// Amat Applied 0050-03181 Manifold 1-1 Process 03 To 02, Fujikin []
380.00 15d 5h
322-0202// Amat Applied 0090-20303 Sw Assy Wtr Flow 1/4 Npt Brs 0.5 Gpm []
322-0202// Amat Applied 0090-20303 Sw Assy Wtr Flow 1/4 Npt Brs 0.5 Gpm []
360.00 2d 1h
324-0203// Amat Applied 0020-20514 Clr Clamp Htr Isolater [2nd Source ]
324-0203// Amat Applied 0020-20514 Clr Clamp Htr Isolater [2nd Source ]
360.00 27d 3h
319-0302// Tokyo Electron Limited 3s17-000001-11 (2ea) Lifter Bellows []
319-0302// Tokyo Electron Limited 3s17-000001-11 (2ea) Lifter Bellows []
400.00 23d 5h
402-0401// Shibaden Id-11005-2-0 Ic Motor [/fast]
402-0401// Shibaden Id-11005-2-0 Ic Motor [/fast]
400.00 11d 22h
430-0302// Nsd Mre-g256sp062fac (without Connector) Motor []
430-0302// Nsd Mre-g256sp062fac (without Connector) Motor []
400.00 4d 4h
430-0302// Nsd Mre-g128sp062fac (without Connector) Motor []
430-0302// Nsd Mre-g128sp062fac (without Connector) Motor []
400.00 4d 4h
114-0401// Amat Applied 3010-01019 Abrsu Pad 20"dia Ic1400 W/window []
114-0401// Amat Applied 3010-01019 Abrsu Pad 20"dia Ic1400 W/window []
400.00 12d 5h
103-0601// Amat Applied 0100-76297 Assy, Pcb Active Terminal Buffer []
103-0601// Amat Applied 0100-76297 Assy, Pcb Active Terminal Buffer []
370.00 13d 5h
351-0201// Amat Applied 0020-78850 Rod, Gimbal []
351-0201// Amat Applied 0020-78850 Rod, Gimbal []
370.00 17d 2h
156-0503// Amat Applied 0190-09330 Heater, Hot Valve Ww40 []
156-0503// Amat Applied 0190-09330 Heater, Hot Valve Ww40 []
400.00 3d 4h
351-0202// Amat Applied 0020-38060 Support, Bellows (g-10) []
351-0202// Amat Applied 0020-38060 Support, Bellows (g-10) []
360.00 3d 4h
351-0202// Amat Applied 0020-38080 Holder, Stopper []
351-0202// Amat Applied 0020-38080 Holder, Stopper []
350.00 3d 4h
176-0403// Amat Applied 0050-10528 Weldment, Tree Foreline []
176-0403// Amat Applied 0050-10528 Weldment, Tree Foreline []
380.00 17d 1h
177-0501// Amat Applied 0050-43626 Spool Ar Line Basic Gas Platter Wxz []
177-0501// Amat Applied 0050-43626 Spool Ar Line Basic Gas Platter Wxz []
370.00 17d 5h
176-0402// Amat Applied 0050-22591 Adapter Baratron Gauge Pvd Chmbr Cajon []
176-0402// Amat Applied 0050-22591 Adapter Baratron Gauge Pvd Chmbr Cajon []
380.00 22d 3h
Applied Ceramics 91-00535A Windows Recessed Endpoint 328799
Applied Ceramics 91-00535A Windows Recessed Endpoint 328799
150.00 23d 18h
8701 Tokyo Electron Pcb Sat-ao, Bb08-000003-14 Bb81-000003-13
8701 Tokyo Electron Pcb Sat-ao, Bb08-000003-14 Bb81-000003-13
349.52  7d 1h
Amat 0040-76893 Zone 2 Clamp, 200mm 5 Zone Profiler ,
Amat 0040-76893 Zone 2 Clamp, 200mm 5 Zone Profiler ,
600.00  5d 3h
Amat 0020-24804 Cover Ring Sst 8" 101 ,
Amat 0020-24804 Cover Ring Sst 8" 101 ,
1,000.00  4d 23h
11787 Tokyo Electron Pcb, Tsbc-acrtc 881-620160
11787 Tokyo Electron Pcb, Tsbc-acrtc 881-620160
922.20  29d 23h
 Amat Applied Materials 0020-52051 Blank Plate Eyed/iep Reflector Dpsii 300mm
 Amat Applied Materials 0020-52051 Blank Plate Eyed/iep Reflector Dpsii 300mm
49.99  10d 12h
AMAT 0190-02552, SCR in ABY, Diamond Disk. 413011
AMAT 0190-02552, SCR in ABY, Diamond Disk. 413011
150.00 9d 17h
 Calweld 0040-30000 Feedthru 4 Pin Revision 001
 Calweld 0040-30000 Feedthru 4 Pin Revision 001
159.99  5d 13h
Amat 0140-77431 Cbl, Cntrl Bk Plane To Mainframe Bulkhea ,
Amat 0140-77431 Cbl, Cntrl Bk Plane To Mainframe Bulkhea ,
800.00  21d 5h
Tokyo Electron FNC-ALM-A2 PCB
Tokyo Electron FNC-ALM-A2 PCB
399.00 9d 5h
Unit UFC-1660, He, 10L, MFC, Mass Flow Controller
Unit UFC-1660, He, 10L, MFC, Mass Flow Controller
300.00  9d 2h
AMAT Applied Materials 0620-01698 15ft Network Cable Assembly Lot of 2
AMAT Applied Materials 0620-01698 15ft Network Cable Assembly Lot of 2
158.12  13d 14h
(4.2) Delvo DLV7339-BME Nitto Assembly Line Eco-Friendly Electronic Screwdriver
(4.2) Delvo DLV7339-BME Nitto Assembly Line Eco-Friendly Electronic Screwdriver
259.99  11d 15h
AMAT 0150-35690 Harness Assy, Tylan/Aera/Unit, 9-Pin, 418282
AMAT 0150-35690 Harness Assy, Tylan/Aera/Unit, 9-Pin, 418282
125.00 13d 18h
Amat 0140-24767 H/a Lower Lamp Module Con ,
Amat 0140-24767 H/a Lower Lamp Module Con ,
750.00  4d 20h
9932 Baasel Lasertech Pcb Lbs,5021084,bs16200,2539.56.100 W/0000809-40 Y46 050.s
9932 Baasel Lasertech Pcb Lbs,5021084,bs16200,2539.56.100 W/0000809-40 Y46 050.s
700.00  1d 4h
688325-05 Cable, RCI-9140, 412690
688325-05 Cable, RCI-9140, 412690
125.00 12d 20h
Varian 8104218031 Collar 421609
Varian 8104218031 Collar 421609
125.00 12d 15h
Vision Semicon Vs-l400 Brightiness Led Lighting,
Vision Semicon Vs-l400 Brightiness Led Lighting,
200.00  22d 2h
Applied Materials Prom Board # 03-81830-00 Rev. F
Applied Materials Prom Board # 03-81830-00 Rev. F
100.00 10d 17h
Millipore FC-2902MEP-T Mass Flow Controller NH3 300 SCCM,
Millipore FC-2902MEP-T Mass Flow Controller NH3 300 SCCM,
500.00  21h 45m
TEL DS1181-000957-11, Tokyo Electron, MC-31027, Farmon ID 412438
TEL DS1181-000957-11, Tokyo Electron, MC-31027, Farmon ID 412438
495.00 19d 17h
344-0303// Amat Applied 0020-81808 Screw,modified
344-0303// Amat Applied 0020-81808 Screw,modified
60.00 15d 22h
344-0303// Amat Applied 3300-01381 Applied Matrials Components
344-0303// Amat Applied 3300-01381 Applied Matrials Components
60.00 16d 0h
344-0401// Amat Applied 0020-76737 Nut, Jam, Pc2 Ped. Height Adjustment
344-0401// Amat Applied 0020-76737 Nut, Jam, Pc2 Ped. Height Adjustment
60.00 16d 4h
326-0403// Amat Applied 0620-02444 Applied Matrials Components
326-0403// Amat Applied 0620-02444 Applied Matrials Components
60.00 4d 23h
344-0402// Amat Applied 3630-01141 Rtnr  Ring Int 2id-hsg X 2.21od-free X..
344-0402// Amat Applied 3630-01141 Rtnr Ring Int 2id-hsg X 2.21od-free X..
60.00 13d 4h
344-0403// Amat Applied 3690-02827 Scr Mach Btn Hd 6-32 X 1-1/8l  
344-0403// Amat Applied 3690-02827 Scr Mach Btn Hd 6-32 X 1-1/8l
60.00 20d 0h
142-0601// Amat Applied 0226-97340 Applied Matrials Components
142-0601// Amat Applied 0226-97340 Applied Matrials Components
60.00 18d 4h
322-0403// Amat Applied 0020-30335 Housing,qtz Tube Sacvd []
322-0403// Amat Applied 0020-30335 Housing,qtz Tube Sacvd []
170.00 29d 4h
123-0202// Amat Applied 0040-09036 Support, Bus Bar []
123-0202// Amat Applied 0040-09036 Support, Bus Bar []
130.00 11d 3h
123-0202// Amat Applied 0040-09577 Cover,safety,waveguide, Asp []
123-0202// Amat Applied 0040-09577 Cover,safety,waveguide, Asp []
130.00 11d 3h
177-0303// Amat Applied 3870-01332 Valve Mnl Blws 1/4vcr-f/f Nupro 1.5"d []
177-0303// Amat Applied 3870-01332 Valve Mnl Blws 1/4vcr-f/f Nupro 1.5"d []
160.00 18d 2h
143-0302// Other Cable Sma1d1-mf141-sma1d1-2m  []
143-0302// Other Cable Sma1d1-mf141-sma1d1-2m []
200.00 23d 0h
343-0302// Amat Applied 0020-26829 (cleaned) Connector Heat Shld Hthu Htr
343-0302// Amat Applied 0020-26829 (cleaned) Connector Heat Shld Hthu Htr
180.00 23d 1h
116-0502// Amat Applied 0200-09478 Plate, Gas Dist, Upper, 200mm  
116-0502// Amat Applied 0200-09478 Plate, Gas Dist, Upper, 200mm
200.00 2d 4h
323-0402// Amat Applied 0090-76048 Assembly,300mm Otf Emitter, J4
323-0402// Amat Applied 0090-76048 Assembly,300mm Otf Emitter, J4
200.00 23d 3h
407-0102// 1386-452891-12 B07072706 []
407-0102// 1386-452891-12 B07072706 []
200.00 14d 23h
409-0101// Tel 3281-000037-12 3281-000058-1 Board [/fast]
409-0101// Tel 3281-000037-12 3281-000058-1 Board [/fast]
200.00 15d 3h
409-0101// Tel1281-001184-15 1208-001184-15 Board [/fast]
409-0101// Tel1281-001184-15 1208-001184-15 Board [/fast]
200.00 15d 3h
409-0102// Tel 3281-000080-12 3208-000080-11 Board [/fast]
409-0102// Tel 3281-000080-12 3208-000080-11 Board [/fast]
200.00 15d 3h
409-0201// Hitachi Himv-310 Gcrtc Fa0063b Himz3100 Fa0064b Board []
409-0201// Hitachi Himv-310 Gcrtc Fa0063b Himz3100 Fa0064b Board []
200.00 15d 3h
409-0302// Millipore 108439 Rev C (5ea) Board [/fast]
409-0302// Millipore 108439 Rev C (5ea) Board [/fast]
200.00 19d 3h
435-0301// Panasonic Msma5azc1q Ac Servo Motor [/fast]
435-0301// Panasonic Msma5azc1q Ac Servo Motor [/fast]
200.00 27d 3h
441-0501// Oriental Motor Asd13a-ad Driver [/fast]
441-0501// Oriental Motor Asd13a-ad Driver [/fast]
200.00 28d 5h
445-0302// Oriental Motor Pk564bu-tg10 Motor []
445-0302// Oriental Motor Pk564bu-tg10 Motor []
200.00 29d 5h
445-0201// Oriental Motor Pk543aw-a45 Motor []
445-0201// Oriental Motor Pk543aw-a45 Motor []
200.00 29d 6h
445-0201// Oriental Motor Pk543aw-a31 Motor []
445-0201// Oriental Motor Pk543aw-a31 Motor []
200.00 29d 6h
428-0301// Harmonic Rs-8-3006c-sp (unclean) Motor []
428-0301// Harmonic Rs-8-3006c-sp (unclean) Motor []
200.00 5h 57m
434-0101// Panasonic Amkc060bf Motor []
434-0101// Panasonic Amkc060bf Motor []
200.00 4d 6h
438-0401// Oriental Motor C5871-9015 Motor []
438-0401// Oriental Motor C5871-9015 Motor []
150.00 6d 2h
438-0303// Oriental Motor 5850-mdrl (unclean) Limo Motor []
438-0303// Oriental Motor 5850-mdrl (unclean) Limo Motor []
150.00 6d 3h
438-0302// Oriental Motor 5843-mdrl Limo Motor []
438-0302// Oriental Motor 5843-mdrl Limo Motor []
200.00 6d 3h
438-0101// Oriental Motor Drl42pa2g-q1 Motor []
438-0101// Oriental Motor Drl42pa2g-q1 Motor []
200.00 6d 3h
442-0401// Oriental Motor Asm66ba (cut Cable) Motor []
442-0401// Oriental Motor Asm66ba (cut Cable) Motor []
200.00 6d 22h
444-0201// Oriental Motor Ph554-na-a15 Motor []
444-0201// Oriental Motor Ph554-na-a15 Motor []
200.00 7d 3h
444-0501// Oriental Motor Udk5114vn 5-phase Driver []
444-0501// Oriental Motor Udk5114vn 5-phase Driver []
200.00 7d 3h
352-0201// Amat Applied 0020-21482 Plate Contact Dc Source 13 Right []
352-0201// Amat Applied 0020-21482 Plate Contact Dc Source 13 Right []
200.00 10d 22h
352-0201// Amat Applied 0020-21485 Plate Contact Dc Source 13" Left []
352-0201// Amat Applied 0020-21485 Plate Contact Dc Source 13" Left []
200.00 10d 22h
352-0201// Amat Applied 0020-21486 Plate Contact Dc Source 11.3" Left []
352-0201// Amat Applied 0020-21486 Plate Contact Dc Source 11.3" Left []
200.00 10d 22h
352-0201// Amat Applied 0020-21488 Plate Contact, Dc Source 11.3" Right []
352-0201// Amat Applied 0020-21488 Plate Contact, Dc Source 11.3" Right []
200.00 10d 22h
350-0202// Amat Applied 0020-09942 Plate Lower Tray Elevator 125mm []
350-0202// Amat Applied 0020-09942 Plate Lower Tray Elevator 125mm []
140.00 11d 1h
350-0202// Amat Applied 0020-24778 Mounting Bracket Sensors Shutter []
350-0202// Amat Applied 0020-24778 Mounting Bracket Sensors Shutter []
180.00 11d 1h
350-0202// Amat Applied 0020-27136 Support, Actuator Shutter Linkage []
350-0202// Amat Applied 0020-27136 Support, Actuator Shutter Linkage []
130.00 11d 1h
141-0401// Amat Applied 0140-09148 Harness Assy., Cont. Sys []
141-0401// Amat Applied 0140-09148 Harness Assy., Cont. Sys []
200.00 12d 4h
176-0404// Amat Applied 0050-75499 Weldment, Ch C, He Delivery []
176-0404// Amat Applied 0050-75499 Weldment, Ch C, He Delivery []
150.00 17d 2h
177-0402// Amat Applied 0050-38361 Weldment,chmb A, Pump,foreline, 1/4 Od []
177-0402// Amat Applied 0050-38361 Weldment,chmb A, Pump,foreline, 1/4 Od []
130.00 17d 3h
176-0402// Amat Applied 0050-39826 Weldment,inter,ch. C,heated Prcs,vds []
176-0402// Amat Applied 0050-39826 Weldment,inter,ch. C,heated Prcs,vds []
170.00 22d 3h
123-0303// Amat Applied 0020-71449 Applied Matrials Components []
123-0303// Amat Applied 0020-71449 Applied Matrials Components []
200.00 23d 5h
322-0403// Amat Applied 0020-10876 Manifold Gas Out Bwcvd []
322-0403// Amat Applied 0020-10876 Manifold Gas Out Bwcvd []
180.00 29d 3h
322-0202// Tokyo Es92-10200 Tokyo Flow Switch []
322-0202// Tokyo Es92-10200 Tokyo Flow Switch []
150.00 5d 3h
324-0203// Amat Applied 0020-20514 Clr Clamp Htr Isolater [asis]
324-0203// Amat Applied 0020-20514 Clr Clamp Htr Isolater [asis]
200.00 3d 23h
143-0302// Amat Applied 0150-20149 Assy,emo/chamber []
143-0302// Amat Applied 0150-20149 Assy,emo/chamber []
180.00 28d 1h
Telemakus Digital Attenuator TEA13000-12 0.1GHz - 13GHz
Telemakus Digital Attenuator TEA13000-12 0.1GHz - 13GHz
500.00  11d 5h
14050 Inspex Pcb Assy, Cntrl Mod Intfc 20008661a
14050 Inspex Pcb Assy, Cntrl Mod Intfc 20008661a
460.84  15d 21h
14116 National Display System Pcb Pca 17a0006
14116 National Display System Pcb Pca 17a0006
345.63  22d 2h
Vat 01032-ke21-0002 Gate Valve, A-695996
Vat 01032-ke21-0002 Gate Valve, A-695996
300.00  29d 5h
1 hp 3P Motor 1725 RPM  208 230 460v
1 hp 3P Motor 1725 RPM 208 230 460v
75.00 7d 9h
LTX 865-0242-00 Model VS276 BOARD, TH-81 HIGH VOLTAGE SUPPLY
LTX 865-0242-00 Model VS276 BOARD, TH-81 HIGH VOLTAGE SUPPLY
650.00  26d 0h
Tel Tokyo Electron 3m87-020964 Cooling Chamber
Tel Tokyo Electron 3m87-020964 Cooling Chamber
450.00  2d 13h
124-0201// Amat Applied 0020-23276 Cover Ring, Ti,8" 101% Coverag
124-0201// Amat Applied 0020-23276 Cover Ring, Ti,8" 101% Coverag
1,000.00 10d 23h
347-0201// Amat Applied 0040-13011 0040-76557 Applied Matrials Components
347-0201// Amat Applied 0040-13011 0040-76557 Applied Matrials Components
320.00 8d 0h
Applied Ceramics 92-00114A, AMAT Chamber Window, HTD Endpoint A6, 406566
Applied Ceramics 92-00114A, AMAT Chamber Window, HTD Endpoint A6, 406566
350.00 12d 15h
Tegal 50590-01 Tegal CM1104-00402 CM1104-00403? Tegal PCB
Tegal 50590-01 Tegal CM1104-00402 CM1104-00403? Tegal PCB
499.00 28d 22h
Amat 0140-12557 Harness Assy Door Intlk 8 ,
Amat 0140-12557 Harness Assy Door Intlk 8 ,
400.00  28d 5h
347-0201// Amat Applied 0020-25063 Receiver  Cass  Special
347-0201// Amat Applied 0020-25063 Receiver Cass Special
420.00 4d 6h
Novellus 04-709907-01, Board
Novellus 04-709907-01, Board
200.00  24d 4h
SPTS FB319368 Z12575D Coolant Pipe & Block
SPTS FB319368 Z12575D Coolant Pipe & Block
750.00 20d 14h
Novellus Advanced Adjustments Camera
Novellus Advanced Adjustments Camera
300.00  19d 9h
Tegal 99-188-001 Rev A EPE-1 Tegal PCB
Tegal 99-188-001 Rev A EPE-1 Tegal PCB
499.00 28d 21h
Applied Material Alphanumeric Board Part # 672530 Schem Ref 5400-d-0037 Rev. A
Applied Material Alphanumeric Board Part # 672530 Schem Ref 5400-d-0037 Rev. A
100.00 10d 14h
136-0601// Amat Applied 0242-76618 Cont. Mod. Vent Kit A,b, And C
136-0601// Amat Applied 0242-76618 Cont. Mod. Vent Kit A,b, And C
1,000.00 21d 2h
INFICON FabGuard Contriller NISE3110
INFICON FabGuard Contriller NISE3110
900.00  29d 6h
Tegal AC SVCE   Item CE-1004-01001 SN 14471 Tegal Spare Parts
Tegal AC SVCE  Item CE-1004-01001 SN 14471 Tegal Spare Parts
1,200.00  4d 23h
Amat 0150-77252 Mnfrm Bkhd Hd Rot3 J32,
Amat 0150-77252 Mnfrm Bkhd Hd Rot3 J32,
500.00  11d 3h
Controller Ass'y For Asm Eagle 10
Controller Ass'y For Asm Eagle 10
5,000.00  23d 6h
AMAT 0021-76665 Belt Drive Roller,TSV, BBX,
AMAT 0021-76665 Belt Drive Roller,TSV, BBX,
400.00  21d 23h
Brooks 6256S 6256SCZWCMAC Mass Flow Controller N20 10SLPM,
Brooks 6256S 6256SCZWCMAC Mass Flow Controller N20 10SLPM,
350.00  19d 20h
BLANK assy 2210627 0537-1S PCB
BLANK assy 2210627 0537-1S PCB
499.00 27d 23h
Ham-let UCV HMB-DGF-20-4VKLOLC-GM4 In/Out Normaly Open 60-90 PSI
Top-Rated Plus Seller Ham-let UCV HMB-DGF-20-4VKLOLC-GM4 In/Out Normaly Open 60-90 PSI
99.99  29d 13h
SerovTechno LPV220 BOARD,
SerovTechno LPV220 BOARD,
300.00  14d 18h
Tol-O-Matic 09200006, Cylinder
Tol-O-Matic 09200006, Cylinder
200.00  15d 5h
Amat 0050-10029    N2 Vent Weldment, Loadlack Side ,
Amat 0050-10029 N2 Vent Weldment, Loadlack Side ,
249.00  11d 4h
Brooks 6256S 6256SCZWCMAC Mass Flow Controller N20 20SLPM,
Brooks 6256S 6256SCZWCMAC Mass Flow Controller N20 20SLPM,
350.00  13d 3h
APPLIED MATERIALS 0150-21440 Serial Printer Cable Assembly
APPLIED MATERIALS 0150-21440 Serial Printer Cable Assembly
189.99  13d 19h
Asm 16-193623-01 Susceptor-150mm 1.2mm Thick Substrate
Asm 16-193623-01 Susceptor-150mm 1.2mm Thick Substrate
1,625.00  24d 20h
134-0401// Pfeiffer Tmh260 Tmh 260 Pm P02 130 Pump
134-0401// Pfeiffer Tmh260 Tmh 260 Pm P02 130 Pump
1,000.00 29d 4h
ASML 20884-01 Shield Support P1
ASML 20884-01 Shield Support P1
700.00  11d 20h
Nikon 4S017-647-C PCB NSR System Control AIRCTRL
Nikon 4S017-647-C PCB NSR System Control AIRCTRL
550.00  11d 20h
Asm 16-404987-01 Shield-side Wall Reactor
Asm 16-404987-01 Shield-side Wall Reactor
550.00  24d 20h
Amat 1040-00183 Meter Flow Water ,
Amat 1040-00183 Meter Flow Water ,
550.00  16d 4h
LTX Corporation 865-0152-00 Station Controller TS80
LTX Corporation 865-0152-00 Station Controller TS80
1,100.00  26d 23h
LTX Corporation Analog Control TS80 60Hz PN 865-0010-00
LTX Corporation Analog Control TS80 60Hz PN 865-0010-00
1,100.00  26d 23h
Novellus, 15-01118-00, Washer, Lift, OEM
Novellus, 15-01118-00, Washer, Lift, OEM
90.00  8d 22h
124-0103// Amat Applied 0020-28113 Applied Matrials Components
124-0103// Amat Applied 0020-28113 Applied Matrials Components
1,200.00 10d 5h
Applied Materials 233-2700-02 Ceramic Insert
Applied Materials 233-2700-02 Ceramic Insert
850.00  22d 20h
264-37254-0200 Sola Component Type Custom Rectifier 86-24-310  #10239
264-37254-0200 Sola Component Type Custom Rectifier 86-24-310 #10239
10.20  14d 17h
Aixtron 41608-02 Type 02 3-Inlet NF Gas Line
Aixtron 41608-02 Type 02 3-Inlet NF Gas Line
289.99  4d 20h
123-0102// Amat Applied 3020-00225 Cyl Air 50mm Bore 50mm Stroke Dbl Rod
123-0102// Amat Applied 3020-00225 Cyl Air 50mm Bore 50mm Stroke Dbl Rod
300.00 26d 6h
123-0501// Fine Esf1500-24 Suntronix
123-0501// Fine Esf1500-24 Suntronix
200.00 8d 5h
Power One Rpm5qfqfkbs615 Power Supply
Power One Rpm5qfqfkbs615 Power Supply
499.00  14d 15h
 Eaton Axcelis Gsd 200 Shield Extraction Graphite
 Eaton Axcelis Gsd 200 Shield Extraction Graphite
129.99  6d 19h
323-0202// Amat Applied 3700-01707 (3ea) Applied Matrials Components
323-0202// Amat Applied 3700-01707 (3ea) Applied Matrials Components
360.00 20d 3h
7094 Tokyo Electron Pcb Ttld10-12 F-di_32 3880-200112-11
7094 Tokyo Electron Pcb Ttld10-12 F-di_32 3880-200112-11
830.83  10d 3h
LOT 10 Wiltron Microcircuits Eprom 54-166-06/54-166-07/54-167-06/54-168-06 &
LOT 10 Wiltron Microcircuits Eprom 54-166-06/54-166-07/54-167-06/54-168-06 &
299.00  13d 1h
APPLIED MATERIALS 0040-70149 STD CH Arm Source Weldment
APPLIED MATERIALS 0040-70149 STD CH Arm Source Weldment
1,249.99  25d 19h
Axcelis Flag Strike Plate - Graphite VIG Version 17066871 (99130V)
Axcelis Flag Strike Plate - Graphite VIG Version 17066871 (99130V)
70.00  7d 6h
Flowline L010-1303 Optic Sensor, Level, Optical
Flowline L010-1303 Optic Sensor, Level, Optical
275.00 5d 20h
438-0303// Oriental Motor 5850-mdrl (cut Cable) Motor []
438-0303// Oriental Motor 5850-mdrl (cut Cable) Motor []
200.00 6d 3h
438-0302// Oriental Motor 5843-mdrl (unclean) Motor []
438-0302// Oriental Motor 5843-mdrl (unclean) Motor []
150.00 6d 3h
Applied Materials 0020-01155 Ceramic Deposition Ring
Applied Materials 0020-01155 Ceramic Deposition Ring
900.00  22d 20h
Applied Materials 0200-09405 Nitride Plate
Applied Materials 0200-09405 Nitride Plate
1,000.00  22d 20h
Applied Materials 0020-24100 PCII Insulator Quartz (Copper Only)
Applied Materials 0020-24100 PCII Insulator Quartz (Copper Only)
1,000.00  22d 20h
341-0103// Amat Applied 0020-70203 Plate Chamber Blankoff
341-0103// Amat Applied 0020-70203 Plate Chamber Blankoff
400.00 1d 23h
Mks 270b Signal Conditioner
Mks 270b Signal Conditioner
199.00  19d 19h
Tokyo Electron 3895-120315-11 3880-200034-11 Formula Assy, Elec Bm Ctrl ,
Tokyo Electron 3895-120315-11 3880-200034-11 Formula Assy, Elec Bm Ctrl ,
6,000.00  13d 10h
344-0502// Amat Applied 0090-40011 Reflex Sensor Assy, Load Lock []
344-0502// Amat Applied 0090-40011 Reflex Sensor Assy, Load Lock []
90.00 16d 4h
Amat 0150-19835 Cable Assy, Smif Indexr C,
Amat 0150-19835 Cable Assy, Smif Indexr C,
250.00  7d 9h
Amat 0150-19833 Cable Assy, Smif Indexr C,
Amat 0150-19833 Cable Assy, Smif Indexr C,
250.00  7d 9h
Ibt Industrial Solutions As252 O-ring, 5 Pack -
Ibt Industrial Solutions As252 O-ring, 5 Pack -
9.99  24d 14h
Ibt Industrial Solutions As-229 O-ring, 9 Pack -  (opened)
Ibt Industrial Solutions As-229 O-ring, 9 Pack -  (opened)
9.99  24d 14h
A111E1 And 111M4A For AG Asscoiates Heatpulse?
A111E1 And 111M4A For AG Asscoiates Heatpulse?
960.00  8d 22h
428-0402// Koganei Rans50-90 Reducer []
428-0402// Koganei Rans50-90 Reducer []
100.00 3d 2h
428-0302// Maxon M030760 (4ea) Motor []
428-0302// Maxon M030760 (4ea) Motor []
70.00 3d 2h
430-0103// Pacific P21nsxc-lnn-ns-03 (broken) Motor []
430-0103// Pacific P21nsxc-lnn-ns-03 (broken) Motor []
100.00 3d 22h
130-0402// Amat Applied 0010-09317 Level Sense/tempurature
130-0402// Amat Applied 0010-09317 Level Sense/tempurature
350.00 29d 7h
AG Associates Heatpulse Susceptor 7310-1028-02
AG Associates Heatpulse Susceptor 7310-1028-02
999.00 24d 18h
AMAT 0140-38438 Harness Assy, DTRL Spill Interlock, GPLI, Cable, 413861
AMAT 0140-38438 Harness Assy, DTRL Spill Interlock, GPLI, Cable, 413861
250.00 17h 58m
TGL-99-154-001 Tegal PCB Tegal Spare Parts
TGL-99-154-001 Tegal PCB Tegal Spare Parts
799.00 5d 14h
343-0403// Amat Applied 0020-77236  Ball Screw Clamp
343-0403// Amat Applied 0020-77236 Ball Screw Clamp
400.00 24d 2h
146-0501// Amat Applied 0150-21228 Cable Assychamber D Intcnt--50
146-0501// Amat Applied 0150-21228 Cable Assychamber D Intcnt--50
350.00 1d 23h
Amat 0150-21830 Cable Assy Emc Comp Pump,
Amat 0150-21830 Cable Assy Emc Comp Pump,
250.00  7d 21h
Kawasaki 50979-2183L01 Cable Harness Assembly for NS410TDC61
Kawasaki 50979-2183L01 Cable Harness Assembly for NS410TDC61
495.00 6d 14h
Amat 0021-79310 Adapter - Flexible Coupling ,
Amat 0021-79310 Adapter - Flexible Coupling ,
550.00  7d 20h
Keithley 9162 Pau Card
Keithley 9162 Pau Card
1,299.00  21d 7h
Forte Automation B-6402-1404 System Nozzle
Forte Automation B-6402-1404 System Nozzle
59.25 25d 16h
343-0501// Amat Applied 0020-83727 Spacer,spring Std.
343-0501// Amat Applied 0020-83727 Spacer,spring Std.
150.00 17d 4h
2 AMAT 0020-99239, Insulator, EF, Stepped. 419358
2 AMAT 0020-99239, Insulator, EF, Stepped. 419358
110.00 2d 21h
Amat Applied Materials 3400-01134 Hose Assy Flex Braid 1/4idx23.5"l1/4vcr-f/f Ss
Amat Applied Materials 3400-01134 Hose Assy Flex Braid 1/4idx23.5"l1/4vcr-f/f Ss
65.00 16d 12h
HP / Agilent E5515- 60221-3947-01-200014-81073 PCB Board Made in USA
HP / Agilent E5515- 60221-3947-01-200014-81073 PCB Board Made in USA
99.00  7d 16h
Delta Tau PCB Assy 603625-104 / 103 / 102
Delta Tau PCB Assy 603625-104 / 103 / 102
350.00  13d 2h
Pentek Filter 145722
Pentek Filter 145722
62.99 19d 18h
SVG ASML 859-0961-002 REV C A 1850 DC Power Supply with 30 day warranty
SVG ASML 859-0961-002 REV C A 1850 DC Power Supply with 30 day warranty
950.00 26d 16h
SBA EGS 124-245 Electrostatic Ionizer with 14 day warranty
SBA EGS 124-245 Electrostatic Ionizer with 14 day warranty
950.00 23d 19h
Cas Tech Pa-21sr, 80520.3-9,0, Pressure Sensor
Cas Tech Pa-21sr, 80520.3-9,0, Pressure Sensor
250.00  17d 23h
999-9999// Amat Applied 0200-10286 (delivery 28 Days) Ring, 6&8 [2nd ]
999-9999// Amat Applied 0200-10286 (delivery 28 Days) Ring, 6&8 [2nd ]
250.00 24d 4h
4004-0050 AG Associates  Power One Model HN12-5.1-A Power Supply
4004-0050 AG Associates Power One Model HN12-5.1-A Power Supply
950.00  10d 16h
Sinmou Sakai Led Power Supply Lpap2p-1210ncw Including Illuminators
Sinmou Sakai Led Power Supply Lpap2p-1210ncw Including Illuminators
249.99 19d 7h
Clean Factomation Cfi Hid-3676a-1 Power Board Not In Box
Clean Factomation Cfi Hid-3676a-1 Power Board Not In Box
499.90 7d 23h
AMAT 1270-00485 Pressure Switch,
AMAT 1270-00485 Pressure Switch,
400.00  9d 16h
Brooks Sla7950s Mfc  Gas : Sih4, Flow Rate : 2000 Sccm
Brooks Sla7950s Mfc Gas : Sih4, Flow Rate : 2000 Sccm
220.00  15d 3h
Amat 0140-12030, Extension Cable
Amat 0140-12030, Extension Cable
300.00  18d 4h
7200-0649-02 E For AG Asscoiates Heatpulse
7200-0649-02 E For AG Asscoiates Heatpulse
950.00  8d 20h
Amat 0190-77499 Universal 3m Diamond Disk ,
Amat 0190-77499 Universal 3m Diamond Disk ,
550.00  8d 2h
138-0501// Pearl Kogyo Zdk-926-100m (broken) Control Asis
138-0501// Pearl Kogyo Zdk-926-100m (broken) Control Asis
1,300.00 1d 3h
Matrox 750-0203 Rev A Meteor2/4 with 14 day warranty
Matrox 750-0203 Rev A Meteor2/4 with 14 day warranty
295.00 18d 14h
Crown Yutaka  Sr-ih-opx Regulator
Crown Yutaka Sr-ih-opx Regulator
250.00  5d 3h
Mykrolis AARGD1B1 IntelliFlow 3XP - Digital Mass Flow Controller N2 649SCC,
Mykrolis AARGD1B1 IntelliFlow 3XP - Digital Mass Flow Controller N2 649SCC,
500.00  24d 20h
 Gems Sensors 25329 Level Switch
 Gems Sensors 25329 Level Switch
49.50  28d 10h
Applied Ceramics 91-00535A Window Recessed Endpoint, 414778
Applied Ceramics 91-00535A Window Recessed Endpoint, 414778
150.00 28d 21h
156-0203// Amat Applied 1410-01086 Htr Jkt Foreline Seiko- Seiki  
156-0203// Amat Applied 1410-01086 Htr Jkt Foreline Seiko- Seiki
350.00 26d 2h
Brooks SLA7950S1EGG1B2A1 GAS : SIH4 500SCCM,
Brooks SLA7950S1EGG1B2A1 GAS : SIH4 500SCCM,
500.00  23d 0h
342-0303// Amat Applied 0040-21368 Bracket, Left, Adapter, Water 2nd Source
342-0303// Amat Applied 0040-21368 Bracket, Left, Adapter, Water 2nd Source
400.00 15d 22h
Cosel STMGFS PCB Mount 15W Isolated DC-DC Converter STMGFS154805
Top-Rated Plus Seller Cosel STMGFS PCB Mount 15W Isolated DC-DC Converter STMGFS154805
63.25 1d 15h
2105-320027-12 Rev 0 Rev 12-214Quartz Shutter ROHM Device
2105-320027-12 Rev 0 Rev 12-214Quartz Shutter ROHM Device
950.00 17d 18h
Patlite Lce-fbk Light Tower, 3-light, 24v Ac/dc, Red, Green, Yellow,
Patlite Lce-fbk Light Tower, 3-light, 24v Ac/dc, Red, Green, Yellow,
120.00  17d 4h
143-0303// Amat Applied 0150-55148 Applied Matrials Components
143-0303// Amat Applied 0150-55148 Applied Matrials Components
400.00 5h 3m
Bay Pneumatic BES-530 PCB Board BES-530-8-PCB
Bay Pneumatic BES-530 PCB Board BES-530-8-PCB
199.00  29d 15h
130-0202// AMAT APPLIED 0100-09010 wPCB ASSY, BACKPLANE SYSTEM EL
130-0202// AMAT APPLIED 0100-09010 wPCB ASSY, BACKPLANE SYSTEM EL
500.00 2d 2h
123-0102// Amat Applied 3020-01073 Cyl Air 2" Bore 3" Str Dbl Acting
123-0102// Amat Applied 3020-01073 Cyl Air 2" Bore 3" Str Dbl Acting
600.00 26d 6h
Applied Materials Ampule
Applied Materials Ampule
1,500.00  8d 16h
2 TEL, Tokyo Electron, SR00264, O-ring, 406496
2 TEL, Tokyo Electron, SR00264, O-ring, 406496
100.00 3d 15h
Oriental Motor Vexta Fbld75a Brushless Dc Motor Driver Fbld 75 A
Oriental Motor Vexta Fbld75a Brushless Dc Motor Driver Fbld 75 A
225.00  21d 12h
AG Associates 7310-2935-01A For Heatpulse 4100 6 Inch
AG Associates 7310-2935-01A For Heatpulse 4100 6 Inch
1,100.00  13d 17h
146-0701// Amat Applied 0150-09678 Cable Assy,ampule Heater Power Interconn
146-0701// Amat Applied 0150-09678 Cable Assy,ampule Heater Power Interconn
350.00 1d 0h
Varian Novellus 04-708192-03 Shield Horizontal Pass Through 406197
Varian Novellus 04-708192-03 Shield Horizontal Pass Through 406197
125.00 22d 19h
Fastcom 232/8-PCI Asynchronous Eight 8 Port RS232 Interface Adapter
Fastcom 232/8-PCI Asynchronous Eight 8 Port RS232 Interface Adapter
120.00  26d 20h
Genus 34523-00 Rev.5 34524-00 Rev.4 Dc Power Supply Lynx3
Genus 34523-00 Rev.5 34524-00 Rev.4 Dc Power Supply Lynx3
499.99  23d 18h
AMAT 0150-20345 Cable Assy, Dual EMO Interconnect, 418121
AMAT 0150-20345 Cable Assy, Dual EMO Interconnect, 418121
100.00 8d 15h
125-0204// Amat Applied 0021-01317 Outer Shield, Txz 200mm
125-0204// Amat Applied 0021-01317 Outer Shield, Txz 200mm
300.00 11d 4h
Oven Hot Plate  SVG P/N 16218-03
Oven Hot Plate SVG P/N 16218-03
379.00  28d 5h
B5-3.0 OVP Condor Inc Power Supply
B5-3.0 OVP Condor Inc Power Supply
680.00  10d 16h
342-0101// Amat Applied 0020-29342 Nut Rf Feedthru .250" Wide Vec
342-0101// Amat Applied 0020-29342 Nut Rf Feedthru .250" Wide Vec
350.00 21d 0h
130-0501// AMAT APPLIED 0100-09026 wPCB ASSY, WIRING DISTR.
130-0501// AMAT APPLIED 0100-09026 wPCB ASSY, WIRING DISTR.
1,200.00 11d 4h
2322-00 /    Motor Turret Compumotor Cp*m83-135-g / Genus Incorporated
2322-00 / Motor Turret Compumotor Cp*m83-135-g / Genus Incorporated
2,435.62  3d 18h
0240-28838 / Kit, Nk 4 Roller Plunger 85-3708-0001-02 / Applied Materials Amat
0240-28838 / Kit, Nk 4 Roller Plunger 85-3708-0001-02 / Applied Materials Amat
3,704.91  5d 21h
9090-00273  /    Devicenet I/o Block Dip294-2 /  Amat
9090-00273 / Devicenet I/o Block Dip294-2 / Amat
2,240.82  22d 15h
593-21161 / 01159-50003 Pwb Os6 Cable Interface Board 1 / Micron
593-21161 / 01159-50003 Pwb Os6 Cable Interface Board 1 / Micron
2,680.62  9d 15h
0020-30629 / Ndm-275-021, Pedestal Poly/wsi 200mm Notch / Applied Materials Amat
0020-30629 / Ndm-275-021, Pedestal Poly/wsi 200mm Notch / Applied Materials Amat
1,837.99  9d 18h
4319604 / Varian Ina 200mm Shuttle Spoon Tapered Left. / Varian 4319604
4319604 / Varian Ina 200mm Shuttle Spoon Tapered Left. / Varian 4319604
2,499.66  22d 21h
Pvp-c-200-fl479 / Ckd Sintered Multipurpose Fluorine Resin Plate / Ckd Corp
Pvp-c-200-fl479 / Ckd Sintered Multipurpose Fluorine Resin Plate / Ckd Corp
1,992.66  9d 15h
341-0103// Amat Applied 0020-22548 Plate Blankoff Shutter Arm
341-0103// Amat Applied 0020-22548 Plate Blankoff Shutter Arm
300.00 1d 23h
Amat 0050-10115    Tube Weldment Cap Man Vcr ,
Amat 0050-10115 Tube Weldment Cap Man Vcr ,
299.00  11d 3h
Edward Iq Interface Module
Edward Iq Interface Module
300.00  25d 18h
Gardasoft Vision PP863 Controller with 30 day warranty
Gardasoft Vision PP863 Controller with 30 day warranty
350.00 3d 18h
AMAT 0200-01097, Insulator, Ceramic Lift Pin, Esc Assy. 413079
AMAT 0200-01097, Insulator, Ceramic Lift Pin, Esc Assy. 413079
130.00 10d 17h
TERATECH TVC-4R-02 Auto Gate Vavle,
TERATECH TVC-4R-02 Auto Gate Vavle,
200.00  20d 1h
Amat 0050-10863 Plumbing Weldment Adapter Single He In ,
Amat 0050-10863 Plumbing Weldment Adapter Single He In ,
249.00  11d 4h
APPLIED MATERIALS 0021-38040 DP Power Side Door Fan Adapter
APPLIED MATERIALS 0021-38040 DP Power Side Door Fan Adapter
264.99  9d 19h
156-0404// Amat Applied 4315-0022 Applied Matrials Components
156-0404// Amat Applied 4315-0022 Applied Matrials Components
150.00 26d 5h
156-0404// Amat Applied 9520-0269 Applied Matrials Components
156-0404// Amat Applied 9520-0269 Applied Matrials Components
150.00 26d 23h
156-0404// Amat Applied 9520-0271 Applied Matrials Components
156-0404// Amat Applied 9520-0271 Applied Matrials Components
150.00 26d 23h
AMAT 3030-11385 UFC-8565 10L AR Gas MFC Mass Flow Controller Control Modu,
AMAT 3030-11385 UFC-8565 10L AR Gas MFC Mass Flow Controller Control Modu,
500.00  24d 21h
Millipore FC-2902MEP-T Mass Flow Controller PH3 500 SCCM,
Millipore FC-2902MEP-T Mass Flow Controller PH3 500 SCCM,
500.00  22h 31m
MARTONAIR SM / 925/50 / # 8 OTT 3635 pneumatic cylinder
MARTONAIR SM / 925/50 / # 8 OTT 3635 pneumatic cylinder
131.75 13d 12h
Shinkawa IOP-56 board
Shinkawa IOP-56 board
529.00  23d 23h
Tokyo Electron 3880-200035-12 3880-20035-11 Formula Elec Lam Ctrl ,
Tokyo Electron 3880-200035-12 3880-20035-11 Formula Elec Lam Ctrl ,
8,000.00  13d 10h
Festo Control Systems 0010-30955 Facility Interface, Ps, Working
Festo Control Systems 0010-30955 Facility Interface, Ps, Working
600.00  14d 23h
ESI CKT 40839J 16 phase pos encoder module
ESI CKT 40839J 16 phase pos encoder module
199.00  17h 23m
Mycom PS466-01A, 4 Phase Stepping Motor, 6V, 1.2A, 1.8 Deg/Step, 420229
Mycom PS466-01A, 4 Phase Stepping Motor, 6V, 1.2A, 1.8 Deg/Step, 420229
250.00 26d 19h
140-0401// Amat Applied 0040-49556 Cover, Pre-clean/ Cool Down Monolith
140-0401// Amat Applied 0040-49556 Cover, Pre-clean/ Cool Down Monolith
600.00 19d 23h
Amat 0090-77082 Cte Chem Tec Equipment 125-t-bp Nc Switch, Slurry, Flow
Amat 0090-77082 Cte Chem Tec Equipment 125-t-bp Nc Switch, Slurry, Flow
125.00  20d 12h
Invensys U-da71ma Nsnp
Invensys U-da71ma Nsnp
161.00  18d 20h
AMAT 0150-91737, F/O, Spares TB, 300mm/SMA-HP. 417848
AMAT 0150-91737, F/O, Spares TB, 300mm/SMA-HP. 417848
150.00 4d 16h
339-0401// Amat Applied 0021-03061 (broken) Shield, Inner, Txz Asis
339-0401// Amat Applied 0021-03061 (broken) Shield, Inner, Txz Asis
600.00 16d 2h
142-0101// Amat Applied 0150-20250 Cable Assy Heater Ac
142-0101// Amat Applied 0150-20250 Cable Assy Heater Ac
300.00 27d 3h
344-0303// Amat Applied 0020-90931 Screw Vac Cap Hd M5x16 Sst
344-0303// Amat Applied 0020-90931 Screw Vac Cap Hd M5x16 Sst
40.00 15d 22h
344-0303// Amat Applied 0020-91447 Screw Vac Skt Cap Hd M4
344-0303// Amat Applied 0020-91447 Screw Vac Skt Cap Hd M4
40.00 15d 22h
344-0303// Amat Applied 0020-91454 Applied Matrials Component
344-0303// Amat Applied 0020-91454 Applied Matrials Component
40.00 15d 22h
344-0303// Amat Applied 0020-95734 Screw Vac
344-0303// Amat Applied 0020-95734 Screw Vac
40.00 15d 23h
344-0303// Amat Applied 0020-95786 Screw Captive
344-0303// Amat Applied 0020-95786 Screw Captive
40.00 15d 23h
344-0303// Amat Applied 0020-97900 Screw Vac Hex Hd M8x20 St St
344-0303// Amat Applied 0020-97900 Screw Vac Hex Hd M8x20 St St
20.00 15d 23h
344-0303// Amat Applied 3300-03362 Ftg   Tbg Plug 3/8t Hex Sst
344-0303// Amat Applied 3300-03362 Ftg Tbg Plug 3/8t Hex Sst
20.00 16d 0h
344-0303// Amat Applied 3300-03404 Ftg   Tbg Cap 3/8t Sst
344-0303// Amat Applied 3300-03404 Ftg Tbg Cap 3/8t Sst
20.00 16d 0h
344-0401// Amat Applied 0020-39454 Applied Matrials Components
344-0401// Amat Applied 0020-39454 Applied Matrials Components
20.00 16d 4h
344-0401// Amat Applied 0020-70255 Bracket Centerfinder Board
344-0401// Amat Applied 0020-70255 Bracket Centerfinder Board
20.00 16d 4h
323-0401// Amat Applied 0090-09009 Pump Overtemp Connection
323-0401// Amat Applied 0090-09009 Pump Overtemp Connection
40.00 29d 3h
344-0402// Amat Applied 0020-82419 Bracket Cable Mtg 2g Bulkhead
344-0402// Amat Applied 0020-82419 Bracket Cable Mtg 2g Bulkhead
20.00 13d 3h
344-0402// Amat Applied 0020-82420 Bracket Cable Mtg 3d Bulkhead
344-0402// Amat Applied 0020-82420 Bracket Cable Mtg 3d Bulkhead
20.00 13d 3h
344-0402// Amat Applied 0020-92896 Screw,vac Btn Hd M4x16
344-0402// Amat Applied 0020-92896 Screw,vac Btn Hd M4x16
10.00 13d 3h
344-0403// Amat Applied 0690-01029 Clamp Hose Press-0n Brs
344-0403// Amat Applied 0690-01029 Clamp Hose Press-0n Brs
10.00 19d 23h
344-0403// Amat Applied 3780-01106 Sprcprsn .750fl X .120od X .020wire-dia
344-0403// Amat Applied 3780-01106 Sprcprsn .750fl X .120od X .020wire-dia
10.00 20d 0h
344-0403// Amat Applied 3810-01060 Stud  Self-ejecting 1/4 Turn S
344-0403// Amat Applied 3810-01060 Stud Self-ejecting 1/4 Turn S
20.00 20d 1h
344-0403// Amat Applied 3940-90064 Screw, Captive, Medium []
344-0403// Amat Applied 3940-90064 Screw, Captive, Medium []
20.00 20d 1h
344-0403// Amat Applied 3950-01014 Spray Nozzle
344-0403// Amat Applied 3950-01014 Spray Nozzle
20.00 20d 1h
344-0501// Amat Applied 0015-01003 Washer Modified
344-0501// Amat Applied 0015-01003 Washer Modified
20.00 9d 0h
344-0501// Amat Applied 0015-09360 Washer,captive,10-32
344-0501// Amat Applied 0015-09360 Washer,captive,10-32
10.00 9d 0h
344-0501// Amat Applied 0020-30448 Spacer, Flow Sensor
344-0501// Amat Applied 0020-30448 Spacer, Flow Sensor
40.00 9d 2h
344-0501// Amat Applied 0020-40675 Applied Matrials Components
344-0501// Amat Applied 0020-40675 Applied Matrials Components
40.00 9d 2h
344-0501// Amat Applied 0021-15291 Applied Matrials Components
344-0501// Amat Applied 0021-15291 Applied Matrials Components
10.00 9d 3h
344-0501// Amat Applied 0021-21221 Applied Matrials Components
344-0501// Amat Applied 0021-21221 Applied Matrials Components
20.00 9d 3h
344-0501// Amat Applied 1230-01053 Skt   Rly Adapter Snap-mt
344-0501// Amat Applied 1230-01053 Skt Rly Adapter Snap-mt
10.00 9d 3h
344-0501// Amat Applied 1270-01194 Sw Tgl Spdt Pnl-mt On-none-on
344-0501// Amat Applied 1270-01194 Sw Tgl Spdt Pnl-mt On-none-on
20.00 9d 3h
344-0501// Amat Applied 3410-01004 Insert, Tube 1/4od
344-0501// Amat Applied 3410-01004 Insert, Tube 1/4od
10.00 9d 3h
344-0501// Amat Applied 3780-01091 Wshr  Wave Sprg 3wave .48od X .35id X .1
344-0501// Amat Applied 3780-01091 Wshr Wave Sprg 3wave .48od X .35id X .1
10.00 9d 3h
344-0501// Amat Applied 3780-02257 Spr   Cprsn .62fl X .300od X .
344-0501// Amat Applied 3780-02257 Spr Cprsn .62fl X .300od X .
10.00 9d 4h
344-0501// Amat Applied 3880-01836 Wshr  Blvl #8 .315od X .165id X .016t
344-0501// Amat Applied 3880-01836 Wshr Blvl #8 .315od X .165id X .016t
20.00 9d 4h
344-0501// Amat Applied 4040-01006 (2ea) Plngr Spr Hvy-end 10-32x 15/32
344-0501// Amat Applied 4040-01006 (2ea) Plngr Spr Hvy-end 10-32x 15/32
20.00 9d 4h
344-0503// Amat Applied 3550-01082 Spring Plunger
344-0503// Amat Applied 3550-01082 Spring Plunger
10.00 12d 3h
344-0503// Amat Applied 3810-01041 Applied Matrials Components
344-0503// Amat Applied 3810-01041 Applied Matrials Components
10.00 12d 4h
345-0101// Amat Applied 0021-10470 Key,hub Clamp
345-0101// Amat Applied 0021-10470 Key,hub Clamp
10.00 3d 2h
345-0101// Amat Applied 0190-76010 Spec Cntl Dwg, Eprom, Prog Oms
345-0101// Amat Applied 0190-76010 Spec Cntl Dwg, Eprom, Prog Oms
20.00 3d 2h
345-0101// Amat Applied 1390-01137 Wire Strd 20awg Brown 600v Pvc
345-0101// Amat Applied 1390-01137 Wire Strd 20awg Brown 600v Pvc
20.00 3d 4h
345-0101// Amat Applied 1390-01427 Wirestrd 24awg Vio/orn 150v 80
345-0101// Amat Applied 1390-01427 Wirestrd 24awg Vio/orn 150v 80
20.00 3d 4h
345-0103// Amat Applied 0190-00049 Cable, Flex Fl 4cond 26
345-0103// Amat Applied 0190-00049 Cable, Flex Fl 4cond 26
20.00 5d 0h
318-0302// Amat Applied 1390-01320 Wire Strd 12awg Blk
318-0302// Amat Applied 1390-01320 Wire Strd 12awg Blk
20.00 5d 3h
318-0303// Amat Applied 910066 Applied Matrials Components
318-0303// Amat Applied 910066 Applied Matrials Components
10.00 5d 4h
326-0403// Amat Applied 0620-01320 Applied Matrials Components
326-0403// Amat Applied 0620-01320 Applied Matrials Components
20.00 4d 4h
326-0403// Amat Applied 0620-01509 Applied Matrials Components
326-0403// Amat Applied 0620-01509 Applied Matrials Components
20.00 4d 4h
141-0501// Amat Applied 0150-00837 Applied Matrials Components
141-0501// Amat Applied 0150-00837 Applied Matrials Components
40.00 9d 2h
141-0501// Amat Applied 0150-20039 Cable Assy, Di/o Controller 1 Interconne
141-0501// Amat Applied 0150-20039 Cable Assy, Di/o Controller 1 Interconne
40.00 9d 2h
141-0601// Amat Applied 0150-20984 Applied Matrials Components
141-0601// Amat Applied 0150-20984 Applied Matrials Components
20.00 7d 22h
141-0602// Amat Applied 0140-18092 Applied Matrials Components
141-0602// Amat Applied 0140-18092 Applied Matrials Components
20.00 7d 22h
141-0602// Amat Applied 0140-70090 Applied Matrials Components
141-0602// Amat Applied 0140-70090 Applied Matrials Components
40.00 7d 22h
141-0602// Amat Applied 0224-02578 Applied Matrials Components
141-0602// Amat Applied 0224-02578 Applied Matrials Components
50.00 8d 2h
141-0602// Amat Applied 0226-97020 (3ea) Applied Matrials Components
141-0602// Amat Applied 0226-97020 (3ea) Applied Matrials Components
20.00 8d 4h
141-0602// Amat Applied 0227-03855 Applied Matrials Components
141-0602// Amat Applied 0227-03855 Applied Matrials Components
10.00 8d 4h
141-0603// Amat Applied 0150-21622 Cable Assy, Emo Jumper, Shp-fabs
141-0603// Amat Applied 0150-21622 Cable Assy, Emo Jumper, Shp-fabs
20.00 8d 5h
141-0701// Amat Applied 0150-16031 Cable Assy,eci Power-4
141-0701// Amat Applied 0150-16031 Cable Assy,eci Power-4
20.00 19d 23h
141-0701// Amat Applied 0150-70101 Applied Matrials Components
141-0701// Amat Applied 0150-70101 Applied Matrials Components
10.00 20d 2h
141-0701// Amat Applied 0224-46195 Applied Matrials Components
141-0701// Amat Applied 0224-46195 Applied Matrials Components
10.00 20d 2h
142-0502// Amat Applied 0150-14015 Cable Autoldr Intfc Pcb Interc
142-0502// Amat Applied 0150-14015 Cable Autoldr Intfc Pcb Interc
40.00 29d 2h
142-0602// Amat Applied 0226-97024 Applied Matrials Components
142-0602// Amat Applied 0226-97024 Applied Matrials Components
20.00 25d 1h
142-0602// Amat Applied 0227-25222 Applied Matrials Components
142-0602// Amat Applied 0227-25222 Applied Matrials Components
10.00 25d 1h
142-0703// Amat Applied 0226-32285 Cable, Press, Exha, Intrconnct
142-0703// Amat Applied 0226-32285 Cable, Press, Exha, Intrconnct
10.00 28d 5h
142-0703// Amat Applied 0226-97025 Applied Matrials Components
142-0703// Amat Applied 0226-97025 Applied Matrials Components
10.00 28d 5h
142-0703// Amat Applied 0227-27018 Applied Matrials Components
142-0703// Amat Applied 0227-27018 Applied Matrials Components
30.00 28d 5h
142-0703// Amat Applied 0227-34820 Applied Matrials Components
142-0703// Amat Applied 0227-34820 Applied Matrials Components
30.00 28d 5h
143-0503// Amat Applied 0140-36461 Applied Matrials Components
143-0503// Amat Applied 0140-36461 Applied Matrials Components
20.00 5d 3h
143-0503// Amat Applied 0150-09802 Cable Assy,neutral Power Lead
143-0503// Amat Applied 0150-09802 Cable Assy,neutral Power Lead
10.00 4d 5h
143-0503// Amat Applied 0150-20212 Cable Assy,final Valve Bypass
143-0503// Amat Applied 0150-20212 Cable Assy,final Valve Bypass
20.00 10d 23h
143-0503// Amat Applied 0150-39383 Cable,ballast Output To Pcb,pw
143-0503// Amat Applied 0150-39383 Cable,ballast Output To Pcb,pw
20.00 10d 23h
347-0302// Amat Applied 0020-39915 Grommet,hole 1.125dia Sld Box
347-0302// Amat Applied 0020-39915 Grommet,hole 1.125dia Sld Box
20.00 20d 23h
340-0201// Amat Applied 0020-02050 Stop Lower
340-0201// Amat Applied 0020-02050 Stop Lower
20.00 25d 2h
340-0201// Amat Applied 0190-02908 Bracket Ado Indicator Lights
340-0201// Amat Applied 0190-02908 Bracket Ado Indicator Lights
30.00 25d 2h
340-0201// Amat Applied 0226-34568 Brkt,sw Cass. Pos.
340-0201// Amat Applied 0226-34568 Brkt,sw Cass. Pos.
40.00 25d 2h
352-0401// Amat Applied 0020-09816 Bracket Rear Mounting
352-0401// Amat Applied 0020-09816 Bracket Rear Mounting

首页 | 产品中心 | 服务中心 | 新闻中心 | 关于我们 | 联系我们
Top