< 返回产品中心
备件清单724
产品图片:
型号:1
品牌:进口
应用:工业设备
单价:¥1
产品图片:

产品描述:

Mellen    TC-12-6 X 96 M-8 Z    Tube Furnace    "- 1200* C
- 8 Honeywell UDC 3000 Versa-Pro set point controllers
- Hot zone: 96 inches
- Furnace configured with (6)1"" ID ceramic tubes
- Furnace will accept 5-3/4"" OD. process tube
- Power requirements:
- Zones 1-8, 240V, 19.2A each"
Sentro Tech    STT-1200 C -3-12-QZ    High Temperature Tube Furnace    "- 1200* C
- Programmable
- Tube: OD. 3 1/8"" ID. 2 13/16"" Length 36""
- Controller: 30 Segments programmable
- Heat zone length: 12""
- 208 V
- 15.0 A
- 60 Hz
- 1 Phase"
Centorr    6040 x 16 WW 06 S 6 A 30    Vacuum Furnace    "- Water Cooled
- 1900* C
- Gas input: inert, argon
- Transformer capacity 75 kVA
- SCR, air cooled power supply
- Toggle switch operation for vacuum system valves
- 6"" diffusion pump; roughing vacuum pump
- Power Reqs: 480 V"
EKT    CV 500    Conveyor   
Vitronics Soltec    MR 933 +    SMT Oven   
Flourish    FL-802 S    Components Preform Machine   
Chroma    19032    Electrical Safety Analyzer   
Flourish    FL-802 S    Components Preform Machine   
JET    300 NT    ICT Test Equipment   
JOT    PU 400    Bare Board Unstaker   
EKT    CV 500    Conveyor   
Flow Track    Flowave 400 F    Wave Soldering Machine   
Siemens    Siplace HS 50    SMT Machine    "- 4 Revolver heads DLM1 - 12 segments each. Up to 18x18 parts camera
- 4 Trollers, Feeders 8mm => 3, Feeders 12/16 mm => 3, Feeders 3x8mm => 13
- Pressure: 6-10 bar
- Frequency: 50/60 Hz
- Number of Phases: 1:3
- Voltage: 230/400
- Power: 4.0 KW"
Microlas    Conpex 205    Excimer Laser    "- Wavelength 248nm
- Max pulse energy 700mJ
- Beam size DIA 24mm X 10mm "
K&S    8028       
        Lot of Electric Test Equipment   
VWR    1330 FM    Temperature Chamber   
Cincinnati Sub Zero    ZPH-32-3.5-3.5-SC/AC    Temperature / Humidity Chamber   
Tenney    T 14 RG    Thermal Chamber   
Tenney    BTC    Thermal Chamber   
Joel    JBX-5500 FS    E-beam Direct Write Lithography System    "Electron-beam lithography system that employs spot-beam vector scanning for sub-micron and nano-lithography
Two selectable writing modes:
High-resolution writing mode (5th Lens mode) for nano-lithography
High-speed writing mode (4th Lens mode) for sub-micron lithography
Accelerating voltage is also selectable either 25kV or 50kV
Beam scanning speed: 12MHz
Stage position is controlled by high-precision laser interferometer
Control system: Microsoft® Windows® PC

Minimum feature size:
High-resolution writing mode 50Kv: </= 10 nm (at the field center)

Overlay accuracy:
High-resolution writing mode 50kV:</= 40 nm (3 sigma)
High-speed writing mode 25kV (1200 um Field): </= 70 nm (3 sigma)

Field stitching accuracy:
High-resolution writing mode 50kV: </= 40 nm (3 sigma)
High-speed writing mode 25kV (1200 um Field): </= 70 nm (3 sigma)

Performance:
Electron beam:
Beam shape: Spot (Gaussian) beam
Accelerating voltage: 50 kV, 25 kV
Beam current: 30 pA to 20 nA
Beam deflection method: Vector scan (Random access)
Writing field:
High-resolution writing mode:
50kV: Up to 100 um X 100 um
25kV: Up to 200 um 200 um
High-speed writing mode:
50kV: Up to 1000 um
25kV: Up to 2000 um
Beam positioning DAC: (18) bits
Beam-positioning unit:
High-resolution writing mode:
50kV: 0.5 nm
25kV: 1 nm
High-speed writing mode:
50kV: 5 nm
25kV: 10 nm
Beam scanning DAC: (12) bits
High-resolution writing mode:
50kV: 0.5 nm x N
25kV: 1 nm x N
High-speed writing mode:
50kV: 5 nm x N
25kV: 10 nm x N
Beam scanning speed: 83.3 ns to 4 ms/scanning step size (12 MHz to 250 Hz, respectively)
Field correction function:
Deflection correction: Amplitude, Rotation
Deflection aberration correction: Deflection distortion

Stage movement:
Method: Step and Repeat
Stage position measurement: Laser interferometer
Positional step size: lambda/1024 (approx. 0.6 nm)
Stage movement range: 104 x 75 mm
Writing area: 75 x 75 mm
Moving speed: Up to 10 mm/s

Material Transfer:
Loader
Manual loader: Single cassette loading mechanism
Cassette (Substrate Holder)
Wafer size: 2 to 4 inch
Wafer loading/unloading: Manual
Input pattern data:
Data format: JEOL52(V3.0)

Writing field:
High-resolution writing mode:
50kV: Up to 100 um x 100 um
25kV: Up to 200 um x 200 um
High-speed writing mode:
50kV: Up to 1000 um x 1000 um
25kV: Up to 2000 um x 2000 um

Specified resolution:
High-resolution writing mode:
50kV: 0.5 nm
25kV: 1 nm
High-speed writing mode:
50kV: 5 nm
25kV: 10 nm

Writing functions:
Cyclic correction: Dose correction, Beam position correction, Beam deflection system correction
Shot time modulation: Up to 256 ranks
Field shift overlapping writing

Design Functions:
Data format: JEOL01
Data conversion output: JEOL52(V3.0)
Draw-able figure: Rectangle, Circle, Polygon, Line, Ring
Editing: Flip, Rotation, Copy & Paste, Duplicate, Grouping
Utility: Reticular, Radial, Fresnel ring generator
Figure map display: Display whole and partial drawing
Others: JEOL52(V3.0) display

Configration:
Component Systems
Electron beam column
Electron source: ZrO/W emitter (Thermal field emission source)
Electron beam optics:
Beam alignment coil
Beam blanker
Lens (de-magnifying, illumination)
Objective lens (4th Lens, 5th Lens)
Beam deflector (1st Deflector, 2nd Deflector)
Stigmator: Objective aperture (4 holes)

Electron beam detection: Back-scattered electron detector, Secondary electron detector, Absorbed current
detector
Material-driving system: XY stage, Laser interferometer system
Material transfer: Manual loader (one cassette can be loaded)
Control CPU system
Personal computer: HP series
Workstation: SUN series
Board CPU
Evacuation system: Vacuum pumps, Valves
Frame
Anti-vibration: Mount

Software
Operating system
Personal computer: Windows XP
Workstation: Solaris 10
Writing preparation: Pattern design GUI
System control: Main GUI, System calibration GUI, Writing GUI

Installation requirements:
Power Supply
Voltage and Capacity:
Single-phase, 100 V, 4 kVA: (2) Lines
Single-phase, 200 V, 8 kVA: (1) Line
Three-phase, 200 V, 4.8 kVA: (1) Line
Power supply frequency tolerance
50 Hz regions: 47 Hz to 53 Hz
60 Hz regions: 57 Hz to 63 Hz
Voltage variation tolerance
For 1 cycle or more: -5% to +10 %
For less than 1 cycle
Sag (voltage sink): </= 10 %
Surge (voltage rise): </= 10 %
Notch: </= 200 V
Spike: </= 200 V
Grounding (forbidden to be with other instruments)
Ground wire (for exclusive use): 100 0hm or less (D class)
For 0.15 MHz to 0.5 MHz: </= 79 dBuV (quasi peak value); </= 66 dBuV
For 0.5 MHz to 30 MHz: </= 73 dBuV (quasi peak value); </= 60 dBuV
For less than 0.15 MHz, compatible with the level at 0.15 MHz

Primary Cooling Water
Flow rate: 6 L/min (at 25 C) or 13 L/min (at 32 C)
Supply pressure: 0.15 to 0.5 MPa gauge pressure at maximum
Temperature: 15 to 32C
Connection form: Braided hose (inside diameter 15 mm, outside diameter 22 mm)
Overflow drain: No backing pressure
pH (at 25 C): 6.0 to 8.0
Electrical conductivity (mS/m) (at 25 C): </= 30
Chloride ion (mg Cl–/L): </= 50
Sulfate ion (mg SO42–/L): </= 50
Total hardness (mg CaCO3/L): </= 70
Calcium hardness (mg CaCO3/L): </= 50
Ionic silica (mg SiO2/L): </=30
Iron (mg Fe/L): </= 0.3
Sulfide ion: Not detected
Ammonium ion (mg NH4+/L): </= 0.1

High-pressure gas
Material: Nitrogen gas or Clean Dry Air
Supply pressure: 0.5 MPa
Maximum flow rate: 50 L/min
Connection form: 6 mm in diameter
Low-pressure Gas
Material: Nitrogen gas
Supply pressure: 0.1 MPa
Maximum flow rate: 50 L/min
Temperature: 21 to 25 C
Cleanliness: ISO Class 3
Purity: 99.999% or more
Connection form: 1/4 inch in diameter
Evacuate: For roughing vacuum pump
Evacuating capacity: 500 L/min at 50 Hz, 600 L/min at 60 Hz

Pressure: No backing pressure
Connection form: NW25
Installation Space: 5.5 (W)x 3.5 (D)x 2.7 (H) m or more
Entrance: 2.0 (W) x 2.1 (H) m or more
Room Temperature: 21 to 25C
Stability: Within +/- 0.2C/h (Main console area); Within 1C/h Other units area
Humidity: 60% or less (non condensing)
Airflow: about 0.3 m/s
Stray magnetic field
Commercial frequency: (BX2+BY2+BZ2)1/2 </= 0.1 uT
Drift component: (BX2+BY2+BZ2)1/2 </- 0.1 uT
Floor Flatness: +/-1 mm within 600 x 600 mm area
Sound Noise Level
20 Hz-12500 Hz: </= 65 dB
20 Hz and under: </= 90 dB

"
CM Furnaces    325-C-36-1 Z    Four Bore Annealer    "- Process Atmosphere: Hydrogen
- Protective Atmosphere: Nitrogen
- Water Requirements: 5 GPM
- Natural Gas: 4 oz. pressure
- Water Cooled
- 240 V
- 90.0 A
- 60 Hz
- 1 Phase"
Cress Manufacturing    BT 29 ATCPM/ 982    Bottom Opening Furnace    "Chamber Size:
- 29"" Height x 23 3/8"" Decagon
- Max. Continous Temperature: 2000° F
- (1) Watlow Series 982 Controller
- (3) Watlow Series 988 Controller
- Exhaust Stack: 2""
- Purge: 1/2"" NPT
Other Info:
- Programmable
- 208 V
- 46.0 A
- 60 Hz
- 3 Phase"
BTU Engineering    TRS 142464 GT    Belt Oven - Reflow Oven    "- Water Cooled
- 64"" heated length / Oxygen analyzer
- Max Temp: 275 ºC (527 ºF, 548 ºK)
- Min Temp: 30 ºC (86 ºF, 303ºK)
- 480 V
- 3 Phase"
Despatch    VDAF Conveyor    Belt Oven    "- 240* C
- Heater Capacity: 9 Kw
- Exhaust Duct: 2""
- 220/240 V
- 43.1 A
- 50/60 Hz
- 1 Phase"
Blue M    AGC 7-206 C    Inert Gas Burn-In Oven    "- Maximum Temperature 316 ºC (601 ºF, 589 ºK)
- Water Cooled
- 208/240 V
- 20.0 A
- 60 Hz
- 1 Phase
Accessories:
- Digital Readout
- Water Cooled
- Flow Meter
- 20 Card Positions
- Four Channel D.C. power supply monitor"
Blue M    BI-32 E    Burn-In Oven 32 cubic feet    "- Maximum Temperature 300 ºC (572 ºF, 573 ºK)
- Accessories: Hoffman enclosure, for customer specific test equipment integration
- Programmable
- 208 V
- 48.0 A
- 60 Hz
- 3 Phase"
Blue M    BI-32 G    Burn-In Oven    "- 480 V
- 60 Hz
- 3 Phase
- Horizontal Air Flow
- Programmable
- 3 Shelves"
Blue M    POM 7-206 C    Burn-In Oven    "- 4.3 cubic feet
- Max Temp: 343 ºC (649 ºF, 616 ºK)
- Accessories: Unit on Stand with Castors / 20 available card positions(16 with connectors)
- 208/240 V
- 20.0 A
- 60 Hz
- 1 Phase"
Despatch    LND 1-42    Inert Gas Burn-in Oven    "- Max Temp: 316 ºC (601 ºF, 589 ºK)
- Digital readout
- Process timer
- High limit
- Flow meters
- Eight card positions
- Edge connectors rear of oven
- 208/240 V
- 14.6 A
- 60 Hz
- 1 Phase"
Tenney    TBO-5    Gas Burn-in Oven    "- Max Temp: 300 ºC (572 ºF, 573 ºK)
- 240 V
- 12.0 A
- 60 Hz
- 1 Phase"
Tenney    TBO-5    Burn-In Oven    "- Max Temp: 300 ºC (572 ºF, 573 ºK)
- Min Temp: 5 ºC (41 ºF, 278ºK)
- Capacity / Volume Box: 4.90 cu ft  (0.14 cu m)"
Thermotron    S-1.2-H-PTS-TX    Burn-In Test System    "- Programmable
- Temperature Chamber
- Functional test system
- Test system model PTS-TX-BI
- Host computer: HP Pentium
- IEEE-488 Parallel interface board
- PTSWin Software package
- Excel software
- PC Anywhere software
- 14"" LCD Monitor
- Key board PTS Unit: 6 analog Input
Power supplies:
- Hewlett Packard HP6209B: 0-320V/0-0.1A
- International Power model HDD-15-5
Saftey devices:
- Three position limit switch on console door
- Emergency power off button
- Saftey interface with Temperature Chamber:
Chamber Interior:
- Rack for 6 test boards
- Each board has two 28 position edge connectors
Other:
- 115 V
- 7.0 A
- 60 Hz
- 1 Phase"
Keithley    450 S       
HP / Agilent    4062    Test Head   
Blue M    CRO 7-146 B/C    Clean Room Oven    "- Class 100
- Water Cooled
- Max Temp: 250 ºC (482 ºF, 523 ºK)
- Digital readout
- Manual flow meter and metering valve
- External cooling, tap water/ solenoid controlled
- HEPA Filter (Filter is used)
- Lower shelf is 2 7/8"" above chamber bottom. Upper shelf is 5"" higher and 6 1/8"" below chamber top
- 208/240 V
- 16.0 A
- 60 Hz
- 1 Phase"
Blue M    CRO 7-206 B/C    Clean Room Oven    "- Class 100
- Digital readout
- Manual flow meter
- External cooling, tap water/ solenoid controlled
- HEPA Filter
- Lower shelf is 2 7/8"" above chamber bottom. Upper shelf is 8 1/2"" higher and 8 5/8"" below chamber top
- 208/240 V
- 19.0 A
- 21.0 A(2)
- 60 Hz
- 1 Phase"
Blue M    CRO 7-256 B/C    Clean Room Oven    "- Designed especially for curing of polymide coatings, moisture drying, and baking of photo resist coatings
- Class 100
- Water Cooled
- Suitable for inert gas purge (adjustable inert gas flowmeter)
- Easily removed Class 100 HEPA filter(used)
- Horizontal air flow
- Heating elements are high-temp nickel chromium wire
- Multi-blade centrifugal blower with matched helical scroll
- 208/240 V
- 50/60 Hz
- 1 Phase"
Blue M    CRO 7-336 B/C    Clean Room Oven    "- Manual flow meter
- External cooling, tap water/solenoid controlled
- HEPA Filter(filter)
- Water Cooled
- 208/240 V
- 36.0 A
- 41.0 A(2)
- 60 Hz
- 1 Phase"
Blue M    DCC-256 C    Clean Room Oven    "- 240* C
- Air Cooled
- Max number of shelves: 3
- Number of shelves provided: 1
- SS cooling coil with solenoid
- Oven Stand with Storage
- HEPA filter included
- 200-240V
- 29.0A
- 50/60Hz"
Blue M    DCC-336 B MP 350    Clean Room Oven    "- 250 C
- 11 CUFT
- Programmable
- Water Cooled
-  Maximum Number of Shelves: 5
 - Number of Shelves Provided: 4
- 208V
- 35.0A
- 60 Hz
- 1 Phase"
Blue M    DCC-500    Clean Room Oven    "- 250* C
- Programmable
- Controller: FAST-TRAC 620
- Inert Gas
- Gas flow meters
- Maagnehelic Pressure Gauge(To Measure Filter Loading)
- Five Sealed Thermocouple Ports
- NEMA 12 Electronics Enclosure
- Water Cooling
- 480 V
- 70.0 A
- 50/60 Hz
- 3 Phase"
Blue M    STK 05 C-C-MP 550    Stacked Clean Room Ovens    "- Inert gas capability
- Programmable
- Class 10000
- Hepa filter
- Inert gas solenoid
- Gas flow meter
- 240 V
- 60.0 A
- 60 Hz
- 1 Phase"
Heraeus    TUF 5060230    Clean Room Oven    "- Class 100
- Polished stainless steel finish
- Vertical laminar flow; inert gas atmosphere
- Nitrogen purge
- Compressed air required
- 208/230 V
- 36.0 A
- 3 Phase"
Labline    3497 M-3    Clean Room Oven    "- Class 100
- 250* C
- Exhaust Port: 2"" with damper control(rear of unit)
- High limit
- HEPA Filter(Filter is used)
- Watts: 3100
- Single-program controller: eight segments, ramp adjustable from as low as 0.01°C/min., dwell from 0 to 9999 min.
- 208 V
- 15.0 A
- 50/60 Hz
- 1 Phase"
Labline    3478    Gravity Convection Oven    "- 270* C
- 120 V
- 15.0 A
- 50/60 Hz
- 1 Phase"
Lindberg    G 1350    Gravity Convection Oven    "- 260* C
- Number and Size of Access Ports: 3"" port left side (3) 9/16""ports top
- 240 V
- 8.4 A
- 50/60 Hz
- 1 Phase"
VWR Scientific    1350 GM    Gravity Convection Oven    "- 240* C
- Forced Air Cooled
- Digital Timer
- 110/120 V
- 13.0 A
- 50/60 Hz
- 1 Phase"
VWR Scientific    1350 GM    Gravity Convection Oven    "- 240* C
- Digital Timer
- 120 V
- 13.0 A
- 60 Hz
- 1 Phase"
Blue M    AGC-206 E-3    Inert Gas Oven    "- 316* C
- Water Cooled
- Manual Flow Control
- 208 V
- 20.0 A
- 60 Hz
- 3 Phase"
Blue M    AGC 7-206 F    Inert Gas Oven    "- 316* C
- Air Cooled
- 208 V
- 20.0 A
- 60 Hz
- 1 Phase"
Blue M    DCA 256 F    Inert Gas Oven    "- 316* C
- Water Cooled
- 208/230 V
- 14.0 A
- 16.0 A(2)
- 60 Hz
- 3 Phase"
Despatch    LND 2-11-3    Inert Gas Atmosphere Oven    "- 343* C
- Programmable
- Water Cooled
- Uniformity: ±1.2%
- Control sensitivity: ±0.25%
- Max N2 inlet pressure: 40 psig
- Heater: 9 kW
- 208 V
- 29.8 A
- 60 Hz
- 3 Phase"
Despatch    LND 2-24-3-HTI-1    Inert Atmosphere Forced Recirculated Air Oven    "- 343* C
- Programmable
- Water Cooled
- 16 kW heater
- 480 V
- 23.0 A
- 60 Hz
- 3 Phase"
Despatch    LND 1-42-3    Inert Atmosphere Forced Recirculated Air Oven    "- 343* C
- Programmable
- Water Cooled
- 3 KW Heater
- Weight: 500 lb
- 220/240 V
- 15.6 A
- 50/60 Hz
- 1 Phase"
Despatch    PND 1-90-21    Inert Atmosphere Forced Recirculated Air Oven    "- 343* C
- Programmable
- Water Cooled
- 9 kW heater
- Weight: 1024 lb
- 208 V
- 27.0 A
- 60 Hz
- 3 Phase"
Blue M    206 CRO    Mechanical Convection Oven    "- Bench Top
- 250* C
- 240 V
- 20.0 A
- 60 Hz
- 1 Phase"
Blue M    DC-1226 C    Mechanical Convection Oven    "- With Cabinet Base
- 204* C
- Number and Size of Access Ports: (1)-3"" Access port on back
- 208/240 V
- 60 Hz
- 1 Phase"
Blue M    DC-1226 C-X 360    Mechanical Convection Oven    "- 204* C
- 208/240 V
- 26.0 A
- 30.0 A(2)
- 1 Phase
- Weight: 686 lb"
Blue M    DC-146 B Systems 2    Mechanical Convection Oven    "- Double Stack
- Air Cooled
- Each oven has seperate digital timer
- Heater capacity: 2.2kW
- 208 V
- 28.0 A
- 60 Hz"
Blue M    DC-206 C    Mechanical Convection Oven    "- 316* C
- Controller: Pro Set
- 3"" Dia Port in Rear
- 208/240 V
- 20.0 A
- 60 Hz
- 1 Phase"
Despatch    LAC 1-67-4    Mechanical Convection Oven    "- With Stand
- Programmable
- Heater 2,000 watts
- 208 V
- 60 Hz
- 1 Phase
"
Despatch    LAC 1-67-4    Mechanical Convection Oven    "- 260* C
- 14"" Base
- Controller: PROTOCOL
- Programmable with up to 48 segments
- 240 V
- 12.0 A
- 60 Hz
- 1 Phase"
Despatch    LAC 1-67-4    Mechanical Convection Oven    "- 260* C
- 2808 watts
- 240 V
- 11.7 A
- 60 Hz
- 1 Phase"
Despatch    PBC 1-80    Mechanical Convection Oven    "- 8 Cubic Feet
- Heater: 6 KW
- Digital readout
- Unit on castors
- 240 V
- 25.0 A
- 60 Hz
- 3 Phase"
Yamato    DKN-600    Mechanical Convection Oven    "- Table Top
- With Stand
- Forced Air Cool
- 115 V
- 13.5 A
- 60 Hz"
Cole Parmer    5053-20    Vacuum Oven    "- 220* C
- Analog Controls
- 1600 watts
- 120 V
- 13.5 A
- 50/60 Hz
- 1 Phase"
Labline    3620    Vacuum Oven    "- 200* C
- Analog vacuum gauge
- Vacuum connection 1/4"" NPT
- 120 V
- 7.0 A
- 50/60 Hz
- 1 Phase"
Precision    5861    Vacuum Oven    "- 200* C
- Vacuum Range : Atmosphere to 30"" Hg. with adequate pump
- 220/240 V
- 1 Phase"
Precision Scientific    19    Vacuum Oven    "- 200* C
- 600 watts
- Weight: 56 lb
- 120 V
- 5.0 A
- 50/60 Hz
- 1 Phase"
Tenney    4.5 SVO    Vacuum Oven/Drying Chamber    "- 4.5 Cubic Foot
- 150* C
- Vacuum range: Site level to 100mmHg.
- Vacuum pump not included
- Water Requirement: 10 GPM at +20°C
- Heating: Fluid heating, 3KW immersion heater
- 460 V
- 15.0 A
- 60 Hz
Accessories:
- High Limit: Watlow 145 Series
- Vacuum System Montior: Watlow 96 Series
- Pressure Transducer MKS: Series 722A
- Chart Recorder: Yokogawa VR100
- Process Timer: Eagle CX200
- Viewing Window: 12"" x 12""
- Shelves: Two (2) fully welded in place"
VWR Scientific    1410 M    Vacuum Oven    "- 260*C
- Rise Time: 35 min. at 100° C
- Inner and Outer Doors
- Stainless Steel Interior and Exterior
- **Please inquire about availability of shelves
- 120 V
- 8.0 A
- 60 Hz
- 1 Phase"
Blue M    HS 1002 EFG    Friction Aire - Volatile - Safety Oven    "- High velocity airflow system generates heat
- Blower creates air turbulence and friction for even heat
- Temperature-sensitive bellows regulates ambient air
- By-pass damper
- By-pass chamber
- 1 HP Motor
- Temperature Range: 25°C above ambient to 150°C (302°F)
- Uniformity at +100°C: 0.5°C
- Run up time to +150°C: 120 minutes
- Cool down time to +50°C: 30 minutes depending on ambient temperature
- Control accuracy: 0.5°C
- Drift: at +50°C: 1.0°C
       at +100°C: 0.75°C
       at +150°C: 0.50°C
- Maximum Velocity: 400 / FPM
- Minimum Velocity: 100 / FPM
- UL listed
- 240/480 V
- 12.0 A
- 6.0 A(2)
- 50/60 Hz
- 3 Phase"
Despatch    MT 300    Magnetic Annealing Oven    "- 15 wafers maximum
- Variable heat soak
- Solvents allowed: none
- Heater: electric
- Heater capacity: 15kW
- Heater: 42 amp
- Total amps 68FLA
- Control voltage 120-1-60
- Dexter Permanent Magnet Part no. PMW97-0514-1, Field Strength: 250 gauss
- Shelf dimensions: 6.25 x 6.25""
- Vacuum 10-5 Torr as configured
- 208 V
- 68.0 A
- 60 Hz
- 3 Phase"
Yes    3 Dryer    Vacuum Bake Oven    "- Preheated N2,(process gas)Inlet
- Cold N2, Inlet
- Six 200 Watt Heaters
- Max Temp: 150* C
- Air Cooled
- 115 V
- 11.3 A
- 60 Hz
- 1 Phase"
Yes    450 PB    Polyimide Bake Oven/Vacuum Cure Oven    "- Chamber divided into four sections.
- Section Dimensions. 8""w X 7.5""h X 16""d
- West 2054 Temperature Controller
- Multiple Program, Rampable Temperature
- Lark Logical Sequencer
- Granville Phillips 375 Convectron Controller
- Max Temp: 450* C
- 208 V
- 20.0 A
- 60 Hz
- 3 Phase"
Yes    450 PB 8-2 P-FR    Polyimide Bake Oven/Vacuum Cure Oven    "- Programmable
- Air Cooled
- 208 V
- 30.0 A
- 50/60 Hz
- 1 Phase"
Yes    6112 R    Vacuum Bake/Vapor Prime    "- 200* C
- 13- 200 watt heaters
- Stainless Steel HMDS Flask, 316SS float
and stem level sensor
- 208 V
- 25.0 A
- 60 Hz
- 1 Phase
Wafer Capacity:
- Four cassettes of 8""
- Eight cassettes of 4"", 5"" or 6""
- Throughput: 200 8"" or 400 6"" per hour"
Yes    6 P    Bake Dryer Oven    "- Lark sequencer
- West Temp. Controller
- Granville Phillips Convectron Vacuum Gauge
- 208 V
- 7.0 A
- 60 Hz
- 3 Phase"
Yes    LP III    HMDS Vapor Prime Oven    "- Flask is not shown but will be included
- Minarik Micromaster WP-6200 process controller
"CIRCUIT BREAKER:MODEL: Easypact CVS630N, 3 Pole,
Ui = 690V, Icu/Ica(kA): 70/70 at 220/240V,
50/50 at 380/415V, Cat A, IEC/EN: 60947-2
Manufacturer: Schneider Electric,  "
"MINIATURE CIRCUIT BREAKER:Model: IC65H D 16A, 3 Pole, Type D, 50Hz,
Part No. A9F29316 or  A9F55316
Ue=400V, Icn=10kA, Uimp=6kV Manufacturer: Schneider Electric,  "
"MINIATURE CIRCUIT BREAKER
Model: IC65H   4 Pole, Type C, 20A, 50Hz
Part No. A9F28420 or A9F54420  
Ue=400V, Icn=10kA, Uimp=6kVManufacturer: Schneider Electric,  "
"MINIATURE CIRCUIT BREAKER
Model: IC65H 2 Pole, Type C, 10A, 50Hz
Part No.   A9F54210   or equivalent
Ue=400V, Icn=10kA, Uimp=6kV
Manufacturer: Schneider Electric,  "
"MINIATURE CIRCUIT BREAKER:
Model: IC65H ,  2 Pole, Type C, 16A, 50Hz
Part No. A9F28216  or  A9F54216
Ue=400V, Icn=10kA, Uimp=6kV
Manufacturer: Schneider Electric,  "
"MINIATURE CIRCUIT BREAKER:
Model: IC65H,  2 Pole, Type C, 6A, 50Hz
Part No. A9F28206   or A9F54206
Ue=400V, Icn=10kA, Uimp=6kV Manufacturer: Schneider Electric,  "
"MINIATURE CIRCUIT BREAKER:Model: IC65H C 10A   1 Pole, Type C, 10A, 50Hz
Part No. A9F28110   or   A9F54110   
Ue=400V, Icn=10kA, Uimp=6kVManufacturer: Schneider Electric"
"MINIATURE CIRCUIT BREAKER:
Model: IC65H C 6A   3 Pole, Type C, 6A, 50Hz
Part No. A9F28306  or A9F54306
Ue=400V, Icn=10kA, Uimp=6kV"
"MINIATURE CIRCUIT BREAKER:
Model: IC65H C 40A   3 Pole, Type C, 40A, 50Hz
Part No. A9F28340 or A9F54340  
Ue=400V, Icn=10kA, Uimp=6kV
Manufacturer: Schneider Electric,"
"MINIATURE CIRCUIT BREAKER:
Model: IC65H D 16A,  1 Pole, Type D, 16A, 50Hz
Part No. A9F29116  or  A9F55116
Ue=400V, Icn=10kA, Uimp=6kV
Manufacturer: Schneider Electric,  "
"MINIATURE CIRCUIT BREAKER:
Model: IC65H C 6A   1 Pole, Type C, 6A, 50Hz
Part No. A9F28106  or A9F54106
Ue=400V, Icn=10kA, Uimp=6kVManufacturer: Schneider Electric,  "
"MINIATURE CIRCUIT BREAKER:
Model: IC65H D 6A    3 Pole, Type D, 6A, 50Hz
Part No. A9F29306 or A9F55306   
Ue=400V, Icn=10kA, Uimp=6kV
Manufacturer: Schneider Electric,  "
"MINIATURE CIRCUIT BREAKER:
Model: IC65H D 20A  3 Pole, Type D, 20A, 50Hz
Part No. A9F29320  or   A9F55320
Ue=400V, Icn=10kA, Uimp=6kV
Manufacturer: Schneider Electric,  "
"MINIATURE CIRCUIT BREAKER:
Model: IC65H D 10A   2 Pole, Type D, 10A, 50Hz
Part No. A9F29210  or A9F55210
Ue=400V, Icn=10kA, Uimp=6kV
Manufacturer: Schneider Electric,  "
"RESIDUAL CURRENT DEVICE:
Model: Vigi IC65 ELE  3 Pole, 30mA   400V, 50Hz, ≤40A
Part No. A9V53340  or SCHNEIDER EQUVLNT  
NOTE: Vigi Addon block Must be Compatible with 3 Pole Breakers of this supplied lot"
"RESIDUAL CURRENT DEVICE:
Model: Vigi IC65 ELE   2 Pole, 30mA   230V, 50Hz, ≤40A
Part No. A9V53240 or SCHNEIDER EQUVLNT
NOTE: Vigi Addon block Must be Compatible with 2 Pole Breakers of this supplied lot"
"AUXILIARY CONTACT BLOCK:
Model: TeSys LADN20    2 NO, Ith=10A, Ui=690V
Part No. LADN20C
Manufacturer: Schneider Electric"
"THERMAL OVERLOAD RELAY:
Model: Tesys LRD 16, Ie=9-13A, Class 10A
Part No. LRD16
Ui=690V, Uimp=6kV
Manufacturer: Schneider Electric,  "
"CONTACTOR
Model: Tesys LC1D12,
Part No. LC1D12M7, 220V, 50/60HzUe=380V, Ie=2AIth=25A, Ui=690V, Uimp=6kVIEC 60947, VDE 0660, GB 14048.4
Manufacturer: Schneider Electric,  "
"INDICATION LAMP 22M DIA  , 220VAC
RED,
Part No. XB2-BVM4LC
Manufacturer: Schneider Electric/Siemens/ABB"
"INDICATION LAMP 22M DIA , 220VAC GREEN:
Part No. XB2-BVM3LC  
Manufacturer: Schneider Electric/Siemens/ABB"
"INDICATION LAMP 22M DIA, 220VAC ORANGE  
Part No. XB2-BVM5LC     22mm
Manufacturer: Schneider Electric/Siemens/ABB"
"SELECTOR SWITCH:
Part No. ZB2-BE101C  (4NO CONTACTS)
Ith: 10A, Ui: 600V, Uimp:6kVAC 240V-3A, IEC 60947-5-1
Manufacturer: Schneider Electric"
"CABINET FAN:MODEL: SK 3241.100  , 0.26/0.24A, 40/42WATTS
220-240VAC 50Hz, Width: 255 mm, Height: 255 mm
Manufacturer:   RITTAL OR EQUV SCHNEIDER ELCTRIC"
"THERMAL OVERLOAD RELAY:
Model: Tesys LRD 10,   4-6 A
Ui=690V, Uimp=6kV,  Class 10
Manufacturer: Schneider Electric"
"CONTACTOR:Model: Tesys LC1D25M7, 220V, 50/60HzUe=380V, Ie=2AIth=40A, Ui=690V, Uimp=6kV,  AC3 11KW
Manufacturer: Schneider Electric, "
"THERMAL OVERLOAD RELAY:
Model: Tesys LRD 21,  12-18 A,   Ui=690V, Uimp=6kV  Class 10  Manufacturer: Schneider Electric"
"MINIATURE CIRCUIT BREAKER:
Model: IC60H D 63A    3 Pole, Type D, 63A, 50Hz
Part No.  A9F55363,   Ue=400V, Icn=10kA
Manufacturer: Schneider Electric"
"MINIATURE CIRCUIT BREAKER:
Model: IC60H D 25A    3 Pole, Type D, 25A, 50Hz
Part No. A9F55325   Ue=400V, Icn=10kA, Uimp=6kV
Manufacturer: Schneider Electric,  "
"MINIATURE Acti9 iCT Contactor:
[Ie] rated operational current  63 A AC-7A    20 A AC-7B
Part # A9C20869 , iCT 63A 3NO+1NC 220...240V 50Hz contactor ,   
[Ue] rated operational voltage  400 V AC 50 Hz
Manufacturer: Schneider Electric,"
"PUSH BUTTON - ON- GREEN, With Contact Blocks 1NO+1NC,  SPRING RETURN,  XB5AA31
 Manufacturer: Schneider Electric,  "
"PUSH BUTTON - OFF- RED,  With Contact Blocks 1NO+1NC,   
SPRING RETURN,   XB5AA42
Manufacturer: Schneider Electric, "
"MINIATURE CIRCUIT BREAKER:Model: IC60H D 16A ,  
2 Pole, Type D, 16A, 50Hz
Part No   A9F55216 or Schneider EQV
Ue=400V, Icn=10kA, Uimp=6kV
Manufacturer: Schneider Electric,  "
"MINIATURE CIRCUIT BREAKER:Model: IC60H D 32A    
2 Pole, Type D, 32A, 50Hz
Part No. A9F55232
Ue=400V, Icn=10kA, Uimp=6kV
Manufacturer: Schneider Electric"
"Miniature circuit-breaker,
Model :  A9N18448
Acti9 C120H, 1P, 125 A, C curve, 15000 A (IEC 60898-1), 15 kA (IEC 60947-2)"
"Indication Lamp  22m dia BLUE, 220-240VAC
Manufacturer: Schneider Electric/Siemens/ABB"
"Indication Lamp  22m dia YELLOW, 220-240VAC
Manufacturer: Schneider Electric/Siemens/ABB"
"Emergency Push Button (Mushroom Head)  
with 1 NO+1 NC Plugin Contacts
220-240VAC, 10 A,  22MM DIA, "
"Emergency Push Button (Mushroom Head)  
with 1 NO+1 NC
220-240VAC,   16MM DIA"
"16 A RCBO, 30MA SENSITIVITY, 10KA  WITH OVERLOAD PROTECTON 1P+N
IC60H RCBO, Residual Current Circuit Breaker With Overload Protection"
"25 A RCBO, 30MA SENSITIVITY, 10KA  WITH OVERLOAD PROTECTION   1P+N
IC60H RCBO, Residual Current Circuit Breaker With Overload Protection"
"SINGLE POLE CIRCUIT BREAKER, 10kA, 10A, 230VAC, A9F54110
IC60N, IEC898/947-2"
"Indication Lamp  16mm dia RED, 220-240VAC, Manufacturer: Schneider Electric/Siemens/ABB
Note:  16mm lamps to be of robust quality with either residual voltage or leakage current protection (Low Voltage Glow protection)- Submit Brochure at bid stage"
"Indication Lamp  16mm dia GREEN, 220-240VAC
Manufacturer: Schneider Electric/Siemens/ABB.   Note:  16mm lamps to be of robust quality with either residual voltage or leakage current protection (Low Voltage Glow protection)- Submit Brochure at bid stage"
"Indication Lamp  16mm dia YELLOW, 220-240VAC
Manufacturer: Schneider Electric/Siemens/ABB    Note:  16mm lamps to be of robust quality with either residual voltage or leakage current protection (Low Voltage Glow protection)- Submit Brochure at bid stage"
"MCB S202-C10  
MAKE ABB"
"MCB S202-C6  
MAKE ABB"
"MCB SH201-C6  
MAKE ABB"
"MCB SH202-C10  
MAKE ABB"
"MCB SH203-C6  
MAKE ABB"
"MCB SH204-C16  
MAKE ABB"
"MCCB T2N160 MA100 3P
AUX-C 1Q+1 SY
MAKE ABB"
"MCCB T2N160 MA80 3P,   AUX-C 1Q+1 SY    
MAKE ABB"
"MCCB T2N160 TMD16 3P,   AUX-C 1Q+1 SY    
MAKE ABB"
"MCCB T2N160 TMD20 3P,  AUX-C 1Q+1 SY
MAKE ABB"
"MCCB T2N160 TMD25 3P,  AUX-C 1Q+1 SY  
MAKE ABB"
"MCCB T2N160 TMD63 3P,  AUX-C 1Q+1 SY   
MAKE ABB"
"MCCB T2S160 TMD32 3P,  AUX-C 1Q+1 SY   
MAKE ABB"
"MCCB T2S160MA20 3P,  AUX-C 1Q+1 SY   
MAKE ABB"
"MCCB T2S160MA52 3P   
MAKE ABB"
"MCCB T2S160MF12.5 3P,   AUX-C 1Q+1 SY   
MAKE ABB"
"MCCB T2S160MF4 3P
AUX-C 1Q+1 SY   OR XT2N160+AUX  MAKE ABB"
"MCCB T2S160MF6.5 3P,   AUX-C 1Q+1 SY  
MAKE ABB"
"MCCB T2S160MF8.5  3P
MAKE ABB"
"MCCB T4N250,  MA200, 3P,  AUX-C 1Q+1 SY   
MAKE ABB"
"MCCB T5S630TMA500 ,   3P, WMP, EF, 1Q+1SY  
MAKE ABB"
"MCCB T6S630 TMA630 3P, WHR
AUX C 3Q+1SY  
MAKE ABB"
"THERMAL OVERLOAD  TA110DU110, 80-110A  
MAKE ABB"
"THERMAL OVERLOAD  TA25DU 0.4-0.63A  
MAKE ABB"
"THERMAL OVERLOAD  TA25DU 6-8.5A  
MAKE ABB"
"THERMAL OVERLOAD  TA25DU 7.5-11A  
MAKE ABB"
"THERMAL OVERLOAD  TA25DU 13-19A  
MAKE ABB"
"THERMAL OVERLOAD  TA25DU 2.2-3.1 A  
MAKE ABB"
"THERMAL OVERLOAD  TA25DU 2.8-4.0 A  
MAKE ABB"
"THERMAL OVERLOAD  TA25DU 4.5-6.5 A  
MAKE ABB"
"THERMAL OVERLOAD  TA75DU-36-52 A  
MAKE ABB"
"CONTACTOR A110-30-11, 220V, CA5-10  OR  
AF116-30-11,100-250V,  MAKE ABB "
"CONTACTOR A16-30-11,220V
OR AX18-30-10-80,220-230V AC  
MAKE ABB"
"Aux Contact,1NO/NC CA5X-10/CA5X-01  
MAKE ABB"
"CONTACTOR A26-30-11,220V  OR
AX25-30-10-80,220-230V AC  
MAKE ABB"
"CONTACTOR A30-30-10,220V CA5-10+01  OR   
AX32-30-10-80,220-230V AC  
MAKE ABB"
"CONTACTOR A50-30-11, 220  OR
AX50-30-11-80,220-230V AC  
MAKE ABB"
"CONTACTOR A75-30-11, 220  OR
AX80-30-11-80,220-230V AC  
MAKE ABB"
"CONTACTOR A9-30-10,220V  OR
AX09-30-10-80,220-230V AC  
MAKE ABB"
"CONTACTOR A95-30-11, 220, CA5-10  OR
AF96-30-11,100-250 AC  
MAKE ABB"
"RELAY CR-M230AC4L   
MAKE ABB"
"SOCKET CR-M4SS  
MAKE ABB"
"RELAY CR-M230AC4L   
MAKE ABB"
"CIRCUIT BREAKER 230/400V 25KA, 1POLE, C, 16A, D=70MM
PART # 5SY8116-7  
MAKE  SIEMENS /Equivalent"
"CIRCUIT BREAKER 230/400V 25KA, 1POLE, C, 20A,
  PART # 5SY8120-7  
MAKE  SIEMENS /Equivalent"
"CIRCUIT BREAKER 230/400V 25KA, 2POLE, C, 63A,   5SY8263-7
MAKE  SIEMENS /Equivalent"
"CIRCUIT BREAKER 400V 25KA, 2POLE, C, 10A,  5SY8210-7
MAKE  SIEMENS /Equivalent"
"CIRCUIT BREAKER 400V 25KA, 2POLE, C, 20A,   5SY8220-7
MAKE  SIEMENS /Equivalent"
"CIRCUIT BREAKER 400V 25KA, 2POLE, C, 25A,   5SY8225-7
MAKE  SIEMENS /Equivalent"
"CIRCUIT BREAKER 400V 25KA, 2POLE, C, 40A,   5SY8240-7
MAKE  SIEMENS /Equivalent"
"CIRCUIT BREAKER 400V 25KA, 2POLE, C, 6A,     5SY8206-7
MAKE  SIEMENS /Equivalent"
"CIRCUIT BREAKER 400V 25KA, 3POLE, C, 16A , 5SY8316-7
MAKE  SIEMENS /Equivalent"
"CIRCUIT BREAKER 400V 25KA, 3POLE, C, 63A,   5SY8363-7
MAKE  SIEMENS /Equivalent"
"CIRCUIT BREAKER 400V 25KA, 4POLE, C, 10A,  5SY8410-7
MAKE   /Equivalent"
"CIRCUIT BREAKER 400V 25KA, 4POLE, C, 20A,  5SY8420-7
MAKE   /Equivalent"
"CIRCUIT BREAKER 400V 25KA, 4POLE, C, 40A,   5SY8440-7
MAKE  SIEMENS /Equivalent"
"CIRCUIT BREAKER 230/400V, 10KA, 1-POLE, C, 3A   5SL4103-7
MAKE  SIEMENS /Equivalent"
"CIRCUIT BREAKER 2-POLE, C, 16A DC 440V 10KA, AC 400V 4,5KA DC     PART # 5SY5216-7  
MAKE  SIEMENS /Equivalent"
"CIRCUIT-BREAKER VL 160N STANDARD BREAKING CAPACITY ICU=55KA / 415 V AC 3 POLE, LINE PROTECTION  In = 100A
  PART # 3VA1110-5EF32-0AA0
MAKE  SIEMENS /Equivalent"
"CIRCUIT-BREAKER VL 160N ICU=55KA / 415 V AC 3 POLE, LINE PROTECTION In = 80A
  PART # 3VA1180-5EF36-0AA0
MAKE  SIEMENS /Equivalent"
"CIRCUIT-BREAKER VL160X N  ICU=55KA / 415 V AC 3 POLE,   LI IN=160A, RATED CURRENT IR=125-160A     
  PART # 3VL1716-1DD33-0AA0  or 3VA1116-5EE36-0AA0
MAKE  SIEMENS /Equivalent"
"CIRCUIT-BREAKER, 3VA1 IEC frame 160 breaking capacity class M Icu=55kA @ 415V 4-pole, line protection TM240, ATAM, In=125A overload protection Ir=88A...125A  Ii=5...10 x In
  PART #  3VA1112-5GF42-0AA0
MAKE  SIEMENS /Equivalent"
"Circuit Breaker 3VA1 IEC frame 160 breaking capacity class M
ICU=55kA @ 415V 4-pole, line protection TM240, ATAM, In=63A
overload protection IR=44A...63A shortcircuit protection Ii=5...10 x In N  Part #3VA2063-5HL42-0AA0
MAKE  SIEMENS /Equivalent"
"Contactor, Size 12, 3-pole, AC-3, 200 kW, 400/380 V Auxiliary switch 22 (2 NO + 2 NC) AC operation 230 V AC 50 Hz
  PART #  3RT10756AP36
MAKE  SIEMENS /Equivalent"
"CONTACTOR, AC-3 45 KW/400 V, AC 230 V, 50 HZ, 2 NO + 2 NC 3-POLE, SIZE S3, SCREW CONNECTION
  PART # 3RT1046-1AP04  or 3RT2046-1AP04
MAKE  SIEMENS /Equivalent"
"circuit breaker 3VA1 IEC frame 160 breaking capacity class M Icu=55kA @ 415V 3-pole, line protection TM220, ATFM, In=50A overload protection Ir=35A...50A  Ii=10 x In
  PART # 3VA1150-5EE36-0AA0
MAKE  SIEMENS /Equivalent"
"MCB, 1P, 4A, Characteristic C, 10kA, 230/400V AC  5SY4104-7
MAKE  SIEMENS /Equivalent"
"MCCB 1 pole 20A, 36kA at 240VAC
  PART # 3VA1120-4ED16-0AA0  
MAKE  SIEMENS /Equivalent"
"MCCB 3 pole 16A, 36kA at 415VAC
  PART # 3VA1096-4ED36-0AA0  
MAKE  SIEMENS /Equivalent"
"MCCB, 3P, 63A, Icu=70kA   CIRCUIT-BREAKER VL 160H HIGH BREAKING ,  CAPACITY ICU=70KA / 415 V AC 3 POLE,
  PART # 3VL2706-2AE33-0AA0   OR 3VL2706-2DC33-0AA0  
MAKE  SIEMENS /Equivalent"
"RCCB 25A (Residual Current limit: 300 mA) 1P+N, 230 VAC, 50 Hz, N connection, left
  PART # 5SV4612-0 / 5SV4612-0KL
MAKE  siemens /Equivalent"
"RCCB 63A (Residual Current limit: 300 mA) 3P+N, 400 VAC, 50 Hz, N connection, left
  PART # 5SV4646-0 / 5SV4646-0KL
MAKE   siemens/Equivalent"
"CONTACTOR, AC-3 18.5 KW/400 V, AC 230 V, 50 HZ, 3-POLE, SIZE S2, SCREW CONNECTION, 40A
3RT2035-1AP00
SIEMENS"
    FER CEX-0004 CEX 71 1250A 2.1 TS
ROTARY ACTUATOR    S-100-U-S080  
    B-059-U-S080-JSO 
    DSP-42SG-1S   
    VLG9/2+4PS/5-3 
    VLG9/2+4PS/5-1 
    NTE-212-CoNS-0000
    "Manufacturer: Knorr-Bremse
Condition: genuine new
Part number: 0481039268"
    Air caster B30NC001
    XVM-403-TONS-0000
    EP202-P00-ENR0
    STI-24IO
    XTMDS-015
    XUFTS-015
    MITSUBISHI NT-3020-BYH-R20 ROUTER BIT
     MITSUBISHI NT-3020-BYH-R16 ROUTER BIT
    MITSUBISHI NT-3020-BYH-R12 ROUTER BIT
    成型机上的外链板,2EF1243-112和2EF1243-120
    品牌:ABB      型号:560PSU01 R0002 电源
    CI854AK01
    "GE谢线机水箱
pWL 5000 wT"
    压力传感器 A-10   0-40MPa  4-20mA  30VDC  两线制  威卡 个 1
    压力传感器 A-10   0-1MPa  4-20mA  30VDC  两线制  威卡 个 1
    振动探头  330103-00-12-10-02-00  6根
    振动探头  330103-00-06-10-02-00   6个
    PCE835-001-T 3AC 380/400/480V
    Prosoft MVI56E-SIE 1块
    504C5NRSS4 CAL#666
    XVM-8022-TONS-0000
    HovaCAL 211MF
    6AV2123-2DB03-0AX0
    6AV2123-2GB03-0AX0
    6AV2123-2JB03-0AX0
    6AV2124-2DC01-0AX0
    6AV2124-0GC01-0AX0
    6AV2124-0JC01-0AX0
    6AV2124-0MC01-0AX0
 MTS TEMPOSONIC     "MTS MTS ER- M-0375M- D
34-1- A01"
    "MTS MTS ER- M-0600M- D
34-1- A11"
    MTS MTS Type 254 210
    MTS MTS 403 508
HIRAI DENKEIKI Co.,Ltd    ECT-40S-201X1-2000/5A   MCT-40R-W15/1A 40VA
    35030479 13C 0015  U S PAT 3225159
     SAAB Tank Control    9150072-501
    PCE835-001-T 3AC 380/400/480V
    rexroth  R902155995
    HovaCAL便携式校准气体发生器    (HovaCAL 211MF)
    VAT 12040-FE44-1007
    H+L 250 00292710 SVEZ05SSK090 333U40
    震动器,GOLDEN TURBINE GT10,数量20台
本特利瓦振传感器    9200-06-05-10-00
胀差传感嚣    330851-02-000-030-10-00-05
胀差传感嚣延长线    330854-080-24-00
位移传感嚣    330703-00-070-10-02-00
轴向位移延长线    330730-040-00-00
轴振延长线    330130-080-00-00
轴振前置变送器    330180-90-00
    气动比例调节阀总成8043-GS3   定位器型号8049IPC  品牌:SCHUBERT&SALEZR,含定位器和执行器,DC24V,4-20mA,配304不锈钢法
    DIENES 245AD07B01001
    ECT-40S-201X1
    MCT-40R-W
    气动比例调节阀总成8043-GS3   定位器型号8049IPC  品牌:SCHUBERT&SALEZR,含定位器和执行器,DC24V,4-20mA,配304不锈钢法
    “AEG” RELAY 26-40 AMP. BW55K 139642
"
Emerson"    FM-3DN            
     GGK1-125/70-460×1360/阿托斯
    HSGK01-160/90E-2501-250×783/阿托斯
    G125/70-200/阿托斯
    HSGK01-150/85E-3111-1010×450/阿托斯
    HSGL01-40/20E-1101-80×305/阿托斯
    HSGL01-80/40E-2511-160×507/阿托斯
    HSGL01-63/32E-2501-100×395/阿托斯
    HSGK01-90/50E-1411-150×462/阿托斯
    DCMOTORFGVH112LL-4-R-F-B-C
    HIWIN S10C475-1 120
    接近开关 JLXW6-22B 只 4
    接近开关 JLXW6-22A 只 2
    BEHA BELT RS02 use for nylon mandrel welding  size:6.05 to 25.4mm
    EMERSON  EZ1082-08-00-005 反向安装    6个
    EMERSON  EZ 1900-055  4个
    EMERSON  EZ 1900-095  4个
    EMERSON  EZ 1000-FCAL  6个
    EMERSON  A6500-UM    3个
    EMERSON  A6500-CC  1个
    EMERSON  A6500-FR  1个
    FAN MOTOR N 25-40/729 240V 60HZ 75W 0.47A 1300RPM
    TEIJIN SEIKi驱动器VIGOSERVO ARS30-10 ARS60-15 ARS15   15B140-bA0电机
    M220-23-S-42-06
    E4SM-3201-34-XDBS9-D024
    115U3D300CACAA
    SR18-CG-RGX-R02-K
    maxon motor gmbh 310007 + 358385
    309-314 AM
    "VERSA Valve, 3-Way, SST, 24VDC
MODEL: E4SM-3201-34-XDBS9-D024"
    AB S - M G 1 S 1 5 / 3 0 - G 1 - E 1 Q1 Q1 P G G
    MG 9 7 S 2 / 6 5 - G 6 0 Q1 Q1 P G G
    50044027  Ex-Flanged Heater   DHF22B03GG-9-T6   M.E.S.C.No. 6795530423
    50044028  Ex-Flanged Heater  DHF22B03GG-2,4-T6  M.E.S.C.No. 6795530403
    GE Panametrics M2LW-00-000-0
    PARKER  DRM-1030B-115  00052600279
    MELEGARI L FIGLI   M0710429  11062589
    变频电机风扇电机 风扇型号:G-315A,功率:0.55KW,电压:380V,电流:1.5A,转速:1400r/min  品牌:Ventilators
    DANAHER MOTION 53025-05A
    高压保险(18KV保险 PH2-20 25CLPT-5 美国伊顿-卡特拉.汉莫
    必克 压力表 订货号:SPX J04010078 配PRESSURE INDICATION APV-1000;斯必克均质机APV-1000原装压力表)
    HEATTERM  SR25
    #J04010078 PRESSURE GAUGE
    Parker / Compumotor DM-1030B-115 Dynaserv Direct-Drive Servo
    V18KV  PH2-20 25CLPT-5 
    "
PARKER

706000

727847-01

REPAIR KIT"
    GENERAL ELECTRIC " CIRCUIT BREAKER  M.C.C.B  100A. DH160 3P-3D.  713232
    速度传感器|锤式破碎机PCM1000型 部件号:TCR12531220|德国福伊特公司速度传感器\TCR.12531220\福伊特
    Heatpac CBM Heater/ PN: 176456082
NOV    M364001129
NOV    86812-2
NOV    86812-1
nov    86811-2
nov    86811-1
CANRIG    R01-3016-010
CANRIG    R01-3006-010
CANRIG    R01-3002-010
NOV    NOV P/N:93545-25N;BALL VALVE, MANIFOLD MOUNT
NOV    M364000821-01
NOV    156657
NOV    零件号156656,适用于149487液缸280/220
    53500-225
NOV    10676666-01
NOV    P854000099-HXXEN
NOV/PEPPERL+FUCHS    SENSOR,PROXIMITY;NOV P/N:87961;P+F P/N:106693,type:NJ40-U4-N
捷杰西    备件号:110056
捷杰西    备件号:110061-326
    ACS550-01-03A3-4
    ACS355-03E-07A3-4
    CIMR-HB4A0060,30KW,60A
    ACS-880-3-25A==ACS880-01-025A-3
    ATV610D15N4
    速度传感器\TCR.12531220\福伊特
    负压传感器\GPD10
    压力传感器\GPD10G\珂尔玛\国产
    "Air caster B30NC001, with a working pressure of 1
bar the optimal capacity is 500 kg with an air
consumption of ca. 200­400 Nl/min.Nr.
2004015,16/ 2009199­204"
    Honeywell SYS57 KEY KIT CNTRL CD EXTRACT / ENG 05701-A-0550
    NDC测厚仪扫描头电机  105/14527-01SA
     lamps  GF203-0.7-160-60
BARTEC    VPR047   VA300+M 100V line Amplifier
    VPR066  VA300+M Amplifier (70v Line) Gen II
    "RRV,MAJOR REPAIR KIT,3""-8K Major Repair Kit for
3"" Reset Relief
Valve (8,000 psi)
Schedule B : 8481400000"
    NTE-212-CoNS-0000
    GE Panametrics MISP2-2W-T10-1000
    GE Panametrics MISP2-2W-T00-0000
    GE Panametrics M2LR-00-010-0
    GE Panametrics M2LW-00-010-0
    GED 9T58K0085 CORE AND COIL SM PWR
    Klockner Moeller NZM6-63 3-Pole 600V 63A Circuit Breaker
    motor 190U3D400JBFCB215320SREL with an FC absolute
美国 simpson    数显透气性仪 0042105-M-ASM   1个
    砂强度测试仪 0042104-M-ASM  1个
    砂制样锤 0042100-M 1个
    制样管填充附件 0042100A-M 1个
    锤座 0042100C  1个
    铸型强度计 592-801-102 1个
    编码器,,EATON,38150-1000 数量3
    CMF310A2Y0Y0B2C1A5Y1A0Y ABB FIELD MOUNT PROCESS CONTROLLER
    Autosigma 3000
    "Pepperl
MNI20N-0H02K241N-00500"
    40FP32AF                           
    JD63F400
    3UB81234JW2
    M11091 Super Silent 20-A 110V/60Hz
    ELECTRO CRAFT E240  0240-06-018
simpson    砂制样锤 0042100-M  23公斤
    0042100A-M 1.2公斤
    0042100C   51公斤
    0042104-M-ASM   30公斤
    0042105-M-ASM    22公斤
    铸型强度计 592-801-102 0.2公斤
    1SCA135540R1001 1SCA135540R1001 ABB ENCLOSED SWITCH DISCONNECTOR, 20A, 380-415V, RED/YELLOW, PLASTIC, 2XM20, IP67
    1SCA135540R1001GE
    System 57 Calibration Plug p/n 05701-0550 05701-A-0550
    VOLEO 402 743 24V 504
    heiden ac 电源  型号:he-ac-s1000
    控制系统配件MTL浪涌保护器 电源模块8914-PS-AC  数量1个
    控制系统配件MTL浪涌保护器 电源模块8507-BI-DP   数量1个
    AIR COMPRESSOR TMC 26-8 EANA F015921
    SET SCREW NT2305 
    FAN NT5297
SYS TRONICS    DDC-201R  
SYS TRONICS    DDC-201D 
SYS TRONICS    SS-3303
    GE General Eastern MMR31-B-2-A-1-G
    
    A06B-0077-B003 
    A06B-0085-B103  
    A06B-6130-H002  
    A06B-6130-H003
    M11091 - Super Silent 20-A 110V/60Hz White
    GE General Eastern MMR31-R-3-A-2-G
    MLN 16SB9BB4A14SSM2L SB9 SWITCH 2
    AMAT SYM3 LLB LCF SENSOR DAMAGE NO:0090-07638
    BTL5-S173B-M0250-H-SA69K-KA05
Eaton MEDC    XB13230GNNR
    SM87HXBSB220GN2T2BYTR
    SM87HXBSB220YN2T2BYTR.
    SM87HXBSB220BN2T2BYTR
    SM87HXBSB220RN2T2BYTR
    M11091 - Super Silent 20-A 110V/60Hz White
    IC655CPU50OJ   IC655MEM503B
40086233    T260775
40063567    T260601
40079208    P165-5421
40087573    P165-5577
40079154    P604-(10225-1)     P604-9631
    "TM710E探头 马达传动组 件
图号:105/15537-02SA 品牌: NDC"
R301017-27    Vetco
R301017-24    Vetco
R301017-29    Vetco
R301017-19    Vetco
R301017-28    Vetco
R301017-12    Vetco
R301017-14    Vetco
H132990    Vetco
200008-101    Vetco
195000-214    Vetco
04584801    Vetco
04585302    Vetco
02206201    Vetco
724373    Vetco
H176822-1    Vetco
H139278-1    Vetco
02206101    Vetco
2206203    Vetco
2206204    Vetco
    Siemens/Unify HDCF S30810-K2319-X300/X330
     115UDB300BACAA
RADOX TENUIS    "Cable: RADOX TENUIS- TW 600V MM
Item No: 12 568 049"
    12536692
    12568099
    12568049
    EGTL-A03 PM1  2L87-100966-12   FIBER VER 4Z-HT CENT PM
    "
AKRON STANDARD
541-4998-02

REMOTE FUNCTION PROCESSOR (RFP) VER 3
通讯处理板 Remote Function processor board 541-4998-X3(RFP)"
    CMDS-100
    CFCS-100
    美国AT   C7-3000  配备OSHA/NFPA
    chemiquip  1/4'' NPT 25B
    9T58K0085
     PCIe x1 Gen2 Host  OSS-PCIe-HIB25-X1
    TEL NV3  ES2L87-100966-11 ==ES2L87-100966-12
    98 86 029减速机  59 01 007 减速机  57  04  005减速机  XB1-100-200-1-6/6  谐波减速机
    INDU EDH 76-6-90000-05-D-S/W25MM/HG5/IP00/8MM 1812/204542681  INDUCODER - 76-6-90000-05-D-S/we25MM/HG5/IP00/8m S/N 1812/204542681
HR Textron    27B50F-5E02-995
    CR420JFA0229
    Fairford  DFE-30  (75kW-132kW;  400V-50Hz)
    PCH1216
    R4257047  R4233232
    TS2113N21E10NLA
GE    16SB9BB4A14SSM2L
    Heidenhain ECN 113 2048
    TRENNVERSTÄRKER TV-PT1000.2xOUT
    TRENNVERSTÄRKER TV-U2 .. . ..
    SIMPLE EMV 250R/NW
    ZM92.01   
    SM91.10   
    ZL93.04    
    SA94.04   
    AI92.05     
    SW1  DRIVE SETTINGS   J4 CONTROL   PACIFIC SCIENTIFIC 04G-020
    SPIDER 376 0071-801 250W VER4.3.1
    M11091 Super Silent 20-A 110V/60Hz White white  Serial #: 1134696
    Invicta BL 60-105/6  BL60-105/6/01/50
    DELTA 备件反光镜R110 HTA   5个
GE    1 HC43VL460   SAME AS 5KCP39JGF737BS
    PCIe-HIB25-x4-H   One Stop Systems PCIe x4 Gen 2 host cable adapter
     PCIe-HIB25-x1-T  One Stop Systems PCIe x1 Gen 2 target cable adapter
    PCIe-HIB25-x1-H  One Stop Systems PCIe x1 Gen 2 host cable adapter
    PM10816-PL7310
    3170G040 UNI-TRIBALL S70-G F05-07/D14  DN40-FB / AISI316 / BSP THREAD
    23DA004F05D14 ACTUATOR WM4DA ISO F05/D14 ART.NO: WMR004422
    ADI 分析仪抽气泵M161-FT-AB2    3台
    41709 C0 42714098-V16-1   EMERSON 401282217591里面的41709 C0 42714098-V16-1
     27B50F-5E02-995
    功率单元 Mega Vert-FM150AN Megavert-F2000-10
    分析仪抽气泵M161-FT-AB2
    压力传感器DGZ-11/K0-500bar
    保险 FTB-HO 250V,5A
    力士乐电机MSK050C-0300-NN-M1-UG0-NNNN
    VB12-14270-03    Assembly, Standard Cord, VB-12C VibroBlock
    SLG090-050-KMGS-RA2-168
    GE GT10000 GT10A23/1810A009
    "德国
Dr-Brandt
压力传
感器
DGZ-11/K 500bar
Serno:55573"
    INGERSOLL RAND  IY90L-6
    OILTECH ISSLG 132M-6B
    AMO GMBH A-4963 8604381 2048 5V DC mounting hole center spacing 61.5mm
    853-001983-005,85*66*3  853-001983-005
    WITTENSTEIN   500S-MA3-220-0K1-2S
    3051SFADG200ZSHPS2T100071AA1B2RLG6Q4M5E1F2   (0-1.11kPa)阿牛巴流量计ROSEMOUNT
    HFX236 E1003
    "wika
型号:  IS-3-0-2211-1ZZ-BZZ-GTFDZZZ-UAX                 0~3000BAR"
    5D3A1121PO1O ARND-3119A
    GEMS SENSORS CONTROLS  GH3115-MM-VAC
    M000-09402000DU0100AB100
    M000-09402000DU0100AN100
    M700-07400770A10101AB100
    型号82400000016000
    型号82400000017800
    型号82400000016500
    NXD63B120
    NXD63B800H
    功率单元_MegaVert-FM150AN MegaVert-F2000-10
    51ML72  1720
    压力变送器 IDP10-T22C21F-L1     红外测温传感器 Raytek Model:RAYTXCLTSF Serial:486340  
CLECO    直轴拧紧轴 4BTS-4B660A-4K3B-4ZA
    拧紧轴主电缆 961109-110
    拧紧轴跳线电缆 961104-020
"
GEMS SENSORS & CONTROLS

GH3115-MM-VAC"    GH3131-01MM-V1-203
    GE261A1812P6,火焰扫描仪ITS 967X7179M379
    "VP-VISION 
奥地利Grabner微量蒸气压测试仪
MINIVAP VP VISION"
    IME D8AW2 POWER ACCESSORY
    51ML72 MICRO
    "Honeywell 51ML72

Mfr. Part #: 51ML72
Allied Stock #: 70120312  "
    GED CR306D002LTH MEBANE RENEWAL
    GED CR306C002ACDT SIZE 1 STARTER
    GED CR305E002AYH Z 3 FVNR LESS
    转速探头A5S05T90-5M,数量6件,品牌:布朗
    "DF-310E痕量氧仪专用电解液 100ml
Delta F"
PUMP OIL\O0075\C'TREAT    PUMP OIL\厂家配件号:O0075\技术参数:450℉\制造厂或品牌:C'TREAT\设备名称:FRESHWATER MAKER PACKAGE
COUPLING GREASE\160C\CALTEX    COUPLING GREASE\规格:40×14OZ\制造厂或品牌:CALTEX\厂家配件号:160C
    parker 驱动器 TBF60/5R 10台

"OVERLOAD RELAY, 28...40 A, 1NO+1NC, SIZE S2, CLASS 10, FOR CONTACTOR MOUNTING FOR CONTACTOR MOUNTING
PART # 3RU1136-4FB0, SIEMENS"
"CIRCUIT-BREAKER N-RELEASE 585 A, SIZE S2 STARTER PROTECTION, 50 KA SCREW CONNECTION
P# 3RV1331-4GC10 OR 3RV2031-4VA10  SIEMENS"
"SIRIUS SOFT STARTER, S12, 230 A, 132 KW/400 V, 40 DEG., 200-460 V AC, 230 V AC, SCREW TERMINALS
P# 3RW4073-6BB44 OR 3RW5073-6AB14  SIEMENS"
"Magnetic Contactors
3TH4031-1XF4
DC110V, 3NO 1NC    SIEMENS"
"CIRCUIT BREAKER AC 400V 10KA, 2-POLE, C, 6A
5SY5206-7
SIEMENS"
"CIRCUIT BREAKER  AC 400V 10KA, 2-POLE, C, 32A
5SY5232-7   
SIEMENS"
"Digital AC Ammeter With external Current Transformer Secondary --/5A
1. Size 48x48mm
2.  External Ring  CT Size to be suitable for use with power cable capacity upto 50A.
3. Flush Mounting,  230VAC, 50Hz
4. Accuracy at full-scale : 0.5 % ±1 digit.
5. Digit = 3   Range 1A to 999A. (Single Phase).
6. Programmable for use with 5A CT,  
7. External CT Burden 3-10VA, Ratings upto 0-50/5A, Class 1
8. Bidder to submit Technical data sheet at Bid stage."
"Digital AC Ammeter With external Current Transformer Secondary --/5A
1. Size 48x48mm
2.  External Ring  CT Size to be suitable for use with power cable capacity upto 50A.
3. Flush Mounting,  230VAC, 50Hz
4. Accuracy at full-scale : 0.5 % ±1 digit.
5. Digit = 3
6. Programmable for use with 5A CT, Range 1A to 999A. (Single Phase).  
7. External CT Burden 3-10VA, Ratings upto 0-200/5A, Class 1
8. Bidder to submit Technical data sheet at Bid stage."
"High operating  Temperarture Power Cable 4mm - Roll (XLPE, High Temperature  Resistant and Temp bearing 100C)  (each Coil of 90m)
Note: bidder to provide datasheet & test certificate along with technical bid"
"High operating  Temperarture Power Cable 2.5mm - Roll (XLPE,  High Temperature  resistant  and Temp bearing 100C)    (each Coil of 90m)
Note: bidder to provide datasheet & test certificate along with technical bid"
"CONTROL TRANSFORMER , MODEL # TAM4896-0EW60-0FS1,  0.48KVA/2KVA PRIMARY 400V,
SEC1: 230VAC/1.74A, SEC 1: 115V/ 3.48A, SEC 2: 24/3.33 A, MAKE : MDEXX OR EQUV"
Terminals, Part # TB 4 I,  800V/32A/4SQMM MAX.  MAKE PHOENIX
Terminals   4SQMM MAX.  TB 4-PE I   MAKE PHOENIX
Terminals  500V/32A/4SQMM MAX.   TB 4-TWIN I, MAKE PHOENIX
Terminals  400V/57A/6SQMM MAX.   TB 6 RTK, MAKE PHOENIX
" Indication Lamp,   22 DIA GIO-red- 110vdc    DC 110V, ≤20mA,  R26  
Manufacturer: JIANGYIN CHANGJIYANG/ EQUV"
"Indication Lamp,  green  110vdc  DC 110V, ≤20mA,  G26
Manufacturer: JIANGYIN CHANGJIYANG/EQUV"
"Indication Lamp.  yellow  110vdc   DC 110V, ≤20mA,  Y26
Manufacturer: JIANGYIN CHANGJIYANG/EQUV"
 Indication Lamp, YELLOW 22dia, 110VAC
 Indication Lamp, GREEN 22dia, 110VAC
 Indication Lamp,  RED 22dia, 110VAC
INDICATION Lamp 'White', 22 Dia, 110VAC
"Position indicator,   ADWZ25-B/2 , DC 110V  withdraw test/service indicator
Manufactuer: Xiamen Lilin"
"Position indicator,   ADWZ25-E/1,  DC 110V earthing switch indicator   AC/DC 98-242V
Manufactuer: Xiamen Lilin"
"Position indicator.  ADWZ25-K/2,  DC 110V trip/close indictor AC/DC 98-242V
Manufactuer: Xiamen Lilin"
"Insulator with CVI sensor,  Un= 12KV,  Part # B10N-1000C OR Equivalent
ABB/Xiamen Lilin"
"6.6kv Phase indicator,  Part Number: DXNP1-Q-6, Rated Voltage: 6.6kV
Powered by Capacitive voltage,  Manufacturer: XIAMEN Leelen High Voltage Electric Co., Ltd"
"3-Phase AC Voltage Relay, Undervoltage, Overvoltage, Phase Sequence, Phase Failure Protection
E444N-30-A,    Emirel Make"
"EARTH LEAKAGE RELAY  230VAC,  Selectable Trip level (0.03 – 30A) and Time delay (0 – 10s),  DIN RAIL, Local Test/Reset buttons,  Isolated Auxiliary supply,  1 x SPDT/1 x SPNO Relay outputs
Model  ELRM44V-30 ,  MAKE : BROYCE or Equivalent"
"Voltage  Transformer (Single Pole) with primary fuses (0.5A), Primary: 6.6kV / Sqrt (3)  core 01: 110V / Sqrt (3),100VA Cl 0.5, 1.9Un 8 h 50HZ 7.2/20/60KV IEC61869-3,
PART #  GSES12-34RS,   MAKE :  Ritz"
"Current Transformer , Core1: 400/1A 0.5FS5 10VA,  Core2: 400/1A 5P10 15VA
IEC61869-2:2012 50 12/28/75kV Ith=31.5kA/3s;Idyn=80kA,  Secondary Terminals to P2   Primary Connection GR1 32mm H/C 2 Holes,   PART #   GSWS12-02RS,   MAKE :  Ritz"
"VOLTAGE TRANSFORMER IN GENERATOR INCOMING PANELS with PT FUSE:
Part No. JDZX6-6RG,    6.6/√3   /   0.11/√3   /   0.11/3 kV    0.5/6P 50/50VA     300VA    WITH PT FUSE 0.5A,   DALIAN NO. 1 INSTRUMENT TRANSFORMER CO., LTD. CHINA
Note: Dimensional drawings & datasheet to be submitted at bid stage"
"VOLTAGE TRANSFORMER, PT PANEL:  Part Number:  JDZX9-6G1 OR 10G1,
Insulation Level(kV): 7.2/32/60, f:50Hz,   Output(VA): 30/30/100,
Accuracy Class: 0.2/0.5/6P,     Limiting Output (VA): 600,   Cos Φ=0.8,   
Rated Voltage Ratio(kV): (6.6/√3)/(0.11/√3) /(0.11/√3)/(0.11/3)   Material No. A7E000107082901
 DALIAN NO. 1 INSTRUMENT TRANSFORMER CO., LTD. CHINA
Note: Dimensional drawings & datasheet to be submitted at bid stage"
"On/OFF selector switch, Model: LA38-11,  
Part No. LA38-11X2/203, Ui: 500V, Ith: 10A   AC:15-220V/380V (5.5A/4A),
Manufacturer: Jiangyin  Changjiang"
LED Lamps/Panel lamps ,  LL10-W/2 AC/DC 110-230V 2000mm  , Xiamen Lilin  OR Equivalent
"LOCAL / REMOTE   Universal Changeover Switch  Part Number: LW38D-204NO393/2-S, Ui: 690V,
Ith: 20A,  AC-15 380V 4A,  DC-13 220V 0.6A  Manufacturer: JIANGYIN CHANGJIYANG ELECTRIC APPLIANCE CO., LTD"
"Control switch, Universal Changeover Switch
Part Number: LW38D-204Q/1a. 4. 4/3-S, Ui: 690V, Ith: 20A
AC-15 380V 4A,  DC-13 220V 0.6A, Manufacturer: JIANGYIN CHANGJIYANG ELECTRIC APPLIANCE CO."
"Voltmeter Select Switch,  7 position switch.    Part Number: LW38D-20YH5/3*-S/6, Ui: 690V, Ith: 20A,
AC:15-380V, 4A, DC:13-220V, 0.6A   Manufacturer: JIANGYIN CHANGJIYANG ELECTRIC APPLIANCE CO."
"CURRENT TRANSFORMER (FOR SECTION BREAKER PANELS OF SWTGR-1):
Part Number: LZZBJ9-10A2C2G,  Material No. A7E000118631901
Insulation Level(kV): 12/42/75, f:50Hz, Cos Φ=0.8,  Output(VA): 30/20, Accuracy Class: 0.5/5P20
Current Ratio(A): 2000/1, 2000/1,  Ith: 40KA/4s, Idyn: 100kA  
Manufacturer: DALIAN NO. 1 INSTRUMENT TRANSFORMER CO., LTD. CHINA"
"CURRENT TRANSFORMER Part Number: LZZBJ9-10A2C2G,   Material No. A7E000118700501
Rated Insulation Level(kV): 12/42/75, f:50Hz,  Cos Φ=0.8,  Rated output(VA): 10/7.5/7.5,  
Accuracy Class: 0.5/5P20/5P20,   Rated Current Ratio(A): 400/1, 400/1, 400/1, Ith: 40KA/1s,  Idyn: 100kA,   Manufacturer: DALIAN NO. 1 INSTRUMENT TRANSFORMER CO., LTD. CHINA"
HV FUSE  for PT, inserting type, high voltage fuse for instruments transformer protection , XRNP1 7.2/0.5-50-1 ,  0.5A 50KA 7.2KV
Lighting Arrester ,  YH5WD-8.5/20,   Metal oxide surge arrestor  Xian Shendian Electric
Lighting Arrester ,  YH5WZ-11/30   Metal oxide surge arrestor, Xian Shendian Electric
"SELECTOR SWITCH 3  POSITION, Manual -Off-Auto, 2 Pole,  Selector Switch Position 1-0-2
MAKE  Lovato/Equivalent"
"Surge Protective Devices SPD Class II,  Model # OVR T2 3N 80-440s P TS QS ABB OR EQV
Nominal AC Voltage of the System (Uo):400 / 690 V,  Number of Protected Poles:4, Arrester Class:II
Discharge Current:Nominal 20 kA, Maximum 80 kA, Total 80 kA,  Impulse Current:I (imp, 10 / 350 µs) 6.25 kA,  Maximum Continuous Operating Voltage (Uc):(L-PE) 440 V"
FUSE, NT00-80A gL/gG
"3 POLE SWITCH DISCONNECTOR, NH00-Fuse switch disconnector 160A
RT 16-00/160A (XLP00) make ABB/Equivalent"
"Residual Current Circuit Breaker , with Overcurrent Protection  GSH203 A-D25/0.03 AP-R  with
S2C-H6R - Auxiliary Contact, ABB make"
TIMER,  AH3-2 AC 220V 60s on delay DPDT time relay,  ABB/Equivalent
"Current Transformer, 150/5A, Class 1, 5VA ,    PART # SLC-30: LT CT 150/5A CLASS 1.0  5VA  
MAKE  FICO /Equivalent"
"Current Transformer  Ratio : 200/5A, 5VA (Window size = 30x10mm)  PRI Turn 1   PART # ELC-30  
MAKE  FICO /Equivalent"
"Current Transformer Ratio : 60/5A, Cl. 1.0 5VA (Window size = 30x10mm),
PRI Turn 1    PART # ELC-30  MAKE  FICO /Equivalent  "
"Current Transformer,    Ratio : 100/5A   5VA (Window size = 30x10mm)
PRI Turn 1      PART # ELC-30  MAKE  FICO /Equivalent"
"Photoelectric Sw.Op. Voltage Range=210-250V AC, Load Current Capacity=10A, Light Level, (To turn lamp'on')=50Lux(app),Light Level (To turn lamp'off')=200lux(app),230V AC, 50Hz
  PART # EE8020-821  , MAKE  NATIONAL /Equivalent"
Selector Switch 4-Position, 0-1-2-3, 1-Pole   PART # P0160029R001  ,  MAKE  Giovenzana /Equivalent
VOLTMETER SELECTOR SWITCH     PART # CV34  ,  MAKE  CAMSCO /Equivalent
FLEXIBLE SINGLE CORE 16MM CABLE FOR PANEL LOOPING, XLPE  MAKE pakistan cables
FLEXIBLE SINGLE CORE 25MM CABLE FOR PANEL LOOPING, XLPE  MAKE   pakistan cables
FLEXIBLE SINGLE CORE 50MM CABLE FOR PANEL LOOPING, XLPE  MAKE   pakistan cables
Ammeter Selector Switch 4 Position ,  PART # CA34    MAKE  CAMSCO /Equivalent
Ammeter, SCALE 0-999, FOR CT RATIO 0- 250A, Size: 96x96mm, DIGITAL  MAKE  ZEIGLER /Equivalent
Voltmer 0-500V Class 1.5 Size 96x96, DIGITIAL  Marathon  GENTEQ 5BPA56RAG9A, 5KH36MNA445X, 5KC36LN18J, 5KH32GN5637X, 5PY59JYE, 5KH38SNB845X, 5CD173 AV850UFF 5K33FN41A 5K49QN6354 5KCR46MN0092 5K324BS K36P,5KCP39,5KS49,E9626,E9923,M497,M8957,S2272,S2062, E991 , ODP , TEFC , Kinamatic , CD6000 , D31 , D28 , 5BPA56RAG9A , 5KCP39FGM433S , 5KH36MNA445X , 5KCR46MN0055X 5KCP39JGF737BS 5KCP29MK6641S
7.2 kV, 100 A HV HRC Fuse  3GD2100-1MC  Make: SIBA/Siemens/Equivalent
7.2 kV, 160 A HV HRC Fuse   3GD2160-1BC    Make: SIBA/Siemens/Equivalent
7.2 kV, 200 A HV HRC Fuse  Type: 3011014.200   3GD2200-1BC    Make: SIBA/Siemens/Equivalent
7.2 kV, 200 A HV HRC Fuse  3GD2200-1MC     Make: SIBA/Siemens/Equivalent
7.2 kV, 250 A HV HRC Fuse  OR  e=442mm, Ia=50kA    30.110.54  Make: SIBA/Siemens/Equivalent
 GE  冷却风机  型号:5KCP39JGF737BS
Blue M    LN-270 C-1    LN2 Temperature Chamber    "-  -100*C to +200*C  Part Number    Description    Manufacturer    Brand    Price & Lead Time
5K49JN2534A    MOTOR 1-1/2HP 3450RPM 208-230/460VAC 3PHASE    REGAL BELOIT    MARATHON MOTORS    Request Quote
5K49KN4124    MOTOR 3/4HP 208-230/460V 3PH 60HZ 1725RPM    REGAL BELOIT    MARATHON MOTORS    Request Quote
5K49MN4104    MOTOR 3PH 1HP 1725RPM 208-460VAC 60HZ    REGAL BELOIT        Request Quote
5K49MN4105    MOTOR    REGAL BELOIT    MARATHON MOTORS    Request Quote
5K49MN4620    MOTOR    REGAL BELOIT    MARATHON MOTORS    Request Quote
5K49NN2178    2HP 208-230/460V 3450RPM MOTOR    REGAL BELOIT        Request Quote
5K49NN2180    MOTOR 2HP 3PH 3450RPM 6A 208-230/460VAC 60HZ    REGAL BELOIT    MARATHON MOTORS    Request Quote
5K49QN6300DS    MOTOR ELECTRIC 3HP 1140RPM 460V 60HZ 3PH    REGAL BELOIT    MARATHON MOTORS    Request Quote
5K49SN4098    MOTOR 1-1/2HP 230/400V 1425RPM 145T 3PH    REGAL BELOIT    MARATHON MOTORS    Request Quote
5K49SN4118    MARATHON MOTORS, 5K49SN4118, AC MOTOR, 1725RPM, 1.5HP, 3PHASE, 208-230/460VAC, 60HZ, 4.7-4.4/2.2AMP    REGAL BELOIT    MARATHON MOTORS    Request Quote
5K49ZN4253    MOTOR 6.2-5.8/2.9AMP 208-230/460V 1725/1425RPM 2HP    REGAL BELOIT    MARATHON MOTORS    Request Quote
5KC32GN25    MOTOR    REGAL BELOIT    MARATHON MOTORS    Request Quote
5KC36MN27X    1/2HP 115/230V 1725RPM 48 MTR    REGAL BELOIT        Request Quote
5KC39HN2888X    MOTOR    REGAL BELOIT    MARATHON MOTORS    Request Quote
5KC46GN0251Y    MOTOR REVERSE 8.8AMP 115/230V 60HZ 1/2HP 1725RPM    REGAL BELOIT    MARATHON MOTORS    Request Quote
5KC46LN0158X    MOTOR THERMALLY PROTECTED 3/4HP 150-230VAC 1725RP    REGAL BELOIT    MARATHON MOTORS    Request Quote
5KC46PN0062AY    MOTOR 1PH 3/4HP 5.5/11AMP 115/230V 1725RPM 60HZ    REGAL BELOIT    MARATHON MOTORS    Request Quote
5KC49GN0010    MOTOR 1/2HP 115/208-230 1PH 56 FR 1800RPM    REGAL BELOIT    MARATHON MOTORS    Request Quote
5KC49NN0061AT    MOTOR 1HP 1725RPM 60HZ    REGAL BELOIT    MARATHON MOTORS    Request Quote
5KC49NN0061T    MOTOR    REGAL BELOIT    MARATHON MOTORS    Request Quote
5KC49PN0596AX    ELECTRIC MOTOR, 3/4HP 115/208-230V 1725RPM    REGAL BELOIT    MARATHON MOTORS    Request Quote
5KC49TN0034    MOTOR 1HP 1800RPM 115/230V 1PHASE    REGAL BELOIT        Request Quote
5KCP29BCA010AS    MOTOR 1/6HP .98AMP 1625RPM 1PHASE 208-230V 60HZ    REGAL BELOIT    GENTEQ    Request Quote
5KCP32GN107HS    MOTOR 1/4HP 1625/1350RPM 4.2/2.1AMP 115/230V 1PH    REGAL BELOIT    MARATHON MOTORS    Request Quote
5KCP39BGR201BS    MOTOR 1/6HP 208-230V 1500RPM/SPEED CWLE ROTATION    REGAL BELOIT    GENTEQ    Request Quote
5KCP39FGP688    MOTOR 1075RPM 277V 1/4HP 1PH 60HZ    REGAL BELOIT    GENTEQ    Request Quote
5KCP39GFY917S    1/5 HP ,1 PH , 208-230 V ,825 RPM , AC MOTOR    REGAL BELOIT    GENTEQ    Request Quote
5KCP39GGS336S    BLOWER MOTOR 1/3HP 1075RPM 115V 5.2AMP    REGAL BELOIT        Request Quote
5KCP39JGF737BS    MOTOR 1/2HP 1075RPM 460V 1PH 1.9AMP    REGAL BELOIT    GENTEQ    Request Quote
5KCP39LGU663S    MOTOR    REGAL BELOIT    GENTEQ    Request Quote
5KCP39MFY985S    MOTOR 1/4HP 1100/900RPM 1PHASE    REGAL BELOIT    GENTEQ    Request Quote
5KCP39PGL138BS    CONDENSER FAN MOTOR 1/2HP 230V    REGAL BELOIT    GENTEQ    Request Quote
5KCP49UN9613S    MOTOR 1075RPM 1HP 208-230V 6.3AMP    REGAL BELOIT    MARATHON MOTORS    Request Quote
5KCP49WN9700S    MOTOR    REGAL BELOIT        Request Quote   97F9642膜电容 聚丙烯 40uF 440V 快接端子
ATEQ ADSE 743
97F9803膜电容 双电容 25 / 5 uF
27I04 – INVICTA SC
MORE INFO
SOLD OUT
URBAR INGENIEROS REX-4
27U01 – URBAR INGENIEROS REX-4
Potencia
05701-A-0309 Master Alarm Update Module Kit
05701-A-0312 Modbus Interface Module Kit-RS 485/RS 422
05701-A-0313 Modbus Interface Module Kit-RS 232
05701-A-0314 Event Print Module Kit-RS 232
05701-A-0339 Master Alarm Update Panel
05704-A-0148 5704F Fire Status Panel

Racks and Cabinets

05701-A-0452 Cabinet – Wall Mounting – 8 Way
05701-A-0451 Cabinet – Wall Mounting – 16 Way
05701-A-0506 Sub rack – Front Access – 8 Way
05701-A-0516 Sub rack – Rear Access – 8 Way
05701-A-0505 Sub rack – Front Access – 16 Way
05701-A-0515 Sub rack – Rear Access – 16 Way
05701-A-0365 Blanking Panel – Card Slot
05701-C-0471 Blanking Panel – 1U X 19″ (16 Way)
05701-C-0474 Blanking Panel – 1U X ½ 19″ (8 Way)

Power Supplies

05701-A-0405 Power Supply Unit- AC To DC-16 Way- 50 Watts
05701-A-0406 Power Supply Unit- AC To DC-8 Way- 50 Watts
05701-A-0440 Power Supply Module- 50 W (Upgrade For PSU & Sub Units)
05701-A-0441 Power Supply Subunit- 50 Watts (Upgrade For 16 Way PSU)

5701 Spares

05701-A-0550 System 57 Calibration Plug
05701-A-0361 Engineering Card
05701-A-0325 DC Input Card
05701-A-0301 Single Channel Control Card – 4-20mA
05701-A-0302 Single Channel Control Card- Catalytic
05701-A-0351 Control Card- Single Channel- 5701
05701-A-0283 Sensor Drive Module – 4-20mA- 5701
05701-A-0284 Sensor Drive Module- Catalytic- 5701
05701-A-0285 Analogue Output Module- 5701
05701-A-0326 Field Interface Card (No Relays – Sensor Input Only)
05701-A-0327 Relay Card-Double SPCO- 5701 (A1, A2 & Fault Single Pole Changeover Relays)
05701-A-0328 Relay Card-Triple SPCO-5701 (A1, A2, A3 Fault & Inhibit Single Pole Changeover Relays)
05701-A-0329 Relay Card- Triple DPCO- 5701 (2xA1, 2xA2, 2xA3 Fault & Inhibit Single Pole Changeover Relays)
05701-A-0330 High Integrity Relay Card-Double SPCO -5701 (2xA1, 2xA2, 2xA3 Fault & Inhibit Single Pole Changeover Relays)
05701-C-0390 Interconnection Cable- 5701
05701-C-0127 Model 5701 Scale Label – Catalytic – 0-20% LEL
05701-C-0130 Model 5701 Scale Label – Catalytic – 0-100% LEL
05701-C-0131 Model 5701 Scale Label – mA – 0-100% LEL
05701-C-0135 Model 5701 Scale Label – Catalytic – 0-4% V/V
05701-C-0137 Model 5701 Scale Label – mA – 0-25% V/V
05701-C-0138 Model 5701 Scale Label – mA – 0-30% V/V
05701-C-0139 Model 5701 Scale Label – mA – 0-100% V/V
05701-C-0141 Model 5701 Scale Label – mA – 0-10% V/V
05701-C-0145 Model 5701 Scale Label – mA – 0-5ppm
05701-C-0146 Model 5701 Scale Label – mA – 0-10ppm
05701-C-0147 Model 5701 Scale Label – mA – 0-15ppm
05701-C-0148 Model 5701 Scale Label – mA – 0-20ppm
05701-C-0149 Model 5701 Scale Label – mA – 0-25ppm
05701-C-0150 Model 5701 Scale Label – mA – 0-50ppm
05701-C-0151 Model 5701 Scale Label – mA – 0-100ppm
05701-C-0152 Model 5701 Scale Label – mA – 0-200ppm
05701-C-0154 Model 5701 Scale Label – mA – 0-500ppm
05701-C-0155 Model 5701 Scale Label – mA – 0-1000ppm
05701-C-0156 Model 5701 Scale Label – Catalytic – 0-2000ppm
05701-C-0157 Model 5701 Scale Label – Catalytic – 0-3000ppm
05701-C-0158 Model 5701 Scale Label – mA – 0-5000ppm
05701-C-0159 Model 5701 Scale Label – Catalytic – 0-10000ppm
05701-C-0160 Model 5701 Scale Label – Catalytic – 0-5000ppm
05701-C-0161 Model 5701 Scale Label – mA – 0-10000ppm
05701-C-0162 Model 5701 Scale Label – Catalytic – 0-15000ppm
05701-C-0163 Model 5701 Scale Label – Catalytic – 0-20000ppm
05701-C-0176 Model 5701 Scale Label – mA – 0-5 LELm
05701-C-0177 Model 5701 Scale Label – mA – 0-2% V/V
05701-C-0179 Model 5701 Scale Label – mA – 0-2ppm
05701-C-0180 Model 5701 Scale Label – mA – 0-30ppm
05701-C-0182 Model 5701 Scale Label – mA – 0-5% V/V
05701-C-0184 Model 5701 Scale Label – mA – 0-2000ppm
05701-C-0185 Model 5701 Scale Label – mA – Blank Scale
05701-C-0186 Model 5701 Scale Label – Catalytic – Blank Scale
05701-C-0195 Model 5701 Scale Label – mA – 4-20mA
05701-C-0200 Model 5701 Scale Label – mA – 0-1000ppm
05701-N-6100 5701 Catalytic, Field Interface, Interconnect cable
05701-N-6101 5701 Catalytic, Double SPCO, Interconnect cable
05701-N-6102 5701 Catalytic, Triple SPCO, Interconnect cable
05701-N-6103 5701 Catalytic, Triple DPCO, Interconnect cable
05701-N-6104 5701 Catalytic, High Integrity Relay, Interconnect cable
05701-N-6105 5701 mA, Field Interface, Interconnect cable
05701-N-6106 5701 mA, Double SPCO, Interconnect cable
05701-N-6107 5701 mA, Triple SPCO, Interconnect cable
05701-N-6108 5701 mA, Triple DPCO, Interconnect cable
05701-N-6109 5701 mA, High Integrity Relay, Interconnect cable

Single Channel Control Card + Interface Card + Analogue Output (for front access racks)

05701-N-6110 5701 Catalytic, Analogue output, Field Interface, Interconnect cable
05701-N-6111 5701 Catalytic, Analogue output, Double SPCO, Interconnect cable
05701-N-6112 5701 Catalytic, Analogue output, Triple SPCO, Interconnect cable
05701-N-6113 5701 Catalytic, Analogue output, Triple DPCO, Interconnect cable
05701-N-6114 5701 Catalytic, Analogue output, High Integrity Relay, Interconnect cable
05701-N-6115 5701 mA, Analogue output, Field Interface, Interconnect cable
05701-N-6116 5701 mA, Analogue output, Double SPCO, Interconnect cable
05701-N-6117 5701 mA, Analogue output, Triple SPCO, Interconnect cable
05701-N-6118 5701 mA, Analogue output, Triple DPCO, Interconnect cable
05701-N-6119 5701 mA, Analogue output, High Integrity Relay, Interconnect cable

Single Channel Control Card + Interface card (for rear access racks)

05701-N-6200 5701 Catalytic, Field Interface
05701-N-6201 5701 Catalytic, Double SPCO
05701-N-6202 5701 Catalytic, Triple SPCO
05701-N-6203 5701 Catalytic, Triple DPCO
05701-N-6204 5701 Catalytic, High Integrity Relay
05701-N-6205 5701 mA, Field Interface
05701-N-6206 5701 mA, Double SPCO
05701-N-6207 5701 mA, Triple SPCO
05701-N-6208 5701 mA, Triple DPCO
05701-N-6209 5701 mA, High Integrity Relay

Single Channel Control Card + Interface Card + Analogue Output (for rear access racks)

05701-N-6210 5701 Catalytic, Analogue output, Field Interface
05701-N-6211 5701 Catalytic, Analogue output, Double SPCO
05701-N-6212 5701 Catalytic, Analogue output, Triple SPCO
05701-N-6213 5701 Catalytic, Analogue output, Triple DPCO
05701-N-6214 5701 Catalytic, Analogue output, High Integrity Relay
05701-N-6215 5701 mA, Analogue output, Field Interface
05701-N-6216 5701 mA, Analogue output, Double SPCO
05701-N-6217 5701 mA, Analogue output, Triple SPCO
05701-N-6218 5701 mA, Analogue output, Triple DPCO
05701-N-6219 5701 mA, Analogue output, High Integrity Relay

Four Channel Control Card + Interface Card (for front access racks)

05704-N-0101 5704 Catalytic, Quad Relay, Interface Interconnect Cable
05704-N-0102 5704 Catalytic, Relay Interface Assembly, Interconnect Cable
05704-N-0103 5704 mA, Quad Relay Interface, Interconnect Cable
05704-N-0104 5704 mA, Relay Interface Assembly, Interconnect Cable

Four Channel Control Card + Interface Card + Sink Analogue Output (for front access racks)

05704-N-0111 5704 Catalytic, Analogue output-sink, Quad Relay Interface, Interconnect Cable
05704-N-0112 5704 Catalytic, Analogue output - sink, Relay Interface Assembly, Interconnect
05704-N-0113 5704 mA, Analogue output - sink, Quad Relay Interface, Interconnect Cable
05704-N-0114 5704 mA, Analogue output - sink, Relay Interface Assembly, Interconnect Cable

Four Channel Control Card + Interface Card + Source Analogue Output (for front access racks)

05704-N-0121 5704 Catalytic, Analogue output-source, Quad Relay Interface, Interconnect Cable
05704-N-0122 5704 Catalytic, Analogue output - source, Relay Interface Assembly, Interconnect Cable
05704-N-0123 5704 mA, Analogue output - source, Quad Relay Interface, Interconnect Cable
05704-N-0124 5704 mA, Analogue output - source, Relay Interface Assy, Interconnect Cable

Four Channel Control Card + Interface Card (for rear access racks)

05704-N-0201 5704 Catalytic, Quad Relay Interface
05704-N-0202 5704 Catalytic, Relay Interface Assembly
05704-N-0203 5704 mA, Quad Relay Interface
05704-N-0204 5704 mA, Relay Interface Assembly

Four Channel Control Card + Interface Card + Sink Analogue Output (for rear access racks)

05704-N-0211 5704 Catalytic, Analogue output - sink, Quad Relay Interface
05704-N-0212 5704 Catalytic, Analogue output - sink, Relay Interface Assembly
05704-N-0213 5704 mA, Analogue output - sink, Quad Relay Interface
05704-N-0214 5704 mA, Analogue output - sink, Relay Interface Assembly

Four Channel Control Card + Interface Card + Source Analogue Output (for rear access racks)

05704-N-0221 5704 Catalytic, 5704 Analogue output - source, Quad Relay Interface
05704-N-0222 5704 Catalytic, 5704 Analogue output - source, Relay Interface Assembly
05704-N-0223 5704 mA, Analogue output - source, Quad Relay Interface
05704-N-0224 5704 mA, Analogue output - source, Relay Interface Assembly
5704 Four Channel Control Card + Interface Card + Source Analogue Output (for rear access racks)

05704-N-0105 5704F 4ZCC- Fire, Hex Relay Interface, Interconnect Cable*
05704-N-0106 5704F 4ZCC- Fire, Fire Relay Interface, Interconnect Cable*
05704-N-0205 5704F 4ZCC- Fire, Hex Relay Interface*
05704-N-0206 5704F 4ZCC- Fire, Fire Relay Interface*

Note: * = Must order 1 x 5704F Fire Status Panel card per control rack when fitted with and 5704F Fire card. Occupies 1 slot of control rack.

05704-A-0148 5704F Fire Status Panel

Interface Modules

05701-A-0120 Engineering Interface Lead
05701-A-0309 Master Alarm Update Module Kit
05701-A-0312 ModBus Interface Module Kit-RS 485/RS 422
05701-A-0313 ModBus Interface Module Kit-RS 232
05701-A-0314 Event Print Module Kit-RS 232
05701-A-0339 Master Alarm Update Panel

Single Way Rack

05701-A-0601 1W Rear Access Rack, Engineering Card, DC input, key set

8 Way Racks and Cabinets

05701-A-0452 Cabinet - Wall Mounting - 8 Way
05708-N-2008 8W Front Access Rack, 0 Blanking Panels
05708-N-3008 8W Front Access Rack, 0 Blanking Panels, 8W Cabinet
05708-N-5008 8W Front Access Rack, 0 Blanking Panels, 8W PSU - 50W
05708-N-6008 8W Front Access Rack, 0 Blanking Panels, 8W Cabinet, 8W PSU - 50W
05708-N-1008 8W Rear Access Rack, 0 Blanking Panels
05708-N-4008 8W Rear Access Rack, 0 Blanking Panels, 8W PSU - 50W

16 Way Racks and Cabinets

05701-A-0451 Cabinet - Wall Mounting - 16 Way
05716-N-2016 16W Front Access Rack, 0 Blanking Panels
05716-N-3016 16W Front Access Rack, 0 Blanking Panels, 16W Cabinet
05716-N-5016 16W Front Access Rack, 0 Blanking Panels, 16W PSU - 50W
05716-N-6016 16W Front Access Rack, 0 Blanking Panels, 16W Cabinet, 16W PSU - 50W
05716-N-1016 16W Rear Access Rack, 0 Blanking Panels
05716-N-4016 16W Rear Access Rack, 0 Blanking Panels, 16W PSU - 50W

Spare Rack Assembly Components

05701-A-0600 Sub rack Rear Access - 1 Way
05701-A-0506 Sub rack - Front Access - 8 Way
05701-A-0516 Sub rack - Rear Access - 8 Way
05701-A-0505 Sub rack - Front Access - 16 Way
05701-A-0515 Sub rack - Rear Access - 16 Way

Power Supplies

05701-A-0405 Power Supply Unit- AC To DC-16 Way- 50 Watts
05701-A-0406 Power Supply Unit- AC To DC-8 Way- 50 Watts
05701-A-0440 Power Supply Module- 50 W (Upgrade For PSU and Sub Units)
05701-A-0441 Power Supply Subunit- 50 Watts (Upgrade For 16 Way PSU)

Rack and Cabinet Accessories

05701-A-0365 Blanking Panel - Card Slot
05701-C-0471 Blanking Panel - 1U X 19" (16 Way)
05701-C-0474 Blanking Panel - 1U X ½ 19" (8 Way)

Spares

05701-A-0550 System 57 Calibration Plug
05701-A-0361 Engineering Card
05701-A-0325 DC Input Card
05701-A-0301 Single Channel Control Card - 4-20mA
05701-A-0302 Single Channel Control Card- Catalytic
05701-A-0351 Control Card- Single Channel- 5701
05701-A-0283 Sensor Drive Module - 4-20mA- 5701
05701-A-0284 Sensor Drive Module- Catalytic- 5701
05701-A-0285 Analogue Output Module- 5701
05701-A-0326 Field Interface Card (No Relays - Sensor Input Only)
05701-A-0327 Relay Card-Double SPCO- 5701 (A1, A2 and Fault Single Pole Changeover Relays)
05701-A-0328 Relay Card-Triple SPCO-5701 (A1, A2, A3 Fault and Inhibit Single Pole Changeover Relays)
05701-A-0329 Relay Card- Triple DPCO- 5701 (2xA1, 2xA2, 2xA3 Fault and Inhibit Single Pole Changeover Relays)
05701-A-0330 High Integrity Relay Card-Double SPCO -5701 (2xA1, 2xA2, 2xA3 Fault and Inhibit Single Pole Changeover Relays)
05701-C-0390 Interconnection Cable- 5701
05701-C-0127 Model 5701 Scale Label - Catalytic - 0-20% LEL
05701-C-0129 Model 5701 Scale Label - Catalytic - 0-50% LEL
05701-C-0130 Model 5701 Scale Label - Catalytic - 0-100% LEL
05701-C-0131 Model 5701 Scale Label - mA - 0-100% LEL
05701-C-0135 Model 5701 Scale Label - Catalytic - 0-4% V/V
05701-C-0137 Model 5701 Scale Label - mA - 0-25% V/V
05701-C-0138 Model 5701 Scale Label - mA - 0-30% V/V
05701-C-0139 Model 5701 Scale Label - mA - 0-100% V/V
05701-C-0141 Model 5701 Scale Label - mA - 0-10% V/V
05701-C-0145 Model 5701 Scale Label - mA - 0-5ppm
05701-C-0146 Model 5701 Scale Label - mA - 0-10ppm
05701-C-0147 Model 5701 Scale Label - mA - 0-15ppm
05701-C-0148 Model 5701 Scale Label - mA - 0-20ppm
05701-C-0149 Model 5701 Scale Label - mA - 0-25ppm
05701-C-0150 Model 5701 Scale Label - mA - 0-50ppm
05701-C-0151 Model 5701 Scale Label - mA - 0-100ppm
05701-C-0152 Model 5701 Scale Label - mA - 0-200ppm
05701-C-0154 Model 5701 Scale Label - mA - 0-500ppm
05701-C-0155 Model 5701 Scale Label - mA - 0-1000ppm
05701-C-0156 Model 5701 Scale Label - Catalytic - 0-2000ppm
05701-C-0157 Model 5701 Scale Label - Catalytic - 0-3000ppm
05701-C-0158 Model 5701 Scale Label - mA - 0-5000ppm
05701-C-0159 Model 5701 Scale Label - Catalytic - 0-10000ppm
05701-C-0160 Model 5701 Scale Label - Catalytic - 0-5000ppm
05701-C-0161 Model 5701 Scale Label - mA - 0-10000ppm
05701-C-0162 Model 5701 Scale Label - Catalytic - 0-15000ppm
05701-C-0163 Model 5701 Scale Label - Catalytic - 0-20000ppm
05701-C-0176 Model 5701 Scale Label - mA - 0-5 LELm
05701-C-0177 Model 5701 Scale Label - mA - 0-2% V/V
05701-C-0179 Model 5701 Scale Label - mA - 0-2ppm
05701-C-0180 Model 5701 Scale Label - mA - 0-30ppm
05701-C-0182 Model 5701 Scale Label - mA - 0-5% V/V
05701-C-0183 Model 5701 Scale Label - mA - 0-250ppm
05701-C-0184 Model 5701 Scale Label - mA - 0-2000ppm
05701-C-0185 Model 5701 Scale Label - mA - Blank Scale
05701-C-0186 Model 5701 Scale Label - Catalytic - Blank Scale
05701-C-0195 Model 5701 Scale Label - mA - 4-20mA
05701-C-0200 Model 5701 Scale Label - mA - 0-1000ppm
05704-A-0121 Quad Relay Interface Card - 5704 (4 SPCO Relays)
05704-A-0122 PCB Assembly relay expansion 4 channel
05704-A-0131 Relay Interface Assembly - 16 Relays - 5704 (12 SPCO, 4 SPST)
05704-A-0144 4 Channel Control Card- Catalytic
05704-A-0145 4 Channel Control Card- 4-20mA
05704-C-0160 Interconnect Cable- 5704
05704-C-0210 Model 5704 Scale Label - Catalytic - 0-100% LEL
05704-C-0240 Model 5704 Scale Label - mA - 0-100% LEL
05704-C-0247 Model 5704 Scale Label - mA - 0-25% V/V
05704-C-0263 Model 5704 Scale Label - mA - 0-50ppm
05704-C-0265 Model 5704 Scale Label - mA - 0-100ppm
05704-C-0266 Model 5704 Scale Label - mA - 0-200ppm
05704-C-0292 Model 5704 Scale Label - mA - 0-0.2ppm
05704-C-0293 Model 5704 Scale Label - mA - 0-0.4ppm
05704-C-0294 Model 5704 Scale Label - mA - 0-1.2ppm
05704-A-0123 5704F Hex Relay Interface Card
05704-A-0133 5704F Relay Interface Assembly
05704-A-0146 5704F Fire Card
05704-A-0148 5704F Fire Status Panel
HONEYWELL 627-7032 PLC 232/422 PORT MODULE BOARD
HONEYWELL 621-1550 INPUT MODULE 16POINT 24VAC
HONEYWELL C7027A-1064 MINIPEEPER UV DECT.-40F 24LED
HONEYWELL 052942-00 PC BOARD LDDR BUFFER
HONEYWELL 621-3450 INPUT MODULE 12VDC SINK
HONEYWELL HP972B-1005 HUMID.STAT RA 15-75%RH 1-2PIPE
HONEYWELL T41300 AMPLIFIER HIGH SPEED POWER SOURCE 115VAC
HONEYWELL L604A-1193 PRESSURE CONTROLLER 300PSI
HONEYWELL P31013 PHOTOELECTRIC DETECTOR 5VDC ALUMINUM W/FILTER
HONEYWELL S52101 PHOTOELECTRIC FOR SCANNER
HONEYWELL R7847C-1005 AMPLIFIER MODULE DYNAMIC SELF-CHECK RECTIFICATION
HONEYWELL S7810A-1009 BURNER CONTROL BUS MODULE INTERFACE DIRECT MOUNT
HONEYWELL 621-6300 OUTPUT MODULE 5V TTL
HONEYWELL T991A-1194 TEMPERATURE CONTROLLER 55-175F 20FT CAP 135OHM
HONEYWELL 620-0030 SYSTEM CONTROL MODULE
HONEYWELL 621-0006 INPUT MODULE BCD CONVERTER
HONEYWELL 621-6500 OUTPUT MODULE 24VDC
HONEYWELL 620-2090 620-20 PROCESSOR RACK
HONEYWELL S8610U-1003 PILOT MODULE INTERMITTENT UNIVERSAL 24VAC 60HZ
HONEYWELL 621-6550R OUTPUT MODULE
HONEYWELL T42007 AMPLIFIER 8PIN
HONEYWELL 4319-0029-001 INTERFACE MOTHER BOARD 24V
HONEYWELL 4319-0030-001 INTERFACE MOTHER BOARD 24V
HONEYWELL 30683595-501 POWER BOARD ASSEMBLY 4-20CL 4K 8749
HONEYWELL 621-4502 INPUT MODULE FAST DC
HONEYWELL FE7B-DA6V-M PHOTOELECTRIC 200MM RANGE VERTICAL DIFFUSE NPN LO
HONEYWELL V5055A1020 1 1/2 GAS VALVE BODY LOW #
HONEYWELL L31014 PHOTOELECTRIC LIGHT SOURCE LED 5VDC
HONEYWELL L404F-1102 10-150#SPDT SNAP SW.#-TROL
HONEYWELL C7031D-1005 40 TO 240 DEGREE F.
HONEYWELL PAC-025A POWER SUPPLY SET POINT CONTROL RELAY
HONEYWELL H205A-1012 ELECTROMECH.ENTHALPY CONTROLLR
HONEYWELL RA117A-1047 STACK MTD.PROTCTRLY-INTERRUPTD
HONEYWELL ST7800-A-1096 TIMER MODULE
HONEYWELL LP920A-1021 CONTRL,DA,30-150 F,10CAP
HONEYWELL 621-9962 EXTENDER RACK
HONEYWELL S30101 REFLECTIVE SCANNER COAXIAL FIBER OPTIC S30
HONEYWELL L404A-1404-2 PRESSURE CONTROLLER 250V 20-300PSI .7-20KG
HONEYWELL DC1002-1010-1000 TEMPERATURE CONTROL MICROPRO 1/16DIN 90-264VAC
HONEYWELL C645A-1022 PRESSURE SWITCH GAS/AIR 1/4IN NPT A-B MANUAL RESET
HONEYWELL V935LEH2075 SOLENOID VALVE 120VAC 75PSI 20W
HONEYWELL 621-4500 INPUT MODULE 24VDC
HONEYWELL R7247A-1005 AMPLIFIER FLAME RECTIFICATION 2-4SEC
HONEYWELL C645C-1020 PRESSURE SWITCH AIR/GAS .6-5.3INCH WC -20-125DEG F
HONEYWELL V800A-1070 SOLENOID GAS CONTROL VALVE 24V 1/2X3/4INCH
HONEYWELL C7008A-1182 FLAME ROD 24INCH W/HOLDER 1/4INCH MTG
HONEYWELL C7035A-1023 ULTRAVIOLET FLAME DETECTOR 0-250F W/TUBE 6FT LEADS
HONEYWELL P34040 PHOTOELECTRIC
HONEYWELL R7849B-1021 FLAME SAFETY RELAY DYNAMIC AMPLI-CHECK UV
HONEYWELL 620-3590 PROCESSOR RACK IPC 620-30
HONEYWELL L404A-1396 PRESSURE CONTROLLER 10-150PSI ADJUSTABLE W/LUGS
HONEYWELL ST7800A-1013 TIMER MODULE 7SECOND
HONEYWELL 46190406-501 FLUORESCENT TUBE REPLACEMENT KIT
HONEYWELL ML4115B-1008 ACTUATOR 2POS 120VAC 30LB-IN SPRING RETURN
HONEYWELL 621-3500 INPUT MODULE 12-24VDC
HONEYWELL C437D-1013 GAS PRESSURE SWITCH SPST .5-5PSI 15PSI MAX
HONEYWELL 40FY26-020 PROXIMITY SWITCH HALL EFFECT DOOR
HONEYWELL 8686 POTENTIOMETER HV MILLIVOLT
HONEYWELL V5011N1065 1 VALVE BODY,EQUAL %,11.7CV
HONEYWELL C437E1012 GAS PRESSURE FALL SWITCH 0.5-5.0PSI 15PSI MAX SPST
HONEYWELL 30756687-501 AUXILIARY OUTPUT PCB FOR UDC 3300
HONEYWELL 30756693-501 COMMUNICATION BOARD PWA/RS422/485
HONEYWELL 620-0053 EXPANDER 10SLOT
HONEYWELL JD1N200P103UA CARBON POTENTIOMETER; POWER RATING:2W; TRACK RESISTANCE:10KOHM; RESISTANCE TOLERANCE: 10%; SERIES:J; OPERATING TEMPERATURE RANGE:-55C TO +120C;
HONEYWELL M941A-1016 MOTOR ACTUATOR MODUTROL 90-160DEG 30SEC-1MIN
HONEYWELL C437F-1003 PRESSURE SWITCH 1/26IN WATER 2SPST CIRCUITS
HONEYWELL R7849A-1015 FLAME SAFETY AMPLIFIER 0.8-1SEC
HONEYWELL T775A-1001 CONTROLLER TEMPERATURE 60HZ 24V
HONEYWELL MPT20HD PHOTOELECTRIC EMITTER BASE 92-132VAC 3PIN
HONEYWELL T874A-1010 THERMOSTAT 40-90F
HONEYWELL R7847A-1033 RECTIFICATION FLAME AMPLIFIER MODULE 3SEC
HONEYWELL 621-0090 RACK MODULE
HONEYWELL 052926-00 PC BOARD DPO I/F DR
HONEYWELL L32001 PHOTOELECTRIC 2WIRE
HONEYWELL FE7B-FDA6-M PHOTOELECTRIC 200MMRANGE FLEXIBLE FIBER
HONEYWELL VP527A-1018 CONTROL VALVE 3/8IN VLV .63CV 3-10PSI 1/2INFLR
HONEYWELL 51452822-502 90-250VAC POWER OUTPUT BOARD
HONEYWELL V5013N1048 1/2VALVE BODY,MIXING,4.7CV
HONEYWELL V5013N-1063 1VALVE BODY,MIXING,11.7CV
HONEYWELL L404C-1162 PRESSURE CONTROL 10-150PSI MERCURY SWITCH
HONEYWELL 621-9930 I/O MODULE PARALLEL 8/16POINT
HONEYWELL 627-7034 POWER SUPPLY MODULE
HONEYWELL V5011N-2048 1/2BODY PDTC LINEAR4.7CV
HONEYWELL C7027A-1031 FLAME SENSOR MINI PEEPER 1/2IN FEMALE
HONEYWELL TP970A-2145-4 THERMOSTAT PNEUMATIC CONVERTASTAT 60-90DEG F
HONEYWELL L6008A-1192 TEMPERATURE CONTROLLER 100-240DEGREE F
HONEYWELL T675A-1425 55/175F 20CAP SPDT TEMP.CTRL
HONEYWELL L4006B-1007 100-240 5FX DIFF MAKE ON RISE
HONEYWELL FE7B-FDA6-L5 SENSOR AMPLIFIER 10-28V
HONEYWELL C7027A-1080 FLAME SAFETY UV DETECTOR W/HEAT BLOCK
HONEYWELL 30755980-001 STANDARD DOOR LATCH/PIN
HONEYWELL JA1N056S202UA CARBON POTENTIOMETER; POWER RATING:2W; TRACK RESISTANCE:2KOHM; RESISTANCE TOLERANCE: 10%; SERIES:J; OPERATING TEMPERATURE RANGE:-55C TO +120C; A
HONEYWELL 621-3300 INPUT MODULE 5VDC TTL
HONEYWELL 46182712-001 RIBBON CARTRIDGE 6 COLOR
HONEYWELL 51309609-503 LATCH/LOCK ASSEMBLY
HONEYWELL AA113 SENSOR CABLE ASSEMBLY; CABLE ASSEMBLY TYPE:SENSOR; CABLE LENGTH:15FT; CONNECTOR TYPE A:; CONNECTOR TYPE B:STRIPPED END LEAD
HONEYWELL 1LS1J LIMIT SWITCH OIL TIGHT
HONEYWELL 62JA10K RESISTIVE OPTICAL
HONEYWELL 62JA2K POTENTIOMETER 2KOHM WIREWOUND 10TURN 2W
HONEYWELL T31102 PHOTOELECTRIC AMPLIFIER 8-28VDC
HONEYWELL 62JA-100K POTENTIOMETER 100KOHM 2W 5PERCENT 10TURN WIREWOUND
HONEYWELL T675A-1565 TEMPERATURE CONTROL REMOTE BULB 0-100DEGREE F SPDT
HONEYWELL Q5001D-1018 LINKAGE 160/320LB 3/4 STROKE
HONEYWELL 51195153-010 DROP CABLE COAX 10M RG6 SET
HONEYWELL 922AA4W-A9N-L PROXIMITY SENSOR
HONEYWELL 620-0027 MEMORY MODULE 8K
HONEYWELL 62JA-5K POTENTIOMETER 5KOHM 2W 5PERCENT 10TURN WIREWOUND
HONEYWELL 30755317-001 CHART PAPER CIRCULAR HEAT SENSATIVE 100/BOX
HONEYWELL 30733241-005 PEN KIT CARTRIDGE PURPLE
HONEYWELL V4046C-1047 1/4 120V 0/10# PILOTGAS 55CFH
HONEYWELL JDIN200P253UA POTENTIOMETER 25KOHM
HONEYWELL FL7M-1P5D6 PHOTOELECTRIC
HONEYWELL 30735489-002 CHART PEN RED 6/PACK
HONEYWELL 30755317 CHART PAPER 11-7/8INCH 100/BOX
HONEYWELL Q624A-1014 IGNITION CONTROL SPARK GENERATOR 120VAC 60HZ
HONEYWELL FE7A-DA6V PHOTOELECTRIC DIFFVERTICLE LIGHT ON
HONEYWELL C7008A-1174 12FLAME ROD W/HOLDER 1/4MTG
HONEYWELL C7027A-1023 FLAME SAFETY MINIPEEPER 0-215F .5INCH FNPT
HONEYWELL 30755223-003 DIN ADAPTER KIT - UDC3300
HONEYWELL LSB5A LIMIT SWITCH-OT : ;ROHS COMPLIANT: YES
HONEYWELL R8184G-4066 PROTECTORELAY,15 SEC TIM.
HONEYWELL T631A-1006 SPDT 35-100F FARMOSTAT 2 DIFF
HONEYWELL T874A-1036 THERMOSTAT 50-80 MULTISTAGE 24-30VAC
HONEYWELL C6097B-1044 1.5-7# FLNG MT. M/R
HONEYWELL T31101 AMPLIFIER 5VDC
HONEYWELL L4006A1959 AQUASTAT CONTROLLER 40/180F,2FDIF,1.5ININSL
HONEYWELL ML6161A-2009 DCA 24V 90SEC 35#IN SPDT NONSR
HONEYWELL 1450-4812-001 RELAY BOARD
HONEYWELL L404A-1354 PRESSURE CONTROL MAN RESET 2-15PSI SPST
HONEYWELL 14003294-004 REPACK KIT,1/2-1 1/4 1/4STEM
HONEYWELL T631C-1012 THERMOSTAT AIR SWITCH 20-90DEGREE F RANGE
HONEYWELL 193987GA ENCAPSULATED SENSOR
HONEYWELL V5055A-1004 1 GAS VALVE BODY LOW PRESS.
HONEYWELL 198162AA 120/208/240V-24V MOD.IV TRANS.
HONEYWELL RP7517B-1016 PNEUMATIC TRANSDUCER 16MA 24VAC 3WIRE
HONEYWELL PA404A-1009 PRESSURE CONTROLLER SPST RANGE .5-9 PSI .1-.6KG
HONEYWELL 46-180501-001 INK PRINT WHEEL 6COLOR
HONEYWELL T7022A-1010 60/90F RETURN AIR SENSOR
HONEYWELL T874D-1165 THERMOSTAT MULTI-STAGE 24V RANGE 42F-88F W/O BASE
HONEYWELL L6006A-1145 TEMPERATURE CONTROLLER .25AMP 120/240V
HONEYWELL L4064-B-1469 FAN AND LIMIT CONTROL FOR FURNACE 5INX3/4IN
HONEYWELL 30756150-001 CHART HUB ASSEMBLY
HONEYWELL PJ7-D3 SENSOR
HONEYWELL V4046B-1007 120V 1/8 150# N/C OIL VALVE
HONEYWELL L604A-1169 CONTROLLER PRESSURETROL 250V SPDT 2-5PSI
HONEYWELL 30757215-001 NEMA 4 WEATHER COVER KIT
HONEYWELL SL1-P LIMIT SWITCH; ACTUATOR STYLE:TOP ROLLER ARM; OPERATING FORCE MAX:400GF; CONTACT VOLTAGE AC MAX:250V; CONTACT CURRENT AC MAX:5A; SWITCH TERMINALS:CABLE
HONEYWELL 5A10-M12-1 THERMOCOUPLE 3/4IN NPT 12IN PROBE 13/16IN OD
HONEYWELL R46123 PHOTOELECTRIC
HONEYWELL V5011N1032 VALVE 2WAY DIRECT ACTING FEMALE 1/2IN NPT SS SEAT
HONEYWELL T4031A-1008 THERMOSTAT CONTROL
HONEYWELL APM-D3A1 PROXIMITY SWITCH 2.5MM RANGE NPN 12-24VDC
HONEYWELL C7031J-1050 DUCT TEMPERATURE SENSOR ELECTRONIC 40-302F
HONEYWELL 922AA3XMA9PL PROXIMITY SWITCH 3 WIRE 9.6255
HONEYWELL 30735489-001 INKCARTRIDGE/PURPLE/6PACK
HONEYWELL LSA1A LIMIT SWITCH, SIDE ROTARY, SPDT-1NO/1NC; LIMIT SWITCH ACTUATOR:SIDE ROTARY; OPERATING FORCE MAX:0.45N; CONTACT VOLTAGE AC MAX:600V; CONTACT VOLTAGE DC
HONEYWELL 14003124-002 SEAL DIAPH KIT MP953B,D F
HONEYWELL R841C-1227 240V QUIET ELEC.HT. RELAY SPST
HONEYWELL 30735489-007 PURPLE PENS 6PACK
HONEYWELL 392431 IGNITOR/SENSOR ASSEMBLY
HONEYWELL 51200873-100 CABLE ASSEMBLY
HONEYWELL 2AC59 DOOR SWITCH; CIRCUITRY:SPDT; CONTACT VOLTAGE AC MAX:250V; CONTACT CURRENT AC MAX:10A; SWITCH OPERATION:ON-OFF; SWITCH TERMINALS:SCREW; ACTUATOR STYLE:
HONEYWELL 51200852-101 CABLE MUX BOX
HONEYWELL SL-1-A LIMIT SWITCH; ACTUATOR STYLE:TOP ROLLER PLUNGER; OPERATING FORCE MAX:1200GF; CONTACT VOLTAGE AC MAX:250V; CONTACT CURRENT AC MAX:5A; SWITCH TERMINALS:
HONEYWELL SL1-D LIMIT SWITCH; ACTUATOR STYLE:CROSS ROLLER PLUNGER; OPERATING FORCE MAX:1200GF; CONTACT VOLTAGE AC MAX:250V; CONTACT CURRENT AC MAX:5A; SWITCH TERMINAL
HONEYWELL 30756715-501 INPUT BOARD ASSEMBLY
HONEYWELL S16101 PHOTOELECTRIC
HONEYWELL T675A-1102 THERMOSTAT 160-260F 20FT CAPILLARY TUBE
HONEYWELL ST7800A-1062 TIMER FIXED 90SEC PLUG-IN PURGE
HONEYWELL AA111 CIRCULAR CONNECTOR; CONNECTOR TYPE:CIRCULAR; GENDER:FEMALE; NO. OF CONTACTS:6; SERIES:PTO; CONTACT GENDER:SOCKET; CIRCULAR CONTACT GENDER:SOCKET
HONEYWELL ST7800-A-1104 TIMER FIXED 9MIN PLUG-IN PURGE
HONEYWELL 46186045-501 IDLER PULLEY ASSEMBLY DPR3000/250 KIT
HONEYWELL T6051A-1016 TSTAT 46/84F LINE VOLT HVY DTY
HONEYWELL 23176CF POTENTIOMETER FOR L91B,D
HONEYWELL Q769C-1007 0-10/2-10VDC INPUT ADAP M7415
HONEYWELL ST7800A RM7800 SERIES PURGE TIMER
HONEYWELL C111P3 COIL 120V 10WATT
HONEYWELL R8225A-1017 RELAY FAN 120/240V COIL 24VAC 60HZ SPDT MT 1/2IN
HONEYWELL SL1-H LIMIT SWITCH, TOP PLUNGER,250V, 5A, SPDT; LIMIT SWITCH ACTUATOR:TOP PLUNGER; OPERATING FORCE MAX:11.8N; CONTACT VOLTAGE AC MAX:250V; CONTACT VOLTAGE D
HONEYWELL 46182175-001 CHART PAPER ROLL
HONEYWELL T874F-1015 THERMOSTAT MULTISTAGE 24V CONTROL RANGE 42F-88F
HONEYWELL JA1N056S151UA CARBON POTENTIOMETER; POWER RATING:2W; TRACK RESISTANCE:150OHM; RESISTANCE TOLERANCE: 10%; SERIES:J; OPERATING TEMPERATURE RANGE:-55C TO +120C;
HONEYWELL AT88A1021 TRANSFORMER 24V SEC 75VA 208/240V 50/60 HZ
HONEYWELL DC1L-8 POTENTIOMETER
HONEYWELL 30735423-507 CHART DRIVE ASSEMBLY 8511
HONEYWELL MP953C-1026 5,4-11#,3/4TRV,NOAIRSTEM-UP
HONEYWELL S11101 PHOTOELECTRIC SCANNER REFLECTIVE 30VDC
HONEYWELL 46182707-001 CHART PAPER FANFOLD STRIP
HONEYWELL 136733 HEAT BLOCK FOR C7027
HONEYWELL 23176CB POTENTIOMETER NOMINAL 140 OHM
HONEYWELL R8285B-1038 120V CONTROL CENTER, DPDT
HONEYWELL 30752499-001 ROLL CHART FOR DPR-1500
HONEYWELL T651A-2028 THERMOSTAT HEAT COOL 125VA 35-95DEG F
HONEYWELL 914CE2-3 LIMIT SWITCH; ACTUATOR STYLE:TOP ROLLER PLUNGER; OPERATING FORCE MAX:2.75LBF; CONTACT VOLTAGE AC MAX:250V; CONTACT VOLTAGE DC MAX:28V; CONTACT CURRENT
HONEYWELL BZE6-2RN80 LIMIT SWITCH, TOP ROLLER PLUNGER, SPDT; LIMIT SWITCH ACTUATOR:TOP ROLLER PLUNGER; OPERATING FORCE MAX:6.7N; CONTACT VOLTAGE AC MAX:600V; CONTACT VOLTA
HONEYWELL 1AC2 DOOR SWITCH; CIRCUITRY:SPDT; CONTACT VOLTAGE AC MAX:480V; CONTACT VOLTAGE DC MAX:250V; CONTACT CURRENT AC MAX:15A; CONTACT CURRENT DC MAX:500MA; SWITC
HONEYWELL 7C111P3 COIL 120V 10WATT 1SHF7
HONEYWELL 24001660-042 CIRCULAR CHART -250-150DEG F 24HOUR 10INCH 100/PK
HONEYWELL Q473A-2006 TEMPERATURE CONTROL SWITCH SUBBASE HEAT/OFF/COOL
HONEYWELL Q605A-1070 EXT.DUCT MT.DAMPER LINKAGE
HONEYWELL 24001661-601 CHART PAPER TEMPERATURE 24HOUR 7DAY 100SHEETS
HONEYWELL 30756667-501 CONTROLLER DIGITAL LENS/BEZEL ASSEMBLY
HONEYWELL CSLA2DK HALL EFFECT SENSOR; CURRENT MEASURING RANGE AC:-400A TO 400A; CURRENT MEASURING RANGE DC:-400A TO 400A; SUPPLY VOLTAGE DC MIN:6V; SUPPLY VOLTAGE DC MA
HONEYWELL Q674E-1049 THERMOSTAT SUB-BASE FOR T874 OFF-HEAT-AUTO-COOL
HONEYWELL PWUBGC2 SELECTOR SWITCH 2POS MAINT PUSH FEATURE 2POS MOM
HONEYWELL 24001660-009 CHART PAPER 100 PER BOX
HONEYWELL 220861A CAM 3/4STROKEFOR Q5001
HONEYWELL 46187044-100 CHART PAPER
HONEYWELL 30754982-002 TRANSFORMER 120/240V PRIMARY 17V 08VA SECONDARY
HONEYWELL AT72D-1048 TRNSFMR 40VA CON.HUB 120V-24V
HONEYWELL 380C1-5000-S POTENTIOMETER 5KOHM
HONEYWELL 203541 5-WIRE ELECT. CONNECTOR
HONEYWELL T498B-1512 THERMOSTAT ELECTRIC HEAT LINE VOLTAGE 120/277VAC
HONEYWELL 380C1-10K-S POTENTIOMETER 10KOHM
HONEYWELL 24001660-010 PAPER CIRCULAR 10INCH 24HOUR 0-200C 100/PK
HONEYWELL 43C110K RESISTIVE OPTICAL
HONEYWELL S688A-1007 FLOW SWITCH AIR 5INCH WIDE SAIL 240VAC SPDT
HONEYWELL LSZ3A SWITCH ACTUATOR; CONTACT CURRENT MAX:6A; FOR USE WITH:HONEYWELL HDLS SERIES LIMIT AND ENCLOSED SWITCHES; CONTACT VOLTAGE AC MAX:600V; CONTACT VOLTAGE
HONEYWELL 73JA-50K RESISTIVE OPTICAL
HONEYWELL T498A-1778 THERMOSTAT 40-80F 22AMP 240V SPST HEAT LINE
HONEYWELL 621-9949 TERMINAL BLOCK SET 8POINT SWING ARM
HONEYWELL 1571T CHART PAPER RECORDER 8IN DIA 0-100RANGE
HONEYWELL JA1N200P103AA CARBON POTENTIOMETER; POWER RATING:2W; TRACK RESISTANCE:10KOHM; RESISTANCE TOLERANCE: 10%; SERIES:J; OPERATING TEMPERATURE RANGE:-55C TO +120C;
HONEYWELL 906BCF COVER PLATE AND INSERT BP=1
HONEYWELL ST7800-A-1138 TIMER FIXED 22MIN PLUG-IN PURGE
HONEYWELL DP2030A-5012 2POLE 30AMP/24V PWR PRO
HONEYWELL 926SA2XM-A9T-Z789 SWITCH ASSEMBLY
HONEYWELL 926SA3XM-A9T-Z791 SWITCH ASSEMBLY
HONEYWELL 30755311 CHART PAPER/ 25 CHARTS PER BOX/ DOUBLE SIDED 7DAYS/24HRS
HONEYWELL 106729 GLASS LENS 6 DIAM C437
HONEYWELL 121371B IMMERSION WELL COPPER 3/4IN
HONEYWELL C7046A-1004 8 DISCHARGE AIR TEMP SENSOR
HONEYWELL 46187045-100 PAPER FAN/FOLD
HONEYWELL CSNE151 CURRENT SENSOR; CURRENT MEASURING RANGE, AC:5A TO 36A; CURRENT MEASURING RANGE, DC:5A TO 36A; FREQUENCY RANGE:DC TO 150KHZ; SUPPLY VOLTAGE RANGE DC:15
HONEYWELL 73JA100K POTENTIOMETER 100KOHM
HONEYWELL AT87A-1106 TRNSFMR 120/208/240-24V 48VA
HONEYWELL DP2040A-5003 2POLE 40A/24VCONTRPOWRPRO TRDL
HONEYWELL 24001660-611 CHART PAPER BOX OF 100
HONEYWELL Q7800B-1003 UNIVERSAL WIRING SUBBASE 4SIDED 22TERMINAL
HONEYWELL Q7800A-1005 SUB-BASE FOR FLAME SAFETY RELAY 14-18AWG 22TERM
HONEYWELL RV6NAYSD103AP POT COND PLASTIC, 10KOHM 10%, 500MW; TRACK RESISTANCE:10KOHM; TRACK TAPER:LINEAR; SHAFT DIAMETER:3.18MM; SHAFT LENGTH:22.23MM; RESISTANCE TOLERANCE:
HONEYWELL 30757088-501 DIODE FOR UDC3000
HONEYWELL AT72D-1683 TRNSFMR 120-24V MULTIMT 40VA
HONEYWELL 305965 1.5 0/30# 1/8BACK GAUGE
HONEYWELL SS94A2 HALL EFFECT SENSOR; SENSOR TERMINALS:THROUGH HOLE; OUTPUT VOLTAGE MIN:3.96V; OUTPUT CONFIGURATION:SINK / SOURCE; SWITCHING SPEED:3MS; SUPPLY VOLTAGE M
HONEYWELL JA1N056S255UA POTENTIOMETER 2.5MEGAOHM
HONEYWELL 46182708-001 ROLL CHART PAPER
HONEYWELL AT20A-1123 TRANSFMR 20VA 120V-24V SEC
HONEYWELL R8222B-1067 RELAY SPST 24V 50/60HZ COIL
HONEYWELL RV6LAYSA101A POTENTIOMETER; POWER RATING:500MW; TRACK RESISTANCE:100OHM; RESISTANCE TOLERANCE: 10%; SERIES:RV6; OPERATING TEMPERATURE RANGE:-40C TO +120C; RE
HONEYWELL D53C1-10K POTENTIOMETER DUAL 2W 10KOHM 10PERCENT TOLERANCE
HONEYWELL Q539A-1147 THERMOSTAT SUBBASE
HONEYWELL 39251MEG POTENTIOMETER
HONEYWELL AT72D-1006 120V-24V TRANSFORMER 40VA
HONEYWELL 73JA-5K RESISTIVE OPTICAL
HONEYWELL 73JA-10K POTENTIOMETER 10KOHM 5PERCENT WIREWOUND
HONEYWELL MC2711H ACTUATOR, 6LBF, SNAP ACTION SWITCH; FOR USE WITH:HONEYWELL BASIC MICROSWITCHES; IP / NEMA RATING:-; OPERATING FORCE MAX:96OZF; OVER TRAVEL MIN:4.775MM
HONEYWELL 58390 MIN ORDER QTY20 ELECTRO
HONEYWELL RV4NAYSD254A POTENTIOMETER, COND PLASTIC, 250KOHM, 10%, 2W, 27.8MM; TRACK RESISTANCE:250KOHM; TRACK TAPER:LINEAR; SHAFT DIAMETER:6.35MM; SHAFT LENGTH:22.23MM; RESI
HONEYWELL 250 RECORDER PAPER 12INCH 0-1000
HONEYWELL Q674A-1019 TRADELINE SYSTEM SW HEAT AUTO COOL FAN SWITCH
HONEYWELL RV4LAYSA252A POTENTIOMETER, COND PLASTIC, 2.5KOHM, 10%, 2W; TRACK RESISTANCE:2.5KOHM; TRACK TAPER:LINEAR; SHAFT DIAMETER:6.35MM; SHAFT LENGTH:15.88MM; RESISTANCE T
HONEYWELL R8222D-1014 RELAY 6AMP 125VAC 50/60HZ COIL 24V DPDT STAB QD
HONEYWELL PWCD CONTACT BLOCK 1NO 150VAC 125VDC
HONEYWELL PWCE CONTACT BLOCK 1NC 150VAC 125VDC
HONEYWELL RV4NAYSD154A POTENTIOMETER, COND PLASTIC, 150KOHM, 10%, 2W; TRACK RESISTANCE:150KOHM; TRACK TAPER:LINEAR; SHAFT DIAMETER:6.35MM; SHAFT LENGTH:22.23MM; RESISTANCE T
HONEYWELL 986BAA01 LAMP VOLTAGE CONTROLLER 120V 50/60CY
HONEYWELL RV4NAYSD503A POTENTIOMETER 50K OHM CARBON 2W
HONEYWELL RV4NAYSD255B POTENTIOMETER CONDUCTIVE PLASTIC 2W 2.5MOHM RES
HONEYWELL 7617ADW MOTOR CRANK ARM
HONEYWELL R500X25W5W RESISTOR
HONEYWELL RV4LAYSA105A POTENTIOMETER, COND PLASTIC, 1MOHM, 10%, 2W; TRACK RESISTANCE:1MOHM; TRACK TAPER:LINEAR; SHAFT DIAMETER:6.35MM; SHAFT LENGTH:15.88MM; RESISTANCE TOLER
HONEYWELL BZ-2RW826-A2 SNAP ACTION BASIC SWITCH; CIRCUITRY:SPDT; MICROSWITCH TYPE:STANDARD; ACTUATOR STYLE:ADJUSTABLE ROLLER LEVER; OPERATING FORCE MAX:6OZF; CONTACT VOLTAGE
HONEYWELL DP2030A-1003 2 POLE 30A-24V ECONOMY MDL.
HONEYWELL BZ-2R-A4 MICROSWITCH PIN PLUNGER 15AMP 125/250/480VAC
HONEYWELL RV4LAYSA501A POTENTIOMETER, COND PLASTIC, 500 OHM, 0.1, 2W; TRACK RESISTANCE:500OHM; TRACK TAPER:LINEAR; SHAFT DIAMETER:6.35MM; SHAFT LENGTH:15.88MM; RESISTANCE TO
HONEYWELL 621-9950 TERMINAL BLOCK SET 16POINT TOP/BOTTOM
HONEYWELL RV4LAYSA152A POTENTIOMETER; POWER RATING:2W; TRACK RESISTANCE:1.5KOHM; RESISTANCE TOLERANCE: 10%; SERIES:RV4; OPERATING TEMPERATURE RANGE:-55C TO +120C; RESI
HONEYWELL 53C1 -INCOMPLETE PART NUMBER-
HONEYWELL VP25KA-500 POWER RESISTOR; POWER RATING:25W; RESISTANCE:500OHM; RESISTANCE TOLERANCE: 10%; SERIES:VP; RESISTOR ELEMENT MATERIAL:CERAMIC; TEMPERATURE COEFFICIEN
HONEYWELL RV4NAYSD253A POTENTIOMETER, COND PLASTIC, 25KOHM 10% 2W; TRACK RESISTANCE:25KOHM; TRACK TAPER:LINEAR; SHAFT DIAMETER:6.35MM; SHAFT LENGTH:22.23MM; RESISTANCE TOLER
HONEYWELL RV4NAYSD105A POTENTIOMETER, COND PLASTIC, 1MOHM 10%, 2W; TRACK RESISTANCE:1MOHM; TRACK TAPER:LINEAR; SHAFT DIAMETER:6.35MM; SHAFT LENGTH:22.23MM; RESISTANCE TOLERA
HONEYWELL RV4NAYSD152A POTENTIOMETER, COND PLASTIC, 1.5KOHM 10%, 2W; TRACK RESISTANCE:1.5KOHM; SHAFT DIAMETER:6.35MM; RESISTANCE TOLERANCE: 10%; POWER RATING:2W; POTENTIOME
HONEYWELL RV4LAYSA502A POTENTIOMETER, COND PLASTIC, 5KOHM, 10%, 2W; TRACK RESISTANCE:5KOHM; TRACK TAPER:LINEAR; SHAFT DIAMETER:6.35MM; SHAFT LENGTH:15.88MM; RESISTANCE TOLER
HONEYWELL 412 CIRCULAR DIAL BRAKE LEVER, 0.25IN DIA SHAFT; SHAFT DIAMETER:0.25; ACCESSORY TYPE:CIRCULAR DIAL; FOR USE WITH:22808 SERIES ROTARY POSITION SENSORS; AP
HONEYWELL DP2030B-1002 2POLE 30A-120V ECONO.MDL RELAY
HONEYWELL 380C1500K RESISTIVE OPTICAL
HONEYWELL RV4LAYSA254A POTENTIOMETER, COND PLASTIC, 250KOHM, 10%, 2W; TRACK RESISTANCE:250KOHM; TRACK TAPER:LINEAR; SHAFT DIAMETER:6.35MM; SHAFT LENGTH:15.88MM; RESISTANCE T
HONEYWELL RV4NAYSD153A POTENTIOMETER, COND PLASTIC, 15KOHM, 10%, 2W; TRACK RESISTANCE:15KOHM; SHAFT DIAMETER:6.35MM; RESISTANCE TOLERANCE: 10%; POWER RATING:2W; POTENTIOMET
HONEYWELL RV4NAYSD251A POTENTIOMETER, COND PLASTIC, 250 OHM 10% 2W; TRACK RESISTANCE:250OHM; TRACK TAPER:LINEAR; SHAFT DIAMETER:6.35MM; SHAFT LENGTH:22.23MM; RESISTANCE TOLE
HONEYWELL Q340A-1108 30 MV THERMOCOUPLE 48 INCH
HONEYWELL RV4NAYSK254A POTENTIOMETER
HONEYWELL RV4LAYSA251A POTENTIOMETER 250OHM 2WATT
HONEYWELL 380C3-10K POTENTIOMETER 10KOHM 2W W/SOLDER LUGS
HONEYWELL BZ-2RL-A2 SNAP ACTION BASIC SWITCH; CIRCUITRY:SPDT; MICROSWITCH TYPE:STANDARD; ACTUATOR STYLE:LEAF LEVER; OPERATING FORCE MAX:5OZF; CONTACT VOLTAGE DC NOM:250V;
HONEYWELL Q340A-1090 36 THERMOCOUPLE
HONEYWELL RV4NAYSD203A POTENTIOMETER, CARBON, 20KOHM, 10%, 2W, 27.8MM; TRACK RESISTANCE:20KOHM; TRACK TAPER:LINEAR; NO. OF TURNS:1; SHAFT DIAMETER:6.35MM; SHAFT LENGTH:22.23
HONEYWELL RV4LAYSA101A POTENTIOMETER, COND PLASTIC, 100 OHM, 0.1, 2W; TRACK RESISTANCE:100OHM; TRACK TAPER:LINEAR; SHAFT DIAMETER:6.35MM; SHAFT LENGTH:15.88MM; RESISTANCE TO
HONEYWELL RV4NAYSD202A POTENTIOMETER, COND PLASTIC, 2KOHM, 10%, 2W; TRACK RESISTANCE:2KOHM; SHAFT DIAMETER:6.35MM; RESISTANCE TOLERANCE: 10%; POWER RATING:2W; POTENTIOMETER
HONEYWELL RV4NAYSD501A POTENTIOMETER, COND PLASTIC, 500 OHM 10% 2W; TRACK RESISTANCE:500OHM; TRACK TAPER:LINEAR; SHAFT DIAMETER:6.35MM; SHAFT LENGTH:22.23MM; RESISTANCE TOLE
HONEYWELL RV4LAYSA104A POTENTIOMETER, COND PLASTIC, 100KOHM, 10%, 2W; TRACK RESISTANCE:100KOHM; TRACK TAPER:LINEAR; SHAFT DIAMETER:6.35MM; SHAFT LENGTH:15.88MM; RESISTANCE T
HONEYWELL RV4NAYSD252A POTENTIOMETER, COND PLASTIC, 2.5KOHM, 10%, 2W; TRACK RESISTANCE:2.5KOHM; TRACK TAPER:LINEAR; SHAFT DIAMETER:6.35MM; SHAFT LENGTH:22.23MM; RESISTANCE T
HONEYWELL 380C3-5000 RESISTIVE OPTICAL
HONEYWELL RV4NAYSD502A POTENTIOMETER, COND PLASTIC, 5KOHM, 10%, 2W; TRACK RESISTANCE:5KOHM; TRACK TAPER:LINEAR; SHAFT DIAMETER:6.35MM; SHAFT LENGTH:22.23MM; RESISTANCE TOLER
HONEYWELL RV4NAYSD103A POTENTIOMETER, COND PLASTIC, 10KOHM,10%, 2W; TRACK RESISTANCE:10KOHM; TRACK TAPER:LINEAR; SHAFT DIAMETER:6.35MM; SHAFT LENGTH:22.23MM; RESISTANCE TOLE
HONEYWELL 53C31K RESISTIVE OPTICAL
HONEYWELL RV4NAYSD104A POTENTIOMETER, COND PLASTIC, 100KOHM, 10%, 2W; TRACK RESISTANCE:100KOHM; TRACK TAPER:LINEAR; SHAFT DIAMETER:6.35MM; SHAFT LENGTH:22.23MM; RESISTANCE T
HONEYWELL 53C1-10K RESISTIVE OPTICAL
HONEYWELL 53C1-25K RESISTIVE OPTICAL
HONEYWELL 53C1-5K RESISTIVE OPTICAL
HONEYWELL 51404929501 KEYPAD
HONEYWELL R4222D-1013 SWITCHING RELAY 12AMP 120V DPDT
HONEYWELL RV4LAYSA103A POT, COND PLASTIC, 10KOHM, 10%, 2W; TRACK RESISTANCE:10KOHM; TRACK TAPER:LINEAR; SHAFT DIAMETER:6.35MM; SHAFT LENGTH:15.88MM; RESISTANCE TOLERANCE: 1
HONEYWELL Q674B-1034 SUBBASE FOR MULTISTAGE THERMOSTAT 20-30VAC
HONEYWELL V7-7B17D8-201 SNAP ACTION BASIC SWITCH; CIRCUITRY:SPDT; MICROSWITCH TYPE:MINIATURE; ACTUATOR STYLE:ROLLER LEVER; OPERATING FORCE MAX:1.6OZF; CONTACT VOLTAGE DC NOM:
HONEYWELL RV4NAYSD-102A POTENTIOMETER, COND PLASTIC, 1KOHM 10%, 2W; TRACK RESISTANCE:1KOHM; TRACK TAPER:LINEAR; SHAFT DIAMETER:6.35MM; SHAFT LENGTH:22.23MM; RESISTANCE TOLERA
HONEYWELL PMPZ-58 BEZEL FULL GUARD FOR PUSHBUTTON SWITCH
HONEYWELL 531PT15B2K POTENTIOMETER 2K
HONEYWELL 10-17306 LARGE BASICS ;ROHS COMPLIANT: YES
HONEYWELL MPS-31-HD PLUG IN BASE FOR PHOTO HEAD 10-30VDC 250MA SINK OP
HONEYWELL 08495007 SERVO MOTOR
HONEYWELL DP2030A-5004 2 POLE 30A-24V POWERPRO TRADLN
HONEYWELL DP3030A-5003 3POLE 30A 24V PWRPRO CONTACTOR
HONEYWELL RV4NAYSD354A POTENTIOMETER TYPE J 350K OHM P3
HONEYWELL JA1N200P102UA CARBON POTENTIOMETER; POWER RATING:2W; TRACK RESISTANCE:1KOHM; RESISTANCE TOLERANCE: 10%; SERIES:J; OPERATING TEMPERATURE RANGE:-55C TO +120C; A
HONEYWELL UDC200C-2-00D-100000-0 TEMPERATURE CONTROL MINIPRO 120V DUAL DISPLAY
HONEYWELL DC330B-KE-000-20-0000D0-E0-0 TEMPERATURE CONTROL DIALATROL 1/4DIN 90-250VAC
HONEYWELL 0010-0321 Aluminum 19 Expansion Plate (Adds QTY 4 Option Boards)
HONEYWELL 0010-1110 6 Inch Long I²C Cable Servo Motor MRSH049A (available in lengths of 15mm, 30mm, 45mm, 60mm )
    Servo Motor MRSH064A (available in lengths of 15mm, 30mm, 45mm, 60mm)
  GASKET SET (SM4000)    SM0900-B03
V-JOINT RUBBER (5.0")    CST13022-01
V-JOINT RUBBER (6.0")    CST13023-01
V-JOINT RUBBER (8.0")    CST13024-01
COUPLING, MAIN OIL PUMP -(SE)    CST45007
AIR REGULATOR, OIL MIST    HP04-000197
TEMPERATURE CONTROL VALVE    9100403065
CONDENSER FOR ELECTRIC IGV [110V]    5945S1000043
Rubber seal, oil seal, bull gear     9100205067
AUTO TRAP (Drain Master -180, DM-150 CA, P; 0,8÷16 bar)    CMA10334-02
OIL FILTER ELEMENT (I)    CST45027-01
OIL FILTER ACCESSORY-O-RING (I)    HP05-000031
ELEMENT, OIL MIST FILTER    CMD10048
O-RING SET1 (SM4 DAE)    SM0900-D03
#1 BEARING(50HZ/60HZ)    SBFR12401-00
#2 BEARING(50HZ/60HZ)    SBFR12402-00
#3 BEARING(50HZ/60HZ)    SBFR12403-00
#4 BEARING(50HZ/60HZ)    SBFR12404-00
#1 & #2 CARBON SEAL    1412410001
#3 CARBON SEAL    1412430001
BEARING, SLEEVE, DE SIDE- MOTOR    M5016BHD085DE
BEARING, SLEEVE, NDE SIDE- MOTOR    M5016BHD085NDE
OIL SEAL, BEARING, SLEEVE- MOTOR    M5016BHD085SEAL
CABLE, VIBRATION(7M) (SHIN)    CST51321-G05
AIR REGULATOR, OIL MIST    HP09-001042  Servo Motor MRSH130A (available in lengths of 30mm, 60mm, 120mm, 230mm)
    Servo Motor MRSH178A (available in lengths of 135mm, 195mm)
   wika
型号:  IS-3-0-2211-1ZZ-BZZ-GTFDZZZ-UAX                 0~3000BAR
数量:8   Servo Motor MRSH178C (available in lengths of 135mm, 195mm)
HONEYWELL 0010-1180 10 Inch Long I²C Cable
 Christie Battery Charger / Analyzer - Part Number: 121630-001 (RF80-K)
HONEYWELL 0010-1188 6 Foot Long I²C Cable
HONEYWELL 0060-1051 Ferrite bead for use with mV sensors
HONEYWELL 00705-A-1733 705 Combustible Sensor
HONEYWELL 00705-A-1735 705 High Temperature Combustible Sensor
HONEYWELL 00780-A-0035 Calibration Gas Flow Adaptor for use with 705 sensors
HONEYWELL 00780-A-0076 Weather Protection Stainless Steel for 705 HT
HONEYWELL 00780-A-0100 Sensepoint Terminal Housing - Bartec DE1155 With Continuity Plate 1 X 25mm - 3 X 20mm Entries -ATEX Approved
HONEYWELL 00780-A-0160 Right Angle Mounting Bracket For Hawke Junction Box for Sensepoint
HONEYWELL 00780-F-0018 Stainless steel mesh filter for Sensepoint
HONEYWELL 02000-A-1635 Weather Protection Housing
HONEYWELL 02000-A-1640 Nylon Weather Protection for Combustible Sensor
HONEYWELL 02000-A-1642 Collecting Cone (for use with MPD, Sensepoint and 705 sensors)
HONEYWELL 02000-A-1645 Calibration Gas Flow Adaptor for use with XNX and Sensepoint sensors
HONEYWELL 02000-A-3120 Series 2000 Flow Housing Assembly Plastic for Sensepoint sensors
HONEYWELL 02104-N-4007 Long range alignment and conformity kit including handheld interrogator, telescope, carry case and test filters for Sieger Searchline Excel Detector
HONEYWELL 02104-N-4007X Long/Medium Range alignment and conformity kit including telescope, carry case and test filters (no SHC1) for Sieger Searchline Excel & XNX Detectors
HONEYWELL 02104-N-5030 Sieger Searchline Excel Long range system 120m to 200m complete TXR and RXR system
HONEYWELL 02104-N-XLAAUS Sieger Searchline Excel Long range system 120m to 200m complete TXR and RXR system, installation hardware kit, SS316 mounting plates and brackets, 1 junction box Current Source
HONEYWELL 04200-A-1015 Optima duct mounting kit for Optima Plus
HONEYWELL 04200-A-1040 OptimaPlus junction box adaptor plate
HONEYWELL 04230-A-1001 Hand Held Interrogator ATEX SHC-1 Certified (4V0 software) for Optima, Optima Plus and Excel
HONEYWELL 04230-A-1025 SHC protection device module and lead for Optima Plus
HONEYWELL 050-0000-003 DigiPID lamp, 10
HONEYWELL 05701-A-0120 Zellweger System 57 Engineering Interface Lead
HONEYWELL 05701-A-0283 Zellweger System 57 Sensor Drive Module?4-20mA?5701
HONEYWELL 05701-A-0284 Zellweger System 57 Sensor Drive Module-Catalytic?5701
HONEYWELL 05701-A-0285 Zellweger System 57 Analog Output Module?5701
HONEYWELL 05701-A-0301 Zellweger System 57 Single Channel Control Card - 4-20mA
HONEYWELL 05701-A-0302 Zellweger System 57 Single Channel Control Card ? Catalytic
HONEYWELL 05701-A-0309 Zellweger System 57 Master Alarm Update Module Kit
HONEYWELL 05701-A-0312 Zellweger System 57 Modbus Interface Module Kit-RS 485/RS 422
HONEYWELL 05701-A-0313 Zellweger System 57 Modbus Interface Module Kit-RS 232
HONEYWELL 05701-A-0314 Zellweger System 57 Event Print Module Kit-RS 232
HONEYWELL 05701-A-0325 Zellweger System 57 DC Input Card
HONEYWELL 05701-A-0326 Zellweger System 57 Field Interface Card (No Relays - Sensor Input Only)
HONEYWELL 05701-A-0327 Zellweger System 57 Relay Card-Double Spco-5701 (A1, A2 & Fault Single Pole hangover Relays)
HONEYWELL 05701-A-0328 Zellweger System 57 Relay Card-Triple Spco-5701 (A1, A2, A3 Fault & Inhibit Single Pole Changeover Relays)
HONEYWELL 05701-A-0329 Zellweger System 57 Relay Card-Triple Dpco-5701 (2Xa1, 2Xa2, 2Xa3 Fault & Inhibit Single Pole Changeover Relays)
HONEYWELL 05701-A-0330 Zellweger System 57 High Integrity Relay Card-Double Spco-5701 (2Xa1, 2Xa2, 2Xa3 Fault & Inhibit Single Pole Changeover Relays)
HONEYWELL 05701-A-0339 Zellweger System 57 Master Alarm Update Panel
HONEYWELL 05701-A-0361 Zellweger System 57 Engineering Card
HONEYWELL 05701-A-0365 Zellweger System 57 Blanking Panel - Card Slot
HONEYWELL 05701-A-0405 Zellweger System 57 Power Supply Unit-AC to DC-16 Way-50 Watts
HONEYWELL 05701-A-0406 Zellweger System 57 Power Supply Unit-AC to DC-8 Way-50 Watts
HONEYWELL 05701-A-0440 Zellweger System 57 Power Supply Module-50 W (Upgrade For PSUs & Sub Units)
HONEYWELL 05701-A-0441 Zellweger System 57 Power Supply Subunit-50 Watts (Upgrade For 16 Way PSU)
HONEYWELL 05701-A-0451 Zellweger System 57 Cabinet - Wall Mounting - 16 Way
HONEYWELL 05701-A-0452 Zellweger System 57 Cabinet - Wall Mounting - 8 Way
HONEYWELL 05701-A-0505 Zellweger System 57 Subrack - Front Access - 16 Way
HONEYWELL 05701-A-0506 Zellweger System 57 Subrack - Front Access - 8 Way
HONEYWELL 05701-A-0515 Zellweger System 57 Subrack - Rear Access - 16 Way
HONEYWELL 05701-A-0516 Zellweger System 57 Subrack - Rear Access - 8 Way
HONEYWELL 05701-A-0550 Zellweger System 57 System 57 Calibration Plug
HONEYWELL 05701-A-0551 Zellweger System 57 Engineering Interface Kit (Configuration Software + Connection Lead) *****The kit is no longer available for sale
HONEYWELL 05701-A-0600 Zellweger System 57 Subrack - Rear Access - 1 Way
HONEYWELL 05701-A-0601 Zellweger System 57 1W Rear Access Rack, Engineering Card, DC input, key set
HONEYWELL 05701-C-0390 Zellweger System 57 Interconnection Cable ? 5701
HONEYWELL 05701-C-0471 Zellweger System 57 Blanking Panel - 1U X 19\" (16 Way)
HONEYWELL 05701-C-0474 Zellweger System 57 Blanking Panel - 1U X ½ 19\" (8 Way)
HONEYWELL 05701-N-6100 Zellweger System 57 5701 Catalytic, Field Interface, Interconnect cable
HONEYWELL 05701-N-6101 Zellweger System 57 5701 Catalytic, Double SPCO, Interconnect cable
HONEYWELL 05701-N-6102 Zellweger System 57 5701 Catalytic, Triple SPCO, Interconnect cable
HONEYWELL 05701-N-6103 Zellweger System 57 5701 Catalytic, Triple DPCO, Interconnect cable
HONEYWELL 05701-N-6104 Zellweger System 57 5701 Catalytic, High Integrity Relay, Interconnect cable
HONEYWELL 05701-N-6105 Zellweger System 57 5701 mA, Field Interface, Interconnect cable
HONEYWELL 05701-N-6106 Zellweger System 57 5701 mA, Double SPCO, Interconnect cable
HONEYWELL 05701-N-6107 Zellweger System 57 5701 mA, Triple SPCO, Interconnect cable
HONEYWELL 05701-N-6108 Zellweger System 57 5701 mA, Triple DPCO, Interconnect cable
HONEYWELL 05701-N-6109 Zellweger System 57 5701 mA, High Integrity Relay, Interconnect cable
HONEYWELL 05701-N-6110 Zellweger System 57 5701 Catalytic, Analog output, Field Interface, Interconnect cable
HONEYWELL 05701-N-6111 Zellweger System 57 5701 Catalytic, Analog output, Double SPCO, Interconnect cable
HONEYWELL 05701-N-6112 Zellweger System 57 5701 Catalytic, Analog output, Triple SPCO, Interconnect cable
HONEYWELL 05701-N-6113 Zellweger System 57 5701 Catalytic, Analog output, Triple DPCO, Interconnect cable
HONEYWELL 05701-N-6114 Zellweger System 57 5701 Catalytic, Analog output, High Integrity Relay, Interconnect cable
HONEYWELL 05701-N-6115 Zellweger System 57 5701 mA, Analog output, Field Interface, Interconnect cable
HONEYWELL 05701-N-6116 Zellweger System 57 5701 mA, Analog output, Double SPCO, Interconnect cable
HONEYWELL 05701-N-6117 Zellweger System 57 5701 mA, Analog output, Triple SPCO, Interconnect cable
HONEYWELL 05701-N-6118 Zellweger System 57 5701 mA, Analog output, Triple DPCO, Interconnect cable
HONEYWELL 05701-N-6119 Zellweger System 57 5701 mA, Analog output, High Integrity Relay, Interconnect cable
HONEYWELL 05701-N-6200 Zellweger System 57 5701 Catalytic, Field Interface
HONEYWELL 05701-N-6201 Zellweger System 57 5701 Catalytic, Double SPCO
HONEYWELL 05701-N-6202 Zellweger System 57 5701 Catalytic, Triple SPCO
HONEYWELL 05701-N-6203 Zellweger System 57 5701 Catalytic, Triple DPCO
HONEYWELL 05701-N-6204 Zellweger System 57 5701 Catalytic, High Integrity Relay
HONEYWELL 05701-N-6205 Zellweger System 57 5701 mA, Field Interface
HONEYWELL 05701-N-6206 Zellweger System 57 5701 mA, Double SPCO
HONEYWELL 05701-N-6207 Zellweger System 57 5701 mA, Triple SPCO
HONEYWELL 05701-N-6208 Zellweger System 57 5701 mA, Triple DPCO
HONEYWELL 05701-N-6209 Zellweger System 57 5701 mA, High Integrity Relay
HONEYWELL 05701-N-6210 Zellweger System 57 5701 Catalytic, Analog output, Field Interface
HONEYWELL 05701-N-6211 Zellweger System 57 5701 Catalytic, Analog output, Double SPCO
HONEYWELL 05701-N-6212 Zellweger System 57 5701 Catalytic, Analog output, Triple SPCO
HONEYWELL 05701-N-6213 Zellweger System 57 5701 Catalytic, Analog output, Triple DPCO
HONEYWELL 05701-N-6214 Zellweger System 57 5701 Catalytic, Analog output, High Integrity Relay
HONEYWELL 05701-N-6215 Zellweger System 57 5701 mA, Analog output, Field Interface
HONEYWELL 05701-N-6216 Zellweger System 57 5701 mA, Analog output, Double SPCO
HONEYWELL 05701-N-6217 Zellweger System 57 5701 mA, Analog output, Triple SPCO
HONEYWELL 05701-N-6218 Zellweger System 57 5701 mA, Analog output, Triple DPCO
HONEYWELL 05701-N-6219 Zellweger System 57 5701 mA, Analog output, High Integrity Relay
HONEYWELL 05704-A-0121 Zellweger System 57 Quad Relay Interface Card - 5704 (4 SPCO Relays)
HONEYWELL 05704-A-0123 Zellweger System 57 5704F Hex Relay Interface Card
HONEYWELL 05704-A-0131 Zellweger System 57 Relay Interface Assy - 16 Relays - 5704 (12 SPCO, 4 SPST)
HONEYWELL 05704-A-0133 Zellweger System 57 5704F Relay Interface Assembly
HONEYWELL 05704-A-0144 Zellweger System 57 4 Channel Control Card ? Catalytic
HONEYWELL 05704-A-0145 Zellweger System 57 4 Channel Control Card - 4-20mA
HONEYWELL 05704-A-0146 Zellweger System 57 5704F Fire Card
HONEYWELL 05704-A-0148 Zellweger System 57 5704F Fire Status Panel
HONEYWELL 05704-C-0160 Zellweger System 57 Interconnect Cable ? 5704
HONEYWELL 05704-N-0101 Zellweger System 57 5704 Catalytic, Quad Relay, Interface Interconnect Cable
HONEYWELL 05704-N-0102 Zellweger System 57 5704 Catalytic, Relay Interface Assembly, Interconnect Cable
HONEYWELL 05704-N-0103 Zellweger System 57 5704 mA, Quad Relay Interface, Interconnect Cable
HONEYWELL 05704-N-0104 Zellweger System 57 5704 mA, Relay Interface Assembly, Interconnect Cable
HONEYWELL 05704-N-0105 Zellweger System 57 5704F 4ZCC- Fire, Hex Relay Interface, Interconnect Cable
HONEYWELL 05704-N-0106 Zellweger System 57 5704F 4ZCC- Fire, Fire Relay Interface, Interconnect Cable
HONEYWELL 05704-N-0111 Zellweger System 57 5704 Catalytic, Analog output-sink, Quad Relay Interface, Interconnect Cable
HONEYWELL 05704-N-0112 Zellweger System 57 5704 Catalytic, Analog output - sink, Relay Interface Assembly, Interconnect Cable
HONEYWELL 05704-N-0113 Zellweger System 57 5704 mA, Analog output - sink, Quad Relay Interface, Interconnect Cable
HONEYWELL 05704-N-0114 Zellweger System 57 5704 mA, Analog output - sink, Relay Interface Assembly, Interconnect Cable
HONEYWELL 05704-N-0121 Zellweger System 57 5704 Catalytic, Analog output-source, Quad Relay Interface, Interconnect Cable
HONEYWELL 05704-N-0122 Zellweger System 57 5704 Catalytic, Analog output-source, Relay Interface Assembly, Interconnect Cable
HONEYWELL 05704-N-0123 Zellweger System 57 5704 mA, Analog output-source, Quad Relay Interface, Interconnect Cable
HONEYWELL 05704-N-0124 Zellweger System 57 5704 mA, Analog output-source, Relay Interface Assy, Interconnect Cable
HONEYWELL 05704-N-0201 Zellweger System 57 5704 Catalytic, Quad Relay Interface
HONEYWELL 05704-N-0202 Zellweger System 57 5704 Catalytic, Relay Interface Assembly
HONEYWELL 05704-N-0203 Zellweger System 57 5704 mA, Quad Relay Interface
HONEYWELL 05704-N-0204 Zellweger System 57 5704 mA, Relay Interface Assembly
HONEYWELL 05704-N-0205 Zellweger System 57 5704F 4ZCC- Fire, Hex Relay Interface
HONEYWELL 05704-N-0206 Zellweger System 57 5704F 4ZCC- Fire, Fire Relay Interface
HONEYWELL 05704-N-0211 Zellweger System 57 5704 Catalytic, Analog output-sink, Quad Relay Interface
HONEYWELL 05704-N-0212 Zellweger System 57 5704 Catalytic, Analog output-sink, Relay Interface Assembly
HONEYWELL 05704-N-0213 Zellweger System 57 5704 mA, Analog output-sink, Quad Relay Interface
HONEYWELL 05704-N-0214 Zellweger System 57 5704 mA, Analog output-sink, Relay Interface Assembly
HONEYWELL 05704-N-0221 Zellweger System 57 5704 Catalytic, 5704 Analog output - source, Quad Relay Interface
HONEYWELL 05704-N-0222 Zellweger System 57 5704 Catalytic, 5704 Analog output - source, Relay Interface Assembly
HONEYWELL 05704-N-0223 Zellweger System 57 5704 mA, Analog output-source, Quad Relay Interface
HONEYWELL 05704-N-0224 Zellweger System 57 5704 mA, Analog output-source, Relay Interface Assembly
HONEYWELL 05708-N-1008 Zellweger System 57 8W Rear Access Rack, 0 Blanking Panels
HONEYWELL 05708-N-2008 Zellweger System 57 8W Front Access Rack, 0 Blanking Panels
HONEYWELL 05708-N-3008 Zellweger System 57 8W Front Access Rack, 0 Blanking Panels, 8W Cabinet
HONEYWELL 05708-N-4008 Zellweger System 57 8W Rear Access Rack, 0 Blanking Panels, 8W PSU - 50W
HONEYWELL 05708-N-5008 Zellweger System 57 8W Front Access Rack, 0 Blanking Panels, 8W PSU - 50W
HONEYWELL 05708-N-6008 Zellweger System 57 8W Front Access Rack, 0 Blanking Panels, 8W Cabinet, 8W PSU - 50W
HONEYWELL 05716-N-1016 Zellweger System 57 16W Rear Access Rack, 0 Blanking Panels
HONEYWELL 05716-N-2016 Zellweger System 57 16W Front Access Rack, 0 Blanking Panels
HONEYWELL 05716-N-3016 Zellweger System 57 16W Front Access Rack, 0 Blanking Panels, 16W Cabinet
HONEYWELL 05716-N-4016 Zellweger System 57 16W Rear Access Rack, 0 Blanking Panels, 16W PSU - 50W
HONEYWELL 05716-N-5016 Zellweger System 57 16W Front Access Rack, 0 Blanking Panels, 16W PSU - 50W
HONEYWELL 05716-N-6016 Zellweger System 57 16W Front Access Rack, 0 Blanking Panels, 16W Cabinet, 16W PSU - 50W
HONEYWELL 081-0002-000 Lamp Cleaning Kit for RAEGuard 2 PID
HONEYWELL 1000-0076 Small Red Magnetic Wand for NEMA 4X Enclosures
HONEYWELL 1000-0078 Big Blue Magnetic Wand for XP Enclosures
HONEYWELL 10-0006 Replacement HA71 Flat Panel LCD Module
HONEYWELL 1000-1892 100db Piezo Audible Alarm added to NEMA 4X enclosure
HONEYWELL 10-0142 Replacement Main I/O PCB for HA71/XP
HONEYWELL 10-0144 Auxiliary Common Alarm Relay Board
HONEYWELL 10-0158 I2C Analog 8 Input Board incl EXC terminals
HONEYWELL 10-0167 I2C Analog 4-20mA 8 Output Board
C7-3000美国A T      配备OSHA/NFPAC7-3000  VFT1
V3
V5
VE / VR
VL / VRG
VLP21 / VRH
DTS240 / EMR-M
DTR340 / EMR-C
DR3000 / EMR-C
HONEYWELL 10-0172 85-240VAC Universal Input 150 Watt 24VDC Internal Power Supply
HONEYWELL 10-0180 NEMA 4X Expansion Plate (adds up to 4 option positions)
HONEYWELL 10-0181 NEMA 7 Expansion Plate     GE  冷却风机  型号:5KCP39JGF737BS
HONEYWELL 10-0185 p-Xylene (C8H10) (100% LEL Full Scale, 1 LEL %v/v)
HONEYWELL 10-0191 I2C Catalytic Bead LEL Sensor / mA Input Board
HONEYWELL 10-0192 Dual Bridge Sensor Module
HONEYWELL 10-0195 I2C Discrete Alarm Relay Board (8, 5A Form C Relays)
HONEYWELL 10-0213 Replacement Main I/O PCB for HA71PM
HONEYWELL 10-0216 One Bridge Sensor / One EC Sensor Input Combo
HONEYWELL 10-0219 Dual Bridge Sensor Input
HONEYWELL 10-0315 50 WATT NEMA 4X DIV 2 Internal power supply
HONEYWELL 10-0331 Replacement HA-72 Main I/O
HONEYWELL 10-0332 Auxiliary Standard Alarm Relay Board
Manuals
GE  pn 5BC79AE10
05701-M-5001 5701 System Manual (English)
05704-M-5001 5704 System Manual (English)
05704M5002 5704F System Manual (English)
PCIe-HIB25-x1-H

One Stop Systems PCIe x1 Gen 2 host cable adapter
GROSCHOPP
RA75691-75-0004
GEAR REDUCERS
540.00270.00
GROSCHOPP RA75691-75-0002
GROSCHOPP
RA75691-75-0002
GEAR REDUCERS
540.00270.00
GROSCHOPP RA75691-75-0001
GROSCHOPP
RA75691-75-0001
GEAR REDUCERS
540.00270.00
GROSCHOPP RA75691-75-0003
GROSCHOPP
RA75691-75-0003
GEAR REDUCERS
540.00270.00
GROSCHOPP 3930-0546
GROSCHOPP
3930-0546
GEARMOTOR 90VDC 1.09AMP 3500RPM
Buy Surplus As Low As 324.00270.00
GROSCHOPP TM83043460
GROSCHOPP
TM83043460
GEAR MOTOR 12VDC 11.1AMP 82WATT 2350RPM 60:1RATIO
Buy Surplus As Low As 324.00270.00
GROSCHOPP WK1028214
GROSCHOPP
WK1028214
DISCONTINUED BY MANUFACTURER, MOTOR, 180VDC, 65WATTS, 4000RPM, 0.51AMP, (1028214)
Buy Surplus As Low As 324.00270.00
GROSCHOPP PM8018-PL7361
GROSCHOPP
PM8018-PL7361
12VDC GEARMOTOR 36.9 RPM
666.66316.66
GROSCHOPP PM8018
GROSCHOPP
PM8018
MOTOR
Buy Surplus As Low As 336.00280.00
GROSCHOPP WK-1781401
GROSCHOPP
WK-1781401
DISCONTINUED BY MANUFACTURER, MOTOR, 230/400VAC, 2800-3340RPM, 160W, 50-60HZ, IP54
Buy Surplus As Low As 336.00280.00
GROSCHOPP 4890033
GROSCHOPP
4890033
GEAR MOTOR 0.7AMP 190V 95W
?IN STOCK!Buy Surplus As Low As 348.00290.00
GROSCHOPP DM265204E1
GROSCHOPP
DM265204E1
DISCONTINUED BY MANUFACTURER, INDUCTION MOTOR, 3 PHASE, 85HZ, 4800RPM, 220V, 25W
?IN STOCK!Buy Surplus As Low As 348.00290.00
GROSCHOPP PM8014-PS1920
GROSCHOPP
PM8014-PS1920
GEAR REDUCTION MOTOR, INTERMITTENT DUTY, 4.28AMP, 125V, 211W,99.3RPM, 162.9IN-LBS, 20:1 RATIO, ISB1
Buy Surplus As Low As 348.00290.00
GROSCHOPP WK1143102
GROSCHOPP
WK1143102
MOTOR 90VDC PM1 60-30 IP44 25W .4A 3000RPM OEM
?IN STOCK!Buy Surplus As Low As 360.00300.00
GROSCHOPP 7474568
GROSCHOPP
7474568
MOTOR 3PH 80-60 50HZ 270WATT
Buy Surplus As Low As 360.00300.00
GROSCHOPP RA6300
GROSCHOPP
RA6300
FRAME RIGHT ANGLE W/FLANGE SIZE RA63
600.00300.00
GROSCHOPP RA90880-00-0025
GROSCHOPP
RA90880-00-0025
GEAR REDUCERS
600.00300.00
GROSCHOPP PM10816-PS2340
GROSCHOPP
PM10816-PS2340
MOTOR, 57042, PM10816, 90VDC, 2400RPM 2 POLES, PS2300 40:1 RATIO
600.00300.00
GROSCHOPP WK0337901
GROSCHOPP
WK0337901
DISCONTINUED BY MANUFACTURER, AC MOTOR, 3 PHASE, 380/220 VAC, 60 HZ, 0.2/0.35 AMP, 3360 RPM, 50 WATT
Buy Surplus As Low As 360.00300.00
GROSCHOPP RA90885-11-0005
GROSCHOPP
RA90885-11-0005
GEAR REDUCERS
620.00310.00
GROSCHOPP SM80142190
GROSCHOPP
SM80142190
GEAR MOTOR 90:1RATIO 12VDC 11.1AMP
Buy Surplus As Low As 372.00310.00
GROSCHOPP 48149
GROSCHOPP
48149
MOTOR, 23V, 3PH, 60HZ, AC8060FC-PS2115
620.00310.00
GROSCHOPP RA90691-90-0003
GROSCHOPP
RA90691-90-0003
GEAR REDUCERS
640.00320.00
GROSCHOPP RA90885-12-0012
GROSCHOPP
RA90885-12-0012
GEAR REDUCERS
640.00320.00
GROSCHOPP RA90691-90-0001
GROSCHOPP
RA90691-90-0001
GEAR REDUCERS
640.00320.00
GROSCHOPP SM60151960
GROSCHOPP
SM60151960
GEAR MOTOR 24VDC 3.3AMP 60:1RATIO 2500RPM
Buy Surplus As Low As 384.00320.00
GROSCHOPP AC8040NV
GROSCHOPP
AC8040NV
MOTOR, 0.35AMP, 230V, 3PH, 60HZ, 10:1RATIO, 340RPM
640.00320.00
GROSCHOPP SG80
GROSCHOPP
SG80
GEAR MOTOR 24V IP54 3000MIN 800NCM 7.8RATIO
?IN STOCK!660.00330.00
GROSCHOPP RA90691-90-0002
GROSCHOPP
RA90691-90-0002
GEAR REDUCERS
660.00330.00
GROSCHOPP RA90691-90-0004
GROSCHOPP
RA90691-90-0004
GEAR REDUCERS
660.00330.00
GROSCHOPP 0960-0005
GROSCHOPP
0960-0005
MOTOR, M 80-40, 460/266V 3400 RPM, .18/.31 AMP, 60 HZ, MOTOR ONLY
660.00330.00
GROSCHOPP IGKU80-60
GROSCHOPP
IGKU80-60
MOTOR, 400/230VAC, 50HZ 90W, 4 POLE, 0,44-0,76A
?IN STOCK!800.00380.00
GROSCHOPP AC9060FC-RA4005T
GROSCHOPP
AC9060FC-RA4005T
GEARMOTOR, 208-230/460VAC, 1.74/0.87AMP, 680RPM,
800.00380.00
GROSCHOPP BGK 65-40NV
GROSCHOPP
BGK 65-40NV
SERVO MOTOR, 3-325VDC, 125W, 2.3A PEAK,
?IN STOCK!829.62
GROSCHOPP RA7500
GROSCHOPP
RA7500
FRAME RIGHT ANGLE W/FLANGE SIZE RA75
720.00360.00
GROSCHOPP 302210
GROSCHOPP
302210
ASSEMBLY
720.00360.00
GROSCHOPP PM8304
GROSCHOPP
PM8304
DISCONTINUED BY MANUFACTURER,VALVE CUTTER MOTOR, 2300 RPM, 24 VDC, 5.7 AMP, 99 WATT
?IN STOCK!Buy Surplus As Low As 444.00370.00
GROSCHOPP PM172-35
GROSCHOPP
PM172-35
DISCONTINUED BY MANUFACTURER, MOTOR DC, 24VDC, PERMANENT MAGNET
Buy Surplus As Low As 444.00370.00
GROSCHOPP PM8018-RA4020M
GROSCHOPP
PM8018-RA4020M
DISCONTINUED BY MANUFACTURER, DC WORM GEAR MOTOR, 180 VDC, 0.71 AMP, 117 WATT, 133.8 RPM REDUCER OUTPUT, 33.1 IN-LBS, 20:1 RATIO, 6931-4504
Buy Surplus As Low As 444.00370.00
GROSCHOPP KM120-65
GROSCHOPP
KM120-65
180/200V DC, 300W, 4000RPM, IP44
800.00400.00
GROSCHOPP AC10080FC-PS2315
GROSCHOPP
AC10080FC-PS2315
AC PARALLEL SHAFT GEARMOTOR, 3PHASE, 2.76AMP, 230VAC, 60HZ, REDUCER OUTPUT 230.3RPM 15:1RATIO 115IN-LBS
800.00400.00
GROSCHOPP WK-0211101
GROSCHOPP
WK-0211101
DISCONTINUED BY MANUFACTURER, GEAR MOTOR, 0.24/0.42 AMP, 460/265 VAC DELTA/WYE, 60 HZ, 3-PHASE, 70 WATT, 0.55 PF, 3450 RPM, IP44
Buy Surplus As Low As 480.00400.00
GROSCHOPP AC10080FC-PL7310
GROSCHOPP
AC10080FC-PL7310
DISCONTINUED BY MANUFACTURER, AC PARALLEL SHAFT GEARMOTOR, 150RPM, 10:1 RATIO, 216.6IN/LB, 1.99 AMP, 230VAC, 60HZ, 3 PHASE
?IN STOCK!Buy Surplus As Low As 492.00410.00
GROSCHOPP 930-39-9022-9
GROSCHOPP
930-39-9022-9
DISCONTINUED BY MANUFACTURER, MOTOR, 0.35 AMPS, 230VAC, 64WATTS, 1540 RPM, 4POLE, AC8040NV-PS1920
Buy Surplus As Low As 492.00410.00
GROSCHOPP AC9060FC-RA4020M
GROSCHOPP
AC9060FC-RA4020M
GEARMOTOR, RIGHT ANGLE, 3PH, 1.1A, 230VAC, 162.1IN/LBS
Buy Surplus As Low As 504.00420.00
GROSCHOPP EGK48-60NV-REA-IP65W2
GROSCHOPP
EGK48-60NV-REA-IP65W2
DC MOTOR 2.8AMP 3PH 24VDC 1250RPM 50W
Buy Surplus As Low As 504.00420.00
GROSCHOPP 911-30-0840-3
GROSCHOPP
911-30-0840-3
GROSCHOPP PM6015 / 2 WIRE PLUG Z MOTOR.
840.00420.00
GROSCHOPP RBD-325-4/6 S
GROSCHOPP
RBD-325-4/6 S
DISCONTINUED BY MANUFACTURER, SERVO CONTROL, RBD SERIES, 1/3 PHASE, 250 VAC, 4 AMP, 48/66 HZ, 500 WATT
Buy Surplus As Low As 504.00420.00
GROSCHOPP WK-1100302
GROSCHOPP
WK-1100302
DISCONTINUED BY MANUFACTURER, MOTOR, 190VAC, 90W ,PM1, 72-35, IP55
Buy Surplus As Low As 516.00430.00
GROSCHOPP AC8060FC-PS2110
GROSCHOPP
AC8060FC-PS2110
GEAR MOTOR 162RPM 3PHASE .81AMP 115W 230VAC 60HZ
Buy Surplus As Low As 516.00430.00View Buying Options
 
SS-4-VCR-1-03816  
SS-8-VCR-1   
 Groschopp PM8014-PL5259iP, PM8018-PL6219i, PM8018-PL81181i, PM6015-PL5246iP, PM8014-PL6219i, PM6013-PL5246iP, PM8014-PL81181i, PM6015-PL6219i, PM8018-PL81150i, PM8014-PL5246i, PM6013-PL6219i, PM6015-PL5246i, PM8014-PL81150i, PM10818-PL6219i, PM6013-PL5246i, PM8018-PL81124i, PM10816-PL6219i, PM6015-PL5229iP, PM8018-PL6216i, PM8014-PL81124i, PM10816-PL81124i, PM6013-PL5229iP, PM8018-PL5229i, PM8018-PL8193i, PM8014-PL6216i, PM6015-PL6216i, PM8014-PL5229i, PM8014-PL8193i, PM10818-PL8193i, PM6013-PL6216i, PM10816-PL8193i, PM6015-PL5229i, PM8018-PL73184, PM10818-PL6216i, PM6015-PL62308i, PM6013-PL5229i, PM10816-PL5229i, PM8018-PL7315, PM8014-PL5219iP, PM8018-PL7310, PM10816-PL6216i, PM8018-PL7305, PM6013-PL62308i, PM10818-PL7390, PM6015-PL5219iP, PM10818-PL7361, PM8018-PL6205i, PM10818-PL7340, PM6015-PL62236i, PM10818-PL7330, PM10818-PL7320, PM10818-PL7315, PM10818-PL7310, PM6013-PL5219iP, PM10818-PL7305, PM6013-PL62236i, PM8014-PL62181i, PM8014-PL6205i, PM8018-PL5219i, PM8018-PL73160, PM8018-PL73120, PM8018-PL7390, PM6015-PL62181i, PM6015-PL6205i, PM8018-PL7361, PM8018-PL7340, PM8018-PL7330, PM8018-PL7320, PM8014-PL5219i, PM6013-PL62181i, PM6013-PL6205i, PM8014-PL62150i, PM6015-PL5219i, PM10818-PL6205i, PM6015-PL62150i, PM6013-PL5219i, PM10818-PL5219i, PM10816-PL6205i, PM6015-PL52308i, PM6013-PL62150i, PM6013-PL52308i, PM10816-PL5219i, PM6013-PL52236iP, PM8014-PL62124i, PM6015-PL52236i, PM8014-PL5216iP, PM6015-PL62124i, PM6013-PL52236i, PM6015-PL52181iP, PM6015-PL5216iP, PM6013-PL52181iP, PM6013-PL62124i, PM6013-PL5216iP, PM6015-PL52181i, PM8014-PL6293i, PM8018-PL5216i, PM6013-PL52181i, PM6015-PL52150iP, PM6015-PL6293i, PM6013-PL52150iP, PM8014-PL5216i, PM6013-PL6293i, PM8018-PL6259i, PM6015-PL5216i, PM10818-PL5216i, PM6015-PL52150i, PM8014-PL6259i, PM6013-PL52150i, PM10816-PL5216i, PM6015-PL52124iP, PM6015-PL6259i, PM8014-PL5205iP, PM6013-PL52124iP, PM6013-PL6259i, PM6015-PL5205iP, PM6015-PL52124i, PM8018-PL6246i, PM6013-PL5205iP, PM6013-PL52124i, PM8014-PL6246i, PM8018-PL5205i, PM6015-PL5293iP, PM6015-PL6246i, PM8014-PL5205i, PM6013-PL5293iP, PM6013-PL6246i, PM10816-PL6246i, PM6015-PL5205i, PM6015-PL5293i, PM8018-PL6229i, PM6013-PL5205i, PM6013-PL5293i, PM10816-PL7390, PM10816-PL7361, PM10816-PL7340, PM10818-PL5205i, PM10816-PL7330, PM10816-PL7320, PM8014-PL6229i, PM10816-PL7315, PM6015-PL5259iP, PM10816-PL7310, PM10816-PL7305, PM10816-PL5205i, PM6015-PL6229i, PM6013-PL5259iP, PM6015-PL73377, PM6015-PL73246, PM8014-PL5259i, PM6015-PL73184, PM6013-PL6229i, PM6015-PL73160, PM10818-PL6229i, PM8014-PL73377, PM6015-PL73120, PM8014-PL73246, PM6015-PL7390, PM8014-PL73184, PM6015-PL7361, PM8014-PL73160, PM6015-PL7340, PM8014-PL73120, PM6015-PL7330, PM8014-PL81308i, PM6015-PL5259i, PM8014-PL7390, PM6015-PL7320, PM8014-PL7361, PM6015-PL7315, PM10816-PL6229i, PM8014-PL7340, PM6015-PL7310, PM8014-PL7330, PM6015-PL7305, PM8014-PL7320, PM8014-PL7315, PM6013-PL5259i, PM8014-PL7310, PM8014-PL7305, PM8014-PL81236i, PM8018-PL6219i
 
SS-4-VCR-P    
SS-8-VCR-P  
 
 
 
SS-4-VCR-3   
SS-8-VCR-3   

Run Out Model.  While Stocks Last

PCIe-HIB25-x1-T

One Stop Systems PCIe x1 Gen 2 target cable adapter

 

 

PCIe-HIB25-x4-H

One Stop Systems PCIe x4 Gen 2 host cable adapter

05704-A-0121 Quad Relay Interface Card – 5704 (4 SPCO Relays)
05704-A-0122 PCB Assembly relay expansion 4 channel
05704-A-0131 Relay Interface Assembly – 16 Relays – 5704 (12 SPCO, 4 SPST)
05704-A-0144 4 Channel Control Card- Catalytic
05704-A-0145 4 Channel Control Card- 4-20mA
05704-C-0160 Interconnect Cable- 5704
05704-C-0210 Model 5704 Scale Label – Catalytic – 0-100% LEL
05704-C-0240 Model 5704 Scale Label – mA – 0-100% LEL
05704-C-0247 Model 5704 Scale Label – mA – 0-25% V/V
05704-C-0263 Model 5704 Scale Label – mA – 0-50ppm
05704-C-0265 Model 5704 Scale Label – mA – 0-100ppm
05704-C-0266 Model 5704 Scale Label – mA – 0-200ppm
05704-C-0292 Model 5704 Scale Label – mA – 0-0.2ppm
05704-C-0293 Model 5704 Scale Label – mA – 0-0.4ppm
05704-C-0294 Model 5704 Scale Label – mA – 0-1.2ppm
05704-A-0123 5704F Hex Relay Interface Card
05704-A-0133 5704F Relay Interface Assembly
05704-A-0146 5704F Fire Card
05704-A-0148 5704F Fire Status Panel
INVICTA VIBRATORS BL/60-105/6/01/50
Dif.Potencial
220 V
MORE INFO
URBAR INGENIEROS REX C90/30CHAPA
27U02 – URBAR INGENIEROS REX C90/30
97F9833电容 电机运转 30/5uF 370V 封装 S

97F9834电容 35/5uF 370VAC

97F9839电容 电机运转 55/5uF 440V 封装 T

97F9848膜电容 双电容 35 / 5 uF
5KCR39SN2504DX    MOTOR 1.5HP 115/230V 15.8/7.9AMP 3450RPM    REGAL BELOIT    MARATHON MOTORS    Request Quote
5KCR46JN0083X    MOTOR 1/3HP 1725RPM 100-120/200/240V 1PH 60/50HZ    REGAL BELOIT    MARATHON MOTORS    Request Quote
5KCR46JN0087Y    MOTOR 1/3HP 120/240VAC 50/60HZ 1PH 1725/1425RPM    REGAL BELOIT    MARATHON MOTORS    Request Quote
5KCR46JN0088    MOTOR E256 1/3HP 115/230V 1PH 56C FR 1800RPM    REGAL BELOIT    MARATHON MOTORS    Request Quote
5KCR46MN0055X    MOTOR 0.5HP 115/230V 1PHASE 1800RPM    REGAL BELOIT    MARATHON MOTORS    Request Quote
5KCR48TN2650Y    MOTOR AIR COMPRESSOR 17AMP 115/230V 60HZ 2HP    REGAL BELOIT    MARATHON MOTORS    Request Quote
5KCR49UN0079AU    FARM DUTY MOTOR 1HP 1725RPM 115/208-230V FR:56NY    REGAL BELOIT    MARATHON MOTORS    Request Quote
5KH32DN5587MX    REGAL BELOIT ,5KH32DN5587MX,MOTOR,1/4HP,115VAC, 60HZ    REGAL BELOIT    MARATHON MOTORS    Request Quote
5KH32FN3123X    MOTOR ELECTRIC 1/4HP 1800RPM 115V AC 48 1PH    REGAL BELOIT    MARATHON MOTORS    Request Quote
5KH32FN5586X    MOTOR 1/3HP 1800RPM 115V 48Y FRAME    REGAL BELOIT    MARATHON MOTORS    Request Quote
5KH32FNA499S    MOTOR    REGAL BELOIT    MARATHON MOTORS    Request Quote
5KH32GNB811AX    MOTOR 1/3HP 1725RPM 120/240V 1PHASE 60/50HZ    REGAL BELOIT    MARATHON MOTORS    Request Quote
5KH32GNB812X-G    MOTOR 1/3HP 240VAC 2.7AMP 1725RPM 60HZ 1PHASE    REGAL BELOIT    MARATHON MOTORS    Request Quote
5KH32GNB815X    OPEN DRIP PROOF CARBONATOR PUMP MOTOR    REGAL BELOIT    MARATHON MOTORS    Request Quote
5KH33GNA444X    PUMP MOTOR 1/3HP 1725RPM 100-120/200-240V SPLIT-PH    REGAL BELOIT    MARATHON MOTORS    Request Quote
5KH36KNA510X    MOTOR 1/4HP 1725/1425RPM 100-115/220-230V 4.6/2.3A    REGAL BELOIT    MARATHON MOTORS    Request Quote
- Mechanical horizontal airflow
5KCP39JGF737BS GE Fan Motor
SPIDER 376 0071-801 250W VER4.3.1
MORRILL Marathon GENTEQ ARKTIC Century Regal Beloit 5BPA56RAG9A, 5KH36MNA445X, 5KC36LN18J, 5KH32GN5637X, 5PY59JYE, 5KH38SNB845X, 5CD173 AV850UFF 5K33FN41A 5K49QN6354 5KCR46MN0092 5K324BS K36P,5KCP39,5KCP29,5KS49,E9626,E9923,M497,M8957,S2272,S2062,Kinamatic。E991,ODP,TEFC,Kinamatic,CD6000,D31,D28,5BPA56RAG9A,5KCP39FGM433S,5KH36MNA445X,5KCR46MN0055X。5KCP39JGF737BS 5KCP29MK6641S 5KH32GN5637X 5KH32GN68X 5K324BS1033D20 160LTFC6538 160LTFC4538AA-4 5KCP49RN9437S 5KCP49RN9437A 151X1220PD01PC01 160LT FC4538AA-4 5KCR46N0055X 5KH36MNA445X 5KC36LN18J 5KC36LN18J 5KCP39JGR763AS 5K33FN41A 5KCP39SGS091AS 5K49QN6354 5KCP39SGS091AS 5K49QN6354 5KCP39JGF737BS 5KCP39JGF737BS 5KCP39JGF737BS 5KCP39GH339AS 5KC49RN0666X 5KCR46MN0092 5KH36MNA445X 5KCR46MN0055X 5KCP29MK6641S 5KC35MN73H 5KH32GN5637X 5KH32GNB811X 5KH32GNB811XA 5KH32GNB811X 5KH32GN68X 5K324BS1033D20 5KCP29FK6650S 5KCP29FK6642S 5KH32GN5637X 5KCR46MN0092 5KH32GN5589X
- 1 Phase"Relay
Model: MY4N-GS
220V AC, 4PDT
Relay Contacts Rated Load: 3A
Operating Coil Rated Current: 5.2 mA
Make: Omron
 .气动角座阀.514 50D 034 511 2061+1436 505-10Bar 180℃
Relay
Model: MY4N-D2-GS
24V DC, 4PDT
Relay Contacts Rated Load: 3A
Operating Coil Rated Current: 36.3 mA
Make: Omron
 
Relay
Model: MY4-D2-GS
24V DC, 4PDT
Relay Contacts Rated Load: 3A
Operating Coil Rated Current: 36.3 mA
Make: Omron
Thermal-Magnetic Circuit Breaker
Model: 2210-T220-KOMI-H121-4A
Make: ETA

 
Thermal-Magnetic Circuit Breaker
Model: 2210-T220-KOMI-H121-16A
Make: ETA
 
Thermal-Magnetic Circuit Breaker
Model: 2210-T220-KOMI-H121-20A
Make: ETA
 
Thermal-Magnetic Circuit Breaker
Model: 2210-T210-KOMI-H121-2A
Make: ETA
 

Thermal-Magnetic Circuit Breaker
Model: 2210-T210-KOMI-H121-1A
Make: ETA
 
Thermal-Magnetic Circuit Breaker
Model: 2210-T220-KOMI-H121-10A
Make: ETA
 
SOCKET
Model: 2P + E10A
Make: SCHNEIDER
 
PILOT LIGHT, DC 24V
Model: 3SB3647-0AA21
Make: SIEMENS
 

PILOT LIGHT, WHITE
Model: 3SB3647-0AA61
Make: SIEMENS
 
SIGNAL DOUBLERS
Model: A 20300 PO
Make: KNICK
 

PC-(2P + E), 250V/10A, SOCKET
Part No.: A9A16414
Make: SCHNEIDER

 

IC65N-2P-C02, AC BREAKER
Part No.: A9F18202
Make: SCHNEIDER
 
IC65N-2P-C4, AC BREAKER
Part No.: A9F18204
Make: SCHNEIDER
 
IC65N-2P-C06, AC BREAKER
Part No.: A9F18206
Make: SCHNEIDER
 
IC65N-2P-C10, AC BREAKER
Part No.: A9F18210
Make: SCHNEIDER
 
IC65N-2P-C16, AC BREAKER
Part No.: A9F18216
Make: SCHNEIDER

 
C65H-DC-2P-C10, AC BREAKER
Part No.: A9N22075
Make: SCHNEIDER
 
C65H-DC-2P-C16, AC BREAKER
Part No.: A9N22076
Make: SCHNEIDER

 

AUTOMATIC TRANSFER SWITCH
Model: AP7721
Make: APC

 

POWER DISTRIBUTION UNIT
Model: AP9565
Make: APC
 
PDU
Model: AS SERIES
Make: AOSENS
 
DC BREAKER
Model: C65H-DC-2P-C25
Make: SCHNEIDER
 

DC BREAKER
Model: C65H-DC-2P-C20
Make: SCHNEIDER

 

AC BREAKER
Model: IC65N-1P-C4
Make: SCHNEIDER

 

AC BREAKER
Model: IC65N-1P-C25
Make: SCHNEIDER
 

AC BREAKER
Model: IC65N-1P-C20
Make: SCHNEIDER
 

AC BREAKER
Model: IC65N-2P-C32
Make: SCHNEIDER

 
AC BREAKER
Model: IC65N-2P-C40
Make: SCHNEIDER
 NICHICON    UPM1J101MPD                
NICHICON    UPM1J101MPD1TD     
Relay
Model: MY2-D2-GS
24V DC, DPDT
Relay Contacts Rated Load: 5A
Operating Coil Rated Current: 36.3 mA
Make: Omron
 
Relay
Model: G2RV-SR700
24V DC, SPDT
Relay Contacts Rated Load: 6A
Operating Coil Rated Current: 12.6 mA
Make: Omron
 
Solid State Relay
Model: G3RV-SR700-D
24V DC, SPDT
Relay Contact Rated Load: 3A
Operating Coil Rated Current: 4.3 mA
Make: Omron
 
Bi-Stable Relay
Model: MY2K
48V DC, DPDT
Relay Contacts Rated Load: 3A
Operating Coil Rated Current: 47 mA
Make: Omron
 
Relay Socket
Model: PYF14A-E
Continues Carry Current: 3A
Make: Omron
 
Relay Socket
Model: PYF08A-E
Contact Rated Load: 3A
Make: Omron
 
Mono Stable Relay
Model: MY4N-GS
48V DC, 4PDT
Relay Contacts Rated Load: 6A
Operating Coil Rated Current: 17.6 mA
Make: Omron
 
Mono Stable Relay
Model: MY4N-GS
24V AC, 4PDT
Contact Rated Load:
Operating Coil Rated Current: 53.8 mA
Make: Omron
 
Relay
Model: MY2N-GS
220V AC, DPDT
Relay Contacts Rated Load: 5A
Operating Coil Rated Current: 5.2 mA
Make: Omron
 
Relay
Model: MY2N-GS
24V DC, DPDT
Contact Rated Load: 2A
Operating Coil Rated Current: 36.3 mA
Make: Omron
 
Relay Socket Clip
Model: PVC-A1
220V AC
Make: Omron or IDEC

 
Relay Socket Clip
Model: PYC-A1
220V AC
Make: Omron or IDEC
 
Relay Socket Clip
Model: SFA-202
220V AC
Make: Omron or IDEC
 
Relay Socket Clip
Model: SFA-502
220V AC
Make: Omron or IDEC
 
High Power Relay
Model: RR3B-U
48V DC, 3PDT
Relay Contacts Rated Load: 3A
Make: IDEC
 
Relay
Model: RY4S-UD1
48V DC, 4PDT
Relay Contacts Rated Load: 3A
Operating Coil Rated Current: 18mA
Make: IDEC
 
Relay Socket
Model: SY4S-05D
Rated Current: 6A
Rated Insulation Voltage: 250 V AC/DC
Make: IDEC
 
Relay
Model: RY4S-UL1D1
48V DC, 4PDT
Relay Contacts Rated Load: 3A
Operating Coil Rated Current: 18mA
Make: IDEC
 
Relay
Model: MY2N-GS
220V AC, DPDT
Relay Contacts Rated Load: 5A
Operating Coil Rated Current: 5.2 mA
Make: Omron
 
Relay
Model: MY2N-GS
24V DC, DPDT
Contact Rated Load: 2A
Operating Coil Rated Current: 36.3 mA
Make: Omron
 
Relay Socket Clip
Model: PVC-A1
220V AC
Make: Omron or IDEC
 
Relay Socket Clip
Model: PYC-A1
220V AC
Make: Omron or IDEC
 
Relay Socket Clip
Model: SFA-202
220V AC
Make: Omron or IDEC

 
Relay Socket Clip
Model: SFA-502
220V AC
Make: Omron or IDEC
 
High Power Relay
Model: RR3B-U
48V DC, 3PDT
Relay Contacts Rated Load: 3A
Make: IDEC
 
Relay
Model: RY4S-UD1
48V DC, 4PDT
Relay Contacts Rated Load: 3A
Operating Coil Rated Current: 18mA
Make: IDEC
 
Relay Socket
Model: SY4S-05D
Rated Current: 6A
Rated Insulation Voltage: 250 V AC/DC
Make: IDEC
 

Relay
Model: RY4S-UL1D1
48V DC, 4PDT
Relay Contacts Rated Load: 3A
Operating Coil Rated Current: 18mA
Make: IDEC
 

Relay
Model: 3TH4031-1XF4
110V DC, 4PDT
Contacts: 2NO+1NC
Make: Siemens
 
Relay
Model: CAD-32M7C
220V AC
Contacts: 3NO+2NC
Make: Schneider Electric

 

Relay
Model: CAD-32EDC
48V DC
Minimum Switching Current: 5 mA
Contacts: 3NO+2NC
Make: Schneider Electric
 

Relay
Model: RM22-UA33MR
110V DC, Time Range: 0.1   ? 30s
Reset Time: 1500ms
Nominal Output Current: 8A
Make: Schneider Electric
 
Time Relay with Base
Model: MT7P-2-30s
220V AC, 2 Conversion Delay
Reset Time: 500ms
Time Range: 0.25   ? 3s
Make: Mind Electric
 
Relay
Model: RM2SU-UL (SM2S-05D)
24V DC, DPDT
Relay Contacts Rating: 5A
Mounting: Plug In; Enclosure: Plastic
Make: IDEC
   

Relay Socket
Model: SM2S-05D
220V AC, 10A
Make: IDEC

 

Time Relay
Model: 3RP1540-IBJ31, 110V DC
Protection Class: IP20
Time Range: 0.05   ? 600s
Thermal Current: 5A
Relay Contact Rating: 0.2A
Make: Siemens
   

Relay
Model: GMR-4 3A1B
220V AC, 4PDT
Contacts: 1NO+3NC
Ie = 1.9A, Ith = 16A; Standard: IEC60947
Make: LG(LS) or Meta Mec

 
Thermal Relay
Model: GTH22/3A
220V AC
Contact Rated Current 2(0.3) A
Make: LG(LS) or Meta Mec
BMA    TM-8 S    Temperature Chamber    "- 200C
- Programmable
- New Refrigeration compressor
- 220 V
- 25.0 A
- 60 Hz
- 1 Phase"
Cinci Sub-Z    CT-64-3-H/AC    Temperature Chamber    "- 64 Cubic Foot
- Forced Air Cooled
- Refrigerant Type & Quantity: Suva R-404A 8-lbs
- CSZ-97 Limit controller
- 25.5"" X 16.5"" window
- 460 V
- 21.0 A
- 60 Hz
- 3 Phase"
Cinci Sub-Z    Z-8-1-1-H/AC    Environmental Test Chamber    "- With Watlow F-4
- Low Temperature
- Refrigerant Type & Quantity: SUVA R-404A & R-508B
- 208 V
- 34.0 A
- 1 Phase
- This chamber does not have humidity option installed

*Once sold, the following items will be installed:
- two new refrigeration compressors.
- Refrigerant R-404A 2-lbs R-408B 210-psig
- Watlow F-4 controller"
Delta Design    7650 C    Temperature Test Chamber    "- Type 5 Control and Model MK 9912 -Temperature Monitor
- 4800 Watts
- Unit requires CO2 for cooling
- Max Temp: 315* C
- 208 V
- 25.0 A
- 3 Phase"
Russells Technical Products    RB-8-co 2    Temperature Chamber    "- with Watlow F-4
- CO2 Cooling only
- 12-KW heater
- Programmable
- 460 V
- 15.0 A
- 60 Hz
- 3 Phase"
Sun Electronics    EC 10    Temperature Chamber    "- Chamber configured for LCO2 @ 850 PSI
- LN2 Retro kit available from Sun Electronics-300Temperature range with LN2 -183°C to 315°C
- Heat/cool enable and disable switches
- Battery backed memory
- Setup menues for probe CAL.
- Time of day clock
- Remote interrupt operation and default conditions for alarms and interfaces
- IEEE/RS232/RS422/IO Port Controller:
- Programmable controller Model TC10
- 100 plus segments (Temps. and Time)
- Stability per month: ±0.2°C
- Resolution: 0.02°C
- Gas coolant usage @ 0°C 2 lbs hour(LCO2)
- Gas coolant usage @ 0°C 1 lbs/hour (LN2)
- Hot/cold rate of exchange: 0.5°C/sec
- Air flow: 60 CFM
- Digital readout
- CE label"
Tenney    JR    Temperature Chamber    "- with Watlow PM4B1EK-ARAAAA
- CO2 Boost  with Artisan Delay time capsule installed with 30-Second delay, Manual on/off
- Chamber Light
- Programmable
- Max Temp: 200* C
- 115 V
- 18.0 A
- 60 Hz
- 1 Phase"
Tenney    T-STRAT-JR    Altitude / Temperature Test Chamber    "- Standard Specifications
- Altitude Range Site level to 40.000 feet (141.22 mm Hg}
- Temperature Range 0 to +100c
- Forced Air Cooled
- 120 V
- 30.0 A
- 1 Phase"
Tenney    T 20 S    Temperature Chamber    "- with Watlow PM4B1EK-ARAAAA
- Heater: 2.5 KW
- Compressor: 2 H.P. Single Stage
- Interface: Isolated RS422/RS423
- Programmable
- 208 V
- 23.0 A
- 60 Hz
- 1 Phase
*Please inquire about availability of shelves."
Tenney    T 30 C    Temperature Test Chamber    "- Cascade Refrigeration
- Refrigerant Type & Quantity: SUVA R438A & R23
- Air Cooled
-   -73*C to 200* C
- Programmable
- 208 V
- 35.0 A
- 60 Hz
- 1 Phase"
Tenney    T 5 S 5    Temperature Chamber    "Transient:
- Supply air temperature +75°Cto 0°C and vice versa at an average of 10°C per minute with (2) PCB
Instrumentation:
- Watlow F4 256-step Programmable Controller with PID control and RTD sensor and cascade type control.
- (2) Omega panel meters
- TempGard high/low alarm
Refrigeration Horsepower:
- 5 HP scroll compressor with a water cooled condenser and accurately calibrated capillary expansion valve.
- 5 GPM water at +20°C required
- Alarm circuit is supplied to activate visual and audible alarms in the event of compressor trips.
Heater Capacity:
- 6 KW
Airflow:
- Vertical down(400 CFM)
Features:
- (2) access ports--(1) 2""W x 6""H(in left side wall), (1) 12""W x 2""H(in right side wall)
- Both access ports have (1) LS-2 anti static foam plug
- Urethane/fiberglass insulation
- Logic to automatically select cooling when required
- Hot refrigerant door mullion heating to retard condensation on door gasket
- 2"" steel swivel casters
- 15"" x 15"" viewing window
- Internal light with external switch
- 460 V
- 30.0 A
- 60 Hz
- 3 Phase
- Door switch
- (1) pair Model LBS-12-20 stainless steel sliding rails
- (2) mounting bars left to right"
Thermotron    S-1.2 C    Temperature Chamber/Cascade Refrigeration    "- Options Included: GPIB Interface, Viewing Window, Gauge Package
- Refrigerant Type & Quantity: R-134A--10oz & R-23--12oz
- minus 73*C to +180*C
- 115 V
- 15.0 A
- 60 Hz
- 1 Phase"
Thermotron    S 4    Temperature Chamber/Cascade Refrigeration    "- Thermotron Model 2800 programmable controller
- Refrigerant Type & Quantity: R13 & R502
- 177* C
- Programmable
- 230 V
- 30.0 A
- 60 Hz
- 1 Phase"
Thermotron    S 4 C    Temperature Chamber/Cascade Refrigeration    "- Refrigerant Type & Quantity: R23 & HP62
- Programmable
- 177* C
- 208 V
- 30.0 A
- 60 Hz
- 3 Phase"
Thermotron    SE-300-2-2    Temperature Chamber/Cascade Refrigeration    "- 180* C
- Programmable
- Refrigerant Type & Quantity: R-404A & R-23
- 208 V
- 42.5 A
- 3 Phase"
Air Hydraulics    AH Model    5 Ton Press   
Hardinge    DV-59    Manual Lathe   
Kewaunee Scientific    Supreme Air Venturi    Ventilated Fume Hood Station   
Blue M    AC-7702 TDC-2    Temperature and Humidity Chamber    "- 93*C
- Number and Size of Access Ports: (3) 3"" and (1) 4""
- Number of Rack Positions: 11
- Wet Bulb System
- Air Cooled
- 208/240 V
- 160.0 A
- 60 Hz
- 1 Phase"
Blue M    FRH-251 C    Temperature & Humidity Chamber    "- 93*C
- Honeywell Chart Recorder, Refrigerant SUVA R-409A
- Refrigerant Type & Quantity: 502, 6 lbs
- Forced Air Cooled
- 240 V
- 26.0 A
- 60 Hz
- 1 Phase"
Cincinnati Sub-Zero    ZH-32-305-SCT/AC    Temperature and Humidity Chamber    "- 32 Cubic Foot
- Programmable
- Viewing window 12.75 H X 19.75 W
- Interior light with switch
- Humidity water demineralizer
- Communications port
- Watlow F-97 Hi limit controller
- Refrigerant R-410A 7-lbs
- Humidity flow system with reservoir
- 208 V
- 45.0 A
- 60 Hz
- 3 Phase"
Cincinnati Sub-Zero    ZPH-8-3.5-SCT/AC    Temperature and Humidity Chamber    "- Tundra Refrigeration System
- Air Cooled
- 8 Cubic Feet
- Humidity demineralizer water filtration system
- Programmable
- 480 V
- 24.0 A
- 60 Hz
- 3 Phase"
Cincinnati Sub-Zero    ZPHS-64-15-15-SC/RAC    Temperature and Humidity Chamber    "- Air Cooled
- 64 Cubic Feet
- Cascade refrigeration
- Century Model PFC-18 remote air-cooled
- Max famps: 110
- 480 V
- 81.0 A
- 60 Hz
- 3 Phase"
Espec    PCR-3 KP K- SERIES    Temperature/Humidity Chamber    "- 100* C
- Forced Air Cooled
- Refrigerant Type & Quantity: R-404A
- Water tank drawer
- Duct meter
- HEPA filter Maintains a 100 class clean factor in test area
- Pump filter
- Clean meter for HEPA filter
- Exhaust duct 125mm
- 200-208 V
- 23.5 A
- 50/60 Hz
- 3 Phase"
Thermotron    SM-3.5 S    Temperature & Humidity Chamber    "- minus 18*C to +110* C
- Refrigerant Type & Quantity: Suva R-409A
- Programmable
- Forced Air Cooled
- 115 V
- 20.0 A
- 1 Phase"
Thermotron    SM-32 C    Temperature & Humidity Chamber    "- minus 68* C to 177* C
- Refrigerant Type & Quantity: Suva R-404A 11-lbs & R-23 -2lbs
- Accessories: Water deionizer installed on humidity water supply.
- Programmable
- 19"" X 19"" View Window
- Chamber Light
- Leveling Casters
- 230 V
- 60.0 A
- 60 Hz
- 1 Phase"
Leica    Polylite 88    Reflected Light Microscope    "- Filter Slide: Grey and Yellow
- Triple Illumination Module
- Trinocular with Beam Splitter (Photo tube not included)
- Adustable Aperture Diaphragm and Field Diaphragm
- Microscope Configuration: Brightfield & Darkfield
- Illumination Type: Reflected Light
- 120/220V
- 50/60 Hz
- 1 Phase"
Leitz    Ergolux    Brightfield/Darkfield Reflected Light Microscope    "- Illumination Power: Volts: 6 / Watts: 20
- 110/120-130/220-230/240-250 volt
Multiple Objectives Models:
- NPL 5x/0.09 DF
- NPL Fluotar 10x/0.22
- HL 20x/0.30 DF
- NPL 50x/0.65 DF
- Plan L 125x/0.80
- 50/60 Hz
- 1 Phase"
Leitz    Ergolux    Brightfield Reflected Light/Transmitted Light Microscope    "- Modulopak vertical illuminator has 0.08x tube lens
- 110/120-130/220-230/240-250 volt
Glass plate for stage will be provided
Multiple Objectives Models:   
- Plan L25x/0.40
- Plan L50x/0.60 with interference contrast device R
- PlanL125x/0.80
- Fluotar 5x/0.09 DF
- HL 50x/0.65 DF
Accessories:
- Analyzer part#- 513575
- Polarizer part#- 553391
- Lambda Plate part#- 553392
- V Diapragm Slide DF"
Leitz    Laborlux 12 HL    Brightfield, Darkfield and DIC Microscope    "-  Magnification: 12 X
- Leitz Filar Eyepiece with Boeckeler Micrometer and Readout
NB:
- Brightfield only in 10x and 50x objectives
- Darkfield in 5x, 20x, and 100x objectives
Accessories:
- ICR Analyzer
- Leitz Condenser, P/N: 513547
- External reflected light power supply
- Internal transmitted light power supply"
Leitz    Secolux 6 X 6    Brightfield Reflected Light Microscope    "- 110/120-130/220-230/240-250 volt
- Modulopak vertical illuminator has 0.08x tube lens
Multiple Objectives Models:
- NPL 5X/0.09
- NPL Fluotar 10x/0.22
- NPL 20X/0.40 with ICR
- L32x/.0.40-UT50x/0.62
PL Apo 125x/0.95
Accessories:    
- Analyzer part#- 513575
- Polarizer part#- 553391
- Lambda Plate part#- 553392
- V Diapragm Slide DF"
Nikon    Eclipse LV 150    Upright Microscope Reflected Light Brightfield    "- 100-240 V
- 1.2 A
- 50/60 Hz
- 1 Phase
Accessories:
- Lamp Model: LV-LH50PC
- Six place nosepiece
- ND4 and ND16 Filters
Multiple Objectives Models:
- LU PLAN FLUOR 5x/0.15 A, WD 23.5
- LU PLAN FLUOR 10x/0.30 A,EPI
- LU PLAN FLUOR 20x/0.45 A, WD 4.5"
Olympus    BHM/BH 2-UMA    Reflected Light Microscope    "- with BH2-UMA Vertical Illuminator
- 110/120 V
- 0.7 A(2)
- 50/60 Hz
Multiple Objectives Models:
- M Plan 10X/0.30
- M Plan 20X/0.46
- Nose piece accommodates 5 objectives"
Olympus    BHM/BH 2-UMA    Reflected Light Microscope    "- with BH2-UMA Vertical Illuminator
- 110/120 V
- 0.7 A(2)
- 50/60 Hz
Multiple Objectives Models:
- Neo S Plan 5X/0.13
- Neo S Plan 10X/0.30
- Neo S Plan 20X/0.46
- Nose piece accommodates 4 objectives
(Inquire about adding another)"
Agilent / Varian    Cary Eclipse    Spectrophotometer   
Nikon    MA 200 Eclipse    Inverted Metallurgical Microscope    "- Magnification: 10x
- 120/240 V
- 1.2 A
- 50/60 Hz
- 1 Phase
Multiple Objectives Models:
- LU Plan Flour   5X/.15 A OFN25 WD 18
- LU Plan Flour 10X/.30 A OFN25 WD 15
- LU Plan Flour 20X/.45 A OFN25 WD 4.5
- LU Plan Flour 50X/.80 A OFN25 WD 1.0
Camera: Digital Nikon DS-Fi1"
Zeiss    ICM 405    Inverted Microscope    "- Brightfield and DIC
- Illumination Type: Xe Reflected Light
- Accessories: 12V100 W, Halogen Lamp
- Weight: 75 lbs
Multiple Objectives Models:
- EPIPLAN HD 4/0.10 POL
- EPIPLAN HD 8/0.20 POL
- EPIPLAN HD 16/0.35 POL
- EPIPLAN HD 40/0.85 POL
- EPIPLAN HD 80/0.95 POL"
Leica    S 6 E    Stereo Microscope    "- Accessories: Micro Lite FL 3000 Ring Light power supply and Fiber Optic Ring Light
- Magnification Range: 6 X - 40 X
- Illumination Power: Volts: 21, Watts: 150
- 120 V
- 5.0 A
- 50/60 Hz
- 1 Phase"
Nikon    SMZ-10    Stereomicroscope    "- Stand:20""W x 17""D x 37""H
- Working range: 80mm
- Magnification: 10x
- Magnification range: 7x-40x"
Nikon    SMZ-10    Stereomicroscope    "- Magnification Range: 7 X - 40 X
- Zoom Range: 0.7 X - 4.0 X
- Illumination Type: Transmitted Light
- Stand Type: Transmitted Light
- Working distance: 80 mm
- Weight: 10  lb (5 kg)"
Wild    M 7 A    Stereo Zoom Microscope    "- With Boom Stand
- 6X - 31X
- Adapter mounted on microscope to fit within B&L E-arm
- Magnification 10X"
Wild    M 7 A    Stereomicroscope    "- With Swing Arm Stand
- 6X - 31X
- Binocular tubes have one adjustable eyepiece tube
- 120 V
- 60 Hz"
Wild    M 7 A    Stereomicroscope    "- Inclined binoculars, low level 45º
- One focusing eyepiece
Magnification Range: 6 X - 31 X
Zoom Range: 0.6 X - 3.1 X
- 120 V
- 60 Hz"
Wild    M 7 A-DiscussionScope    Stereo Zoom Discussion Microscope    "- Stage Area     Length: 521  mm  (20.512 in)     Width: 343.0  mm  (13.504 in)
- Includes built-in LED pointer with power supply
- 110/120 V
- 45-70 Hz
- 1 Phase"
Wild    M 8    Stereo Zoom Microscope    "- Magnification Range: 6 X - 50 X
- Zoom Range: 0.6 X - 5.0 X
- Objective Model: Plan 1X
- Weight: 10 lb"
Wild    M 8    Stereo Zoom Microscope    "- with Transmitted Light Stand
- Low-voltage illuminator 6 volt, 10 watt with inclinable lamp holder that mounts onto the main objective
- Phototube with 10x eyepiece
- Weight: 10 lb
- 120 V
- 60 Hz"
Electroglas    2001 CX    Prober   
Electroglas    2001 CX    Prober   
Electroglas    2001 CX    Prober   
Electroglas    2001 X    Prober   
Electroglas    2001 X    Prober   
Electroglas    2001 CX    Prober   
Nikon        LK Scanner   
        Lot of Electric Test Equipment   
Netzsch    LME 20 T    Mill    "- V: 230 460
- H.P. 25
- Size: 22.7"
Monarch    CKK    Lathe    "- Distance between centers: 30""
- Swing: 14.5"""
Leitz    060-680-014        "- Unit is being sold AS IS
- Base Only
- Stage Size: 10.5"" x 5""
- Leitz Readout Type 301-326.09
- Leitz Joystick Controller Type 301-326.124-004"
Mitutoyo    176-901-1 A    Toolmakers Microscope    "- Mitutoyo Micrometer: 152404
- Illumination Type: Coaxial
- Multiple Objectives Models: 2x
- 120 V
- 5.0 A
- 60 Hz
- 1 Phase"
Nikon    MM-11    Measuring Microscope    "- Stage: Nikon A4-b
- Z Axis: Nikon Digimicro Model: MU-501C
- Power requirements: 100/120 VAC, 1 amp, 220/240 VAC, 0.5 amp, 50/60 Hz
- Magnification: 10 X"
Nikon    MM-11 B    Measuring Microscope    "- Reflected & Transmitted Light
- Quadra-Check III Digital X-Y Readout
- 120/240 V
- 1.0 A
- 0.5 A(2)
- 50/60 Hz
- 1 Phase"
Nikon    MM-11 B    Measuring Microscope    "- Stage: Nikon A4-B
- Objective MPlan 10x
- Mitutoyo Digital Micrometers
- Reflected & Transmitted Light
- Weight: 108 lb
- 120/240 V
- 1.0 A
- 50/60 Hz
- 1 Phase"
Bausch & Lomb    MicroZoom II    Microscope Work Station    "- Objective above base at lowest point of travel 5.25""
- Max distance from centerline of objective to microscope support structure is 7 3/8""
- 115 V
- 50/60 Hz
- 1 Phase
Multiple Objectives Models:
- B & L Industrial
- 2.25 X 0.04 N.A.
- 8 x 0.15 N.A.
- 25 X 0.31 N.A."
Nikon        Disk Inspection Microscope    "- Accessories: Encoder R.S.F Elektronik:
Model 665RI.
- Stage Type: Coaxial X-Y, Rotary
- Magnification: 10x
- 120 V
- 1 Phase"
Nikon        Large Substrate Inspection Microscope    "- Microscope mounted on large TMC table with stainless
 steel top and passive-isolation vibration control
- Stage dimensions: 17 x 16""
- Ludl Electronic Products motorized XY stage with controller and joystick
- Magnification: 10 X"
Olympus    BH 2-UMA    Disk Inspection Microscope/Motorized Stage    "Stage
- SEMPREX Model 12-9468.00
- X Axis motorized
- Y Axis manual
- Rotory axis motorized
- Joy stick control
- Encoders X and rotory axis(readouts not included)
- Scope mounted on precision granite base (Accuracy .0001)
- Weight: 95 lb"
Zeiss        Light Section Microscope    Multiple Objectives Models: Paired 200X and 400X
Accrectech    UF 200 S       
Accrectech    UF 200       
Rasco    SO 2000    Handler    "Kit installed: QFN 3 x 3 x 1 package
Software version: Ver 3.13b
Load type: Tube type

Capabilities:
Gravity fed handler
(4) Sites (2 x 2 config)
Tube: Loader / Unloader

Application:
QFN
HBCC Packages

ESD Class 1

Tester interface:
GPIB or IEEE
RS232
Parallel

(1) Auto
(4) Manual tube
Dual temp capability
Programmable bin mapping (Maximum of 5 hard bins)
Safety door interlocks

"
        Quadrupole Mass Spectrometer   
CPS    6004 / 1958    Electron Gun Power Supply    "- High voltage maximum: 30 kV
- Output voltage: -30 kV
- Output current: 500µA maximum
- Programming voltage: 0-10 V in for 0-30 kV out
- Filment current: 5 A ±0.3 A
- Focus voltage output: 1.5 kV
- Focus voltage current: 100µA
- Number of guns controlled: 1
19"" rack mount
- 115 V
- 0.5 A
- 60 Hz"
Hitachi    S-2400    Scanning Electron Microscope (SEM)    "- Accelerating Voltage: 0.3-3 kV (variable in 0.1 kV steps), 4-8 kV (variable in 1 kV steps), 10, 12, 15, 18, 18, 20, 22, 25kV
- Specimen size: Max. 150 mm(diameter)
- Model S-5109 Raster Rotation/Dynamice Focus Unit.
- 240 V
- 20.0 A
- 1 Phase"
Hitachi    S-4100    Field Emission Electron Microscope    "- System is missing Ion pump power supply
- Diffusion Pumped
- 110 V
- 50/60 Hz
- 1 Phase"
Hitachi    S-806 C    Field Emission Scanning Electron Microscope (FE SEM)    "- Accelerating Voltage: 0.5 to 5 kV in 0.1kV steps, 5.0 to 25kV in 1kV steps
Operation modes:
- Auto brightness and contrast
- Auto focus
- Gamma control
- Dynamic stigmator monitor
- Accessories:  Raith specimen stage / Max specimen size: 8""
- 115 V
- 30.0 A
- 50/60 Hz
- 1 Phase"
Jeol    JSM 6100    Scanning Electron Microscope (SEM)    "- with LaB Filament
- Controller dimensions: 40""W x 30""D x 51H
- Number of Axes: 3
- Diffusion Pumped"
Microspec    WDX-2 A    Spectrometer    - Weight: 58 lb (26 kg)
FEI    200 XP TMP    FocIon Beam (FIB)    "- Prelens Ion Column
- 50mm Four Axis Stage
- CDEM (Continous Dynode Electron Multiplier) Seconday Detector
- (3) Gas Injection Systems (GIS).
-- Pt Deposition, IEE(insulator enhanced etch), SCM (selective cabon milling)
-- NB:  GIS do not contain precursor materials
- Charge Neutralization System
- Turbo Pumped Vacuum System"
Anatech    Hummer 6.6 T    Sputter System    "- Intergal Varian turbo pump
- Motorized stage rotation
- Target material previously used: Gold, Palladium,Silver (targets not included)
- Power supply:Advanced Energy MDX 500
- Stage: 100 mm Dia.
- Electrode: Water cooled
- Gas: Argon 5 PSI
- 115 V
- 10.0 A
- 50/60 Hz
- 1 Phase"
Bal-Tec    SCD 050    Sputter Coater    "- Water Cooled
- Target size: 54mm foil disc NOT INCLUDED
Accessories:
- CEA 050 (Multiple part numbers) carbon evaporation is NOT INCLUDED.
- QSG100 (p/n 16LZ03429VN) quartz crystal film thickness monitor is NOT INCLUDED.
- Planetary Drive Stage (p/n 16BU007288-T) is NOT INCLUDED.
- Rotary accessory (p/n 16BU018375-T) is NOT INCLUDED.
- 110 V
- 50/60 Hz
- 1 Phase"
Denton    Desk II    Metal Sputter    "- Pump: Ulvac Model G50D
- 8"" Rotating sample stage
- Target size: 60mm diameter
- Target not included
- 120 V
- 50/60 Hz
- 1 Phase"
Denton    Desk II    Desktop Sputtering Unit    "- Chuck: Holds eight sem samples
- Manual shutter
- Target not included
- 115 V
- 50/60 Hz
- 1 Phase"
Gatan    681    Ion Beam Coater    "- Dual ion sources
- Includes 4 targets: gold, gold/palladium, carbon, chromium
- Compact dry vacuum system consisting of the diaphragm and molecular drag pumps.
- Penning gauge vacuum monitoring
- The Whisperlok stage, a modified version of Gatan’s patented Whisperlok™ mechanism, enables the specimen not only to rotate but to rock through a variable angle set by a front-panel control.
- The Whisperlok accepts a single specimen up to 36 mm in diameter or multiples of smaller-diameter holders.This stage permits specimen exchange in less than 30 seconds
- 120 / 240 V
- 3.0 A
- 1.5 A(2)
- 50/60 Hz
- 1 Phase"
Buehler    SimpliMet 1000    Mounting Press    "- Automatic
- Mold Diameters:  1” ( 25 mm), 1 ¼” (30 mm), 1 ½” (40 mm), 2” (50 mm)
- NB:  Molds are not included
- Heating Time:  0-20 Minutes in 10 second increments
- Cooling Time:  0-30 Minutes in 10 second increments
- Power: 1500 Watts at 220V,1500 Watts at 115V, 1200 Watts at 110
- 85-264 V
- 50/60 Hz
- 1 Phase"
ATM GmbH    Brillant BR 250.2    Cut-Off Saw    "- Diamond or Abrasive can be used.  Blade not included
- Table size:  15"" x 10"", 12mm T Slot
- Table Travel:  20"", 250mm
- Arbor Size:  1.25"", 32mm
- 220 V
- 60 Hz
- 3 Phase"
Buehler    ISOMET    Precision Sectioning Saw    "- Unit includes: 25, 50, 75, 150 gram weights (one of each)
- Blade Diameter: 3 to 5 inch.  Blade not included
- Saw is supplied with a single saddle chuck.
- Various optional chucks available
- 115 V
- 1.5 A
- 60 Hz
- 1 Phase"
Leco    VC-50    Vari/Cut Off Saw    "- Analog speed indicator
- Includes 5"" diamond blade
- 115 / 230 V
- 2.0 A
- 1.0 A(2)
- 50/60 Hz
- 1 Phase"
Buehler    48-1573 GGG-R    Three Position Polishing Bench    "- Two rinse sinks
- 115 V
- 50/60 Hz
- 1 Phase"
Strasbaugh        Optical Plunge Grinder    "- Variable Speed
- 208 V
- 20.0 A
- 60 Hz
- 3 Phase"
Gatan    691 PIPS    Precision Ion Polishing System (PIPS)    "- Ion Guns:Two Penning ion guns with miniature rare earth magnets
- Milling angle: fixed, about 0°
- Beam Energy:1.5keV to 6keV
- Beam diameter:350µm FWHM at 5keV - 800 µm FWHM at 5KeV for Broad Beam guns
- Current Density:10mA/cm2 Peak
- Beam alignment:Precision beam alignment using fluorescent screen
- Specimen Stage : Sample Size:3mm or 2.3mm
- Mounting: Gatan patented DuoPost™ (Standard) or Graphite Holder (Optional) --Not included.
- Rotation: Variable from 1 to 6rpm
- Beam Modulation: Single or double sector for exceptional cross-sectioning
- Vacuum:Dry Pumping System
- Molecular drag pump backed by a 2-Stage diaphragm pump
- Pressure: 5E-6Torr base pressure, 8E-5Torr operating pressure
- Vacuum gauge: Penning type for main chamber. Solid-state for backing pump.
- Specimen airlock: Gatan Whisperlok™
- Specimen exchange time 30 seconds"
Gatan    691 PIPS    Precision Ion Polishing System (PIPS) with Microscope    "- Includes Gatan Binocular Microscope
- Ion Guns:Two Penning ion guns with miniature rare earth magnets
- Milling angle: fixed, about 0°
- Beam Energy:1.5keV to 6keV
- Beam diameter:350µm FWHM at 5keV - 800 µm FWHM at 5KeV for Broad Beam guns
- Current Density:10mA/cm2 Peak
- Beam alignment:Precision beam alignment using fluorescent screen
- Specimen Stage : Sample Size:3mm or 2.3mm
- Mounting: Gatan patented DuoPost™ (Standard) or Graphite Holder (Optional) (contact us for more information about which mounting options are available)
- Rotation: Variable from 1 to 6rpm
- Beam Modulation: Single or double sector for exceptional cross-sectioning
- Vacuum:Dry Pumping System
- Molecular drag pump backed by a 2-Stage diaphragm pump
- Pressure: 5E-6Torr base pressure, 8E-5Torr operating pressure
- Vacuum gauge: Penning type for main chamber. Solid-state for backing pump.
- Specimen airlock: Gatan Whisperlok™
- Specimen exchange time 30 seconds"
RK Print Coat    K 303    Multi Coater    "- 120 V
- 4.0 A
- 50/60 Hz
- 1 Phase"
Clark    S 8 A    Microhardness Tester    "- Counter Weights: 15 Kg on bail, Two 15Kg weights
- Testing loads: 45 Kg
- Vertical Capacity: 5in (12.70 cm)"
Ametek    T    Dead Weight Tester    "Ashcroft weights:
- 200-1,000 psi weight set: 1,500.00
- 2,000 to 10,000 psi weight set: 2,030.00"
Hydraulic Technology    9901 HT-23 R-24 x 24 x 15    Hydrostatic Test System    "- Test Chamber: 12 gauge brushed stainless, nominal 24"" x 24"" x 15"" deep chamber,venting to rear of chamber
- 1/2"" NPT drain
- Polycarbonate shield: 1/2"" thick
- Stainless ""double lock"" heavy duty latches handle and gas springs
- Stainless work table
- Max pressure ranges: 2300 psi
- Four inch dial gauge, 1% accuracy (optional - 1/4% digital gauge)
- Output Shutoff Valve: to isolate test item and gauge from test system
- Stainless manifold with bleed valve and accessory port
- Inlet Air Requirements: max 8 cfm at 100 psi
- Reservoir Capacity: 5.5 gallons
- Fluid: Water, MIL-H-5606, MIL-H-83282 or other petroleum based fluids.
- Variety of hose adapters included see detailed photos"
Pratt & Whitney    Model C    Super Micrometer    "This unit needs a new digital readout, accompanying encoder, and DRO cable
(currently has an older, ""sin wave,"" type encoder and no digital readout)
- Weight: 162 lb (73 kg)"
Wyler    53-635-500    Clinometer    "- Main circle: 1 Division = 1 Degree
- Micrometer: 1 Division = 1 Minute
- Accuracy: 1-1/2 Minutes
- Sensitivity of level: 1 Minute, .003""/10""
- Weight: 4 lb (2 kg)"
Leica    Polylite 88    Reflected Light Microscope    "Accessories:
- Filter Slide: Grey and Yellow
- Triple Illumination Module
- Trinocular with Beam Splitter (Photo tube not included)
Other Info:
- 120/220 V
- 50/60 Hz
- 1 Phase"
Leitz    Ergolux    Brightfield / Darkfield Reflected Light    "Multiple Objectives Models:
- NPL 5x/0.09 DF
- NPL Fluotar 10x/0.22
- HL 20x/0.30 DF
- NPL 50x/0.65 DF
- Plan L 125x/0.80
Other Info:
- 110/120-130/220-230/240-250 volt
- 50/60 Hz
- 1 Phase"
Leitz    Ergolux    Brightfield Reflected Light / Transmitted Light Microscope    "- Accessories: Analyzer, Polarizer, Lambda Plate, V Diapragm Slide DF
- Modulopak vertical illuminator has 0.08x tube lens
- Glass plate for stage will be provided
- 110/120-130/220-230/240-250 volt
- 50/60 Hz
- 1 Phase"
Leitz    Laborlux 12 HL    Brightfield, Darkfield and DIC    "- Leitz Filar Eyepiece with Boeckeler Micrometer and Readout
- Boeckeler Microcode II readout
Accessories:
- ICR Analyzer
- Leitz Condenser, P/N: 513547
- External reflected light power supply
- Internal transmitted light power supply
- 100-240 V
- 50/60 Hz
- 1 Phase"
Leitz    Secolux 6 X 6    Brightfield Reflected Light Microscope    "- Stage Area     Length: 305  mm  (12.008 in)     Width: 178.0  mm  (7.008 in)
- Illumination Power: Volts: 6, Watts: 20
- 110/120-130/220-230/240-250 volt
- 50/60 Hz
- 1 Phase"
Nikon    Eclipse LV 150    Upright Microscope Reflected Light Brightfield    "- Illumination Power: Volts: 12, Watts: 50
- X-Y-Z Stage Travel: X: 150  mm  (5.906 in)     Y : 150  mm  (5.906 in)
- 100-240 V
- 1.2 A
- 50/60 Hz
- 1 Phase"
Olympus    BHM/BH 2-UMA    Reflected Light Microscope    "- with BH2-UMA Vertical Illuminator
- Stage Type: Coaxial X-Y
- Stage Area: Length: 140  mm  (5.512 in)     Width: 140.0  mm  (5.512 in)
- X-Y-Z Stage Travel: X: 77  mm  (3.031 in)     Y : 56  mm  (2.205 in)
- 110/120 V
- 0.7 A(2)
- 50/60 Hz"
Olympus    BHM/BH 2-UMA    Reflected Light Microscope    "- with BH2-UMA Vertical Illuminator
- Stage Type: Coaxial X-Y
- Stage Area: Length: 140  mm  (5.512 in)     Width: 140.0  mm  (5.512 in)
- X-Y-Z Stage Travel: X: 77  mm  (3.031 in)     Y : 56  mm  (2.205 in)
- 110/120 V
- 0.7 A(2)
- 50/60 Hz"
Leica    S 6 E    Stereo Microscope    "- with Boom Stand and Ring Light
- Illumination Power: Volts: 21, Watts: 150
- Accessories: Micro Lite FL 3000 Ring Light power supply and Fiber Optic Ring Light
- 120 V
- 5.0 A
- 50/60 Hz
- 1 Phase"
Nikon    SMZ-10    Stereomicroscope    "- Magnification Range: 7 X - 40 X
- Zoom Range: 6.0 X - 4.0 X
- Stand:20""W x 17""D x 37""H
- Working range: 80mm"
Nikon    SMZ-10    Stereomicroscope    "- with Transmitted Light Stand
- Magnification Range: 7 X  -  40 X
- Zoom Range: 0.7 X  -  4.0 X
- Illumination Type: Transmitted Light
- Stand Type: Transmitted Light
- Working distance: 80 mm
- Weight : 10 lb  (5 kg)"
Wild    M 7 A    Stereo Zoom Microscope    "- With Boom Stand
- Adapter mounted on microscope to fit within B&L E-arm
- Magnification Range: 6 X  -  31 X
Zoom Range: 0.6 X  -  3.1 X"
Wild    M 7 A    Stereomicroscope    "- With Swing Arm Stand
- Binocular tubes have one adjustable eyepiece tube
- 120 V
- 60 Hz
- Weight: 80 lb"
Wild    M 7 A    Stereomicroscope    "- With Boom Stand
- Inclined binoculars, low level 45º
- One focusing eyepiece
- 120 V
- 60 Hz"
Wild    M 7 A-Discussion Scope    Stereo Zoom Discussion Microscope    "- Stand Type     Boom (Type S)
- Stage Area     Length: 521  mm  (20.512 in)     - Width: 343.0  mm  (13.504 in)
- Includes built-in LED pointer with power supply
- 110/120 V
- 45-70 Hz
- 1 Phase"
Wild    M 8    Stereo Zoom Microscope    "- Magnification Range 6 X  -  50 X
- Zoom Range 0.6 X  -  5.0 X
- Objective Model Plan 1X"
Wild    M 8    Stereo Zoom Microscope    "- with Transmitted Light Stand
- Transmitted light stand
- Low-voltage illuminator 6 volt, 10 watt with inclinable lamp holder that mounts onto the main objective
- Phototube with 10x eyepiece
- Weight 10 lb
- 120 V
- 60 Hz"
Leitz    060-680-014    Measuring Microscope    "- Body Only
- Stage Size: 10.5"" x 5""
Accessories:
- Leitz Readout Type 301-326.09
- Leitz Joystick Controller Type 301-326.124-004"
Mitutoyo    176-901-1 A    Toolmakers Microscope    "- X-Y-Z Stage Travel: X: 25  mm  (0.984 in)     Y : 25  mm  (0.984 in)
- 120 V
- 5.0 A
- 60 Hz
- 1 Phase"
Nikon    MM-11    Measuring Microscope    "- Stage: Nikon A4-b
- Z Axis: Nikon Digimicro Model: MU-501C
- 100/120 VAC
- 1 amp
- 220/240 VAC
- 0.5 amp
- 50/60 Hz"
Nikon    MM-11 B    Measuring Microscope    "Accessories:
- Stage: Nikon A4-B
- Objective MPlan 10x
- Mitutoyo Digital Micrometers
Power Requirements:
- 120/240 V
- 1.0 A
- 50/60 Hz
- 1 Phase"
Bausch & Lomb    MicroZoom II    Microscope Work Station    "- Illumination Power Volts: 6  Watts: 20
- 115 V
- 50/60 Hz
- 1 Phase"
Nikon        Disk Inspection Microscope    "- Upright
- Reflected Light
- Encoder readouts not included
- 120 V
- 1 Phase
- 38 lbs"
Nikon        Disk Inspection Microscope    "- With Brightfield and Darkfield Illumination
- 12"" x 8"" XY Stage
- Microscope mounted on large TMC table with stainless steel top and passive-isolation vibration control
- Stage dimensions: 17 x 16""
- Ludl Electronic Products motorized XY stage with controller and joystick"
Olympus    BH 2-UMA    Disk Inspection Microscope/Motorized Stage    "Stage:
- SEMPREX Model 12-9468.00
- X Axis motorized
- Y Axis manual
- Rotory axis motorized
- Joy stick control
- Encoders X and rotory axis(readouts not included)
- Scope mounted on precision granite base (Accuracy .0001)"
Zeiss        Light Section Microscope    Multiple Objectives Models: Paired 200X and 400X
CPS    6004 / 1958    Electron Gun Power Supply    "- High voltage maximum: 30 kV
- Output voltage: -30 kV
- Output current: 500µA maximum
- Programming voltage: 0-10 V in for 0-30 kV out
- Filment current: 5 A ±0.3 A
- Focus voltage output: 1.5 kV
- Focus voltage current: 100µA
- Number of guns controlled: 1
- 19"" rack mount"
Hitachi    S-2400    Scanning Electron Microscope (SEM)    "- Accelerating Voltage: 0.3-3 kV (variable in 0.1 kV steps), 4-8 kV (variable in 1 kV steps), 10, 12, 15, 18, 18, 20, 22, 25kV
- Specimen size: Max. 150 mm(diameter)
- Model S-5109 Raster Rotation/Dynamice Focus Unit.
- 240 V
- 20.0 A
- 1 Phase"
Hitachi    S-4100    Field Emission Electron Microscope    "System is missing Ion pump power supply
- 110 V
- 50/60 Hz
- 1 Phase"
Hitachi    S-806 C    Field Emission Scanning Electron Microscope (FE SEM)    "Accelerating Voltage: 0.5 to 5 kV in 0.1kV steps, 5.0 to 25kV in 1kV steps
Operation modes:
- Auto brightness and contrast
- Auto focus
- Gamma control
- Dynamic stigmator monitor
- 115 V
- 30.0 A
- 50/60 Hz
- 1 Phase"
Jeol    JSM 6100    Scanning Electron Microscope (SEM)    "- Controller dimensions: 40""W x 30""D x 51H
- Min Voltage: 5 kV
- Max Voltage: 35 kV
- Magnification: 300 kX"
Microspec    WDX-2 A    Spectrometer    - Weight: 58 lb (26 kg)
Olympus    SZX-STL    StereoZoom Microscope Stand    "- With Light Source
Stand incudes:
- Olympus Model U-SIC4R right hand mechanical stage with 4""x4"" X,Y travel
- Olympus Model SZX-STAD2 stage adapter
- Olympus Model SZSTS E-arm
Light Source:
- Fostec Model 20500 (150 watts) w/ light guide
Stand will also fit Nikon StereoZoom Microscope
- 115 V
- 3.0 A
- 60 Hz"
Nikon    6 C 2    Optical Comparator    "- Stage is type O
- Attachable half reflecting mirrow
- Bezel with x-y cross hair(non rotatable)
- Uses one lense only
- Manual micrometer drives
- 115 V
- 50/60 Hz
- 1 Phase"
Nikon    V 12    Optical Comparator    "- Stage glass Dia. 3.75""
- Stage revolving angle: 360 Deg.
- Max specimen hight: 0-75mm
- Lamp: 24VAC 150 Watt
- 120/240 V
- 5.0 A
- 3.0 A(2)
- 50/60 Hz
- 1 Phase"
Hewlett Packard    10706 B    Plane Mirror Interferometer   
Tropel    9045    Surface Flatness Analyzer    "- 115 V
- 50/60 Hz
- 1 Phase
Work holder accommodates:
- 4"" x 4"",5"" x 5"" and 4"" x 5"" test pieces
- Central quaility area of 5"" x 5"" substrate is viewed"
LMI Technolog    LNS 2.5 / 7    Laser Nano Measurement System    "- LNS 2.5/7
- Measurement range: .007 mm
- Stand off: 2.3 mm"
Mitutoyo    Surftest 301    Surface Roughness Tester    "- 115 V
- 60 Hz
- 1 Phase
- 16 lb"
Eppendorf    5402    Refrigerated Centrifuge    "- Rotor model: F-45-18-11
- Rotor capacity: (18) 1.5 mL tubes
- Angle in rotor: 45°
- Maximum density of liquids: 1.2 g/mL
- Maximum load per bore: 4.2 g
- Maximum RCF(x g): 16,000
- Maximum kinetic energy > 1,500 Nm
- Cooling range: -9°C to 40°C
- Cooling time from 20°C to 4°C: approximately 10 minutes
- Digital control and readout"
Terra Universal    4014-00 A    Eight Compartment Dry Box    "- Dual Purge™ System
- NitroWatch® RH Controller
- Stainless Steel Door Frame
- Door Sensor Switches
- Plenum Chamber
- Automatic RB® Valve
- One-Piece Gasket on inside of each door
- Stainless steel racks support various reel sizes
- Stand with Casters
- 115 V
- 50/60 Hz
- 1 Phase"
Labconco    4880300    Chemical Fume Hood    "- Built In Exhaust
- Chamber size: 43""w X 24""d X 41""h
- Sash opening: 38""w X 24""h
- Duplex receptical
- Interior lamp
- Feed throughs:
- Vacuum: .25"" tubing
- Air:.25"" tubing
- Gas:.25"" tubing
- Water: .375"" tubing
- Blower: 730 CFM with sash open"
Misonix    FE 2620    Ductless Fume Hood    "- Variable speed: 0 to 120 FPM
- Electrostatically charged pre-filter.
- Hydrocarbons filter"
Labconco    50350    GloveBox    "- 115 Volt duplex outlet inside
- Fluorescent lamp
- Variable speed exhaust blower
- 2"" OD exhaust port
- Magnehlic pressure gauge
- Built in filter
- Gloves included"
M Braun    LabMaster 130    Glove Box    "MB VOH 600 Vacuum Oven
     600 degrees C max
     Total vacuum: 10 -4 mbar
     Cooling water:  6L/min
- Signal Cartridge Gas Purifier:MB-BL-1 - Vacuum-Tight-Blower and Frequency converter (2)
- MB-MO-SE1--Active moisture probe--0-500 ppmv
- MB-OX-SE1--Active oxygen probe--0-1000 ppmv"
Vacuum Atmospheres        Purification System    "- 24 VDC pump
- Stainless steel"
Kady    L 402    High Energy Dispersion Mill    "- Pneumatic cutter lift
- Jacketed vessel not included
- Max Speed: 3450 RPM
- Motor Horsepower: 3000 HP
- 208/230 V
- 8.0 A
- 4.0 A(2)
- 50/60 Hz
- 3 Phase"
Lightnin    V 5 P 18 N    Mixer    "- Maximum Speed     1725 RPM
- 230/460 V
- 1.2 A
- 0.8 A(2)
- 50/60 Hz
- 3 Phase"
Retsch    PM 4    Planetary Ball Mill    "- Analog timer
- Variable speed
- Two 250 ml Agate Jars/w (4) 12 mm agate balls
- Two 500 ml Agate Jars/w (4) 40 mm agate balls
- 115 V
- 60 Hz
- 1 Phase"
Retsch    RM 0    Mortar Grinder    "- 110 V
- 60 Hz
- 1 Phase"
Ross    2 GAL DIS    Discharge System    "- For discharging non flowable materials.
- Hydraulically driven platen
- Mix can not included
- This unit does not have platen
- Total cost of parts needed for platen assy. 2800.00:
- 115/230 V
- 20.0 A
- 10.0 A(2)
- 60 Hz
- 1 Phase"
Ross    LDM-2    Double Planetary Mixer    "- Rectangular stirrers
- Sterling SPEED-TROL, 25:1 Speed reduction
- Covered vessel
- 115/230 V
- 10.6 A
- 5.3 A(2)
- 60 Hz
- 1 Phase"
SPEX CertiPrep    5100    Mixer/Shaker Mill    "- 115 V
- 2.5 A
- 60 Hz
- 1 Phase
Accessories    
- Motor: 1/15 hp
- Clamp Movement: 0.5"" Front to Back  .375"" Side to Side
- Clamp Speed: 3000 Cycles/Minute
- Timer: Range From 1 to 30 Minutes
- Sample Sizes: Ranging From 0.2 - 1.5 grams"
EVG    620        - 6" Chuck (Used)
EVG    620    Mask Holder    - New
EVG    620    Mask Load Frame    - New
Carver    2697 - 12-12 H    Hydraulic Automatic Press    "- Four-Column
- 12 Ton
- Safety Shield
- Includes Carver 2822 MotorPak Power Unit
- Tonnage: 12.0 sh ton
- Water Cooled
- Heating and cooling platens (chiller not included)
- Ram stroke: 5 1/8""
- Footprint: 18""W x 25""D
- Distance between uprights: 13""
- Two gauge manifold"
Carver    3772    Heating/Cooling Platens    "- Digital Control
- Platen size 6"" x 6"" with hose assemblies
- Platens compatible with various Carver Presses, one of which is the Model C
- Maximum temperature: 650°F (343 C)"
Pasadena Hydraulics    B 23 S-X 6    Heated-Platen Manual Press    "- H-Frame
- 50 ton capacity
- Ground steel platens (12.5 x 12.5 x 1.5"") heated by water or steam
- Platen fittings: 3/8"" NPT
- Base dimensions: 35 x 19""
- Distance between uprights: 13.5""
- Pressure gauge in 1000 lb increments"
Buker    Tensor 27    FT-IR Spectrometer    "Accessories:
- Pike: model Miracle ATR sampling accessory
- Harrick: model Cricket CRK-D-BR4 reflectance FT-IR and UV-VIS spectroscopy tool
- Two quicklock bases p/n ECL 0 and ECL 1
Power Req:
- 85-264 V
- 45-70 Hz
- 1 Phase"
Nicolet    NEXUS 470    FT-IR with Spectra-Tech Continuum Scope and TGA Interface    "- Spectra-Tech Continuum Microscope
- Objective: Spectra-Tech Infinity Reflachromat 15X, N.A.O.58
- Omnic E.S.P. Software, Version 5.2
- PC Controller Type"
Rigaku    TXRF 300 S    X-Ray Fluoresence Spectrometer    "System Includes:
- Ebara Dry Pump: Model A10S
- Haskris Chiller: Model RW100F1
- Oxford Instruments: Model 7043
- UBS Industries: Model LLC-203 Liquid Level controller
Accessories:
- Oxford Detector:
- Model:  7043
- Det. area 80 mm2
- Window Be
- Resolution at 5.9 KeV is 175 eV
- Bias -500V
Power Req:
- 208 V
- 50/60 Hz
- 3 Phase"
Anter Labs    1161 V    Dilatometer    "- 220 V
- 20.0 A
- 50/60 Hz
- 1 Phase"
Datapaq    TB 4012    Furnace Temperature Profiling System    "- Data logger model TP0016A
- Temperature range:
- 0-1370 Deg. C
- Ten type K thermocouple channels
- Software version 4.0
- Spare Datalogger available 3875.00"
Datapaq    Tracker Plus    Oven Temperature Profiling System    "- Four monitoring channels
Accessories:
- Four clothes pin clamp thermocouples
- One magnetic base thermocouple
- Transducer module model T10002C range from 15°C to 265°C
- Transducer module model T1-K4-2 range from 30°C to 530°C
- Data collection module model MP-F5-5, samples at 5 sec. intervals
- Compter interface RS232 module
- Furnace Tracker for Windows Version 4.07, will work with Windows XP
Power Req:
- 110 V
- 50/60 Hz
- 1 Phase"
Hart Scientific    7030    Precision Calibration Bath    "- Temperature range: -30 to 150°C
- Temperature setability: ±0.002°C
- Temperature resetability: ±0.002°C
Accuracy: ±1°C
- Typical short and long term stability: ±0.01°C
- Tank capacity: 6 liters
- Test well: 2.6"" Dia. x 11.3"" Deep with 1/4"" mecury trap on bottom
- Single stage air cooled compressor
- Refrigerant R507
Power Req:
- 115 V
- 17.0 A
- 60 Hz
- 1 Phase"
Omega    CL 950    Dry Block Probe Calibrator    "- 5 Port well: 1/16"",1/8"",3/16"", and two 1/4"" holes
- 115 V
- 10.0 A
- 50/60 Hz
- 1 Phase"
Branson    8000    Ultrasonic Bath Power Supply    "- 40 KHz/500 Watt 12 channels
- Compatible with baths with 12 transducers, last 2 digits in bath part number -12"
Branson    8500 Special    Ultrasonic Bath Power Supply    "- Seven tranducers mounted to SS plate with cable
- 40 KHz/500 Watt
- 230 V
- 4.0 A
- 50/60 Hz
- 1 Phase"
CAE NEY    BCS-6-US    Aqueous Cleaning System    "Process Tank:
- 6 gallon capacity
- (4) Sided overflow weir
- Electropolished
- Coved corners
- 1000 watt heater w/PID controller
- Low liquid sensor
- 10"" polypropylene filter w/pressure gauge
- March seal-less magnetic drive pump
- Dual frequency choice 72 or 104 KHz ultrasonic generators"
Crest Ultrasonics    19 HT-1014-6 ST    Ultrasonic Bath    "- Generator: GTI/132
- 132 KHz
- Bath heater: 120 V 8.4 A
- 240 V
- 15.0 A
- 50/60 Hz
- 1 Phase"
Crest Ultrasonics    6 HT-1014-6    Ultrasonic Bath    "- Generator: m/n 6G-500-6-W
- 68KHz
- 120 V
- 8.0 A
- 50/60 Hz
- 1 Phase"
Flow Designs    LAMBDA P-2 U-CP7D        "- Larger tank has the following Crest Power Supplies.
- 1. Model 4G-500-6-T-3NW, s/n's 1000G203, 204 and 205. 240v, 4amp, 50/50hz
- Smaller tank has the following Crest Power Supply 1. Model 4G-500-6-3NW 120v, 8amp, 50/60hz"
Greco Brothers    UT 3932    Ultrasonic Bath    "Accessories:
- 1"" Pipe coil at bottom(removeable)for heating fluid or steam
- Heating source not included
Other Information:
- Model UT3932-4I1114 All stainless steel construction
- 4000 total watts
- Four Branson RF generators m/n G1KA 1000 Watt p/n 4D25134G01
- Four Model 1Ph RFI Line conditioners p/n 3D78515G01
- 120 V
- 50/60 Hz
- 1 Phase"
Clean Link        Mobile Mini Environment    "- Two Compartment
- Compartment Size: 33 x 23.5 x 20""
- Compartment I: HEPA Filter
- Compartment II: Inert Gas with manual flow meter
- Unit on castors
- HEPA Filter NOT included
ENCODER, 2048, HAZ AREA
 编码器,2048,危险区域    N10843
SOLENOID 螺线管    H10151
AIR CONDITIONER 空调
208/230-1PH-60HZ 36000BTU
220-1PH-50HZ-29880BTU
FRIEDRICH  弗里德里希    E30-1006-030
INPUT ANALOG 4 CH, 4-20mA, POINT I/O
输入模拟 4 通道,4-20mA,点 I/O    N10923
FIBER OPTIC, NEMA 4X, WALL MOUNT, W/DUPLEX   
光纤,NEMA 4X,壁挂式,W/DUPLEX    E15759
OUTPUT, DIGITAL, 4 RELAY
输出,数字,4 继电器    N10641
INPUT, ANALOG 8 CH CURRENT POINT I/O
输入,模拟 8 通道电流点 I/O    N10613
COMPACTLOGIX PROGRAMMABLE CONTROLLER COMPACTLOGIX 可编程控制器    N10942
INPUT, DIGITAL, 24VDC, 8CH , POINT I/O
输入, 数字, 24VDC, 8CH , POINT I/O    N10612
VFD, DRIVE, 690 VAC, 15 HP, 20 AMP
变频器驱动690 VAC,15 HP,20 AMP    E12885
VLV, HYD, DIR, 2 POS, 4 WAY, D03    H03-1024-010
VLV, HYD, DIR, 3 POS, 4 WAY, 24V, D03    H10059
VLV, HYD, DIR, 2 POS, 4 WAY, 24V, D03    H10142
VLV, HYD, DIR, 3 POS, 4 WAY, 24V, D03    H10053
TRANSMITTER, PRESS, 4-20mA, 3000PSI
变送器,压力机,4-20mA,3000PSI    N10350
CABLE, 4 C #16 AWG, EXANE, 600V
电缆,4 C #16 AWG,EXANE,600V    E03-1023-010
CIRCUIT BKR, 100A, 3P, 25 KIC, UV
电路 BKR,100A,3P,25 KIC,UV    E10551
CIRCUIT BREAKER, 70A, H-FRAME
断路器,70A,H 型    E14238
INVERTER MODULE逆变器模块
Model: MVD300-4602, 型号:MVD300-4602,
Continuous AC Current: 300/400A,
连续交流电流:300/400A,
3 PH AC Voltage: 0-690V, Frequency: 0-200Hz (Output)
三相交流电压:0-690V,频率:0-200Hz(输出)
DC Current:  346/461A (approx.)
直流电流:346/461A(大约)
DC withstand Voltage: 1200V 直流耐压:1200V    E15210
RTD,100 OHM, PLATINUM, 3WIRE, 2 ½ in
RTD,100 欧姆,铂金,3 线,2 ½ 英寸    E11088
Flex Analog Input Cat. No. 1794-IE8
Flex 模拟输入目录 编号 1794-IE8    N21-3002-010
OUTPUT, DIGITAL, 24V, 8 CH, POINT I/O
输出,数字,24V,8 通道,点 I/O    N10647
OUTPUT, DIGITAL, 8PT, 24VDC, FLEX I/O
输出,数字,8PT,24VDC,FLEX I/O    N21-3001-010
INPUT, DIGITAL, 16PT, 24VDC, FLEX I/O
输入,数字,16PT,24VDC,FLEX I/O    N21-3000-010
ETAP,DLR, COPPER PORT, 2 FIBER PORTS
ETAP、DLR、铜端口、2 个光纤端口    N10880
ETAP, DLR, 2 COPPER PORTS, 1 FIBER PORT
ETAP、DLR、2 个铜端口、1 个光纤端口    N10879
PRESSURE SWITCH 压力开关    N10161
FUSE, DC BUS, SEMICONDUCT, 1300V/630A
保险丝,直流母线,半导体,1300V/630A    E15676
FUSE, AC BUS, SEMICONDUCT, 650V/1600A
保险丝,交流总线,半导体,650V/1600A    E15677
HPU TRANSMITTER/ELEMENT, TEMPERATURE
HPU 变送器/元件,温度    E11416
ASSY, CABLE, FIBER OPTIC, 6 CH RECEPTACLE
组件,电缆,光纤,6 通道插座    AY19271-3
ASSY,CABLE,FIBER OPTIC,6 CH RECEPTACLE
组件,电缆,光纤,6 通道插座    AY19271-30
ASSY,CABLE,FIBER OPTIC,6 CH,PLUGGED
组件,电缆,光纤,6 通道插头    AY19270-180
ASSY, CABLE, FIBER OPTIC, 6 CH PLUGGED
组件,电缆,光纤,6 通道插头   
AY19270-230
ASSY, CABLE, FIBER OPTIC, 6 CH PLUGGED
组件,电缆,光纤,6 通道插头   
AY19270-80
ASSY, CABLE, FIBER OPTIC, 6 CH PLUGGED
组件,电缆,光纤,6 通道插头   
AY19270-110
CABLE, 4 C #8 AWG, PEND,POLYRAD XT,600V
电缆    E10196
CABLE, 10 C #12 AWG, PERFECT-A-FLEX,600V
电缆,10 C #12 AWG,PERFECT-A-FLEX,600V    E11302
CABLE, 4 C #8 AWG, 600V, GENERIC
电缆,4 C #8 AWG,600V,通用    E03-1019-010
CABLE, 10 C #12 AWG, 600V, GENERIC
电缆,10 C #12 AWG,600V,通用    E11302
CABLE, 10 C #16 AWG, 600V, GENERIC
电缆,10 C #16 AWG,600V,通用    E03-1034-010
CABLE, 4 TP 20 AWG, SHLD
电缆,4 TP 20 AWG,SHLD    E14168
CABLE, 7 C #10 AWG, 600V, TYFL
电缆,7 C #10 AWG,600V,TYFL    E11059
ASSY, CABLE, FIBER OPTIC, 6 CH PLUG/RECPT
组件、电缆、光纤、6 通道插头/接收器    AY19773-30
ENCODER OPTICAL ISOLATOR MODULE, 5V OUT编码器光隔离器模块,5V 输出    N10922
RTD, DYNAMIC BRAKE RESISTOR
RTD,动态制动电阻器    E13224
DYNAMIC BRAKE, 800AMP, 575VAC/940VDC
动态制动,800AMP,575VAC/940VDC    E15682
MICROSWITCH, INDICATOR, INVERTER, 630A
微动开关,指示灯,逆变器,630A    E15299
MICROSWITCH, RECTIFIER FUSE
微动开关,整流器保险丝    E15301
CANBUS, BUS COUPLER,24 VDC,2 x 5 POS.
CANBUS,总线耦合器,24 VDC,2 x 5 POS。    E15173
INLINE ANALOG OUTPUT TERMINAL BLOCK
在线模拟输出端子块    E15709
RELAY, SAFETY, 2A/2B, CONTACTS,24VDC
继电器,安全,2A/2B,触点,24VDC    E15688
FUSE,600V,10AMP,CL CC,TDEL,REJ,MIDGET
保险丝,600V,10AMP,CL CC,TDEL,REJ,MIDGET    E105789
KIT, PCB, I/O Model: MVC3002-4001A MV3000 Delta I/O Panel
套件、PCB、I/O 型号:MVC3002-4001A MV3000 Delta I/O 面板    AY21504
POWER SUPPLY, INVERTER, 575-690V, MV3000
电源,逆变器,575-690V,MV3000    E15209
INTERFACE, ETHERNET, MV3000, MODIFIED
接口,以太网,MV3000,修改    E18233
SERVICE LOOP, AC POWER, 5 IN, 500T,77 FT
服务回路,交流电源,5 英寸,500 吨,77 英尺    AY19252-2-1
SERVICE LOOP,CONTROL,4 IN,76 FT,FIBER
服务回路,控制,4 英寸,76 英尺,光纤    AY19251-2
Isles Airclean    MVF-524    Modular Vertical Flow Work Station    "- lear Vinyl Non-ESD Curtain
- Hepa Filter
- Magnehelic Gauge
- Fluorescent Lighting(Bulbs not Supplied)
- One Inch Fiberglass Prefilters
- 115 V
- 15.0 A
- 60 Hz
- 1 Phase"
LabGuard    LFR-1000    Laminar Flow Recirculator/Non-Ducted Work Station    "Accessories:
- Fluorescent Light
- Minihelic pressure gauge
Other Information:
- Polypropylene construction
- Work area: 39 x 25 x 17.5""
- HEPA filter size B, filters not included
- 120 V
- 4.0 A
- 60 Hz
- 1 Phase"
Laminaire    DWS 630    Laminar Flow Work Station    "- 115 V
- 7.6 A
- 60 Hz
- 1 Phase"
Laminaire    636-CI    Flow Work Station    "- Work area: 72"" wide x 64"" height
- 120 V
- 12.0 A
- 60 Hz
- 1 Phase"
Laminaire    DWS 636    Laminar Flow Work Station    "Filter:
- Three inch HEPA (High Efficiency - Particulate Air) type, aerosol challenged, zero probed.
- Aluminum frame minipleat design, minimum efficiency of 99.99% at .3 microns
Pre-Filter:
- 1"" bonded polyfiber media minimizes surface-loading.
- Quick-release aluminum frame. Easily accessible from front of unit. 78 % arrestance – 56-57 ASHRAE
Features:
- Front panel light and blower switches
- Magnehelic pressure gauge
- 115 V
- 15.0 A
- 60 Hz
- 1 Phase"
Lesco    HOTSPOT        "Power supply:
- Stainless steel enclosure
- Solid state relay power control
- Temperature control
- Cooling System for power supply
- Air requires a minimum of 10psi with 1/4"" tubing
- Hotspot heater head
- Stainless steel encloser
- Solid state Pressure sensor
- Dual element thermal couple
Power Req:
- 120/220 V
- 50/60 Hz
- 1 Phase"
Mettler    DM 40    Density Meter   
Instron    4465    Electro Mechanical Tension / Compression Frame    "- Load Capacity: 5kN
- Max Speed: 40 in/min
- Minimum Speed: 0.0004 in/min
- Max Force @ Max Speed: 5kN
- Max Speed @ Full Load: 40 in/min
- Return Speed: 48 in/min"
Interactive    1 K    Universal Materials Tester    "- Load is measured via low profile Pancake (or optional S-beam) load cell
- Load resolution: 0.1 lbs., Stroke resolution: 0.0001 in
- Supports Load, Stroke and Strain control
2 internal amplifiers with external range and offset calibration adjustments
- Analog data is converted using a 16 bit analog to digital converter (optional 12 bit)
- Programmable digital filter ranges from 0.125 Hz to 16 Hz
- Results are displayed in Metric or English units Remote operation and data collection using serial RS-232
- All of Interactive Instruments systems and options have a one year warranty including Parts and Labor
- 115 V
- 0.5 A
- 60 Hz
- 1 Phase"
Shimpo    FGS-200 PV    Motorized Test Stand    "- Included is the FGV-100XY Digitial Force - Gauge (Specs below)
- Capacity: 100 lbs
- Resolution: 0.10 lbs
- Communication: RS-232 and USB
- 120 V
- Weight 50 lb"
Instron    STRC    Servo Hydraulic T-Slot Table Test System    "- with Model 8500 Controller   
- 25 HP
- 230/460 V
- 3 Phase"
MTS    506.02 C    Hydraulic Power Supply    "- Maximum Flow:  6.40  GPM  (24.32 l/m)
- Maximum Pressure: 3,000.000  PSI  (206,859mbar, 20,685,900Pa)
- Motor Horsepower: 15  HP
- External Cooling: Water Cooled
- 230/460 V
- 39.0 A
- 19.5 A(2)
- 60 Hz
- 3 Phase"
MTS    858 Mini Bionix II    Table Top Servo Hydraulic System    "- Air Cooled
Accessories    
- (1) Remote Operator Interface
- (1) Load Cell 661.19F-01 1,100 lb capacity
Other Information    
- TestStar II Software is NOT included
Actuator:
- 3,300 lb capacity @ 3,000 psi, 2,200 lb. capacity at 2000 psi, 1,100 lb. capacity at 1,000 psi
Power Req:
- 115 V
- 18.0 A
- 60 Hz
- 1 Phase"
MTS    Elastomer Test    Elastomer Test System    "- High Cycle Fatigue: 300 Hz, 1,500 lbs.
Load Unit:
- Model 331.01, P/N 396078-11
-1.5 Kip, 6.8 kN
- (2) 111.11A-SP 5 cu. in. accumulators
-Hydraulic Service Manifold:
- Model 290.14 Servo valve Part No.: 356750-04
Accessories: Pump motor 230/460 volts, 30/60 amps."
Shimpo Instruments    FGS-50 PXL    Bench Top Tensile Test Stand    "- Capacity: Up to 110 Lbs, with proper force gauge.
- Stroke: 5.5""
- Travel speed: 0.39-3.93 in/min (adjustable)
- Display: Four digit LED
- Dual speed controls
- Comes with universal test bracket, as shown
- 115 V
- 60 Hz
- 1 Phase"
B&W Engineering    BW-LPD-D 4000    Particle Impact Noise Detection System (PIND)    "- System includes the following components:
- Programmable Digital Controller Model BW-4000
- Power Amplifier, 250 Watt Model BW-PA-4000
- Vibration Shaker, 100 Force Model BW-100C-2
- Degaussing Magnet Model BW-020
- PIND Shock Test Fixture with 2"" Platen Model BW-004XL
- Sensitivity Test Unit Model BW-012
- Transient Detector Sensor: BW-155XLA
- PIND Station with ESD Surface: BW-4017
- Remote foot switch"
B&W Engineering    BWLPDB 2000    Particle Impact Noise Detection System (PIND)    "- RF noise suppressing shaker enclosure--Model BW-016
- Test bench with built-in isolation base(48""W x 30""D x 28""H)--Model BW-017
- 115 V
- 5.0 A
- 50/60 Hz
- 1 Phase
- 220 lb (100 kg)"
M Rad    2424 (150) B    Bump Shaker    "Maximum payload: 150 Lbs.
Digital Bump Counter with pre-set:
- Frequency of bump impluse: 1 - 2 impacts/seconds
- Impact pulse duration: 6 - 16 ms
- Impact pulse type: half-sine
- Maximum velocity change: 60 in/sec
Power Req:
- 240 V
- 30.0 A
- 60 Hz
- 3 Phase"
L.A.B. Equipment    250 V    Transportation Simulator    "- Maximum Payload:  250 lb.
- Table size:  36"" x 42"" x 17"" High
- Displacement:  1 inch
- Maximum Acceleration:  1.25 G
- Frequency Range: 2-5 Hz  (120-300 rpm)
- Applical Standards:  ISTA, ASTM-D999, ISO-2247, MIL STD-810G, and other internationally and industrially recognized standards
- 120 V
- 15.0 A
- 60 Hz
- 1 Phase"
Wilcoxon    PA 7 F    Power Amplifier    "- Max Power: 400 Watt
Modes of operation:
- Series,Piezoelectric shaker
- Parallel,Electromagnetic shaker
Power Req:
- 120 V
- 60 Hz
- 1 Phase"
JDS Uniphase    SB 0108-Z 000440    Fiber Optic Switch    "- 1x8 Switch
- 25 VA max
- 100-240 V
- 50/60 Hz"
Picosecond    4050 B    Step/Pulse Generator    "- Fast  Pulse Head Module, generating a 10 V, 45 ps pulse
- 45 PS Risetime
- 10V Positive pulse
- 10 ns Pulse duration
- Low jitter 1.5 RMS
- 100-240 V
- 60 Hz
- 1 Phase"
Bruker    B-MN 65 / 260    Direct Current Power Supply    "- Water Cooler
- Maximum Output Voltage 65Volts
- Maximum Output Current 260Amps
- Maximum Output Power 16.90 kW
- 208 V
- 90.0 A
- 50/60 Hz
- 3 Phase"
Copley Controls    234    DC High Power Amplifier    "- Amplifier may be Master/Slave for 2X Output Current
- Forced Air Cooled
- Fan Supply Required +28 V @ 2 A
- High Voltage Supply +50 V to +160 V
- Current required to supply load I2R losses plus amplifier losses
- Quiescent Current 1.3 A
- Internal Capacitance 72000  μF"
Electronic Measurement    ESS 130-115    DC Power Supply    "- Require 5 wire 480VAC connection (with neutral)
- Air Cooled
- Maximum Output Voltage 130Volts
- Maximum Output Current 115Amps
- Maximum Output Power 15kW
- 480 V
- 30.0 A
- 47-63 Hz
- 3 Phase"
Electronic Measurement    ESS 300-35    Direct Current Power Supply    "- Maximum Output Voltage 300Volts
- Maximum Output Current  35Amps
- Maximum Output Power 10.50 kW
- Front panel controls and remote analog resistance, voltage and current programming
- 10 KW output
- This power supply is reconfigured model # 400-25-2-D, item 39314
- Maximum voltage decreased to 300V and maximum current increased to 35A
- 200-240 V
- 35.0 A
- 47-63 Hz
- 3 Phase"
Electronic Measurement    ESS 400-25    Direct Current Power Supply    "- Front panel controls and remote analog resistance, voltage and current programming
10 Kw
- Maximum Output Voltage 400Volts
- Maximum Output Current 25Amps
- Maximum Output Power     10kW
-Regulation Modes: Constant Current /   Constant Voltage
- 200-240 V
- 35.0 A
- 47-63 Hz
- 3 Phase"
Electronic Measurement    ESS 500-30    DC Power Supply    "- Maximum Output Voltage: 500Volts
- Maximum Output Current: 30Amps
- Maximum Output Power: 15kW
- Regulation Modes: Constant Current /    Constant Voltage
- Panel Meters: Digital
- 220 V
- 60.0 A
- 47-63 Hz
- 3 Phase"
Electronic Measurement    ESS 80-185    Direct Current Power Supply    "- Maximum Output Voltage 80Volts
- Maximum Output Current 185Amps
- Maximum Output Power 14.80 kW
- 400 V
- 47-63 Hz
- 40 Amp
- 3 Phase
- 5 Wire"
Electronic Measurement    TCR 20 T 500-4-D-OV    Direct Current Power Supply    "- Maximum Output Voltage 20Volts
- Maximum Output Current  500Amps
- Maximum Output Power 10kW
- 480 V
- 30.0 A
- 60 Hz
- 3 Phase"
Lambda    EMHP 200-175-D    "- Maximum Output Voltage 200Volts
- Maximum Output Current 175Amps
- Maximum Output Power 35kW
- 57-63 Hz
- 3 Phase"   
Lambda    ESS 80-185-7-D-0806    Direct Current Power Supply    "- Maximum Output Voltage 80Volts
- Maximum Output Current 185Amps
- Maximum Output Power 14.80 kW
- 200-230 V
- 70.0 A(2)
- 50/60 Hz
- 3 Phase"
Lambda    ESS 200-75    Direct Current Power Supply    "- Maximum Output Voltage 200Volts
- Maximum Output Current 75Amps
- Maximum Output Power 15kW
- Forced Air Cooled
- 220 V
- 60.0 A
- 50/60 Hz
- 3 Phase"
Sorensen    PRO 30033 T 1 M 9 C    Direct Current Power Supply    "- Maximum Output Voltage 300Volts
- Maximum Output Current 33Amps
- Maximum Output Power 9.90 kW
- Forced Air Cooled
- 208 V
- 50/60 Hz
- 3 Phase"
Glassman    PS/EL 10 N 4    High Voltage Power Supply Negative Polarity    "- Air Cooled
- 115 V
- 48-440 Hz
- 1 Phase"
Glassman    PS/EL 10 P 4    High Voltage Power Supply Positive Polarity    "- 115 V
- 48-440 Hz
- 1 Phase"
Glassman    PS/KL 030 P 090 YB 3    High Voltage Power Supply    "- Positive output
- Remotely controlled only
Accessories:
- Remote control cable with connector and power cable are NOT included
- 3M (10') HV cable add 180, inquire about longer cables
- 208 V
- 47-63 Hz
- 1 Phase"
Glassman    PS/WG-20 P-15-M 3    Constant Voltage/Constant Current High Voltage Power Supply Series WG    "- Air Cooled
- Positive Polarity
- 3M (10') HV cable add 180, inquire about longer cables
- 115 V
- 47-63 Hz
- 1 Phase"
Glassman    WX 20 N 50 YB 2    High Voltage Power Supply - Negative Polarity    "- Negative polarity.
Remotely controlled only, interface option YB2, exceptions to the standard power supply functions:
- ""Floating"" COMMON -Not provided
- INTERLOCK-Not provided
- LOCAL V-CONTROL-Not provided
- I-PROGRAM-Not provided
- LOCAL I-CONTROL-Not provided
- Wired to output maximum (50mA) current
- 208 V
- 47-63 Hz
- 1 Phase"
Spellman    SA 1 PN 4    High Voltage Power Supply    "- Power cord included
- 3M (10') HV cable add 180, inquire about longer cables
- 208 V
- 8.0 A
- 50/60 Hz
- 3 Phase"
Spellman    SL 15 N 150 - Negative Polarity    High Voltage Power Supply    "- 150 Watt
- Negative Polarity Output
- 220 V
- 1 Phase
- Air Cooled
- Power cord included"
Spellman    SL 1 N 300 - Negative Polarity    High Voltage Power Supply - Negative Polarity    "- 300 Watt
- Negative Polarity Output
- Terminal strip I/O Interface connector
- Can be operated from 120VAC, request primary voltage change when ordering
- 220/248 V
- 1 Phase"
Spellman    SL 1 PN 1200    High Voltage Power Supply    "- Power cord included
- 1200 Watt
- 220 V
- 8.0 A
- 50/60 Hz
- 1 Phase"
Spellman    SL 50 N 150    High Voltage Power Supply    "- Maximum Output Voltage 50.0 kV
- Maximum Output Current 3.0 mA
- TB1 and TB2 Interface
- Negative Polarity Output
- 220 V
- 1 Phase"
Advanced Energy    Cesar 136    Radio Frequency Generator    "- Profibus communication interface
- CEX ""IN"" and ""OUT"" BNC connectors
- Software revision v 1.20 A10
- Forced Air Cooled
- 230 V
- 4.6 A
- 50/60 Hz
- 1 Phase"
Advanced Energy    Cesar 136    Radio Frequency Generator    "- Profibus communication interface (can be replaced with RS232 AE bus, 115200 baud rate only, request when ordering)
- 25 Pin User Interface
- CEX ""IN"" and ""OUT"" BNC connectors
- Software revision v 1.20 B1
- 230 V
- 4.6 A
- 50/60 Hz
- 1 Phase"
Advanced Energy    RF 10 S    Radio Frequency (RF) Generator    "- Input Power: 188-260V, single phase, 50/60Hz
- Forced Air Cooled
- Power Req: 208/240 V, 50/60 Hz, 1 Phase"
Comdel    CPS-5000 / 13.56    Radio Frequency Generator    "- Water Cooled
- Comdel Model CPS 5000 DC power supply
- 208 V
- 30.0 A
- 50/60 Hz
- 3 Phase"
ENI    DPGS-10    Radio Frequency Generator    "- The DPG is a solid-state, RFIDC power generator intended for use in trapping and neutralizing undesirable exhaust particles.
- To make simple field servicing possible this generator is designed in a modular fashion.
- The DPG can produce up to 1.25kW RF output and is internally adjustable.
- The DPG allows control and monitoring through a 15-pin D-subminiature interface.
- 208 V
- 7.5 A
- 47-63 Hz
- 3 Phase"
ENI    EGR 4800-B    Radio Frequency Generator    "- Frequency coverage: 10 kHz to 40 kHz, in one band
- Frequency stability: Better than 0.1%
- Matched output load impedence: 50 OHMS
- 3Φ 5 wire power connection, neutral wire must be rated 75A
- 120/208 B
- 35.0 A
- 50/60 Hz
- 3 Phase"
ENI    OEM 12 A    Radio Frequency Generator    "- 1250W, 13.56 MHZ
- Analog 25 pin SUB-D I/O connector
- 200-240 V
- 20.0 A
- 60 Hz
- 1 Phase"
RF Power Products    50 S    Radio Frequency Generator Power Supply    "- Water Cooled
- 208 V
- 50/60 Hz
- 3 Phase
- 13.56 Mhz
- 5000 Watts"
Sairem    GMP 20 KSM    Microwave Power Supply    "- 2000Watt, 2.450GHz (2450MHz)
- Power supply is configured for a 19"" rack
- Total length for head and downstream source is 38""
- There are 19,593 hours of use on this supply
- Included is a head GMP20KSM
and a downstream source A13S/PCC rated for 6kW
- Downstream source has three knob tuning and a short circuit piston
- 208 V
- 60 Hz
- 3 Phase"
Seren    R 601    RF Generator    "- Input power: 90-125 VAC or 190-264 VAC, 47-63 Hz.  Maximum current: 15A
- 600 Watts
- Frequency: 13.56
- Air Cooled"
        Forklift   
Speedline / Electrovert    OmniFlo 7    7 Zone Reflow Oven    "- Max line amperage: 98 8 amps
- Largest motor/load: 16 6 amps
- Short circuit interrupt capacity 5000 amps
- 480 V
- 3 Phase
- 82 KVA
- 60 Hz"
Teradyne    A 567    Tester   
Teradyne    A 567    Tester   
RHK    UHV 3500 VT    AFM / STM (Atomic Force Microscope / Scanning Tunneling Microscope) System    It has a prep chamber with an interface to a glove box (glove box not included), a main chamber with the AFM/STM and tip/sample storage racks, and a 4’ transfer arm.  All the pumps, gauges, RHK AFM controller, and pre-amp are included, except for the mechanical pump.  The last time it was was one year ago after obtaining a satisfactory AFM image of graphite. The system has been under vacuum since it has been in storage
        Lot of Electric Test Equipment   
K&S    AT Premier        "- Configured Voltage: 220 V
- Configured Current: 9.1 A
- Frequency: 50/60 Hz
- Overcurrent: 100 A/10 mSEC
- Interrupt Capacity: 1500 A"
        Lot of Electric Test Equipment   
Etel    DSB 2-152    Control / Module   
Etel    DSB 2-132    Control / Module   
Absopulse Electronic    "CTP 9 K-750 3 P 480-3 X 3 U 4 3 U 7 19-S 5344    "    Three Phase Inverter    "- Air Cooled
- 750Vdc to 480V (L-L)
- Weight: 86 lb (39 kg)
- 3-phase
- 60Hz"
Absopulse Electronic    CTP 5 K-750 / 3 P 480-3 U7-S 5037    Power Inverter    "- Input: 750 Vdc (550-850V), 11.5A max
- Output:  3 phase 480Vac (L-L); 6A rms max./phase, 60Hz; Y configuration (5000VA)
- Air Cooled"
Elgar    1001 SL-12    AC Power Source / 400SP Oscillator    "- Output selectable,specify when ordering : 0-260 VAC,0-130 VAC,0-65 VAC
- Forced Air Cooled
- 115 V
- 47-63 Hz
- 1 Phase"
Yokogawa    AQ-4303 B    White Light Source    "- 1 Phase
- 100-120, 200-240 VAC"
Yokogawa    AQ 2140    Optical Multimeter    "- Includes: (2) One AQ 2732 sensor units
- 100-120 V / 200-240 V
- 50/60 Hz"
Yokogawa    AQ 2140    Optical Multimeter    "- Includes: (1) One AQ 2732 sensor unit
- 100-120 V / 200-240 V
- 50/60 Hz"
ILX Lightwave    LDC 3900    Modular Laser Diode Controller    "- 4 independent, isolated channels for multiple laser and TEC control
- Wide variety of laser controller modules available with up to 2A laser current and integrated 12W TE controller
- Single output current source modules available from 200mA to 8A
32 W (8 V, 4 A)TEC modules
- Low-noise, high-stability current source modules operate in constant current or constant power modes with direct modulation to 500KHz
- Single channel 32W TEC module operates in constant temperature or constant resistance mode
- TEC modules accept thermistor, IC and RTD temperature sensors
- GPIB/IEEE-488 Interface
- 120/240 V
- 50/60 Hz
- 1 Phase"
Newport    ORION    Single Mode Fiber Aligner    "- Controller Model # Orion-CM
- Motorized X-Y-Z Stage model #562
- Dither module
- Intergrating sphere model #818-IS-1
- 120/240 V
- 50/60 Hz
- 1 Phase"
Sagitta    GEMINI-Pi    Automated Polishing and Inspection System    "- Configurable multi-station design
- Integrated optical image metrology station
- Multi-part polishing technology
- Fully unattended batch processing
- Process recipe management system
- Variable applications on the same platform
- 115/230 V
- 50/60 Hz
- 1 Phase"
Labsphere    LMS-100    Lamp Measurement Integrating Sphere    "- Max lamp ratings: 100 Watt
- Max luminous flux: 2000 Lm
- Max length: 5""
- Spectral range: 300-2400 nm"
Labsphere        Integrating Sphere    "- Diameter: 6.000 in
- Output Ports: 2
- Size of outputs: (1) 1/2"", (1) 1"""
Labsphere    SC-5000 Designed    Sphere System Control    "- 104-125 V
- 50/60 Hz
- 1 Phase"
Labsphere    US-060-SF    Uniform Source Integrating Sphere    "- Diameter: 6.000 in
- Size of Outputs: 2in"
Newport    819-IS-4    Integrating Sphere    "- Diameter: 4.000 in
- Output Ports: 4
- Size of Outputs: 1 in  (2.54 cm)"
Oriel    7340    Monochromator Illuminator Housing    "Components:
- Model 77470: Base
- Model 73402: Lamp mount
- Model 77356: Flexible light shield
- Model 77250: 1/8 Meter band pass
- Model 77298: Grating
- Model 77470: Mounting kit
- Model 77325: Stepper wavelength drive
- Model 20025: TTL Stepper interface controller
- Power supply not included"
Efos    N 2000    UV/Visible Spot Cure System    "Units are shipped with a working lamp
System Features and Specifications:
- Microprocessor controlled exposures with closed loop feedback system
- Shutter and exposure verification alarms
- Built-in radiometer for accurate calibration
- History download to PC capability via RS232
- Remote operation capability via standard 15 pin connector
- Units are shipped with working lamp
- 120/240 V
- 1.5 A
- 50/60 Hz
- 1 Phase"
Efos    Novacure N 2001-A    UV/Visible Spot Cure System    "System Features and Specifications:
- Microprocessor controlled exposures with closed loop feedback system
- Shutter and exposure verification alarms
- Built-in radiometer for accurate calibration
- History download to PC capability via RS232
- Remote operation capability via standard 15 pin connector
- Units are shipped with working lamp
- 120/240 V
- 1.5 A
- 1 Phase"
Fusion UV    VPA / I600    Variable Power Systems    "I600 M Irradiator:
- Full power: 600 watts/in. (240 w/cm), *6000 watts total.
- Reflector Geometry: Elliptical. Focus 2.1 in.
- Simensions: 10.5""L x 8.2""W x 17.3""H, Weight: 36 lbs"
Lesco    MK III    High Intensity UV Spot Curing System    "UV output:
- 20,000 mW/cm2, peak (at 315 - 400 nm)
- 15,000 mW/cm2, rated (at 315 - 400 nm)
- Over 25,000 mW/cm2 total (UVA, B, Visible).
- Spectral output: VIS/UVA/UVB/UVC with factory preset centered at 365 nm. (UVA)
- Lamp:100 Watt DC mercury vapor short-arc.
- Warm-up: 60 seconds.
- Controls: On/Off, Manual/Timer, Shutter Timer, Elapsed Time Reset
- Displays: Power On, Lamp On, Cure Time Setting
- Dual light guide
- Foot switch"
Panasonic    SOFT BEAM BH 2000    Non-Contact Micro Soldering System    "Model YB-020UDD Power Unit:
- 5 mm dia. fiber optic
- Beam output max.: 40 watt
- Output stability: within ±10% F.S
- Rated duty cycle: 100%(in 90A DC)
- Spot emitting time adjustable range: 0.1-99 sec.
180-220 V
Model YB020UHD Lamp House
- Rated input: 1.5 kW
- Exterior dimensions: 11""W x 18.5""D x 29""H"
GSIL    JK 501    Continuous Wave Nd: YAG Laser    "- Class 4 laser
- Wavelength: 1064nm
- Maximum power consumption: 21kva
- Supply rating: 19kw
- Ouput Specifications:
- Average laser power: 500w
- Maximum modulated power: 2000w
- Beam quality: 25mm.mrad
- Modulated frequency: 100-500hz
- Shutter response time: <50ms
- 480 V
- 60 Hz
- 3 Phase"
GSIL    JK 501    Continuous Wave Nd: YAG Laser    "- Class 4 laser
- Wavelength: 1064nm
- Maximum power consumption: 21kva
- Supply rating: 19kw
- Ouput Specifications:
- Average laser power: 500w
- Maximum modulated power: 2000w
- Beam quality: 25mm.mrad
- Modulated frequency: 100-500hz
- Shutter response time: <50ms"
GSIL    JK 702    Pulsed YAG Laser System    "- System includes JK702 Laser, power supply, and Control Panel.
- Mean Power: 0 - 250W
- Pulse Energy: 0.1 - 35J
- Pulse Width: 0.5 - 20 mS
- Repetition Rate: 0.2 - 500Hz"
Miyachi Unitek    LW 300 A-2 E    High Speed Laser Welder    "- Wavelength: 1064 nm Pulse power @ 10mS: 50J
- Peak power: 6.0kW
- Pulse width range: 0.25 to 99.9mS
- Repetition rate: 500 Hz
- Pulse shaping feature: Yes
- Aiming laser: Diode
- Number of outputs: Up to 4
- Energy share outputs: One group of up to 4, or two groups of 2
- Time share outputs: Up to 4
- Fiber core diameter(SI or GI fibers): 0.3, 0.4, 0.6, 0.8, 1.0mm
- Datacom: 8/7 data, 2/1 stops bits, even/odd parity, RS485/422
- Line current: 43A
- Cooling method: Water to water"
New-Wave Research    Polaris II    Flash-Lamp Pumped Nd:Yag    "- Installed filters for 532nm only.
- Rep rate: 20Hz
- Water Cooled
- 100-240 V
- 4.0 A
- 2.0 A(2)
- 50/60 Hz
- 1 Phase"
Arroyo Instruments    TECMount 264 and TECSource 5300    High Power Mount and Temperature Controller    "- Temperature Controller:
- Model- 5300-04-15 TECSource
- Custom power: 4 Amps 15 Volts
- Conections- RS-232 and USB
- Accessories: 15-Pin Cable"
Arroyo Instruments    TECMount 284 and TECSource 5300    High Power Mount and Temperature Controller    "- Temperature Controller:
- Model- 5300-04-15 TECSource
- Custom power: 4 Amps 15 Volts
- Conections- RS-232 and USB"
Burleigh    SA plus-800-14    Laser Spectral Analyzer    "- Free spectral range: 8 GHz
- Wavelength: 1425 to 1675 nm
- Mirrow reflectivity: 99.7%
- Input aperture: 1mm
- PZT scan distance: 1.2 micron/1000V
- 85-264 V
- 1 Phase"
Con Optics    M 311 A    Light Modulation System    "- E.O. amplifer--Model 302
- Modulator--Model M 311A
- Aperature: 1 mm; wavelength: 514 nm
- Sensitivity: 4.4 mr/kV
- Transmission: 91%
- Size: Dia. 50mm / Length: 219mm"
Aerotech    UNIDEX 11    Programmable Multi-axis Controller    "- 115 V
- 5.0 A
- 50/60 Hz"
Aerotech    UNIDEX 12    Three Axis Stepping Motor Controller    "- Full Model U12S-4-A-DM4001-20-F1
- 40 V 1A Drivers
- 2000 Step per rev
- 115 V
- 5.0 A
- 50/60 Hz
- 1 Phase"
Aerotech    UNIDEX XI    Three Axis Controller    "- Weight: 20 lb
- 115 V
- 1.5 A
- 50/60 Hz
- 1 Phase"
Anorad    DLM 2-5    Servo Controller    "- Three axis
- Position range: ± 999,999,999 counts
- Velocity range: ± 1 to 10,000,000 counts/sec
- Acceleration range: 1,000 to 127,000,000 counts/sec2
- Servo Update Rate: 20 kHz Encoder input: 3 channel differential line receiver
- Communication: RS232,RS422,programmable to 9,600 baud
- Analog Inputs: ± 10V, 12 bit resolution, 2/axis (M-SERV) ± 10V, 12 bit resolution, 1/axis
- Analog Outputs: (1) ± 10V, with 10 bit resolution/axis, (1) General purpose Dedicated
- Inputs:(2) limits, emergency stop, opto-isolated. (48) inputs"
Giddings & Lewis    DSM 100    Servo Amplifier    "- 120/240 V
- 20.0 A
- 50/60 Hz
- 1 Phase"
Infranor    SMTBD 1-220 / 30-TBS-DP    Servo Controller    - 220 V
Physik Instrumente    E-463    High Voltage Piezoelectric Translator    "- 3 Channel
- 110/120 V
- 50/60 Hz
- 8 lb"
Physik Instrumente    E-501.00    Modular Piezo Control Systems    "Unit includes:
(1) Model E-5019.5"" rack mount chassis
(1) E-507HVPZT Piezo Amplifier Module (one channel).
(1) E-515.01 Display Module (one channel)"
Physik Instrumente    P-864.00    Peizo Electric Controller/Driver    "Modules included:
- E-111 Display module
- E-8093 Channel PZT control module
- 863.10 Driver module
- 110 V
- 50/60 Hz
- 1 Phase"
Allen Bradley    1326    AC Servo Motor    "- C Series
- Maximum continuous output power: 1.09 kW
- RPM: 3000
- 460 V
- 3 Phase"
GE Fanuc    A 06 B-0502-B 754    AC Servo Motor   
Aerotech    ATS 50060-M-02 P    Linear Super-Precision Ball Screw Stage    "- Single Axis
- Ball Bearing Stage Type
- Max Payload: 300lb
- Max Travel Speed: 12.000 in/s"
Daedal    424121    Single Axis Linear Stage    "- Single Axis
- Ballscrew
- Ball Bearing
- Screw Pitch: 5 turns per inch"
Daedal    424181    Single Axis Linear Stage    "- Single Axis
- Mechanical Drive System: Ballscrew
- Stage Bearing Type: Ball Bearing
- Screw Pitch: 5 turns per inch"
Ealing Electro-Optic    37-0346    X-Y Stage with Controller    "Controller:
(2) High Power Deci Step: Model 37-0726
(2) 488 Ramp Control: Model 37-0718
(1) Power Unit
- Hand Held Pendant"
IntelLiDrives    PXY-15 X 18    Cartesian Planar System    "- Two axis of motion in a single plane
- Table size: 15"" X 18""
- Accuracy: 10-25 Micron
- Repeatability: 1-2 Micron
- Resolution: 1-10 Micron
- Motion strightness: 10 Micron
- Flatness: 10 Micron
- System requires driver and software(not included) available from www.intellidrives.com"
Kensington Labs    MS 4    X-Y-Z and Rotary Microscope Stage    "- Theta 360 degrees continuos
- 8"" vacuum wafer chuck
- Wafer chuck parallel to x-y axis to less than 3 microns
- Bi directional repeatability: .05 um(X-Y) and .01um(Z)
- Focus wheel provides smooth high resolution manual positioning
- DC servo motors
- Model 4000 controller
- GPIB interface card Four axis Cables included
- Configured for Reichert Poly Var scope (Can be adapted for many scopes)"
Klinger    UT 100    X-Y-Z Linear Stage    "- X: Axis UT100
- Y: Axis UT100
- Z: Axis UZ80 accuracy: 5 Micron
- Encoders
- Bellows covered"
Motorized X-Y Air    Bearing Stage    Motorized X-Y Air Bearing Stage    "- Air bearings on granite ways
- Klinger MD4 stepper driver
- Klinger CC1.2 Programmable controller IEEE and RS 232 C ( 4 Axis )
- All cables"
X-Y-Z Granite Top        Motorized Stage System    "- Mounted on 5"" Thick Granite Table Top
- Granite table top is 36"" W x 34"" D x 5"" thick
- Table-top is configured with passive air isolation"
Klinger    RT 120 CCM    "Rotation Stage w/ DC Servo Motor    "    "- Maxon DC motor with HP encoder
- 4"" Rotary stage with 2.5"" thru hole Resolution 0.001 degree
- Gear ratio 1:180
- Max velocity 160 Deg. / sec.
- 360 Deg index on stage body"
Newport    RTM 160 CC    Rotary Stage    "- Travel: 360 Deg. continous
- Accuracy: 0.01 Deg.
- Resolution: 0.01 Deg.
- Repeatability: 0.001 Deg.
- Worm gear ratio: 1:180
- Motor model # UE51CC
- Encoder model# 500PT"
Newport    URM 80 PP    Rotary Stage    "- Motor: UE41PP
- 100-127 V
- 25 Hz
- 1 Phase"
Ekra    XACT 4    Screen Printer   
Burleigh    TS-300 Z    XYZ with Balanced Rotary Stage    "- X Travel: 50mm
- Y Travel: 50mm
- Z Travel 50mm
- Rotary stage travel: 360 Deg.
- Rotary Z axis balanced stage travel: +- 15 degrees. (torsion spring balance)
- Rotary Z axis stage aperture: 44mm
- Rotary Z axis stage lense mount: 50mm diameter
- Strightness: 1 micron
- Max load: 1.5 Kg"
Klinger    UZ 160    Vertical Translation Stage    "- Travel range: +/- 4.5 mm (9 mm)
- Load capacity: 30 Kg
- Resolution: 10 µm
- Table dimensions: 6.25"" x 6""
- Optional accessories include incremental encoder for position verification and homing
- Pittman DC motor M/n 14204C223 (30.3 V, NEMA 23)
- Dynamic Research encoder M/N 77L41B192500S817"
Newport    M-BGM160 PP    Goniometric Cradle    "- ESP compatible
- Stepper motor: UE63PP
- Encoder
- Angular resolution: 0.001 Deg
- Repeatability: 0.004 Deg
- On axis accuracy: 0.05 Deg
- Speed: 20 Deg/Sec
- Metric holes
- Cable"
Meyer Burger    TS 33        "T610 Time Counter:
- AC 110 V
- 50/60 Hz
- 2VA"
Sidai    LSP-2    Lense Polishing Machine    "Accessories:
(2) 150mm pressure plates
(2) 200mm pressure plates
(2) 250mm pressure plates
- 220 V
- 60 Hz"
Buehler    ISOMET    Precision Sectioning Saw    "- Blade Diameter: 3 to 5 inch
- Blade not included
- Saw is supplied with a single saddle chuck.  (See item E on second photo)
- Various optional chucks available.  Inquire for availability.
- 115 V
- 1.5 A
- 60 Hz
- 1 Phase"
Leco    VC-50    Vari/Cut Off Saw    "- Analog speed indicator
- Includes 5"" diamond blade
- 115/230 V
- 2.0 A
- 1.0 A(2)
- 50/60 Hz
- 1 Phase"
Amerimade Tech    FH-6 FT-PP    Acid Wet Station/Fume Hood    "- Tool was for silicon etch
(1) Static tank 7.75""W x 7.5""L x 9.5""D Removable
(1) Heated SS Static water bath 8""W X 8""L X 10""D
(2) Heated Quartz sinks 8""W x 8""L x 9.75""D
(3) Dump rinse sinks 8""W x 8""L x 8""D
- DI water gun
- N2 gun
- Vacuum wafer pick up wand
- Minihelic Exhaust Gauge
- 10"" dia. exhaust connection"
Bold Technologies        Semi-Automated Acid Wet Bench System    "- Fire retardant polypropalene construction
- High purity PVDF plumbing and teflon valves
- PFA teflon spray guns for N2 and DI water
- Automatic dump rinsers with N2 bubbler and DI spray
- Dual automatic transfer arm system
- Production temperature control system
- Baths will handle 100mm wafers
- Acid bath #1 12""W x 8""L x 9""D
- Acid bath #2 11.5""W x 7.5""L x 7""D
- Bath #2 Heated and cooled by remote refrigeration unit"
Reynoldstech        Acid Wet Station    "- Three Quartz Heated Baths: 11""W x 12""L x 12""D
- Timers on Heated Baths
- Rinse Sink: 10""W x 15""L x 8""D with water and N2 Hand Held Sprayers
- Rinse Sink with N2 Agitation 13""W x 11""L x 10""D
- Lighted Compartment"
Reynoldstech        Photoresist Develop Station    "Accessories:    
- Headway PM80 Wafer Spin Cleaner with three postion foot switch and pressurized dispense
(2) Di water spray wands one  located on left and right side of deck
(2) N2 quick disconecct ports one located on left and right side of deck
(2) vacuum quick disconecct ports one located on left and right side of deck
- Adjustable deck exhaust"
JST Manufacturing    ST 0205 A 0    Vertical Laminar Flow Exhausted Solvent Work Station    "- Material:  Type 304L Stainless Steel
- Width:  96""
- Deck Depth:  30""
- Overall Depth:  37.38""
- Height:  74""
- Work Surface Height:  36.5"""
JST Manufacturing    ST 0206 A 0    Vertical Laminar Flow Exhausted Solvent Work Station    "- CO2 Fire Supression System,  with Kidde Aegis controller.  CO2 Bottles not included
- 208 V
- 19.0 A
- 60 Hz
- 1 Phase"
Verteq    IPA 2800    IPA Vapor Dryer    "- Automatic and manual operation
- IPA level sensor
- IPA Supply low
- Cooling water flow
- Cooling water temp.
- Exhaust air flow loss
- N2 pressure loss
- Air pressure loss
- DI water flow
- N2 flow loss
- IPA leak detector
- Purity limit
- IPA Fluid temp."
Hamatech    104180    Automatic Substrate Cleaner    "- Clean room class 100
- Substrate size: 6.5"" X 6.5"" Max
- Nozzels for bottom side rinse
- Nozzels for chamber rinse
- Swing arm for hi-pressure DI water and micro strip spray
- Swing arm for acid spray
- Nozzels for DI water and hydrogen peroxide spray"
Philips / Panalytical    DCD 120    Double Crystal Diffractometer    "- 2.2 kW copper tube
- InP Crystal, Angle 31.7 degrees
- Measurement range: 26.7 to 36.7 degrees.
- Xenon detector
- Sample Chucks
(1) 2"" to 8"" universal chuck
(1) 7 site 2"" chuck
(1) Multichuck; (2)2"", (1)3"", (1)4""
- Window 98"
Philips / Panalytical    X'Pert HR 2    High Resolution X-Ray Diffractometer    "Tube: Cu, 2400W
Detector: PW1711/90, No. Dy1060
Optic: Type: 9430 920 00601, No. Dy675
Stage: Type: 9430 920 00651, No. Dy 651
Software: Xpert Data collector V2.0E /  Xpert Epitaxy V4.1
- 220 V
- 20.0 A
- 60 Hz"
Nexx    Cirrus 300    CVD Using ECR Technology. Formerly PlasmaQuest Astex    "- Low Temperature
- PQ2000 Series permanent magnet low profile ECR source.
- ASTeX AX2110 microwave power supply with remote magnetron head.
- Output 150 - 1000 Watt, PC controlled
- 20 inch outer diameter reactor chamber with Viton seals.
- Six (6) MKS Instruments 2179A Kalrez sealed mass flow controllers, expandable to seven"
Oxford    Plasmalab System 100    PECVD TEOS Tool with Load Lock    "- Advanced Energy LF-5--RF Generator
- Advanced Energy RFX 600A--RF Generator
- 208 V
- 25.6 A
- 50/60 Hz
- 3 Phase"
Applied Materials    P 5000    Hybrid System: Two MxP Etch Chambers, One PECVD Nitride Chamber    "- Includes Modular Remote Frame
- 240 V
- 200.0 A
- 50/60 Hz
- 3 Phase"
Applied Materials    P 5000 MARK II    TEOS Deposition, Oxide Etch    - 3 Chambers
PlasmaTherm    VLR 700 VLR-PM 1-ICRB-PM    PECVD    "- Single Chamber PECVD
- Mixed Frequency Deposition
(MFD) Both High Frequency (13.56 MHz) and Low Frequency (50-460 kHz)
- RF power delivered both electrodes
- Water Cooled"
FEI    200 XP TMP    Focus Ion Beam System    "- Prelens Ion Column
- 50mm Four Axis Stage
- CDEM (Continous Dynode Electron Multiplier) Seconday Detector
- (3) Gas Injection Systems (GIS).
      Pt Deposition, IEE(insulator enhanced etch), SCM (selective cabon milling)
      NB:  GIS do not contain precursor materials
- Charge Neutralization System
- Turbo Pumped Vacuum System"
Anatech    IG 50    Filamentless Ion Source    "- One gas input, no gas controls
- Stable beam currents from 2 mA at 25 eV in Argon to 35 mA at 1200 eV in Oxygen respectively Model IS 300 Controller with cable
- Controller dimensions: 19""W x 13""D x 5.5""H"
Karl Suss    MA 150 M    Manual Mask Aligner    "- Alignment/Exposure Modes: Hard, Soft, Vacuum and Proximity
Accessories:
- Dual Video Microscope: Model DVM6
Objectives:
- Olympus UMPlanFI 5x/0.15
- Olympus UMPlanFI 10x/0.03
- Olympus LMPlanFI 20x/0.40
Power Reqs:
- 220 V
- 10.0 A
- 60 Hz
- 1 Phase"
Quintel    Q-804    Mask Aligner/Exposure System    "- Chucks:2""-3""-4""
- 115 V
- 0.8 A
- 50/60 Hz
- 1 Phase"
Quintel    UL 7000 IR    Mask Aligner with IR Backside Alignment    "Split field video with MPLAN APO 5X objectives
Print Modes:
- Vacuum
- Pressure
- Proximity
- Vacuum calibration
- Pressure calibration
Power Requirements:
- 120/240 V
- 50/60 Hz
- 1 Phase"
Headway    CB 15    Manual Photoresist Spin Coater    "- Bench top spinner: Model CB15 with N2 blow off
- Power pack: Model PWM 103
- Speed control: Model PWM 103
- Dispense control: Model 1-EC102-1
- Resist pump:
- Foot switch:
- Vacuum chuck: Not included"
Headway    PWM 202-BD 5-CB 15    Manual Photoresist Spin Coater    "- Controller: Model PWM202-BD5-CB15
- Foot Switch
- Vacuum chuck supplied: 3""
- 120 V
- 50/60 Hz
- 1 Phase"
Laurell    WS-200-8 NPP RV    Manual Photoresist Spin Coater    "- Digital RPM Readout
- 115 V
- 50/60 Hz
- 1 Phase"
Karl Suss    Respect 600    Automatic Photoresist Coater    "- Programmable controller
- PC with windows NT and applications program
- Touch screen
- RS 232 Interface
- Vacuum monitoring
- Edge bead removal
- Hotplate with vapor prime process
- Programmable outlets
- External process gas connection
- External cabinet exhaust connection
- Automatic exhaust control
- Media control panel
- Step up transformer: 208 to 400 V, 3 phase"
AIO Microservice    8826    Dual Track Coat System    "- Track control: SVG 8636 HPO
- Dispense control: CYBOR model 50502
- Dispense pump: CYBOR model 5026C
- Power supply: CYBOR model 518"
Brewer Science    CEE 4000    Programmable Automated Coat/Bake Track System    "- 0-6000 rpm spin range
- 1-30,000 rpm/sec acceleration - unloaded
- Hot chuck size: 10"" x 10""
- Temperature range: 50-300°C
- Temperature control: programmable digital PID
- 120/220 V
- 50/60 Hz
- 1 Phase"
Solitec    5110 SJC    Scrubber/Hi Pressure Spray    - Semi-automatic (manual load/unload) operation
Solitec    820-CB    Photoresist Coat & Bake System    "- Automatic Two-station
- Resist Uniformity: 75 angstroms, 3 sigma
- Microprocessor Controlled (10 program capacity)
- Fast Hot Plate Bake: +/- 1.5ºC uniformity
- Speed Range: 200-8,000 rpm (solid state servo control)
- Acceleration Range: programmable from 0-40 krpm/s
- Hot Plate: up to 300ºC vacuum assisted enclosed bake
- Beltless Elevators
- Nitrogen Requirement: 1 connection, 1/4"" OD, 60 psi
- Vacuum Requirement: up to 4 connections, 1/4"" OD"
Solitec    820-PTDB    Temperature Controlled Positive Developer    "- with Hot Plate Bake
- Load: automatic cassette to cassette
Beltless Elevator
- Cassette: Fluoroware 72 and 82 series
- Throughput: ~ 80 wafers/hour
- Completely jacketed process lines, valves, and spray heads
- Spray or Puddle Develop
- Microprocessor Controller (10 program capacity)
- Compact Design (footprint occupies < 6 sq ft
- Speed Range: 200-8,000 rpm (solid state servo control)
- Acceleration Range: programmable from 0-40 krpm/s
- Hot Plate: up to 300ºC vacuum assisted enclosed bake
- Nitrogen Requirement: 1 connection, 1/4"" OD, 60 psi
- Vacuum Requirement: up to 4 connections, 1/4"" OD"
C&D Semiconductor    8126    Photoresist Coat/Developer Tracks    "Coat track:
- Coat,bake,chill, receive and bottom edge bead removal
Develop track:
- Send,bake,chill,develop and receive
One tool configured for 3"" wafer
One tool configured for 2"" wafer"
Spintrac    Spinball Combo    Coater Development System    "- This is a Spinball combo type tool in a Tractrix Frame.  
- OEM Part Description: SYS, SB, HPO/CP/HPO/CT/DEV, TT5FRM
- 220/240 V
- 40.0 A
- 50/60 Hz
- 1 Phase"
Karl Suss    FALCON - ACS 200    Coating, Developing Cluster System    "- Fully Automated High Throughput
(2) Standard Robots
(12)Wafer storage positions
- MAXIPEC-3000 Air conditioning and filtration unit specifically designed for Falcon system providing class 10 environment"
Karl Suss    GAMMA 60    Coating and Developing Cluster Tool    "- (2) Julabo Model F25 Chillers
- System currently for both 2"" and 3"" substrates. Genmark Model GENCOBOT IV
- Power requirements: 400V, 3 phase, 50/60 Hz. NB: Transformer can be fitted to customer required voltage"
Silicon Valley Group    8136    Hot Plate Oven    "- Single Track
- 120 V
- 15.0 A
- 60 Hz
- 1 Phase"
AB-M    IR Wafer Aligner    IR Wafer Aligner Station    "- Camera: DAGE m/n NC-67 MX
- Camera control; DAGE m/n NC-67X
- Monitor; AUDIOTRONICS
- Two DOLAN JENNER light sorces
- EFOS Ultra cure UV light sorce m/n P1000"
CVC    AST-304    Sputtering System, Ion Etch    "- Three 8"" DC Magnetron/Diode electrodes, One 2.5cm Ion Source
Ion Source
- Ion Tech Type B94AL Ion Source
- Max. beam output: 10mA
- Normal accelerating voltage: 1.0 - 4.5 kV
- Max. accelerating voltage: 8kV
- Max. electron current: 200mA
- Max. power input: 800W continuous
- Typical beam current: close to 100% neutrals
- Commonwealth Scientific IBS power supply/controller."
Mill Lane    4123    Reel Coater for Ribbon or Wire    "Accessories:
- Advanced Energy ATX-1250 matching network
- Advanced Energy ATX-CP control panel
- Two MKS type 120A pressure transducers
- Two MKS type 270 display units
- MKS type 248A upstream pressure control valve
- MKS type 250C pressure/flow controller
- MKS type 247C four channel mas flow controller
- Three MKS type 2159B mass flow control controllers
- Granville Phillips type 302 ionization gauge controller with TC and process control modules"
KDF MRC    643 P    In-Line Sputtering System    "- Three Cathode System -- Two DC Magnetron Cathodes Installed
- 13"" x 13"" Substrate Pallet
- Load Lock Heating
- Process Heating
- DC Bias
- RF Bias
- 208 V
- 100.0 A
- 50/60 Hz
- 3 Phase"
MRC    902    In-Line Sputter-Etch System    "- 208 V
- 100.0 A(2)
- 60 Hz
- 3 Phase"
MRC    903 M    Three Target Inline Sputtering System    "- MKS 252 Exhaust valve controller
- RGA not included(optional)
- 208 V
- 100.0 A
- 60 Hz
- 3 Phase"
Sharon Vacuum        Sputtering System    "- Manual/Automatic pump down control
- Commonwealth Scientific 8"" gridded ion source.
- Sycon Model STM-100/MF thickness/rate monitor
- Lake Shore Modle 818 Cryopump Monitor
- Advanced Energy MDX 5K Magnetron Drive
- Advanced Energy AZX 90 Tuner
- Rotary substrate holder(holds up to 8"" substrate)
- Interior Chamber Dimensions: 21.5"" x 21.5"" x 21.5"""
Varian    3118    Vacuum Coater System    "- High Performance
Varian VHS-6 Diffusion pump
- With 8.4 liter LN2 reservoir
- Pumping speed: 2400 l/s air
- Maximum throughput (at 1E-2 Torr): 4 Torr l/s
- Operating range: 1E-3 to < 5E-9 Torr
- Fluid Charge: 500 ml
- Power: 2200 watts
- 1 ph, 50/60 Hz, 115/208/240 VAC"
Varian    3190    Sputter System    "- Load locked single wafer sputter, cassette to cassette vertically mounted for sideways sputter
- 480 V
- 22.0 A
- 60 Hz
- 3 Phase"
Vergason Technology    Press-Side 2000    Rapid Cycle Metalizing Systems    "Roughing module:
- Leybold SV200 with WSU501
High Vacuum module:
- Varian Model VHS-10 diffusion pump with Leybold SV200
Power Req:
- 480 V
- 60 Hz
- 3 Phase"
CHA    SSC 1000    Sputtering System    "- 120/208 V
- 100.0 A
- 60 Hz
- 3 Phase"
CVC    611 Load Lock    Batch Type Production Sputtering System    "(3) DC Cathodes -- 8"" in diameter
(1) Ion Source -- 8"" in diameter
(2) Process gas inputs, expandable to four
(1) Load lock with elevator"
Mill Lane    Custom Research    Dual Chamber Research Sputtering System    System Consist of Two Chambers Segregated by a rectangular Gate Valve With a Specimen Transfer System
Airco / Temescal    VES 2550    E-Beam Deposition System    "- Four Pocket
- Temescal Model CV 14 Power Supply
- FDC 8000 Film Thickness Monitor
- High Speed Planatary for six inch wafers
- 208 V
- 70.0 A
- 60 Hz
- 3 Phase"
Balzers    BAK 550    E-Beam Evaporator    "- Model CV-6S Power Supply
- Six Pocket Gun
- Model TRC-3460 Crucible Index Controller"
Balzers    BAK 640    Four Pocket E-Beam System    "Vacuum System:
- CTI Model On-Board 400
- Balzers Model WKP 250 A
- Balzers Model UNO 030 A"
CHA    SEC-1000-RAP    E-Beam Evaporator    "- Six Pocket
- CTI 10 Cryopump
- LN2 Cold Trap
- Model MPT-300 Molecular Sieve Mechanical Pump Trap (100 Watt)
- CTI 8500 Compressor
- Edwards E2M40 Mechanical Pump"
Denton    DV-SJ/ 26    E-Beam Evaporator    "- Four Pocket
- Water cooled stainless steel chamber
Substrate rotation
- (2) MKS 2259B Mass Flow Controllers - 100 sccm
- Inficon IC 6000 Deposition Controller
- High voltage glow discharge supply and controller.  Glow discharge not installed in  chamber"
DynaVac        High Capacity Cylindrical System    "- Leybold pump package:
S400F/WAU2001
(3)CVI Torrmaster TM500 Cryopumps
(2) Interchangeable substrate carousels with flipping option
(3) Telemark Model TT-10 Power Supply
(3) Telemark Model TT-10/15 Controller
(3) Telemark Model 271 4 Pocket Electron Beam Gun
(2) Granville-Phillips Model 316 Vacuum Gauge controller
(1) Granville-Phillips Model 370 Stabil-Ion Controller
(2) DynaVac Ion Source Controllers Model 8542
(2) Advanced Energy MDX 5K Magnetron Drives Model 3152011-000AC
(3) Lakeshore Cryotronics Temperature controllers Model 818
(1) MKS Type 250 Controller
(3) Inficon Deposition Controllers IC/5, Model 760-500-G2
(3) Dual Crystal Sensors
(1) Polycold Model PFC-550-HC
(1) Polycold Model PFC-110-ST"
Leybold    LAB 600 EB    E-Beam Evaporator--Ion Assist    "- Four Pocket
- Chamber: 23""W x 23""D x 29""H
- E-gun: ESV14 with 4 pocket crucible
- Ion source: Commonwealth Mark II
- Commonwealth N2000 Neutralizer power supply
- Model 5000 hollow cathode electron source
- Leybold IC5 deposition controller
- Lebyold EGC28 electron beam evaporator controller
- Dual crystal sensor
- PLC+PC visualization
- Schnider E-Technik Hi voltage power supply
- Brooks Instrument 0151E Flow controller
- GWK recirculating chiller
- Polycold chiller m/n 552
- Planetary for (15)-2"" wafers
"
Airco / Temescal    BJD 1800    E-Beam Evaporator    "- Six Pocket
- 18"" Dia., water cooled
- Dual crystal sensor
- TP 8-18 Substrate fixtrue
- Single dome configured for (10)3"" Wafers and (7) 2"" Wafers
- Control console:
- Fanuc system computer
- Granville Philips 360 Gauge controller
- Leybold IC/5 Deposition control
- Temescal programmable sweep control
- Edwards Hi vac control
- MC-4C Planetary motor control
- SIMBA 2 power supply
- Temescal gun control"
Airco / Temescal    BJD-1800    E-Beam Evaporator    "- Six Pocket
- 18"" in diameter x 9"" high stainless steel substrate dome chamber
- 4"" veiw port
- Airco Temescal VersaVac 2
- Thermocouple/Ionization gauge
- Airco Temescal CV-8A Power Supply
- Airco Temescal XYS-8 Sweep Control
- FDC 8000 Film Thickness Monitor
- 208 V
- 70.0 A
- 60 Hz
- 3 Phase"
Airco / Temescal    VES-2550    High Volume Evaporator    "- Six Pocket
- (4)1000 Watt substrate heaters
- Leybold IC/5 deposition controller w/dual crystal monitor"
Disco    DFD 651       
Disco    DFD 651       
ASML    5500 / 55       
ASML    5500 / 50       
Airco / Temescal    BJD-1800    Filament Evaporator    "Source Chamber:
- 18"" Dia x 18"" High, water cooled, 304 stainless steel
Source Tray
- 18"" Dia flat plate with 10 1"" dia. feedthrough ports
Source Configuration
- 2 crystal holders with shutters
- 1 EMI EMS 7.5V 600A DC Power Supply
- 2 Inficon feedthroughs with air
- 2 Oscillators
- 1 Inficon IC 5 Deposition Controller
- Granville Philips 307 Gauge Controller with process control
Power Req:
- 208 V
- 70.0 A
- 60 Hz
- 3 Phase"
CHA    SEC-600 RAP    Filament Evaporator    "- Cyro Pumped
- CHA Auto-Tech II Controller
- CHA Gauge Controller
- CHA 2kVA Transformer
- Bell Jar: 19""
- 230 V
- 60.0 A
- 60 Hz
- 3 Phase"
Bruker    B-MN 65 / 260    Direct Current Power Supply    "- 208 V
- 90.0 A
- 50/60 Hz
- 3 Phase
- Water Cooled"
Copley Controls    234    DC High Power Amplifier    "Power Requirements:
- Fan Supply Required +28 V @ 2 A
- High Voltage Supply +50 V to +160 V
- Current required to supply load I2R losses plus amplifier losses
- Quiescent Current 1.3 A
- Internal Capacitance 72000  μF"
Electronic Measurement    ESS 130-115    DC Power Supply    "- 480 V
- 30.0 A
- 47-63 Hz
- 3 Phase
- Air Cooled"
Electronic Measurement    ESS 300-35    Direct Current Power Supply    "- 200-240 V
- 35.0 A
- 47-63 Hz
- 3 Phase"
Electronic Measurement    ESS 400-25    Direct Current Power Supply    "- 200-240 V
- 35.0 A
- 47-63 Hz
- 3 Phase"
Electronic Measurement    ESS 500-30    DC Power Supply    "- Forced Air Cooled
- 220 V
- 60.0 A
- 47-63 Hz
- 3 Phase"
Electronic Measurement    ESS 80-185    Direct Current Power Supply    "- Power Requirements: 400 VAC, 47-63 Hz, 40 amp, 3 phase, 5 wire
Overvoltage Protection
- Maximum Output Voltage: 80Volts
- Maximum Output Current: 185Amps
- Maximum Output Power: 14.80 kW"
Electronic Measurement    TCR 20 T 500-4-D-OV    Direct Current Power Supply    "- 480 V
- 30.0 A
- 60 Hz
- 3 Phase"
Lamda    EMHP 200-175-D    DC Power Supply    "- High Power
- AC input: 480V +/- 10%
- 57-63 Hz
- 3 Phase"
Sorensen    PRO 30033 T 1 M 9 C    Direct Current Power Supply    "- Forced Air Cooled
- 208 V
- 50/60 Hz
- 3 Phase"
TDK-Lambda    GEN 600-17-IS 510-3 P 208    DC Power Supply    "- Programmable
- 208 V
- 45.0 A
- 47-63 Hz
- 3 Phase"
Branson/IPC    7102    Plasma Etcher    "RF Generator:
- 250 V, 20 A, 1 phase
- Exterior dimensions: 17""W x 16.5""D x 8.25""H
- Front panel digital display has CRT display
- Vacuum connection: KF 40
- Etch tunnel dimensions: 11.5""W x 26""D x 11.5""H
- 3"" diameter view port in door"
March Instruments    Jupiter III    Reactive Ion Etch (RIE)    "- Table Top
- 110 V
- 10.0 A
- 50/60 Hz
- 1 Phase"
Oxford    Plasmalab 80 Plus    Reactive Ion Etch (RIE)    "- 208 V
- 26.0 A
- 50/60 Hz
- 3 Phase"
ASML    5500 / 250 C       
Plasmatherm    73 / 74    PECVD/RIE (Plasma Etch/Reactive Ion Etch)    "- Eight Gas Inputs
- RF Plasma RF-5 generator
- MKS Type 252A exhaust valve controller
- Watlow Series 808 electric heater control
- RF Plasma AM-5 Automatching network (700)
- Leybold WSU251/D65BCS roots pumping system
- Tek-Temp TKD100/4000 chiller
- Brooks 5850E Mass Flow Controllers"
ASML    5500 / 100       
ASML    5500 / 200       
Plasmatherm    790    Reactive Ion Etch (RIE)    "- 11"" Electrode
- 208 V
- 60 Hz
- 3 Phase"
Applied Materials    8300    Controller    - Weight: 184 lb
Plasmatherm    790    Reactive Ion Etch (RIE)    "Refurbishment to Include:
- Wet Clean of gas shower and chamber
- Service of throttle valve
- Replacement of viewing windows
Power Reqs:
- 208 V
- 60 Hz
- 3 Phase"
Plasmatherm    VII 734    Reactive Ion Etch (RIE)    "- 200-208 V
- 60 Hz
- 3 Phase"
Plasmatherm    VII 734 MF    Reactive Ion Etch (RIE)    "- Upper and lower electrodes: 12"" x 12"" w/2"" - radius corners
- Lower electrode: 80 to 350°C
- System power center
Mass flow control
- (8) MKS mass flow controls, 4 @ 200 sccm and 4 @ 100 sccm
- Gases used: C2H4, N2O, CF4, Ar ,H2
Temperature controller:
- Tek-Temp Model MM1500/3000
- 208 V, 30 A, 60 Hz, 1 phase
- 27""W x 28""D x 34.5""H
- Refrigerant R-12
- Mechanical pumps not included."
Plasmatherm    Wafer Batch 740 / 740    Dual Plasma Etch and Reactive Ion Etch (RIE)    "- Four Gas
- Chiller: Tek-Temp MM1500/3000"
Technics    Series 200    Reactive Ion Etch (RIE)    "- 110/120 V
- 15.0 A
- 60 Hz
- 1 Phase"
LAM Research    490 Auto Etch    Nitride Etch    "- Six Inch
- Five Gas"
LAM Research    4420    Polysilicon Etch    "- 208 V
- 30.0 A
- 50-440 Hz
- 3 Phase"
Plasmatherm    790 ICP    Inductively Coupled Plasma Etcher    "- With 9.5 Inch Electrode
- Three Gas
- 208 V
- 60.0 A
- 60 Hz
- 3 Phase"
Surface Tech    MXP Multiplex ICP ASE HR    Silicon Etch Bosch Process    "- Windows 2000
- Bosch license
- Current Power Requirements: 400V , 50Hz, 40 Amp, 3 phase
- Unit can be configured for: 208/460, 60 Hz, 3 Phase"
Plasmatherm    Unaxis 790    Shuttle Load Lock System    - Eight Gas
PlasmaQuest    357 ECR    ECR Plasma Etcher    "- High Vacuum Pump: Balzers TPH 2200
- RF Generator Model: ENI ACG-3
- Automatch Text: ENI Matchwork 5"
Ulvac    NE 7800    Ferroelectric Etcher    "- Water Cooled
- 208 V
- 220.0 A
- 60 Hz
- 3 Phase"
Trion    Oracle    Oxide Etch    "- 208 V
- 49.0 A
- 60 Hz
- 3 Phase"
Anatech    MP 600    Quartz Barrel Plasma Etcher    "- 600 Watts
- Two Gas
- 115 V
- 60 Hz
- 1 Phase"
Branson/IPC    4155 / 2    Plasma Asher/Etcher    "- Water Cooled
- 200-240 V
- 20.0 A
- 1 Phase"
Branson/IPC    L 2101    Barrel Resist Stripper    "- Five Gas
- Water Cooled
- 240 V
- 30.0 A
- 50/60 Hz
- 1 Phase"
Branson/IPC    P 3075 / 2    Barrel Resist Stripper    "- Controller: P3000
- Power distribution module: Part number 13092-01
- R.F. Generator PM 332: Part number 13050-01
- Faraday Cage: diameter 7"", depth 19"""
Branson/IPC    PM-11020    Barrel Resist Stripper    "- Air Cooled
- Two Gas
- Controller: Model 4000C P/N 10453-B
- RF Generator: Model PM-112 P/N 04015-W
- 208/240 V
- 40.0 A
- 50/60 Hz
- 1 Phase"
Branson/IPC    S 210011220    Plasma Ashing/Etching System    "- 1000 Watts
- Three Gas
- Forced Air Cooled
- 208/240 V
- 40.0 A
- 50/60 Hz
- 1 Phase"
March Instruments    SUPERPLASMOD    Plasma Cleaner with 300W Power Supply    "- Air Cooled
- Single Gas
- 300 Watts
- 110 V
- 13.0 A
- 50/60 Hz
- 1 Phase"
Metroline    M 4 L    Box Plasma Etcher    "- 1000 Watts
- Three Gas
- 120 V
- 30.0 A
- 60 Hz
- 1 Phase"
Canary Technology    CT 3533-130    Tube Furnace    "- Six Pack- one three stack 4"" capable and one 6"" capable three stack furnace
- 480 V
- 115.0 A
- 60 Hz
- 3 Phase"
Kokusai    2950704    Horizontal Diffusion Furnace Tube    "3 Zones
- Zone 1: 10""
- Zone 2: 30""
- Zone 3: 10""
Refractory Opening: 7.75""
Thermocouples not included
Thermocouple openings: 5"
MRL Industries    400-902959    Furnace Tube    "- Zones: 3, center zone has 3 sections
- Load zone: 10.00"" long, 2.3 KW, 240 volt.
- Center zone: 36.00"" long, 5.4 KW, 240 volt.
- Source zone: 10.00"" long, 2.3 KW, 240 volt.
- 3"" Refractory opening, 5"" I.D.
- Thermocouples not included."
MRL Industries    400-918104    Furnace Tube    "- Zones: 3, center zone has 3 sections
- Load zone: 10.00"" long, 2.3 KW, 240 volt.
- Center zone: 36.00"" long, 5.6 KW, 240 volt.
- Source zone: 10.00"" long, 2.3 KW, 240 volt.
- 3.75"" Refractory opening, 6"" I.D.
- Thermocouples not included."
MRL Industries    400-920047    Black Max Horizontal Diffusion Furnace Tube    "3 Zones:
- Load Zone 12"", 4.8 kW, 41 volt
- Center Zone 30"", 10.34 kW, 96 volt
- Source Zone 12"", 4.8 kW, 41 volt
Refractory Opening: 7.75"", I.D. 8.5""
Thermocouples not included
Thermocouple openings: 7"
Thermtech    15310 SC    Diffusion Furnace    "- 240/480 V
- 48.0 A
- 3 Phase"
Zircar    FX 2254    Furnace Tube    "5 Zones
- Heated Zone Length: 31""
- Refractory Opening: 12.875""
- Thermocouples not included
- Thermocouple opening: 5"
AG Associates    2146    Rapid Thermal Process (RTP)    "- Single Chamber
- Configured for 4"" wafers
- Temp. range: 700 to 1220°C
- Cooling rate: 80°C/sec (programmable)
- Auto cassette to cassette wafer handling"
G&N Grinding Machine    MPS R 400 DS JSP 400    Backside Grinder -- Single Spindle   
Gaertner    L 2 W 16 E. 1550    Ellipsometer    "- Two wavelength
- Lasers
- He Ne: 633 nm
- IR: 1550 nm
- Vacuum Stage:150 mm"
Gaertner    L 116 B    Ellipsometer    "- Gaertner GEMP Version 1.2 software
- Windows 2000
- National instrument PCI-6503 card installed
- 5""  XY rotating tilting stage
- Source: 633 nM HeNe laser
- 120 V
- 0.8 A
- 50/60 Hz
- 1 Phase"
Rudolph Research    AUTO EL RE-350    Ellipsometer    "- Max sample size: 6"" x 6""
Resolution & accuracy:
- Polarizer or analyzer: 0.05°
- Delta: 0.1°
- PSI: 0.05°
Measuring time:
- Single film: 15 seconds
- Double film: 20 seconds
Sample stage:
- X-Y-Z
- Vacuum hold down
- Illumination source: Pre-fochalogen bulb
- 115 V
- 50/60 Hz
- 1 Phase"
Rudolph Technologies    AUTO EL    Ellipsometer    "- Operating wavelength: 632.8nm
- Max sample size: 6"" x 6""
Resolution & accuracy:
- Polarizer or analyzer: 0.05°
- Delta: 0.1°
- PSI: 0.05°
Measuring time:
- Single film: 15 seconds
- Double film: 20 seconds
- 115 V
- 50/60 Hz
- 1 Phase"
Veeco    Dektak 3030    Profilometer    "- Measuring Range: 100Å to 1310kÅ
- Scan Speed Range: Low, Med, High
- Vertical Resolution: 1Å in the 65kÅ range, 10Å in the 655kÅ range, 20Å in the 1310kÅ range
- Data Points per micron: 0.04 -- 40
- Scan Length 50 microns to 50 mm
- Leveling: 2 point programmable or cursor leveling
- Stylus: 12.5 micron, diamond (other sizes are optional)
- Maximum sample thickness: 1.75 inches
- Sample stage diameter: 7 inches
- Sample stage translation, manual: X axis: 6"" and Y axis: 3""
- Maximum sample weight: 1 lb
- Zoom range: 35X -- 200X"
Veeco    Dektak 3030    Stylus Profiler    "- Measuring Range: 100Å to 1310kÅ
- Scan Speed Range: Low, Med, High
- Vertical Resolution: 1Å in the 65kÅ range, 10Å in the 655kÅ range, 20Å in the 1310kÅ range
- Data Points per micron: 0.04 -- 40
- Scan Length 50 microns to 50 mm
- Leveling: 2 point programmable or cursor leveling
- Stylus: 12.5 micron, diamond (other sizes are optional)
- Maximum sample thickness: 1.75 inches
- Sample stage diameter: 7 inches
- Sample stage translation, manual: X axis: 6"" and Y axis: 3""
- Maximum sample weight: 1 lb
- Zoom range: 35X -- 200X"
Veeco    Dektak 3030 Auto II    Profilometer    "- Measuring Range: 100Å to 1310kÅ
- Scan Speed Range: Low, Medium, High
- Vertical Resolution: 1Å in the 65kÅ range, 10Å in the 655kÅ range, 20Å in the 1310kÅ range
- Data Points per micron: 0.04-- 40
- Scan Length 50 microns to 50 mm
- Leveling: 2 point programmable or cursor leveling
- Maximum sample thickness: 1.75 inches
- Sample stage diameter: 6.375 inches
- Sample stage translation (from center): X  Axis, ±3 inches, Y Axis -3 inches
- Stylus 12.5 micron radius (other sizes are optional)
- Printer is not included"
Rigaku    TXRF 300 S    X-Ray Fluoresence Spectrometer    "- Water cooled diffraction x-ray tube
- Target:  Au
- Electron gun:  W filament
- Focusing size: .4 x 12 mm on the target
- Maximum load:  1.2kW
- 208 V
- 50/60 Hz
- 3 Phase"
Thermo Scientific    Micron VXR    Microbeam XRF    "- 30 mm2(squared), Silicon drift detector (SDD).
- Cr Tube target
- Resolution: 170 eV
- 75X micron Optic
- Duel encoded servo.
- Advanced FP Option.
- Version 4.9 Software
- PC running Windows XP Pro service pack 3"
Thermo Scientific    MicroXR GXR/C    X-Ray Fluorescence System    "- X Ray tube: 47KV @ 1ma
- Beam size: 1.5 Mill/40-45 Micron
- Resolution: 1000 EV
- Filter: Nickel/Cobalt
- 120 V
- 5.0 A
- 50/60 Hz
- 1 Phase"
McPherson    2035    "UV-VIS-IR Spectrophotometer    "    "- Model 2035: Scanning monochromator
- Model: 107 Reflectance/Transmittance unit
- Model: 647 Air path filter
- Model: 789A-3 Digital scan control and motor driver
- Model: 621 Tungsten halogen lamp house
- Model: 621 Ceramic element lamp house
- Model: 718 DC power supply
- Software version 3.4
- PC and monitor not included
- Stanford Research light chopper control
- 115 V
- 50/60 Hz"
KLA-Tencor    FLX-2350 FP    Thin Film Stress Measurement System    "- Flat panel sizes up to 550 x 650 mm
- Scan points: Maximum of 1250 per scan
- Light Source: Class IIIa laser 4mW power and 670nm wavelength and Class IIIb laser with 4 mW power and 750nm wavelength
- 115 V
- 8.0 A
- 50/60 Hz
- 1 Phase"
Veeco / Digital Instruments    D 5000-1    Atomic Force Microscope (AFM)    "- OS: Windows XP Pro
- Stage: Radius Theta Stage, 300mm diameter inspectable area
- Sample Size: =300mm, 12mm thick
- Video Zoom Microscope: 10x objective, 2x TV Camera tube"
Veeco / Digital Instruments    Dektak SXM    Atomic Force Microscope (AFM)    "- Sample size: 200mm
- Sample thickness: 10mm Max.
- Max sample weight: 220 grams
- Scan field: 70 x 70 micron
- Vertical range: ±7 micron
- Linearity: ±0.2%
- Orthogonality: 90 degrees ±3%
- Three-Axis Motion: Colosed-loop feedback control
- Vertical stability:, 1nm
- Sample viewing: 6:1 zoom range with 592 micron x 445 micron normal view image at max zoom.
- OS/2 Operating system"
Esec    CT 2000    Cartesian Assembly Manipulator    "- 6-Axis
- With Wafer Die Eject Series II
- Adept MV-10 Controller
- Motorola Processor (40 MHz, 60 Mb DRAM)
- V+ version 13.1G software (Aim version 2.3G)
- X, Y, and Z servo amps by Western Servo Design
- (2) SONY XC-75 table-mounted cameras with Zoom 70 lens
- Additional vision systems mounted on Z-axis and Waffle Pack feeder
- Placement accuracy of 0.002"""
Esec    CT 2000    Cartesian Assembly Manipulator    "- 6 Axis
- Adept MV-10 Controller
- Motorola Processor (40 MHz, 60 Mb DRAM)
- V+ version 13.1G software (Aim version 2.3G)
- X, Y, and Z servo amps by Western Servo Design
- (2) SONY XC-75 table-mounted cameras with Zoom 70 lens
- Additional vision system mounted on Z-axis
- Placement accuracy of 0.002"""
Esec    CT 2000    Cartesian Assembly Robot    "- 6 Axis
- with Dual LZRZ Z Heads
- Adept MV-10 Controller
- Software Version 12.1-F2, AIM Version 2.3G, Zevatech Custom Software Version 1.1K Rev A2
- (2) LZRZ Z axis (Micron II)
- Single Arm Camera with Lens, Sony XC-75
- Two Table Cameras with Lenses, Sony XC-75"
Laurier    DS 3000    Automatic Die Sorter    "- 120 V
- 6.0 A
- 60 Hz
- 1 Phase"
Alcatel    ASM 180 TD    Dry Helium Leak Detector    "- 115 V
- 60 Hz
- 1 Phase"
Alcatel    ASM 52    Helium Leak Detector    "- Automatic Throttling
- 115 V
- 18.0 A
- 60 Hz
- 1 Phase"
Edwards    Spectron 5000    Helium Leak Detector    "- 200-240 V
- 13.0 A
- 50/60 Hz
- 1 Phase"
Edwards Veeco    Spectron 600 T (MS-40)    Helium Leak Detector    "- Helium Mass 3 & 4
- Sensitivity 4E-11 std air cc/sec direct mode
- 4E-10 std air cc/sec reverse mode
- 115 V
- 10.0 A
- 50/60 Hz
- 1 Phase"
Leybold    UL 500    Helium Leak Detector    "- 100-240 V
- 50/60 Hz
- 1 Phase"
Vacuum Instruments    ILD 4000    Industrial Leak Detector    "- 120 V
- 10.0 A
- 50/60 Hz
- 1 Phase"
Varian    936-40    Helium Leak Detector    "- 120 V
- 10.0 A
- 50/60 Hz
- 1 Phase"
Intertest    1014-CII/He    Helium Preconditioning System    "- Dual Chamber
- 115 V
- 20.0 A
- 50/60 Hz
- 1 Phase"
Uson    1100    Leak, Flow and Function Test System    "- Touch screen
- Fixture seal test function
- Pressure and flow plot graph
- Five test programs with step editing
- Program and data storage on PCMCIA card
- CE label"
Uson    Q 624    Differential Pressure Decay Tester    "- 100-240 V
- 50/60 Hz
- 1 Phase"
Disco    DAD-2 H / 6 M    Dicing Saw    "- 240 V
- 20.0 A
- 60 Hz
- 3 Phase"
Disco    DAD 2 SP / 6 T    Automatic Dicing Saw    "- 208/240 V
- 50/60 Hz
- 1 Phase"
Disco    DAD 320    Dicing Saw    "- 6""
- 200-208 V
- 3 Phase"
Disco    DWT-13 R Temp Controlle    Water Temperature Controller    "- 200-208 V
- 20.0 A
- 50/60 Hz
- 3 Phases"
Disco    NCP 00025 / Spindle    Spindle    "- Rebuilt
- Weight: 20 lb"
Federal Mogul    1073-3 / Spindle    Saw Spindle    - 36 lbs
K&S    Film Mounter 382 G    Adhesive Film Mounter    "- 120/240 V
- 2.5 A
- 1.0 A(2)
- 50/60 Hz
- 1 Phase"
Micro Automation    1100    Wafer Dicing Saw    "- Vacuum Requirements: 20"" Hg minimum
- Power: nominal 900W, maximum 1500W
- 110/120 V
- 50/60 Hz"
Villa Precision    FAST 2400 S    Scriber    "- Three Head Shapes
- Windows NT Operating System
- 100-240 V
- 50/60 Hz
- 1 Phase"
Micro Automation    2006    Wafer Cleaning Station    - Fully programmable
Ultron    UH 101    UV Curing System    - Semi-Automatic
Ultron    UH 104-8    UV Curing System    "- Compact, desktop profile accommodates up to 8"" (200mm)
- Fast curing time
- Programmable microprocessor-based controller
- Repeatable, reliable, single-frame, manual operation
- Cool, low temperature UVA 365nm curing process
- Quartz-glass window
- Lamp intensity measurement port
- 115 V
- 5.0 A
- 50/60 Hz
- 1 Phase"
Ultron    UH 120    Wafer/Substrate Fracturer    - Semi-Automatic
Ultron    UH 130    Die Matrix Expander    "- 115 V
- 3.0 A
- 50/60 Hz
- 1 Phase"
Mech-El    709    Eutectic Die Attach System    "- 115 V
- 5.0 A(2)
- 50/60 Hz
- 1 Phase"
Hybond    EDB 140 A    Epoxy Die Bonder    "- Semiautomatic
- 115 V
- 5.0 A
- 60 Hz
- 1 Phase"
K&S    6495    Hybrid Epoxy Die Bonder    "- Can be operated in both manual and semiautomatic modes
- Capable of using both the stamper or dispenser systems for adhesive application
- CCD cameras for die and package images.
- 2"" x 2"" pedestal and 4"" x 4"" pedestal
- Work holder not included"
Asymtek    403 Gantry System    Automove Fluid Dispenser    "- Travel XY: 18"" x 18""
XY Specifications:
- Resolution: 0.001
- Velocity: 0-20""/second (programmable
- Repeatability: ± 0.001""
- Absolute accuracy: ±0.005""
- Teach accuracy: ±0.001""
- Squareness: ±0.005""
Z Specifications:
- Travel: 2.2""
- Resolution: 0.002""
- Velocity: 1.5""/second"
Camalot    1818    Dispensing System    "- 120 V
- 10.0 A
- 60 Hz"
Camalot    1818    Dispensing System    "- 120 V
- 15.0 A
- 60 Hz
- 1 Phase"
Camalot    5700    Dispensing System    "- 230 V
- 16.0 A
- 50/60 Hz
- 1 Phase"
Sealant Equipment    See-Flo 690    Positive Displacement Dispense System    "- Allen Bradley SLC 500 Programmable Controller
- Two component dispense valve Model 2200-545-000
- Two Supply tanks Model 236157
- First inertia switch S-3181
- Electrical circuit EL-690-039
- 120 V
- 20.0 A
- 50/60 Hz
- 1 Phase"
Innovative Machines    200    IMI Mini-Printer    "- Screen Width: Unlimited
- Screen Depth: 19 inches
- Max. Print Width: 12.0 inches
- Max. Print Depth: 12.0 inches
- Mimimum Cycle time: 3.3 sec.
- Air: 2 CFM at 80 PSI
- 115 V
- 5.0 A
- 60 Hz
- 1 Phase"
MPM    SP 2400    Screen Printer    "- System specifications:
- Print area: 20"" x 20"" max
- Frame size: 29"" x 29"" OD.
- 115 V
- 15.0 A
- 50/60 Hz
- 1 Phase"
Hybong    616-001    Ultrasonic Peg Bonder    "- Ultrasonic system: PLL self-tuning, 62.5 KHz (±2.5KHz) nominal
- Ultrasonic power range: 0-2 watt on Low setting (default), 0-4 watts on High setting
- Bond time range: Low: 10 - 400 mSec High: 200 - 600 mSec
- Bond force range: 25 - 200 grams
- Bondable wire diameters: 0.5 to 2.0 mils (12,5 to 50 µm) insulated ; 0.5 to 2.0 mils (12,5 to 50 µm) bare
- Bondable ribbon dimensions: Up to 2 x 20 mils (50 x 500 µm)
- Bondable wire/ribbon materials: Gold, gold plated copper, and aluminum
- Bond head movement: Semi-automatic / automatic
- Bond actuation: Sensor at variable height, actuated by pushbutton or footswitch"
F&K Delvotec    5432    Large Wire Wedge Bonder    "- 115/230 V
- 50/60 Hz
- 1 Phase"
Orthodyne    M 360 C    Automatic Wedge Bonder    "- Wire Size:  5 Mil to 20 Mil
- Wire Bond Area: X-250mm x Y-150mm
- Bond Head Assembly: No 172004
- OE Grey Scale Pattern Recognition
- Programmable LED Lighting
- Nikon Stereo Zoom Microscope
- 90-240 V
- 50/60 Hz
- 1 Phase"
Westbond    8500    Automatic Wedge Bonder    "- Programmable
- LCD display
- Wire: Aluminum and gold (18-50 microns)
- 1/2"" Wire spool
- Heated work holder
- B&L Stereo zoom scope w/10X eyepieces
- Monitor
- 115 V
- 5.0 A
- 50/60 Hz
- 1 Phase"
Hybond    572    Thermosonic Gold Wire and Ribbon Wedge Bonder    "Options included:
-Option 17: Motorized wire spool mount with automatic tension control(0.7 Wire or less)
-Option 20: Stitch capability: 1-2-2; 1-2-1; 1-1-2
-Option 31: Solid state temp. controller with tool heat for 0.750"" length tool
-Option 35: Multiple parameter setting module
-Option 15: Heated work stage vacuum and mechanical clamping.
                 Removable inert gas ring.
                 Work pieces up to 1.2""
- 115 V
- 1.5 A
- 60 Hz
- 1 Phase"
K&S    4526    Wedge Bonder    "- Wire diameter range:
- Gold: 0.5 to 3.0 Mil
- Aluminum: 0.8 to 3.0 Mil
- Bond force: 10-160 grams
- Ultrasonic power: 1.3W to 2.5W
- 115 V
- 4.0 A
- 60 Hz
- 1 Phase"
K&S    4526    Wedge Bonder    "- Wire diameter range:
- Gold: 0.5 to 3.0 Mil
- Aluminum: 0.8 to 3.0 Mil
- Bond force: 10-160 grams
- Ultrasonic power: 1.3W to 2.5W
- 115 V
- 4.0 A
- 60 Hz
- 1 Phase"
Mech-El    909 Z    Wedge Bonder    "- 0.5 to 8 mil wire
- B&L StereoZoom 4 with 10X eyepieces
- Set up spotlight feature
- UTHE Techonoliges ultra sonic generator m/n 10G
- 4"" rotary stage
- Spool diameter: 1.922"""
Mech-El    990    Wedge Bonder    "- Ultrasonic generator: UTHE 10E
- Scope: B&L Stereo zoom 4/w 10X eyepieces
- Illuminator not included
- Work holder not included"
Electroglas    2001 X / 2010    Wafer Prober    "- Automatic
- Low boy table: 23"" High
- Pattern recognition
- Automatic wafer profiling
- 100 wafer handling 3"" to 6"""
Electroglas    4085 X    Wafer Prober    "- Automatic
- Pneumatic module
- Prober control Model PCM
- Vision module Model PRM-3
- Controller Model RMHM4
- Display control Model DCM3"
Ultracision    680 E    Probe Station    "- Semi-Automatic
- 1"" x 8"" digital display
- Prober has two inker ports and two edge sensor ports
- Three modes of operation: auto, manual and external
- IEEE and R-232 communications
- Manual theta control
- Micrometer adjustable probe tip over travel"
Wentworth Labs    AWP 1050 ATC    Wafer Prober    - Automatic
Micromanipulator    6000    Analytical Wafer Prober    "- Olympus lamp power supply
- Input: 115/230 V 50/60/Hx
- Output: 12V 100 Watt"
Signatone    S-1150 B-5    Analytical Wafer Prober    "- Microscope: Stereozoom 4 with 20x eyepeices and 0.75x supplementary lens
- Vacuum chuck NOT included"
Wentworth Labs    MP 0901    Analytical Wafer Prober    "Optics:
- Microscop: B&L Microzoom
- Eyepieces  10X W.F.
Power Req:
- 120 V
- 50/60 Hz
- 1 Phase"
Contraves Goerz    828 405 D    Single Axis Direct Drive Rate Table    "- 120/208 V
- 20.0 A
- 50/60 Hz
- 3 Phase"
Microtech    BT 30 X 5    Bubble Tester    - Chamber size: 12"L x 2.75"W x 4.5"D
Web Technology    9050    Centrifuge/Acceleration Test System    "- Speed: 0 to 20,000 RPM
- G Range: 0 to 100,000
I- nsert sizes: 9"" to 14""
- Cycle time: (9"" insert) 3 min. (30,000 G, 1 min. dwell)
- Alcatel 2008A vacuum pump
- 230 V
- 50.0 A
- 60 Hz
- 1 Phase"
Blue M    DC 106 A-GHP-1    Batch Oven    "- 480 V
- 17.0 A
- 60 Hz
- 3 Phase"
Air Control    VLF CART    Flow Storage Cart    "- Vertical Laminar
- Unit contains a 9W X 10H array of stainless steel cubicles (6.25""W x 4""H x 11""D)
- Blower: (2) EBM's STD
- Prefilter #: (2) 16 x 20 x 1
- Hepa Filter #: (1) 18 x 48 x 3"
Atmos-tech    MAT A/S    Air Shower    "- Pass through chamber: 24""W x 24""H x 40""D
- Amber plexiglass in doors
- Pre filter: 24"" x 36"" x 1/2""
- Hepa filter: 24"" x 36"" x 5 7/8""
- 115 V
- 11.0 A
- 60 Hz
- 1 Phase"
Halco    1824-36    Stainless Steel Pass Through    "- with SS Doors with Window
- Double wall construction.
- Mechanical interlocks on both doors.
- Interior Dimensions: 36"" x 18"" x 24"" H"
Terra Universal    010401    Clean Room Pass Through    "- Stainless Steel
- Single wall construction.
- Static Dissapative polycarboate doors wrapped in SS
- Mechanical interlocks on both doors."
Resource Systems    RSD-100-VCR    Hydrogen Purifier    "- Capacity: 100 SCFH
- Power: 800 Watts
- 120 V
- 10.0 A
- 50/60 Hz
- 1 Phase"
Resource Systems    RSD-100-VCR    Hydrogen Purifier    "- Capacity: 100 SCFH
- Power: 800 Watts
- 120 V
- 10.0 A
- 50/60 Hz
- 1 Phase"
Resource Systems    RSD-100-VCR    Hydrogen Purifier    "- Capacity: 100 SCFH
- Power: 800 Watts
- 120 V
- 10.0 A
- 50/60 Hz
- 1 Phase"
MKS Instruments    1150 C-298 V    Mass Flow Controller    "- Gas Type: Water
- Accessories: Metal Seals"
MKS Instruments    1150 C-4037 V    Mass Flow Controller    "- Gas Type: Water
- Accessories: Metal Seals"
MKS Instruments    260 / 261    Mass Flow Control System    "System components:
(2) 260MF-2 main frames
(1) 260PS-2 power supply
(4) Type 261 Digital displays
(8) Type 260 flow control modules"
MKS Instruments    649 A-21405    Mass Flow Control System    Capacitance manometer pressure range 50 Torr
MKS Instruments    649 A 51 T 11 CAVR    Mass Flow Control System    Capacitance Manometer Pressure Range: 50 Torr
Brooks Instruments    5881    Liquid Mass Flow Controller    "- Extremely low liquid flow
- High accuracy
- High repeatability
- Self contained controller
- High tolerance to entrained gas
- Liquid: water
- Range: 100gr/Hr (one unit range of 30gr/Hr)
- Max pressure: 1450 psi
- Max temperature: 65°C
- Signal range: 0-5V/ 4-20Ma
- Mechanical connection: 5/16 - 24 UNF More info on request"
MKS Instruments    VoDM-C    Vapor On Demand Module    "- Water only
- P/N VODMC33CRIBE
- Full scale range: 3000 SCCM
- Inlet: 4 VCR
- Outlet: 8 VCR"
Delatech    857    Controlled Decomposition/Oxidation Unit    "- Inlet: (4)KF 40 ports
- Outlet: (1) ISO K 100 mm"
Millipore    ELIX    Water Purification System    "- Type II Analytical-Grade
- CE Label
- Manual in English and French
- ELIX Cat# ZLXS5003Y
- 30 Liter model PE30 tank
- GRUNDFOS Pump
- Capacity: 3 Liter per Hour
- Extra cartridge"
Sanso    PV 2-4 / BTBSC 2    Hot Loop Cooling Pump    "- Capacity: 50 l/m
- Head: 13.8 Meter
- 208/240 V
- 2.3 A
- 3.0 A(2)
- 50/60 Hz
- 3 Phase"
Denton    Discovery 18       
Electroglas    2001 X       
Frontier Semiconductor    FSM    Four Point Probe   
Axcelis / Fusion    M 150 PC       
Semitool        Sping Rinse Dryer (SRD)    -Dual Stack
Semitool        Sping Rinse Dryer (SRD)    -Single Stack
PVA / Tepla    200       
Trion    Orion    PECVD   
Xactix        XeF2 Silicon Etcher   
Tegal    901 E       
        Lot of Electric Test Equipment   
ADE    UltraGage 9500       
Semitool        Spin Rinse Dryer (SRD)    - 8" Capable
Filmetrics    F 20       
Semitool    Equinox    Plater   
Baseline-Mocon    8800 PID    Volatile Organic Vapor Analyzer    "- 120 V
- 50/60 Hz
- 1 Phase"
Inficon    QUADREX 200    Residual Gas Analyzer    "- Quadrex 200: Part # 901-002-G1
- Mass filter: Part # 901-200-G4
- Sensor: Faraday cup part # 019-100-G1
- Turbovac 50 pump: part # 85402
- Turbo controller: NT10 part # 857-01
- Vacuum 10E-4 Torr or better required for normal sensor operation
- Mass range: 1-200 AMU"
Lucas Labs    B 309    RGA Sampling System    "- 110 V
- 15.0 A
- 60 Hz
- 1 Phase"
MKS Instruments    670 BD 81    Signal Conditioner    "- High Accuracy
- 115/230 V
- 50/60 Hz
- 1 Phase"
Adixen    ACS 2000    Single-Channel Controller and Crystalion Gauge    "- 100-240 V
- 50/60 Hz"
Granville Phillips        Dual Ion Gauge Controller    "- 307015 standard non-UHV electrometer
- 307005 resistive degas option
- 307006 option for sequential operation of the second ion gauge
- 307017 6 relay process control
- Remote I/O
- 307019 RS-232
- 307100 analog output
- 307005/006 power supply"
Granville Phillips        Dual Ion/ Five Thermocouple Gauge Controller    "- 307015 standard non-UHV electrometer
- 307005 resistive degas option
- 307006 option for sequential operation of second ion gauge
- 307014, 307059, 307060 modules for the total of five thermocouple gauges readout
- 307082 two channel set point process control module (TC channels 3 and 5), can be upgraded to 6 channels
- 307019 RS232 communications module optional, add 200"
Granville Phillips        Dual Ion/ Five Thermocouple Gauge Controller    "- 115/230 V
- 1.0 A
- .05 A(2)
- 50/60 Hz
- 1 Phase"
Granville Phillips    370    Stabil-Ion Controller    "- 90-130 V or 180 - 240 V
- Two ion gauge sequential operation
- Two setpoint process control 370108
- RS232 communications 370110
- Cables and gauges not included(inquire about availability)"
Granville Phillips    370    Stabil-Ion Controller    "- Power requirements: 90V to 130V or 180V to 240V user selectable
- Two ion gauge sequential operation with remote analog I/O
- Two Convectron gauge 370106
- Six setpoint process control 370107
- RS232 communications 370110
- Remote analog output
- Cables and gauges not included(inquire about availability)"
MKS Instruments    146 B-BCAOM-1    Vacuum Gauge Measurement and Control System    "- 115/230 V
- 60 Hz
- 1 Phase
Accessories:    146B-BCAOM-1 unit includes the following I/O boards (connectors):
- Cold Cathode Board
- Dual Pirani/ Convectron Board
- Capacitance Manometer Board
- Valve Output/ Control Intrface Board
- Analog Board (blank cover plate)
- General interface I/O
- RS232 interface
"
MKS Instruments    PR 4000 F 21 VN    Digital Power Supply/Readout    "- 115/230 V
- 0.6 A
- 50/60 Hz
- 1 Phase"
Varian    845    Ion/Dual TC Gauge Controller    "- Operates UHV, broad band and standard tubes
- LED Display Two independant thermocouple circuts/ analog readout
- Filament selector switch for UHV tubes
- Two process control set points
- Range: 9.9 x 10-2 to 0.1 x 10 -11 Torr
- 120/240 V
- 1 Phase"
Varian    L 8350-301    Multi Gauge Controller    "- 120/240 V
- 4.0 A
- 50/60 Hz
- 1 Phase"
Legacy Systems        Ozone Generator    "- 220 V
- 20.0 A
- 60 Hz
- 1 Phase"
Samco    UV-300    UV & Ozone Cleaning/Stripping    "- 110 V
- 20.0 A
- 60 Hz
- 1 Phase"
UVOCS    T 10 X 10 /OES    UV Ozone Cleaning System    "- Source size: 10"" x 10""
- Active area: 100 sq. in.
- Exhaust port: 3 "" diameter
- Requires 100 cfm exhaust to operate
- Timer: 0-999 seconds/minutes/hours
- End of cycle beeper
- UV On indicator
- 110 V
- 1.6 A
- 60 Hz
- 1 Phase"
CTI Cryogenics    CRYO-TORR 10    Cryopump    "- Pumping Speed Water Vapor: 9,000 l/s  (19,071.84 CFM)
- Pumping Speed Air: 3,000 l/s  (6,357.28 CFM)
- Throughput--Argon: 1,500 l/s  (3,178.64 CFM)
- Pumping Capacity--Argon: 2,000 l/s  (4,238.19 CFM)"
CTI Cryogenics    CRYO-TORR 8 -- 8033168        "- Pumping Speed Water Vapor: 4,000 l/s  (8,476.37 CFM)
- Pumping Speed Argon: 1,200 l/s  (2,542.91 CFM)
- Pumping Speed Air: 1,500 l/s  (3,178.64 CFM)
- Pumping Speed Hydrogen: 2,500 l/s  (5,297.73 CFM)"
CTI Cryogenics    ON-BOARD 6    Cryogenic Vacuum Pump    "- Pumping Speed Water Vapor: 2,500 l/s  (5,297.73 CFM)
- Pumping Speed Argon: 650 l/s  (1,377.41 CFM)
- Pumping Speed Air: 800 l/s  (1,695.27 CFM)
- Pumping Capacity--Argon: 500 l/s  (1,059.55 CFM)"
CTI Cryogenics    ON-BOARD 8    Cryopump    "- Pumping Speed Water Vapor: 4,000 l/s  (8,476.37 CFM)
- Pumping Speed Argon: 1,200 l/s  (2,542.91 CFM)
- Pumping Speed Air: 1,500 l/s  (3,178.64 CFM)
- Pumping Speed Hydrogen: 2,500 l/s  (5,297.73 CFM)"
CVI    TM-500    Cryogenic Vacuum Pump    "- Pumping Speed Nitrogen: 10,500.0  l/s  (22,250.5 CFM)
- Pumping Speed Water Vapor: 30,000 l/s  (63,572.79 CFM)
- Pumping Speed Argon: 8,500 l/s  (18,012.29 CFM)
- Cooldown Time: 210 Minutes"
CVI    TM-500 LN 2    Cryogenic Vacuum Pump    "- Inlet Flange: ASA     508.0  mm  (20.000 in)
- Pumping Speed Nitrogen: 10,500.0  l/s  (22,250.5 CFM)
- Pumping Speed Water Vapor: 30,000 l/s  (63,572.79 CFM)
- Pumping Speed Argon: 8,500 l/s  (18,012.29 CFM)"
Leybold    1500 SL    Cryogenic Vacuum Pump    "- Pumping Speed Nitrogen: 1,600.0  l/s  (3,390.5 CFM)
- Pumping Speed Water Vapor: 4,600 l/s  (9,747.83 CFM)
- Pumping Speed Argon: 1,300 l/s  (2,754.82 CFM)
- Ultimate Pressure: 3.75E-10(En)  torr  (5.00E-10 mbar)"
Alcatel    2063 C 2    Two Stage Rotary Vacuum Vacuum Pump    "- 230 V
- 9.0 A
- 60 Hz
- 3 Phase"
Alcatel    IPUP A 100    Dry Vacuum Pump    "- 208 V
- 12.0 A
- 50/60 Hz
- 3 Phase"
Anest Iwata    ISP-500 B        "- 115/230 V
- 10.0 A
- 50/60 Hz
- 1 Phase"
Ebara    40 X 20    Dry Vacuum Pump    "- Water cooled
-Designed for operation in harsh applications such as:
-LPCVD, PECVD, CVD and metal etch.
-Water cooling manifold w/3/8"" NPT connections
-N2 purge system w/pressure regulator and flow meter
-Pump is not rebuilt
- 208 V
- 20.0 A
- 60 Hz
- 3 Phase"
Ebara    50 X 20    Rebuilt Dry Vacuum Pump    "- 208 V
- 9.0 A
- 11.0 A(2)
- 60 Hz
- 3 Phase"
Ebara    A 25 S    Rebuilt Dry Vacuum Pump    "- Water Cooled
- 200-220 V
- 17.5 / 18.3 A
- 50/60 Hz
- 3 Phase"
Edwards    IPX 100    Dry Vacuum Pump    "- Water Cooled
- 200-208/400 V
- 20 A
- 3 Phase
- 50/60 Hz"
Edwards    QDP 80    Dry Vacuum Pump    "- Gas module
- Exhaust pressure module
- Extractable enclosure
- Q-Series controller
- Cables included
- 200-208 V
- 60 Hz
- 3 Phase"
Leybold    D 65 BCS    Two Stage Mechanical Vacuum Pump    "- 230/460 V
- 9.0 A
- 60 Hz
- 3 Phase"
Pfeiffer Vacuum    DUO 35 C    Rotary Vane Pump - Crossive Duty    "- 208/230 V
- 60 Hz
- 3 Phase"
Accent    RPM 2000       
AES        Custom Clean Station   
AET    RX       
Air Liquide        Gas Cabinet   
AJA    ATC 2400       
Balzers    HLT 160 DRY       
Bio Rad        Hall System   
BMR    HIDEP       
Bold Technologies    625 C       
Bruker    Dekta XT       
CHA    SEC-1000-RAP       
Chemwest Systems    Number 8549       
Chemwest Systems    Number 8550       
Daitron    CH-400       
Disco    DAD-2 H / 6 T       
JA Woollam    EC-400       
Jeol    JSM-6500 F       
JST        Solvent Hood   
KP Technology    SKP 5050    Kelvin Probe   
KLA-Tencor    Surfscan 4500       
LAM    9600 B       
Lambda        Laser and Stage   
MRL    1312 25 fm       
Nanometrics    210       
Neutronix    8000 ML       
Reichert    Polylite 88       
UTE    SCS e 126       
Rigaku    Smartlab 3kW    XRD   
        Pumps   
Verteq        Single Stack SRD   
VSM        2-Tube Furnace   
VSM        4-Tube Furnace   
Witec        Raman Measurement   
K&S    4124    Wire Bonder   
Leybold    MAG 2000 C    Turbo Pump    "- Water Cooled
- 186 lb"
Leybold    TURBOVAC 1100 C    Turbo Pump, Controller and Cable    "- 120/240 V
- 50/60 Hz
- 1 Phase"
Leybold    TURBOVAC 600 C    Corrosive Duty Turbo Pump    - Water Cooled
Osaka Vacuum    TG 1100 M    Turbo Pump    "- Flange: 200 mm bolted
- ID:200 mm
- OD: 285 mm
- Bolt circle: 260 mm X 12 Bolts by 11 mm hole
- Forced Air Cooled"
Osaka Vacuum    TG 1113 EM    Turbo Pump    "- Vertical
- 33,600 RpM"
Osaka Vacuum    TH 532    Turbo Pump    "- Flange
- ID: 7 3/16""
- OD: 11""
- 8 Bolts 3/4"""
Osaka Vacuum    TH 542    Turbo Pump    "- Heat band with controller
- 110 V"
Seiko-Seiki    STP-600    High-Vacuum Turbo Pump with Controller    "- Air Cooled
- 35,000 RPM
- 120/240 V
- 10.0 A
- 5.0 A(2)
- 50/60 Hz
- 1 Phase"
Seiko-Seiki    STPH-300 C    Turbo Pump With Controller    "- Forced Air Cooled
- 106 lb
- 36,000 RPM"
Varian    TV-2000 ICE    High Vacuum Turbo Pump    "- High throughput
- High compression ratio for light gases
- Installation in any orientation
- Compact size"
Austin Scientific    450 W    Cryogenic Compressor    "- Cold head power connections mate with CTI and ASC drive cables
- Helium connections: 1/2"" Aeroquip coupling, mates with CTI connections
- Compressor compatible with CTI products
- Compressor can cool either 3-cryotorr 8 or cryoplex 8 pumps, 1 cryotorr 10 or cryoplex 10 or 1-cryotorr 400 vacuum pumps.
- NB: Special manifold needed to run mutilple pumps. Manifold not included
- 200-230 V
- 19.0 A
- 50/60 Hz
- 3 Phase"
CTI Cryogenics    8300 8001    Cryogenic Compressor    "- 8300 Compressor P/N: 8052000
- 8001 Controller P/N: 8052001
- Controller type 8001 for a single cryo-torr vacuum pump CTI-8 or smaller size
- 200-240 V
- 15.0 A
- 50/60 Hz
- 1 Phase"
CTI Cryogenics    8500    Cryogenic Compressor    "- Maximum input power: 5.75kW
- Nominal Power Factor: 0.8
- Full Load Current: 20.0A
- Lock Rotor Current: 82.0A
- 190-250 V
- 20.0 A
- 50/60 Hz
- 3 Phase"
CTI Cryogenics    8510    Cryogenic Compressor    "- Water Cooled
- 220/240 V
- 50/60 Hz
- 3 Phase"
CTI Cryogenics    Cryo-Torr Interface    Cryogenic Compressor   
CTI Cryogenics    IS Cryo Lines    Cryogenic Compressor    "- Supply and return lines
- Check valves on return line
- Main line: P/N 8043460G006
- Manifold: P/N 8043414G002"
CTI Cryogenics    SC    Cryogenic Compressor    "- Forced Air Cooled
- 208/230 V
- 18.0 A
- 60 Hz
- 3 Phase"
CVI    CBST 6.0    Cryogenic Compressor -- Factory Rebuilt    "- Max. input power: 5.6 kW
- Input power 480VAC, 60Hz, 3 phase or 400VAC, 50 Hz, 3 phase"
CTI Cryogenics    8010    Controller    "- For use with CTI 8500, 1020R or SC Compressor
- Operates on three phase or single phase
- Operates up to three On-Board cryopumps
- 230/460 V
- 50/60 Hz"
CTI Cryogenics    8011    Controller    "- For use with CTI 8500, 1020R, or SC air-cooled compressor.
- Operates on single phase or three phase power
- Operates up to three On-Board cryopumps
- 2240/440 V
- 50/60 Hz"
CTI Cryogenics    8043202 G 004    Frequency Converter    Weight: 48 lb
Edwards    SCU-301 405    Turbomolecular Pump Control Unit    "- 200-240 V
- 50/60 Hz
- 1 Phase"
Leybold    NT 1000 / 1500 VH    Turbo Pump Controller    "- Input voltage (selectable): 110/240V
- Max output voltage: 3 X 42V
Output current: 8.5/11A
Output frequency: 600 Hz
Turbo pump cable is NOT included"
Leybold    NT 20        "- Max output voltage: 3 x 42V
- Overcurrent limit: 5A
- Heater/valve/fan control
- Turbo pump cable is NOT included, please inquire
- 120/240 V
- 8.0 A
- 4.0 A(2)
- 50/60 Hz
- 1 Phase"
Seiko-Seiki    SCU-H 1000 C    Turbo Pump Controller    "- 200-240 V
- 50/60 Hz
- 1 Phase"
Varian    TV-550    Turbomolecular Pump Controller    "- Maximum input power 600VA
- Maximum output power 325W
- 100-240 V
- 50/60 Hz
- 1 Phase"
Varian    V 1800    Turbo Pump Controller    "- 120/220 V
- 50/60 Hz
- 1 Phase"
Alcatel    ZT 2100 AC / RSV 600    Vacuum Pumping System    "- Mechanical pump: 5 HP, 1725 RPM
- Pumps prepped PFPE
- 230/460 V
- 14.0 A
- 7.0 A(2)
- 60 Hz
- 3 Phase"
Edwards    E 2 M 80 / EH 250    Vacuum Pumping System    "- 208 V
- 60 Hz
- 3 Phase"
Edwards    E 2 M 80 / EH 500 FF    Rebuilt Mechanical Vacuum Pumping System    "- System currently wired for 460 Volts,
may be wired 208/230 Volts 6.2-5.6 Amp (inquire)
- Control cabinet w/motor starters etc.
- 460 V
- 2.8 A
- 50/60 Hz
- 3 Phase"
Edwards    QDP 40-QMB 250 F    Vacuum Pumping System    "- 208 V
- 60 Hz
- 3 Phase"
Edwards    QDP 80 / QMB 500 F    Vacuum Pumping System    "- Gas Module
- Exhaust pressure module
- Extractable enclosure
- Q-Series controller
- Water Cooled
- 208 V
- 60 Hz
- 3 Phase"
Leybold    WAU 500 / D 65 BCS    Rebuilt Roots Pumping System    "- ARS 40-65 Filter (Filter with feed back)
- EIS system 40-65 (Electric indicator system)
- IGS 40/65 (Inert gas system)
- CFS 40-65 (Chemical system)
- LSS 40-65 (Limit switch system)
- Full drip pan
- 230/460 V
- 20.0 A
- 60 Hz
- 3 Phase"
Leybold    WAU 501 USS 250 C    Vacuum Pumping System    "- 208/230 V
- 60 Hz
- 3 Phase"
Leybold    WSU 2001 / SV 585    Vacuum Pumping System    "-  Electrical cabinet with motor starters relays ect.
-  Backing pump: Model SV585
-  Pumping speed: 300 cfm
-  HP: 15
-  RPM: 1060
- 230/460 V
- 3 Phase"
Leybold    WSU 251 /D 40 BCS    RBS-B/BCS Roots Pumping System    "- Displacement: 53 CFM
- Oil capacity: 2.70 Qts
- Normal rotation speed: 1800 RPM
- 460 V
- 8.0 A
- 60 Hz
- 3 Phase"
MKS Instruments    250 C-4-A    Gas Controller for Pressure or Flow Control    "- 115/230 V
- 50/60 Hz
- 1 Phase"
MKS Instruments    651 CD 2 S 1 N    Exhaust Throttle Valve Controller    "- 115/230 V
- 50/60 Hz"
MKS Instruments    651 CD 2 S 2 N    Exhaust Throttle Valve Controller    Number of Set Points: 5/1
Crystal Mark    5860-1, 4430-T-CCR    Air Abrasion Work Station    "- UV and White Lights.
- Power Requirments:
- Turbomax Chamber: 115VAC, 60Hz, 2A
- Micro Abrasive Sandblaster: 115VAC, 60HZ, 1A"
Crystal Mark    SWAM C-115    Belt Abrading Machine    "- 115 V
- 5.0 A
- 60 Hz
- 1 Phase"
Varian    912-7022    Ion Vacuum Pump    "- Pumping Speed Nitrogen: 400.0  l/s  (847.6 CFM)
- Ultimate Pressure: 1.00E-6(En)  torr  (1.33E-6 mbar)"
Balzers    WKP 500    Roots Dry Vacuum Pump    "Ultimate Pressure: 7.50E4(En)  torr  (10.00E4 mbar)
- Refurbished
- 380 V
- 60 Hz
- 3 Phase"
Edwards    EH 250    Roots Pump    "- 230/460 V
- 5.6 A
- 2.8 A(2)
- 60 Hz
- 3 Phase"
Edwards    QMB 500 F    Roots Pump    "- Water Cooled Moter
- 200-208 V
- 60 Hz
- 3 Phase"
Leybold    WAU 501    Roots Pump    "- 3,000 RPM
- Ultimate Pressure: 3.00E-2(En)  torr  (4.00E-2 mbar)
- Inlet Flange: ISO-K     63.0  mm  (2.480 in)
- Foreline Flange: ISO-K     63.0  mm  (2.480 in)"
Leybold    WSU 151    Roots Pump    "Power Requirements:
- 1.1 KW at 230/400 Volt at 50 Hz, Nominal Pumping Speed 153 m3 h -1
- 1.5 H.P. 208-230/460 Volt at 60 Hz, Nominal Pumping Speed 108 cfm
- 3 Phase"
Leybold    WSU 501    Roots Pump    "- 230/460 V
- 10.0 A
- 5.8 A(2)
- 60 Hz
- 3 Phase"
        Lot of Fab Equipment   
ADE    8100    Wafer Thickness / Res Sorter   
ADE    6033       
SVG        Scrubber   
Okamoto    VG 502 MKII 8    Backgrinder   
Branson    ICP    Plasma Asher   
SFI        PVD   
Siltec        Wafer Polisher    - 18" Heads
Speedfam    13 B-9 P    Polisher   
Stausbaugh    6 CA    Polisher   
Verteq    1600    Spin Rinse Dryer (SRD)   
S Cubed        PR Coater   
Gartner        Ellipsometer   
STC    2600    Edge Grinder   
Rofin        Wafer Laser Cutter   
NREL        UV Detaper   
Sonic Dryer        IPA Dryer   
Rofin        Laser Maker   
Yamada        Wafer Inspection Bright Light   
Ultracision        OCR Reader    - Double Sided
Ultracision        OCR Reader / Sorter    - Double Sided
Sanjo        SRDs   
Verteq    Megasonic    Clean Bench    - Two Tank
SSEC    Evergreen    Scubber / Cleaner    - Single Wafer
        Clean Room Air Particle Counter   
Heidolph    LABO ROTA 20 S    Rotary Evaporator    "- AC 1x230 V
- 50/60 Hz
- Bad-Temp: 20-100*C"
PRC Laser    STS 2002    Laser    "- 460 V
- 3 Phase
- 60 Hz
- 40 Amps
- Water Flow Rate: 18 GPM"
AMI    MSP-885 PC    Screen Printer    "- 117 VAC
- 1 Phase
- 50/60 Hz
- 15 A"
Microfludics    M-110 EH-30    Homogenizer   
Allwin21    AccuThermo AW 610       
VAC    HE-493    Laboratory Glove Box    "- 110-120 V
- 5 A
- 50/60 Hz
- Pressure Limit: +10"
Buchi    R-Series    Rotary Evaporator   
Plas Labs        4-Glove Glove Box   
Thermo NESLAB    Merlin Series m 75    Recirculating Chiller   
Beckman Coulter    Avanti J-25 XP    Centrifuge   
Neytech    Qex    Furnace   
Stanford Research Systems    SR 570    Low-Noise Current Preamplifier   
        Hazardous Material Storage Containment    8-Compartment
Polyscience VWR        Recirculating Chiller   
Hybond    522 A    Ball Bonder    with Heated Workholder & Optics
K&S    472    Ball Bonder    with Heated Workholder & Optics
Mech El    909    Wedge Bonder    with Workholder & Optics
Orthodyne    20    Wedge Bonder    "- With Workholder & Optics
- Capable of using wire up to 20 mil"
Westbond    7400    Wedge Bonder    with Heated Workholder & Optics
Unitek    Micro Pull 3    Pull Tester    with Workholder & Optics
Edwards    IH 600    Pump    - 400 V
Rasco    SO 1000    Handler   
GSI Lumonics    Wafer Mark II       
Cincinnati    30 HC    Mill   
Cincinnati    30 HC    5-axis Profiler (Gantry Mill)   
Gray        Horizontal Boring Mill   
Juniper Networks    EX 2200-C       
Quantum    60 PC    Reflow Belt Oven    "- 16.5"" x 80"" (W x L)
- Heat cover is 49.5"" Long"
    MC 810 C    Screen Printer   
Westbond    7200 CR    Manual Bonder   
Univex        Mixer   
        80 MW Multi Cell Production Line   
Ren Thang    NTG-520 N    PCB Depaneling Machine   
Omega    HH 509    Thermometer   
Radiant Technology    LA 306    Reflow Oven   
MPM    UP 2000    Screen Printer   
Karl Suss    RC 8    Photoresist Spinner    "- With Spare Pump
- 110 V
- 60 Hz"
Heateflex        Heated Tank   
HP / Agilent    6653 A    Power Supply   
Boonton    57518    Power Sensor   
Boonton    4532    Power Meter   
Multitest    MT 9918    Handler    "- Installed Kit 10 x 10 72 QFN
- Single Site
- Packages maybe usable: 5 x 5 < 12 x 12 QFN or MLF and SOIC 150~300mil
- Room Temperature Only
- Unknown Condition"
Multitest    MT 9918    Handler    "- Installed Kit 10 x 10 72 QFN
- Single Site
- Packages maybe usable: 5 x 5 < 12 x 12 QFN or MLF and SOIC 150~300mil
- Room Temperature Only"
Westbond    2416 B        "- 115 V
- 7.0 A
- 50/60 Hz"
Amicronix    400    IC Test System   
        Lot of Electric Test Equipment   
Centorr        Vacuum Base Centurion Furnace   
Philips / Panalytical    X'Pert Pro        "- 220 V
- 8.5 KVA
- 50/60 Hz"
Philips / Panalytical    X'Pert Pro        "- 220 V
- 8.5 KVA
- 50/60 Hz"
        6" Wafer Fab   
Lam    TCP 9600        "- 50/60 Hz
- 208 V
- 3 Phase"
KLA-Tencor    P 15       
Dainippon (DNS)    SK-W 60 A-BVP       
Lam    TCP 9600       
Solitec    5100    Wafer Mount Station   
Lam    Rainbow 4420    Plasma Etch System    "Includes:
- 2080 Temp Control Unit
- RF Generator
- Load Lock and Process Chamber Pump Packages with Power Distribution and Control Dendants
- Neslab Heat Exchange Chiller for cooling of the RF, TCU, and pumps"
CHA    SEC 1000 RAP       
Leo Engineering        Box Coater    - SiOx
Universal Plastics    Megasonic    Wet Bench   
Verteq    1600-55 M    Spin Rinse Dryer (SRD)    - Dual Stack
Electrolite    ELC 2541       
Xenon        UV Cure   
Linear    CKK-15-110    Wafer Lifter   
SVG Thermco        ATM Furnace   
SVG Thermco        LPCVD / ATM Furnace   
MRL Industries    C 430    ATM Furnace   
Varian    300 XP    Ion Implanter   
Varian    300 XP    Implanter   
Applied Materials    Centura    Dry Etch    - Oxide, Poly
Applied Materials    Centura    Rapid Thermal Process (RTP)   
Applied Materials    Centura    CVD System   
Universal Plastics        Sink   
Legacy        Sink    - Dryzone
Universal Plastics        Sink   
Universal Plastics        Sink   
SVG Thermco        Low Pressure Chemical Vapor Deposition (LPCVD) Furnace    - Nitride, Poly
Universal Systems        Sink   
        Sink   
        Sink   
Bio-Rad    QS 300    PC System   
Universal Systems        Sink    - Vacuum Etch
SVG    8800    Spin Developer   
SVG    8800    Spin Developer   
Olympus    BH 2-UMA    Visual Inspect   
Canon    FPA-2500 i 2    Stepper   
Dainippon (DNS)    DNS  SK-W 60 A-BVP    Spin Developer   
Dainippon (DNS)    DNS  SK-W 60 A-BVP    Spin Developer   
Dainippon (DNS)    DNS SK 80 B 2 C / 3 D    Spin Developer   
Dainippon (DNS)    DNS SK 80 B 2 C / 3 D    Spin Developer   
MRC    Eclipse Mark II    PVD System   
        Sink    - Etch
        Sink    - Etch
Rudolph    Focus III    Ellipsometer   
        Sink    - Megasonic
Filmetrics        Thin-Film Measurement System   
Filmetrics        Thin-Film Measurement System    "- Cassette-to-cassette
- For 4"" to 8"" wafers"
        Stress Gauge System   
Gasonics    A 1000    Asher   
Gasonics    A 1000    Asher   
        IPA Dryer   
    FSI 1000    IPA Dryer   
KLA-Tencor    5100 XP    Metrology   
KLA-Tencor    P 15    Thickness Measurement System   
KLA-Tencor    6420    Particle Scanner   
Lam    4420 XL    Dry Etch   
Lam    4520 i    Dry Etch System   
Lam    4520 i    Dry Etch   
Lam    490    Dry Etch    - Auto Tech 490
Lam    490    Dry Etch   
Lam    590    Dry Etch   
Lam    590    Dry Etch   
Lam    TCP 9600 SE    Dry Etch   
Lam    TCP 9600 SE    Dry Etch   
GSI Lumonics        Laser Scribe   
Mactronix        Wafer Transfer   
Nanometrics    4000    Thickness Measurement   
Nanometrics        Thickness Measurement   
Nanometrics    4150    Thickness Measurement   
Nanometrics        Thickness Measurement   
Nanometrics        Thickness Measurement    "- Etch
- Olympus Auto-Loader"
Novellus    Concept 1    CVD System   
Novellus    Concept 1    Plasma-Enhanced Chemical Vapor Deposition (PECVD)   
Blue M        Oven    - Metal
        Oven    - Hard Bake
Yes        Oven    - Vapor Prime
Yes        Oven    - Vapor Prime
Yes        Oven    - Vapor Prime
IPEC    472    Chemical Mechanical Polishing (CMP)   
Semitool    ST 870    Spin Rinse Dryer   
CDE        Resistivity Measurement   
Semix        Spin On Glass    - SOG Track System
Mactronix        Wafer Transfer Sorter   
Pollution Control Lab        Sink   
Semitool    ST 870    Spin Rinse Dryer   
Semitool    Scepter    Sink    - Customated Batch Wafer Processor
Semitool    Scepter    Sink    - for EKC 830/800
    L 2600    Wafer Transfer    - Linear 2-Stage Moving Head Loader
Ultratech    1500    Stepper   
Varian    3290    Sputter   
Mactronix    AWV-600    Visual Inspect    - Scribe Verifier
Mactronix    SWR-600    Wafer Transfer   
        Sink    - Metal
        Sink    - Phos
        Sink    - Polisher
        Sink    - Poly
        Sink    - Sul
        Sink    - Tube
AMR        Wet Bench    -Semi Automated
Blue M        Oven   
CDE    ResMap 178    Resistivity    "- 115V 4A, 230V 2A
- 50/60 Hz~450 VA"
Despatch    LCD 1-16 NV-4    Oven    "- Max Temp: 350*C / 662*F
- 208 V
- 1 Phase
- 50/60 Hz
- 17.4 Amp"
Fiji    F 200    Plasma ALD   
Fluoroware    HTC 8020    Peripheral Cleaning System    "- 380 AC
- 3 Phase
- 50 Hz
- Full Load 60 Amp
- Largest Load 46 Amp"
Air Products    Gasguard AP 3    Manifold Box   
Gasonics        Resist Strip   
        Glove Box   
Karl Suss        Aligner    "- 50/60 Hz
- 1 Phase
- Primary V 120x240 / Secondary V 12x24
- Weight 16 lbs"
KLA-Tencor    Candela CS 2        "- 115 V
- 15 Amp
- 1 Phase
- 50/60 Hz"
Lam    TCP 9600 SE    Etcher    "- 208 Vac
- 50/60 Hz
- Full-Load Current: 70 Amp"
Lam    4420    Poly Etcher    - 208 V
Brewer Science    CEE 100 CB    Coater    "- Manual
- Weight: 79 lb
- 110-1256 V
- 1 Phase
- 50/60 Hz
- Full Load Current: 148-160"
        Acid Wet Bench    - Manual
MRC        PVD    - Metal
MRC        PVD    - Oxide
MTS        Wet Bench    - Acid, Manual
MTS        Solvent Bench   
        PVD    - Multi-Gun
Plexus        Laminator Machine   
Semitool    P 88 SL 14 C-01101    Spin Rinse Dryer    "- 120 Volts AC
- 1 Phase
- 15 Amps
- 50/60 Hz"
Sopra / Semilab        Ellipsometer   
SSEC    M 3302    Cleaner    "- 208 V
- 3 Phase
- 60 Hz
- 30 Amp"
SVG        Coat   
Thinky    ARE-250       
Ushio    SAM    Removal   
Ultra Equipment    SCS i 124    Wafer Cleaner    "- 200-240 VAC
- Single Phase
- 50/60 Hz
- 8 Amp"
Xactics        Release Tool   
Pfeiffer Vacuum    TMH 1600 PC    Turbomolecular Pump    "- 65 ML
- Mass 51 kg"
Veeco    Microetch RF-1201    Ion Beam Etching System    "- Power supply rack
- Sorensen 'DCS 60-18' power supply (2 units)
- Sorensen 'DCS 20-150' power supply
- RFPP 'RF20M' RF generator
- Leybold 'TRIVAC D60A' vacuum pump
- 3 Phase
- 50/60 Hz
- 208 V
- 60 A"
Binder    APT 115    Humidity Chamber   
Amicra        Automatic Waferinker AIS   
Tosok        Die Bonder   
Megcon        CO2 System   
ASM    CS 500    COB Pick and Place   
MCT    3608 E 6    Handler   
Tesec    8710-IHA    Handler   
Envisage        Minipak Total Inspection Machine   
Are ho    PD-IC    Degate   
Vanguard    VG-20    Peel Back Force Tester   
Balzers        Plasma Cleaner   
Lintec    RAD-2000 m / 8    UV Irradiation System    Semi Automatic
RVSI    ST-585    Tape & Real Sealing Machine   
Teradyne    Gensis II ex    Tester   
ATS    3101    Tester   
AEHR    ATS-12200    Aging Test Machine   
Zhisheng    UVC-201 A    UV Curing Machine   
Akihiro Akihiro    SM-1030    Power System    Uninterruptible
Intercon    SBS-8808    Packing Sawing Cutting Machine   
Minami    MK-880 SV    Press    Automatic
Rise    PR-601    Printing Machine    Automatic
Rise    PR-602    Printing Machine    Automatic
Rise    PR-603    Printing Machine    Automatic
Are ho    PD-IC 10 L DDTS    Forming Machine    Automatic
Are ho    PD-IC 10 L    Forming Machine    Automatic
Are ho    SE 01-0029    Forming Machine    "- Automatic
- TSOP 32L"
Are ho    SE 02-0046    Forming Machine    "- Automatic
- TSOP 32L"
Are ho    WT-1520    Forming Machine    "- Automatic
- TSOP 32L"
Seiwa    SCT-300 IC    Feed Sealing Machine    Automatic
Meiden    ME-302    Feed Sealing Machine    Automatic
Jian Ding    SOT 23 / 25 / 26    Feed Sealing Machine    Automatic
Meiden    ME-302 SC-82    Feed Sealing Machine    Automatic
Sheng Technology        Discharge Machine    Automatic
Sheng Technology    GTC-107056    Discharge Machine    Automatic
Sheng Technology    GTC-107154    Discharge Machine    Automatic
Sheng Technology    GTC-107245    Discharge Machine    Automatic
TOPCON    VP-300 E    Projector   
V-TEK    PC-2500    Closure Tape Counter   
Micropower        Sealing Check Counter   
Meiden    ME-701    Feeder / Taping Handler   
Jiang Ding    THL-900    Feeder / Taping Handler   
Jiang Ding    TT-41000    Feeder / Taping Handler   
MCT    MCT 5100    Feeder / Taping Handler   
Delta Design    MX 32    Feeder / Taping Handler   
He Shun    HDP-523 R    Preheater    High Frequency
Tiawan Siam    ACSS 3000    Tube Filling Pick and Place Machine   
Teikoku    DXR-800 UV    Tearing Machine   
Esec    2007    Welding Machine / Die Bonder   
ASM    AD-809-S    Welding Machine / Die Bonder    110 V / 20 A
ASM    AD-809-00    Welding Machine / Die Bonder   
Hitachi    DB 500 A    Welding Machine / Die Bonder   
ASM    AD 889    Welding Machine / Die Bonder   
ASM    AD 896-IL 08    Welding Machine / Die Bonder   
Esec    2008 HS    Welding Machine / Die Bonder   
Esec    2007 IC 8    Welding Machine / Die Bonder   
ASM    809-S    Welding Machine / Die Bonder   
NEC    CPS-100 VX    Welding Machine / Die Bonder   
ASM    AD-829 A    Welding Machine / Die Bonder   
NEC    BESTEM-D01    Welding Machine / Die Bonder   
ASM    AD 8930    Welding Machine / Die Bonder    Schneider, Omal, Wenglor, IFM, SICK, Kraus & Neimer, DvG Automation, ELTRA, Emotron, Select, Elbe, Gemu, Proface, Hohner, Pizzato, Brevini, Camozzi, Bonfiglio, Hengstler, FESTO.
New Chuan    UTC-100    Wire Bonder   
New Chuan    UTC-50.1    Wire Bonder / Coating Machine   
Xinchuan    UTC-100    Welding Machine / Die Bonder   
ASM    AB 339    Welding Machine / Die Bonder   
New Chuan    UTC-200 SUPER BI    Wire Bonder   
New Chuan    UTC-50.6    Wire Bonder   
New Chuan    UTC-200 BI (II)    Wire Bonder    110 V
New Chuan    UTC-200 BI    Wire Bonder   
Kaijo    FB-137 C    Wire Bonder    品牌:P-Q
FOCUS RING ES1D10-309946-12

 

RING INSULATOR A7 ES1D05-300188-12

 LX918-12
RING INSULATOR B2 ES1D05-300190-12
 

UPPER ELECTRODE ES1D10-204338-13

 
Ring Shield ES1D10-315272-11
 Standard Microprocessor Controller I Power Requirements: 0.4Amp@110VAC+15%-15% 47-63 HZ
Ambient Operating Temp.: 0-55□ C Output Isolated Relay
Contacts: 10Amps CONT.@250VAC/30VDC
P/N: 88292036-999
Make: SULLAIR品牌:寿力
标准微处理器控制器 I 电源要求:0.4Amp@110VAC+15%-15% 47-63 HZ
工作环境温度:0-55□C 输出隔离继电器
触点:10Amps CONT.@250VAC/30VDC
Transformer变压器
INPUT: 380V, OUTPUT: 110V输入:380V,输出:110V
JBK5-250 TH P=250VA 50/60HZ T40/E IP00 GB5226-85 VDE 0550
Make: SULLAIR品牌:寿力
Manual Motor Starter手动电机启动器
Model: GV2-PMq 0C/ 4-6.3 A型号:GV2-PMq 0C/ 4-6.3 A
Make: Schneider Electric 制造商:施耐德电气
RTD 100 CHM PLATINU 传感器
P/N: 250039-909
Make: Sullair  寿力
Blowdown Valve 排污阀
P/N: 02250049-634
Make: Sullair
Valve, Pressure Reg阀门,压力调节器
P/N: 250017-280
Make: Sullair
Pressure Sensor压力传感器
P/N: 88290003-806
Make: Sullair
Industrial Control Transformer 工控变压器
CAT NO. PH350MQMJ
Primary Voltage: 400 V, Secondary:  120V
350VA, 50-60 Hz, 800 C RISE
Make: Hammond Power Solutions Inc., China
Walkie Talkies  range up to 2 Miles, Rechargeable 2 Way Radio Walkie Talkie, Clear Sound Two Way Radio for Security Construction Team, 1500 mAh Battery Capacity 对讲机范围可达 2 英里,可充电 2 路收音机对讲机,用于安全施工团队的清晰声音两路收音机,1500 mAh 电池容量
 Online Interactive UPS 在线互动式UPS
2KVA, Input: 220 VAC, Output: 220 VAC, 50 Hz, Make: CASTLE C2k. China
Handle For MCC House Drawer MCC 抽屉拉手
Model:LCJG-1
Make: Shantou Lingyue Electric Manufacturing Co. Ltd., China.
Handle For MCC House Drawer MCC 抽屉拉手
Model: LCJG-1X
Make: Shantou Lingyue Electric Manufacturing Co. Ltd., China.
Magnetic Contactor 电磁接触器
Type: DILM150 (RAC120)
Art. No.: 239587, Cat. No.: XTCE150G01A
Coil Voltage: 100-120V,50Hz
Operational Rating: 400VAC, 75kW, 150A
Make: Eaton, USA or Equivalent. 美国伊顿公司或同等产品。
Over Load Relay  过载继电器
Cat. No.: XTOB150GC1, Art. No.: 278442
Operational Rating: 400VAC, 75kW, 150A
Make: Eaton, USA or Equivalent   美国伊顿公司或同等产品
Magnetic contactor 电磁接触器
LC1-D115…C  
Coil Voltage: 110V
Ith=250A, Ui=1000V, 55 KW
Make: Telemecanique, France/USA or Equivalent        Telemecanique,法国/美国或同等
Magnetic contactor电磁接触器
LC1-D115…C  
Coil Voltage: 230V
Ith=250A, Ui=1000V, 55 KW
Make: Telemecanique, France/USA or Equivalent  
Thermal Overload Relay     热过载继电器
LRD325, 17-25 A
Make: Telemecanique, France/USA or Equivalent  
Auxiliary Contactor (only use with Contactor LC1 D/CA D)辅助接触器(仅与接触器 LC1 D/CA D 一起使用)
1 NC & 1 NO Contact
Make: Schneider Electric

Window Shield Depo (Y2O3 Coated), ES1D05-400022-14

 

BAFFLE PLATE ES1D10-102848-13

 

COVER BELOWS ES1D10-204419-12
 
DEPO SHIELD ES1D10-315183-15

 

O-Ring VITON.. AS586-259 BLK, ES027-100389-1
型号:M220-23-S-42-06 控制手柄
Shinkawa    UTC-100    Wire Bonder   
Shinkawa    UTC-250 BI    Wire Bonder   
New Chuan    UTC-50.6 F    Wire Bonder / Coating Machine   
ASM    AB 33928    Wire Bonder   
RVSI    LS-3950 DB    Scanner   
KLA-Tencor    Icos CI-8250    Scanner   
New Chuan    UTC-50    Coating Machine   
CHA    SEC-1000-RAP    Vacuum Evaporator    "- Non-E-Beam System
- Includes CTI-Cryogenics 10"" cryo-torr with 8200 compressor
- Electrical Req: 110-208/3/60, 5 Wire, 125 Amps
- Water: 4.0 GPM
- Air: 90-110 PSI
"
Universal Plastics        Etch Bench    "- 72""
- with 1 Verteq sunburst megasonic tank
- 1 Verteq ultrasonic tank
- 1 Intech quartz heated bath
- 3 dump rinse tanks"
Xenon    RC 847-LH 910    UV Curing System    "- Pulsed UV/VIS
- High Intensity
- 107 mm Lamp Housing, LH 910 (qty 3)
- 890-2038 Type B, Flash lamp
- Comm. cables high flow cooling blower
- Operation manual"
Leo        Box Coater    "- CTI-Cryo / 8200 CTI compressor
- Granville Phillips Vacuum control
- Allen Bradley Panel View 900
- Maxtek MDC 360 dep controller"
Verteq    1600-55 M    Spin Rinse Dryer    "- 6""
- Dual Stack
- 120 VAC
- 50/60 HZ"
Electro-Lite    ELC-2542    Curing System    - Pulsed UV
Delta Design    Flex 1220    Handler   
Delta Design    Flex 1240    Handler   
Delta Design    Flex 1810    Handler   
Delta Design    Flex 1840    Handler   
Delta Design    1688    Handler   
Multitest    9918    Handler   
Daymarc    3187    Handler   
Advantest    T 3324    Tester   
Teledyne    J 971    Tester   
        Lot of Electric Test Equipment   
ASTI    TR 48 MKIII    Tape and Reel System    "- 2 D Inspection
Equipment Configuration:
- ( 2-in-1/Integrated) Yes: Taping, Detape and Tray-to-Tray
- Top PKI - No
- Bottom PKI - No
- Tray to Tray  - Yes
- Tray to TNR - Yes
- TNR to Tray - Yes (Detape Mode, parts picked from Tape back to Tray)
- AOI Capability:
- Top Inspection - Yes (Mark, Pin1 orientation and Lead Inspection capable)
- Bottom Inspection - No
- Side Inspection - No

- The parts ran on this were 3x3 up to 32mm only
- The machine is capable to run parts on up to 44mm carrier tape"
Heller    1900 EXL    Reflow Oven   
Heller    1800 EXL    Reflow Oven   
Cherusal    TM 111 MKIII R    Reflow Solder Hot Bar   
Speedline    Vectra Elite    Wave Solder   
Gamma Scientific    GS-1190    RadOMA LED Spectrometer    "- Computer
- Integrating Tube"
Rasco    SO 2000    Handler   
MTI    DSS-822       
MTI    MSS-816       
OLS    SB-RT-2 S/MB       
HP / Agilent    8753 C    Vertical Network Analyzer (VNA)   
HP / Agilent    85046 A       
Perkin Elmer    4410    Sputtering Tool   
Benchman    XT    High Speed CNC Milling Machine   
K&S    7100 AD    Dicing Saw    "- 2” Front-mount Spindle
- Air driven DC brushless with closed-loop control
- 60,000 rpm max
- Optimized for simple and tight tolerance products up to 6”x 6”
- Closed-loop, Y-axis linear encoder
- Non-contact, optical height sensing
- Pattern Recognition System with auto-focusing & programmable illumination
- 200/240 VAC
- Power: 3500 VA
- 50/60 Hz
- 15 A"
KLA-Tencor    P 10    Surface Profiler    "- Stylus-based
- Motorized XY stage with variable speed
- Outputs include displays of scan, roughness and waviness traces with a data summary table listing up to 39 parameters
- Standard parameters include Ra, Rq, Wa, Wq, and StpHt
- 1Å resolution
- 300um vertical dynamic range
- 60mm scan length
- 1µm/sec to 25mm/sec scan speed
- 150-600X image magnification
- 1 Phase
- 60 Hz
- 115 V
- 4 A"
Speedfam-Ipec    MV-300    Wafer Cleaner    "- 2 Pcs
- Includes wafer loader
- 208 VAC
- 50/60 Hz
- 65 Amps
- Main Breaker: 80 amps"
Strasbaugh    6 DS-SP    Planarizer Polishing / Finishing System    "- 208 Volts
- 3 Phase
- Frequency: 60
- Full Load Amp: 60
- Motor Amp: 36"
Westech    IPEC 472 01    Chemical Mechanical Polisher (CMP)   
Micromanipulator    6000    Probe Station    "- Bausch & Lomb Microscope
- 2.25x, 8x, 25x
- XYZ stage movement
- Steel platen for magnetic manipulators
- Quick lift platen
- 4” chuck (but missing H/W to mount chuck)"
EVG    AL 6-2 RG       
Canon    PLA-50    Mask Aligner   
Neslab    HX 300    Recirculating Chiller   
Ando    AL 6090    Tester   
Ateko    A 6800    Burn-In   
Coretek    CT 75    Component Tester   
Mirae    MR 5500    Handler   
Moore    MSG 500    Diamond Turning Flycutter   
Precitech        Flycutter   
Captain        Fume Hood and Cabinets   
MovinCool    Office Pro 24    Portable AC Unit   
MovinCool    Office Pro 18    Portable AC Unit   
KLH        Water Chiller   
Rasco    SO 1000    Handler    "- Ambient Only
- VQFN 32_5X5"
Rasco    SO 1000    Handler    "- Ambient Only
- VQFN 40_6X6"
Rasco    SO 1000    Handler    "- Ambient Only
- TSSOP 173 mil"
Rasco    SO 1000    Handler    "- Ambient Only
- TSSOP"
Rasco    SO 1000    Handler    "- Ambient Only
- TSSOP 173 mil"
Rasco    SO 1000    Handler    "- Ambient Only
- DSO 150 Mil"
C&D Semiconductor    8100    Track System   
Ultratech    1000    Stepper    - Manual Loader
        Chiller   
Disco    DAD 651    Dicing Saw    "- Automatic
- Includes Transformer and Side Panels
- Dimensions 48 x 48 x 60 Inches
- Weight 400"
Eagle    ETS 500 D    Test System Rack Cabinet    "- With Hypervision control module
- With ETS-500D Power Supply
- Dimensions 24 x 24 x 36 Inches
- Single Phase
- Weight 120
- 240 Volts
- 20 Amps
- 50/60 Hz"
Cascade    PS 21    Parametric Autoprober System    "- Dimensions 60 x 48 x 60""
- Weight 400
Includes:
- Dual Illumination Sources
- Single LCD Monitor"
Cascade    PS 21    Parametric Autoprober System    "- Dimensions 60 x 48 x 60""
- Weight 400
Included:
- Optem HF Video microscope
- Panasonic Camera Controller
- Dual Illumination Sources
- Dual LCD Monitors"
Cascade    PS 21    Parametric Autoprober System    "- Dimensions 60 x 48 x 60 Inches
- Weight 400
Included:
- Panasonic GP-KR222 Camera
- Panasonic Camera Controller
- Dual Illumination Sources
- Dual LCD Monitors"
Cascade    PS 21    Parametric Autoprober System    "- Dimensions 60 x 48 x 60""
- Weight 400
Included:
- Camera Controller
- Dual Illumination Sources
- Single LCD Monitor"
LeCroy    LabMaster 945SZi-A        "- 4 Ch, 45 GHz
- With cables, connectors and adapters"
Matrix FocalSpot    Verifier 130 Pro        "- High Resolution 130kV,
- 550X magnification,
- 3.25 inch FOV
- inspectable area 16.5 x 16.5 inches;
- Joystick and programmable positioning X Y Z axes
- detector zoom and camera zoom"
Smithy    GN-1324    Mill / Lathe   
Advantest    M 6761 AD    Dynamic Test Handler   
Advantest    M 6771 AD    Dynamic Test Handler   
Advantest    T 5365    Tester System   
Advantest    T 5377    Wafer Tester   
Advantest    T 5501    Tester System   
Advantest    T 5581 H    Tester System   
Advantest    T 5585    Tester System   
Aehr        Burn In Oven    LTOLem
Aehr    MTX 30000 H    Burn In Oven   
Alphasem    SL 9022    Die Bonder   
Ando        Burn In Oven   
Branson        Ultrasonic Cleaner   
LTX / Credence    Sapphire 40    Slot Test System   
Despatch        Inline Oven   
Esec    3088 ip    Wire Bonder   
ESI    9350    Laser    6kHz
ESI    9835    Laser    75kHz
Hanmi    3500 D    Singulator   
Heraeus    TU 60 / 60    Air Circulation Oven   
HTTP        Themel Control System (TCS)   
HTTP        Cooling Unit   
Ismeca    G 316    Mark / Scan / Pack Machine    - With ETEL Upgrade
JEC    P 6502    Burn In Oven   
Koyo    VF-5300 B    Furnace   
Messer Griesheim (MG)    Apollo 100    Container   
Microhandling    MP 921    Manipulator Twin System   
Mirae    820    Burn In Loader / Unloader   
Mirae    9210    Burn In Loader / Unloader   
Mirae    9220    Burn In Loader / Unloader   
Mosaid    MS 4205 ex    Engineering Memory Test System   
Rood Technology    LeadStar 800    Conditioning TSOP   
Renesas    Hitachi CM-700 H    Die Attach System   
Rood Technology    Proliner 900    Conditioning / Scan TSOP   
Salland    IDPS 465    Power Supply   
Shinkawa    UTC 2000    Wire Bonder   
STI    MSP    Tray to Tray Detaper   
Tecnogial        Substrate Magazine Stacker / Destacker    - With interleaf
Weller    WSA-1    Solder   
Aehr    MAX-2    Dynamic Burn-In System   
Procut        Cutting Plotter   
Keithley    2602    System Sourcemeter   
A & D    GP-60 K    Industrial Weight Scale    "- Internal calibration with an internal mass
- Automatic self calibration
- Clear large display
- Weighing Capacity: 61 kg.
- Resolution: 1 g.
-120V
- 60 Hz
- CE"
A & D    HR 200    Density Measurement Balance    "- Components for measuring density
- Large weighing chamber holds up to a 250mm volumetric flask
- Capacity: 210 g
- Readability: 0.1 mg
- 120V, 60 Hz, CE"
AB-M    LS 68-500    Mask Alignment and UV Exposure System    "- High Performance
- Tabletop
- Adjustable vacuum contact or proximity printing
- Precision alignment module for piece parts up to 8 in. maximum, currently configured with a 200mm chuck
- Different sizes available at additional price
- Uniform collimated exposure beam
- Final lens diameter is 8 in"
ABW    1008    Convection Reflow Belt Oven    "- PC controller
- Top and bottom heaters
- Max. Temp.: 350 deg C
- Highly insensitive to load variations
- Closed loop PID temperture control
- Total Heated Length: 76 in
- Pre-Heat Length: 26 in
- Main Heat Length: 40 in
- On-Load Length: 28 in.
- Number of control zones 12
- Overall System Length: 144 in.
- Max. PCB Size: 22 in.
- W. Clearance above belt 2.75 in.
- Belt Speed: 0 to 50 in. /m.
- 120/208-240V, 3 Ph, 50/60 Hz, 80A."
Accu-Fab    ECO-SNOW    CO2 Precision Surface Cleaning System   
Acme Electric    DTHB-040-4S    Drive Isolation Transformer    "- 40 kVA
- 575 delta primary volts
- 460 Y/266 secondary volts
- 3 phase, 60 Hz"
ADT Advanced Dicing Technologies    982-6    Precision Dicing Saw    "- Process parameters are programmable through interactive menu-driven screens
-  6 in. dia. vacuum chuck
- Air bearing spindle with 2 in. dia blade and maximum speed of 40,000 rpm
- Video viewing of alignment and cutting"
ADT Advanced Dicing Technologies    7100 ProFortis    Precision Dicing Saw    "- 4-inch, 2.5 kW front mount spindle with closed loop control 30,000 rpm max.
- Optimized for multi-panel dicing of thick and hard materials up to 200mm x 200mm with the highest level of precision such as glass
- DWDM optical filters, thick ceramic, saw filters, glass/silicon sensors and P ZT
- proFortis include standard features"
Advance Weight    808    Production Moment Balance System    "- No PC or software with system
- Range: 20,000 gram inches
- Total capacity: 200,000 gram inches."
Advanced Energy        RF/DC Combiner    "- 2 Channels
- Input per channel: MDX 1, PDP P1
- Combined output ports per channel controller
- MDX User
- PDP P1"
Advanced Energy    PDP 3500    Mid-Frequency Generator    "- Output Power: 3500W peak.Output Voltage: 25 to 400V
- Frequency: 450 kHz
- Input Voltage: 208V, 1 Ph, 50/60 H"
Advanced Energy    MDX 5 K    DC Magnetron Sputtering Power Supply.    "- Power: 0 to 5 kW
- Voltage: 0 to 1000V or Current: 0 to 10A.
- Ramping time is programmable 0 to 99 mins.
- Active front panel and user inputs/outputs for RS232 or analog"
Advanced Energy    MDX 10 K    DC Magnetron Power Supply    "- Blank front panel operated via user input/output ports
- RS232 or analog control
- Maximum power output: 10 kW."
           
Advanced Energy    MDX 10 K Master        "- No control panel
- requires external control input
- Power: 0 - 10 kW
- Standard Z
- stand alone unit"
Advanced Energy    MDX 10 K Slave    DC Magnetron Power Supply    "- Requires master unit for operation
- Standard Z unit"
Advanced Energy    Apex 1500 / 13    RF Generator    "- No front panel control, remote interfacing only
- Operating Frequency: 13.56 MHz. RF Output Power: 1500W
- Water cooled. 208V, 3 Ph, 50/60 Hz, 7A, CE"
Advanced Energy    MDX 10 K    DC Magnetron Sputtering Power Supply    "- as DC magnetron sputtering drives where regulation, superior arc quenching and low output energy are required
- Standard Z. Power Output: 10 kW
- Regulation: 0.25 percent in all modes, power, current, voltage
- Remote panel controller
- 208V, 3 Ph, 50/60 Hz, 38A."
Advanced Energy    RFX II 5500    RF Power Generator    "- Remote interface with front panel display only
- Output Power: 100 to 5500W at an operating frequency of 13.56 MHz.
- Water cooled. 208V, 3 Ph, 50/60 Hz, CE"
Advanced Energy    Sparc Le 20    DC Pulsing Spark Arrestor    "- 115V, 50/60 Hz.
- Max. Output Power: 10 kW.
- Pulse Frequency: 20 kHz.
- Reverse/Off Time: 5 microseconds
- Operating Voltage: 150 to 1000 VDC
- Spike Voltage Capability: 1800 VDC"
Advanced Energy    Cesar 136    RF Generator    "- Active front control panel
- Multiple serial and analog user interfaces
- Operating Frequency: 13.56 MHz.
- Output Power: 600W. 230V, 1 Ph, 50/60 Hz, 4.6A, CE"
Advanced Energy    Pinnacle    DC Magnetron Power Supply    "- Fast arc response. 4:1 impedance range
- Max. Output Power: 12 kW
- 208V, 3 Ph, 50/60 Hz, 41A"
Advanced Energy    Pinnacle    DC Magnetron Power Supply    "- Dual 6 kW. 150 to 800V voltage range with maximum output of 15A for each output
- Full power over a 4:1 impedance range with no taps
- 208V, 3 Ph, 50/60 Hz, 41A."
Advanced Energy    Pinnacle Plus    DC Magnetron Power Supply    208V, 3 Ph, 50/60 Hz, 16A, CE
Advanced Energy           
Advanced Energy           
Advanced Imaging    ROBO 4    Polishing System    "- PC controller 3.5 in. floppy
- 15 in. dia. polishing plate with 8 in. dia. center hole
- Swing out polishing jig
- Single ring type holder C shaped
- 120V, 60 Hz"
Advanced Imaging    "- 18 in. dia. polishing wheel capable of holding 4 specimen rings
- Digital RPM readout
- Motor timer
- 115V, 60 Hz"    Rough Lap Station   
Advanced Imaging    DLC 6100    Measurement Station   
Hobart    P-660    Mixer   
Strasbaugh    6 DF-DC-1    Polisher   
        Screen Stretcher   
Accretech / TSK    AD 2000 T    Dicing Machine    "- Max. number of frames 8 inch
- Spindle
- Rotation 60,000 min-1
- Max. blade diameter Φ58 mm (2-Inch)
- Rated Output 1.8 KW
- X axis Available cutting range 260 mm
- Max. Speed 1000 mm/sec
- Y1/Y2 axes
- Available cutting range 260 mm
- Max. Speed 300 mm/sec
- Resolution 0.078 μm
- Accuracy 0.002 mm / 210 mm
- Z1/Z2 axes
- Stroke 34 mm
- Resolution 0.002 μm"
Active Technologies    Rifle    Memory Tester    - Frequency: 50
Advantek        Industrial CPU    "- Width: 19.685  in  (50.0 cm)
- Depth: 19.685  in  (50.0 cm)
- Height: 7.874  in  (20.0 cm)
- Weight: 22  lb  (10 kg)"
Aixtron    G 5    Spare Parts   
Applied Materials    Axiom    Strip Chamber   
Applied Materials    Centura Rev 4    Cluster Tool    "- with 2 Load Locks and 2 Load Ports
Exterior Dimensions:
- Width: 65.984  in  (167.6 cm)
- Depth: 96.457  in  (245.0 cm)
- Height: 98.031  in  (249.0 cm)
- Weight: 4,550  lb  (2,064 kg)"
Applied Materials    eMax CT    Chamber    - Oxide Etch
Applied Materials    eMAX CT Plus    Chamber   
Applied Materials    eMax CT 3    Chamber   
Applied Materials    Enabler    Chamber   
Applied Materials    Compass    Safer Inspection    "- 2 PC with OS Win NT 4.0
- 1 Sun Workstation with OS Unix Solaris
- OM with Magnification 2,5x, 5x, 20x, 50x, 100x
- Scanner with Magnification 3x, 5x, 8x, 10"
Applied Materials    Compass Pro 300    Darkfield Defect Inspection Machine    "- Width: 55.906  in  (142.0 cm)
- Depth: 74.803  in  (190.0 cm)
- Height: 94.094  in  (239.0 cm)
- Weight: 6,173  lb  (2,800 kg)"
Applied Materials    P 5000    PE Oxide Dep Tool    - 2x DxZ Chambers - Both PE - Silane 6" Si
Auto Vision    Dpak Conv       
Avery    3205-COE    Portable Platform Weighing Scale   
Aviza Technology    Celsior    Mainframe    "- Mainframe with 2 Chambers: 2 x Aviza Celsior ALD chambers
- Width: 82.677  in  (210.0 cm)
- Depth: 131.496  in  (334.0 cm)
- Height: 103.937  in  (264.0 cm)
- Weight: 3,086  lb  (1,400 kg)"
Aviza Technology    Pantheon    Mainframe    "- 3 Chambers
- Width: 82.677  in  (210.0 cm)
- Depth: 131.496  in  (334.0 cm)
- Height: 103.937  in  (264.0 cm)
- Weight: 3,086  lb  (1,400 kg)"
BTU    Pyramax 98    Reflow Oven    "- Width: 59.055  in  (150.0 cm)
- Depth: 177.165  in  (450.0 cm)
- Height: 55.118  in  (140.0 cm)
- Weight: 3,968  lb  (1,800 kg)"
Component Technology    C 100    Wire Pull Machine    "- Width: 7.874  in  (20.0 cm)
- Depth: 9.843  in  (25.0 cm)
- Height: 11.811  in  (30.0 cm)
- Weight: 287  lb  (130 kg)"
Dainippon Screen    SC-W 60 A-AV    Coater    "- with 4 horizontal load ports
- 2 coater cups
- 6 resist lines with 3 pumps
- 8 hot plates (2 of them ADH HP)
- 2 cool plates
- 1 temperature controller / unit
Accessories:
- Pumps: IDS300 pumps;
Dimension:
- Media cabinett: LxBxH  750x770x1600
- Transformer: LxBxH  600x410x420"
Dainippon Screen    SDW-60-AVP    Developer    "- 2 developing units, full functional
- 2 tracks"
Dainippon Screen    SDW 60 AVP    Developer    - 2 developing units, full functional
DBS Strumenti Scient    PGH2-100 Series 2    Hydrogen Generator    "List of items included:
- 1 x Hydrogen generator
- 1 x Instruction manual
- 1 x Deionizer triangle bag
- 1 x Water drain with flexible tubing
- 1 x Power cable"
Dicso    DFD 640    Wafer Saw    "- Width 53.150  in  (135.0 cm)
- Depth 45.984  in  (116.8 cm)
- Height 48.622  in  (123.5 cm)
- Weight 2,866  lb  (1,300 kg)"
Disco    DFD 640    Wafer Saw    "- Width 53.150  in  (135.0 cm)
- Depth 45.984  in  (116.8 cm)
- Height  48.622  in  (123.5 cm)
- Weight  2,866  lb  (1,300 kg)"
Dr.-Ing. Werth    600-2    Profile Projector    "- Width 39.370  in  (100.0 cm)
- Depth 78.740  in  (200.0 cm)
- Height 88.976  in  (226.0 cm)
- Weight 441  lb  (200 kg)"
EVG    850 DB / 200    Wafer Debonder   
FEI    Tecnai 20 S-TWIN    Transmission Electron Microscope (TEM)    "Equipped with:
 -Super TWIN lens
 -1K Gatan Multiscan CCD camera (Mod. 794)
 -EDAX EDX-system (SiLi detector ultrathin window 133eV resolution)
- 5-axis motorized stage
- Single tilt holder
- No STEM
- Point resolution 0,24nm
- Line resolution 0,14nm"
Fico Netherlands    AMS 24    Mold System    "- Width 64.961  in  (165.0 cm)
- Depth 94.488  in  (240.0 cm)
- Height 84.646  in  (215.0 cm)
- Weight 8,818  lb  (4,000 kg)"
Fico Netherlands    Fico    Mold Die    "- 50 Hz
- 3 Phase"
Hitachi    EPL-8541 HK 8 R    Color Copier   
Hitachi    MI-SCOPE    Scanning Acoustictomograph   
Hitachi    V 523 50 MHZ    Oscilloscope   
Hitachi    VP-1500    Monochrome Copier   
Hitzinger        Kinetic Energy Module    "- Width 78.740  in  (200.0 cm)
- Depth 98.425  in  (250.0 cm)
- Height 90.551  in  (230.0 cm)
- Weight 17,637  lb  (8,000 kg)"
HORA    OLT    Operating Life Test System    "- Width 31.496  in  (80.0 cm)
- Depth 23.622  in  (60.0 cm)
- Height 78.740  in  (200.0 cm)"
Insidix        Topography and Deformation Measurement (TDM)   
IPEC Westech    Avanti 472    CMP Polishers    "- Width 65.000  in  (165.1 cm)
- Depth 75.500  in  (191.8 cm)
- Height 89.000  in  (226.1 cm)"
Kinergy    ACS-200    Substrate Sorter    with cleaning function
KLA-Tencor    AIT II    Darkfield-Defect Inspection AIT Fusion    "- 2 Load Port
- Upgrad. 2004 to AIT XP"
Multitest    MT 8502    TriTemp Gravity Handler    Temperature range from -40 to +125°C equipped with PLCC-44 conversion kit tube to tube handling
Lam    4520 i    Oxide Etch System    "- Stand alone
- Single wafer
-400 KHz and 13,56MHz Generatoren
- Operator Monitor rebuilt on flat screen
- RF Cart
- 208 V
- 30.0 A
- 50/60 Hz
- 3 Phase"
Leica Cambridge    S 260    Scanning Electron Microscope (SEM)    "- Accelerating voltage: High (4KV-30KV)
- Low (0.3KV-3KV) Stage movement: X,Y Z direction Tilt (-10 to 90 degrees) R (360 degrees)
- Resolution Capability: 5nm (Accelerating voltage=30KV, WD=3mm)"
Link Analytical    INCA L 300 QI    Energy Dispersive X-Ray    "- Width 9.843  in  (25.0 cm)
- Depth 27.559  in  (70.0 cm)
- Height 43.307  in  (110.0 cm)"
Lintec    LTD-2500 F / 12    Wafer Mounter Peeler    - 3 Phase
LTX / Credence    PPS    Fusion Tester    "- 380 V
- 50/60 Hz
- 3 Phase"
LTX / Credence    PVI    Tester    "- 230 V
- 50/60 Hz
- 1 Phase"
Manufacturing Integration Technology    IMT 1200 M    Laser Mold Cleaning Machine    "- Weight: 1323 bl
- Width: 59.055 in
- Depth: 35.433 in
- Height: 47.244 in"
Manufacturing Integration Technology    MIT_LH 100 SP    Laser Mark Machine    - 3 Phase
Manufacturing Integration Technology    MIT_LH 100 TTL    Laser Mark Machine    - 3 Phase
Micro Instrument    PE 9020    Electromigration Oven    With 3 TM 730A Units
Micro Instrument    PE 9020    Electromigration Oven    With 3 TM 730A Units
Motorola Lighting    BGA MSA 250 A    Ball Placement    "- Width: 42.520 in
- Depth: 109.055 in
- Height: 79.134 in"
Motorola Lighting    MSA 250 A    Ball Placement   
Muhlbauer    DB 200 WTX-1    Die Bonder    "WX CHIPCARD just phase out 1 set of DB200 WTX-1, (Oven be included together)    "
Muhlbauer    DS 10000    Tape & Reel Die Sorting    "- Width 74.803 in
- Depth 70.866 in
- Height 66.929 in"
Oxford    CMI 950    Xray Fluorescence Spectrometer    Standard Configuration
Peter Wolters    PM 300 Apollo    Polisher    "- 440 V
- 100.0 A
- 50 Hz
- 3 Phase"
PILL    Export 250    Resist Development Equipment (PILL)    - 380 V
Preco    FlexStar    UV Laser System    "- 7 Watt
Accessories:
- Outbound Modifications
- Cantilever Shafts, Unwind and Rewind
- Light Table
- Slug Removal Modifications"
Active Technologies    Rifle    Memory Tester   
Rofin-Baasel    RSM IC-FS    Marker Power Line   
Rofin-Baasel    RSG 1010    Laser Marking Machine   
SELA    EM 2    Automated TEM and SEM Sample Preparation System    "- 220 V
- 60 Hz"
Shinkawa    ACB 400    Wire Bonder    "- Width 27.559 in
- Depth 27.559 in
- Height 73.228 in"
Shinkawa    ACB 35    Automatic Ball Bonder   
Sikama International    M:Falcon 8500    Curing Oven    "-  Width: 62.992  in  (160.0 cm)
- Depth: 125.984  in  (320.0 cm)
- Height: 51.181  in  (130.0 cm)
- Weight: 1,102  lb  (500 kg)"
Smart Sonic    Ergosonic    Stencil Cleaner    "- Automatic
- max 29 in stencil masks"
Sumitomo Heavy Indus    SWA-90 GD    Laser Anneal    "- 208 V
- 50 Hz
- 3 Phase"
Tel    Trias    TI/TiN ALD    "Accessories:
- Mainframe with 4 Chambers:
- 4 x TiN chamber
Tool Codes:
- CVD314-01-A,
- CVD314-01-B,
- CVD314-01-C,
- CVD314-01-D"
Teradyne    A 360       
Tel        Minibatch Furnace    Nitrid
Tel        OfenTel Minibatch    Oxid
Tel    Certas WING    Mainframe    "- 3 load ports
- 2 oxide etch chambers"
Tel    Mark 7 N    Clean Track    "- Carrier Station C/S
- 2 Coater RRC/EBR,  R1 und R2 with GEN2 Pumps(not all pumps installed)
- 2 Developer with  H-Nozzle and Rinse
- 1 AD Unit
- 8 Hotplates (Low Oven)
- 2 DHP  (High Oven)
- 5 Cool plates
- 1 WEE
- 1 Cup Wash function
- Canon FPA i5 Interface
- Temp./Hum. Unit for Coater
- Temp./Hum. Unit for Developer
- Solvent Supply for each Coater
- Developer Supply for Dev."
    4 GB 6346-8 D    Transformer    H/T.Switch.&Transformer
Ultratech    1500    Wafer Stepper   
Vistec Semiconductor    LDS 3300 M    Macro-Defectinspection-Tool    "- 2 loadports typ: Fixload 6 25 slots
- 12 inch IDE Robot Macro-Stage / Micro(Handling)-PC, Macro-PC Stage
- loading of 4"", 8"", 12""-wafers possible
- Endeffector 12"" wafer only Hanlings"
    WIN 200 P / MW 200 N    Wafer Shipping Boxes    "- Type WIN200P from Miraial 8""
- Type MW 200N from Shinetsu 8"""
Leroy Somer    4500 LSRPM 200 L 2    Industrial Motors    "- 120 kW-B3; 400V
- Option : reinforced chassis to sustain and attached the 2 couples motors to the floor  and mechanical protection fences (2800 € )
- Option : 33 electrical cables various length, 50 mm² copper , multistand conductors -  réf H07RNF 1X50TGL (4 x 7m ; 8 x 12m ; 9 x 9m ; 6 x 0.7m ; 6 x 1.3m) (7000€)"
K&S    980    Wafer Saw   
K&S    1472    Wire Bonder   
K&S    1488 Plus       
K&S    1488 Plus       
Brooks    013096-104-20    Wafer Loader   
Shuttleworth        Two Roller Conveyor   
SpanTech        Curved Conveyor   
Brooks    013096-336-20    Wafer Loader   
TDK    TAS 300    Load Port   
Ebara    FREX-300 S    Chemical Mechanical Polishing (CMP) system   
K&S    AT Premier Plus    Gold Wire Bonder    Wafer frame with manual loading
Siemens    Siplace S 25 HM       
Siemens    Siplace S 20       
Heller    1900 EXL    Oven   
Wolverine        PrePreg Treater   
Mokon    H 53324 TY    Oil Heater   
Mixer Direct        500 Gallon Mixing Tank   
Mixer Direct        150 Gallon Tank    "- Equipped with 2 pumps
Iwaki Mag-Drive Pump:
- Capacity (GPM) 2.5
- 3450 rpm
- 60 HZ"
Brabender Technologie    F W 80/5 Plus-200    Mixer   
Brabender Technologie    DDW-MD 3-DSR 28-10 ISC    Mixer   
AirClean Systems    Powder Safe AC 775 C    Hood   
Sweco        Separator   
Century Design    CD 5870-24       
    HDC-900-IDC    Drum Crusher   
Perkin Elmer    4400    Sputtering System   
Perkin Elmer    2400    Sputtering System   
        Hopper Tank    2 Pumps and a Motor
Multiplas    V 4-85 T-G    Injection Molding Machine   
Electroglas    4090    Prober   
Weiss    WK3-180 / 40-S    Temperature Cycler   
Taiwan Sampoong Instrument    Quick Vision Apex 302 L 1-C    Portrait Measuring System   
Aetec        Thermal Cyiling Test Machine   
Hyvision        DC + Blemish Manual Tester   
Hyvision        HSMT + DCR Manual Tester   
Hanxuan    ADS-3-AS FS 8032    Diamaflow   
Nordson    FlexTRAK    Plasma Cleaner    - 2 MB
Hong Jin Technology    HT 1022    Auto Taping Handler   
Hyvision    HVT-305 CTU    Tester   
Hyvision    HVT-305 BF 2    Tester   
Hong Jin Technology    HVT-305 BF 2    Tester   
Hyvision    HVT-305 BF 2    Dispensing / Curing System Testing Machine    - Auto Focus
Hong Jin Technology    HVT-305 BF 2    Manual Mechanism Modification   
Hyvision    BHS-100 M    Rewinding Machine    - Auto
Hyvision    HVT-305 CTU    Color Measurement Tester   
Hong Jin Technology    HVT-305 CTU    Manual Mechanism Modification Tester   
Hirayama Manufacturing    VS-300-2    Electric Vibration Tester   
United States Enterprise    KE-40 B 1    Controller   
United States Enterprise    KZ-30 W 2    Syringe Sampler   
Hong Teng Technology    TM-3100    Machine Implant   
Yangfa Industrial    MCB-3000 CMOS    Cleaner   
Taiwan Sampoong Instrument    Quick Vision Apex 302 L 1 L-C    Portrait Measuring Machine   
Hong Jin Technology    HT-3019    Auto Film Per-Bend   
Hao Mian Technology        "Automated Optical Inspection (AOI)
+ Taping System (SECS / GEM)"   
Hao Mian Technology        "Automated Optical Inspection (AOI)
+ Taping System (SECS / GEM)"    "- 2 Head
- 2D Barcode Reader"
Hao Mian Technology        "Automated Optical Inspection (AOI)
+ Taping System (SECS / GEM)"    - Open / Close Pickup Option
Hong Teng Technology    TM-3100    Automatic Plant Machine   
Hyvision    900 DC-V 1    Optical Inspection and Electrical Test Machine   
Hyvision    900 D-V 2    Electrical Testing Machine   
Hyvision    F 300    Tester   
Hong Jin Technology    F 300    Manual Mechanism Modification   
Hyvision    F 300 / HVT-305 BF 4    Dispensing / Curing System Testing Machine    - Auto focus
Hyvision    C 300    Measuring Instrument   
Hong Jin Technology    C 300    Manual Mechanism Modification   
United States Enterprise    SK-13 Y-1524 B        Modify to MP machine parts
Jiu Ding    Nordson March FlexTRAK-2 MB    Plasma Cleaning Machine   
Hyvision    C 300 / HVT-305 CTU    Color Measuring Testing Machine   
K&S    AT Premier Plus    Gold Wire Bonding Machine    Wafer frame with manual loading
Hong Teng Technology    BT-1000 HTA    Boat to Tray Switch   
Aetec    ALX-P 718-1 SR    Thermal Cycling Chamber   
Hong Teng Technology    HTA BT-1000    Transpose Machine   
Wanrun Technology        Boat to Tray   
Hyvision    H 100-V 2    Auto Load / Unload Handler   
Taiwan East Electric    AFM 1561    Automatic Folding Crystal Sticky Machine   
Taiwan East Electric    AFM 1561    Die Bonder    - Additional option for detect carrier direction
Protec    Zeus    Automatic Dispenser   
Wanrun Technology    Allring RK-IBT 2000    Transposed Machine   
Weiss    WKL-100 / 40    Temperature Cycling Machine   
Hyvision    900 DC-V 1    Optical Inspection and Electrical Test Machine   
Hyvision    C 301    Automatic Optical Inspection Tester   
Nutek    NTM 0411-M-400-1    Magazine Unloading Conveyor   
Weiss    VK 3-180 / 40    Constant Temperature and Humidity Cabinet    - High Temperature
Aetec    ALX-P 718-2 SR    Heat Cycle Tester   
Hyvision    T 801 for FT / OQA    Automatic Optical Inspection Handler   
Hyvision    C 301    Automatic Optical Inspection   
ITO    OHASHI CMS-1200    Loader / Unloader   
Nutek    NTM 4417-UM    Feeder   
Nutek    NTM 4416-CUM    Remove Cap Machine   
Nutek    M 4410 LM-1 K    Feeder   
Hao Mian Technology        Auto AOI System Machine   
Li Quan Technology    VT    Hard Tray Mold   
Heller        Snap Cure Baking Oven   
Junhua Precision Industry    KB-3100    Substrate Load   
Junhua Precision Industry    KB-3110    Auto Pick / Place    - With Tray Exchanger
EO Technics    BM 2264 G    Laser Back Printer   
IOU Technology    BM 2264 G    Laser Back Printer    - Power detect & cable (7M)
Shin-Ohtsuka        HFE In-Line Ultrasonic Cleaning Machine   
Wanrun Technology        Allring Load / Unload for Plasma   
Shin-Ohtsuka    SK-12 Y-1524 B        - Include LPC unit
Hong Teng Technology    TM-3200    Automatic Plant Machine   
Shin-Ohtsuka    YMPT-SK-13 &-1524    Ultrasonic Cleaner   
United States Enterprise    KS-42 C    Liquid Particle Sensor   
Hyvision    T 800    Electrical and Noise Tester   
Heller    Hooke    Cure Oven   
Heller        Kelvin Cure Oven   
Hao Mian Technology    HVS-3000 B    Automatic Visual Inspection Machine   
Heller        Baking Oven    - With KIC Profiler & Micros
Mason Technologies        Allring Loader & Unloader   
State Technology        Dirty Visual Inspection Machine   
Hong Jin Technology    HT-1980    Cap Attach Handler    - With SECS / GEM
Hong Jin Technology    HT-1991 A    Automatic Visual Inspection Machine   
Nutek    NTM 4410 UM-1 K    Unloader Advanced Platform    Standalone
Li Quan Technology        Hard Tray Mold   
Rui Yi Technology        Pre-Bending Tool    Semi-Auto
Rui Yi Technology    VFH C 3.0    Bending Tool    - Semi-Auto
Hyvision    BF    Conversion Kit   
Hyvision    CT 1    Conversion Kit   
Hyvision    CTQ    Conversion Kit   
Rui Yi Technology    VT-H C 3.0    Auto Machine   
Li Quan Technology    VT-S    Hard Tray Mold Maker   
Rui Yi Technology    VT-S    Tooling    - Semi-Auto
Li Quan Technology    J 0297 VT-T    Hard Tray Mold Maker   
Rui Yi Technology    VT-T    Tooling    - Semi Auto
Li Quan Technology    J 0319    New Mold Making Tool   
Li Quan Technology    J 0335    Aluminum Die Fresh Common Mold Base   
Li Quan Technology    J 0341    Aluminum Mold   
Rui Yi Technology    NH C 5.0    Prebend Tooling   
Li Quan Technology    J 0337    Aluminum Die Fresh Common Mold Base   
Li Quan Technology    J 0319-2    Mold Making + Electroplating    "- Common Mode
- NAK mold"
Li Quan Technology    J 0315    Aluminum Mold   
Li Quan Technology    J 0338    Aluminum Die Fresh Common Mold Base   
Hyvision    Riemann    AA Machine Measurement Tools & Jigs   
Hyvision        Model Conversion Kits    - for FF6 & FF5
Rui Yi Technology    NH C 4.0    Prebending Tooling   
Hong Jin Technology    HVT-305 BF 4    Dispensing Curing System Testing Machine    - Auto Focus
Rite Track / SVG    90-S    Coat / Develop System    "4"" / 6""
Description: 4 Loaders for cassettes. HMDS Module, Four chill plates, five hotplates, 2 Developers 2 Coaters - 3 Outputs each.
Temperature bath controller, SemiFab air flow controller
Linear single center robot. Full enclosure with charcoal filter system
Can be interfaced to an exposure tool
Size: Main Chassis Length 142” x Width 54” x Height 98”
Control Cabinet: Height 66” x width 13” "
Hyvision    C 300    Tester    C 300 > C 301 Mod
Hyvision    HVT-305 CTU        C300 > 301 Mod
Hyvision    HVT-305 BF 4    Handler    - Auto Focus
Hyvision    T 802    Automatic Optical Inspection Handler   
Hyvision    H 100-V 2    Automatic Optical Inspection Handler   
Hyvision    T 800 VT to NH    Feed Stands, Automatic Seat Carrier, Suction Head   
Li Quan Technology        Mold Maker   
Li Quan Technology    VT-T    Mold Maker   
Li Quan Technology    J 0353    Aluminum Die Holder   
Taiwan East Electric    AFM 1561    Die Bonder   
Chick    Quick-Lok    Double Vises    With special steel adjustable jaws and movable stops
Shanghai Taiyuanpack Equipment    ORGAPACK    Packaging Machine   
Shanghai Xinhao Packaging Technology    OR-T 250    Packaging Machine    "- Hand held
- Automatic"
Jiangsu Keyland Laser Technology    GSC-20 F    Laser Scribing Machine   
ASIC Technology (Shanghai)    EL-1.4 MD-M    EL Tester   
Extech Electronics    7440    IV Tester / Electrical Safety Compliance Analyzer   
Komax    Xell-3400    Automatic Welding Machine   
Komax    Xell-Gen 2    Automatic Lay-Up System   
Qiruida Automation Equipment (Suzhou)    7440    IV Tester   
Shanghai Taiyuanpack Equipment    TP-9011    Packaging Machine    - Semiautomatic
Shijiazhuang Yidunsm        Homothermal Hot Plate   
Somont        Welding Machine   
Somont    3 S 3622 CP    Laminating Machine   
Wuhan Sunic Photoelectricity Equipment    SCT-B    Singe Piece Solar Cells Separator   
Yingkou Kinah Machinery    300 MW    Assembly Line    - Automated
Zhongye Electronics(Suzhou)    7440    IV Tester   
Komax    Xcell 3400    Welding Machine    - Automatic
    DMZK-11    Pack Frame Machine   
Boostsolar    BSL 2236 OAC-III    Laminating Machine   
Hebei Yi Heng Technology    YIHENG TDCZ-Y-4    Laminating Machine   
Hebei Yi Heng Technology    TDCZ-Z-4    Laminating Machine   
Shanghai JieLe Technology    GST-EL-10000 A    EL Tester   
Shanghai JieLe Technology    GST-EL-10000 AI    EL Tester   
Shanghai Shengpu Machinery    SPZ-210 G    Border Glue Machine   
Xkchem Technology(Shanghai)    HTJBD 200    Glue Machine   
Chick    MJQ 1550-150.50 1550    Jaw Set   
Chick    MJQ 15-150.50.120-F    Fixed Jaw    150mmX50mmX120mm
Ingersoll Rand    IRN 50 H-OF    Compressor   
Ingersoll Rand    HL 2001 HE 0 AA    Dryer   
Advanced Thermal Sciences    MVX-75    Water to Water Heat Exchanger    "- 208 V
- 3 Phase
- 50/60 Hz"
Advantest    D 3371    Transmission Analyzer    "- Variable data rate capability from 10 MHz to 3.6 GHz
- 3 Vp-p maximum, wide range of output amplitudes from low-amplitude devices to direct Laser Diode modulation and Electro Absorption modulators"
Affinity    EWE-04 AJ-CD 49 CBD 0    Non-Refrigerated Water to Water Heat Exchanger with Digital Controller.    "- Temp. Range: 15 to 90 deg C
- Nominal Heat Removal: 20 kW
- Pump Performance: less than 6 gpm
- Pressure: less than 31-60 psig
-  208V, 1 Ph, 50/60 Hz, 11.5A, CE"
Affinity    EWE-04 AJ-CD 49 CBD 0    Water to Water Heat Exchanger    "- Temp. Range: 4 to 90 deg C
- Cooling Capacity: 20 kW
- Pump Performance: 15 liters at 1.8 bar
- Digital controller
- 200-230V, 1 Ph, 50/60 Hz, 11.5A, CE."
AG Associates    Heatpulse 610    Rapid Thermal Processor (RPT)    "- Benchtop
- Programmable ramp up rates
- Peak Temp.: 1350 deg C
- Recommended Steady State Temp
- Range: 400 to 1150 deg C
- 208V, 1 Ph, 60 Hz, 90A"
Agilent    8509 B    Lightwave Polarization Analyzer    "- Wavelength operating range: 1200 nm to 1600 nm
- Input power operating range: +10 dBm to -55 dBm
- Input average power damage level: +16 dBm
- Analyzer only in stock. 100/240V, 50/60 Hz, CE"
Agilent    16821 A    Logic Analyzer    "- 34-Channel
- Portable
-48 channel pattern generator
- 15 in. color display
- State clock up to 250 MHz
- Data rates up to 250 Mb/s"
Agilent    E 5250 A    Switch Mainframe    "- Keysight Low Leakage
- Two E5252A 10x12 Matrix Switch Cards"
Agilent    8164 A    Lightwave Measurement Mainframe    "- with 81482B Opt 072
- Tunable Laser 1400nm Plug In"
Agilent    8164 A    Lightwave Measurement System    "- with 1550 nm Tunable Laser Source Module
- Mainframe unit. HP 81682A
- Wavelength Range: 1460 to 1580 nm
- Absolute Wavelength Accuracy: +/- 0.01 nm
- Wavelength Resolution: 0.1 pm
- Max. Output Power: +6 dBm (1520-1570 nm)
- Max. Output Power (Peak, Typical): +8 dBm
- Option 072 polarization maintaining fiber angled contact connector"
Agilent    81637 B    Fast Power Sensor Module    "- Sensor Element: InGaAs
- Wavelength Range: 1250 - 1640 nm
- Power Range: +10 to -80 dBm"
Agilent    VSPD 031    Helium Leak Detector    "- Portable
- with Internal Dry Pump Combo
- Fully automated start-up and calibration
- 100-115V, 50/60 Hz, 20A, CE"
Agilent    Turbo-V 551 NAV    Turbomolecular Vacuum Pump    "- 4-inch ISO flange
- Pumping Speed: 350 l/s
- 220V, 50/60 Hz, CE"
Air Control    FH-45 D-S-6    Polypropylene Wet Process Bench    "- Three Baths: 8 in. L x 13 in. W x 8 in
- One Heated Bath: 8 in. L x 13 in. W x 12 in
- One Heated Bath: 8 in. L x 13 in. W x 7 in
- Two Rinse Tanks: 11 in. L x 8 in. W x 5 in
- Totally enclosed leak proof compartment with removable access panel at front
- 208V, 120V, 60 Hz
- Overall Dimensions: 72 in. L x 48 in. W x 84 in"
Air Control    FH-45 D-SS    Solvent Wet Process Bench    "- Stainless Steel
- 4 ft. stainless wet process hood with three baths
- Two Heated Baths: 11.5 in. L x 10 in. W x 6 in. D
- Quick Dump Rinse Bath: 11.5 in. L x 8.5 in. W x 8 in. D
- Overall Dimensions: 48 in. L x 53 in. W x 78 in
- H. Fire systems are not tested or guaranteed
- 208V, 1 Ph, 60 Hz, 32A"
Air Control    FH-45 D-S    Polypropylene Wet Process Hood    "- Three baths installed in wet process bench
- Bath: 8 in. L x 10 in. W x 8.5 in. H
- Rinse Tank: 8 in. L x 13.5 in. W x 8.5 in. H
- Bath: 8 in. L x 13.5 in. W x 8.5 in. H
- Overall Dimensions: 36 in. L x 49 in. W x 84 in. H
- Fire systems are not tested or guaranteed"
Air Control    FH-45 D-SS    Stainless Solvent Wet Process Bench    "- Overall Dimensions: 44 in. L x 41 in. W x 83 in. H
- Heated Bath: 10 in. L x 13 in. W x 6 in. H
- Bath: 10 in. L x 13 in. W x 7 in. H
- 208V, 1 Ph, 60 Hz, 23A
- Fire system not tested or guaranteed"
Air Control    FH-45-SS    Stainless Steel Solvent Process Hood    "- with Ultrasonic Bath
- Heated Ultrasonic Bath: 10 in. L x 12 in. W x 6 in. H
- Heated Bath: 10 in. L x 12 in. W x 6 in. H
- Two Baths: 8 in. L x 12 in. W x 8 in. H
- Bath: 13 in. L x 8 in. W x 7 in. H
- Overall Dimensions: 72 in. L x 49 in. W x 84 in. H
- Fire systems are not tested or guaranteed
- 120/208V, 1 Ph, 60 Hz, 1.2/50A"
Air Control    FH-45-S-SS    Polypropylene Wet Process Bench    "- 6 ft
- Two Baths: 11.5 in. L 8 in. W x 7.5 in. H
- Two Temperature Controlled Baths with Heater/Chillers: 8 in. L x 11.5 in. W x 7.5 in. H
- Two Quick Dump Rinser Tanks: 11.5 in. L x 9 in. W x 8 in. H
- Fire systems are not tested or guaranteed
- Overall Dimensions: 72 in. L x 48 in. W x 84 in. H
- 120/208V, 1 Ph, 60 Hz, 54A"
Air Control    FH-45 D-S-SS    Polypropylene Wet Process Bench    "- One Bath: 11.5 in. L x 8 in. W x 6 in. H
- Three Temp. Baths with Heater/Chiller: 11.5 in. L x 9 in. W x 8 in. H
- One QDR Quick Dump Rinser: 11.5 in. L x 9 in. W x 8 in. H
- 120-208V, 1 Ph, 60 Hz, 75A
- Fire system is not tested or guaranteed"
Air Control    FH-45 D-S    Polypropylene Wet Process Bench    "- Four Temperature Controlled Baths with heater chillers: 11.5 in. L x 8 in. W x 8 in. H
- Two Quick Dump Rinse Baths: 11.5 in. L x 9 in. W x 8 in. H
- Overall Dimensions: 72 in. L x 48 in. W x 84 in. H
- Fire systems are not tested or guaranteed
- 208V, 1 Ph, 60 Hz, 80A"
Air Products        2 Bottle Gas Cabinet    - Cabinets and Gases Previously Used: Qty. 5 - SiH2CL2, N2. Qty. 3 - HCL, N2. Qty. 2 - PH3, N2
Air Products    215711    2 Bottle Gas Cabinet    "- GasGuard TE10 Ammonia NH3
- Siemens Simatic multipanel touch control display"
Air Products    211984    Single Bottle Nitrogen Gas Cabinet    "- GasGuard 250
- Previously for ammonia NH3 and nitrogen N2"
Air Products    801-473886    Two Bottle Gas Cabinet    "- GasGuard 250
- Previously for Nitrogen Trifluoride NF3, Nitrogen Trifluoride NF3 and Nitrogen N2"
Air Products    801-4703927    Three Bottle Gas Cabinet    "- GasGuard 250
- Previously for Nitrogen Trifluoride NF3, Nitrogen Trifluoride NF3 and Nitrogen N2"
Air Products    801-4702499    Gas Cabinet    Previously for CL2, CL2, N2
AIS    500 PP    PAO Printer   
Alcatel    ASM 121 H    Helium Leak Detector    "- Compact Portable
- Automatic
- Measurement Range: 1 x 10^-10 to 1 x 10^-1 atm cc/s
- Turbo pumped
- Built-in roughing pump
- 115V, 60 Hz"
Alcatel    RSV-601 B / 2063 CP    Rotary Vane Vacuum Pump    "- Dual Stage
- with Roots Blower
- Pump Blower Package
- 2063CP Pump: Peak Pumping Speed: 48 cfm at 60 Hz
- Ultimate Pressure: 2 x 10^-4. 1.5 h.p. motor
- Oil Capacity: 3.6 liters
- Inlet: KF40. Outlet: KF40
- RSV-601B Blower: Pumping Speed: 354 cfm
- Ultimate Pressure: 2 x 10^-4
- Leak Rate: Less than 10^-7
- Inlet/Outlet Flange: ISO/DN100
- Oil Capacity: .7 liters
- 208V, 3 Ph, 50/60 Hz"
Allied High Tech    4000    Precision Sectioning Saw    "- Sample capacity is up to 2.5 in. thick and 8 in. in length
- Variable Speed: 100 to 4000 rpm
- Recirculating coolant system
- 3 in. to 8 in. blade capacity
- 120V, 60 Hz"
Anest Iwata    ISP-500 B    Dry Scroll Vacuum Pump    "- Oil-Free
- Max. Pumping Speed: 30 m3 per hour
- 200-460V, 3 Ph, 50/60 Hz"
KLA-Tencor    2350    High Resolution Imaging Inspection    "- Amps full load: 34 A
- Amps, largest load: 22 A
- 50/60 Hz
- 208V
- 3 Phase
- 10k amps"
Hami    CSP-101       
Anhui BEQ    BTG-1200 C    Split Vacuum Tube Furnace    "- Accuracy of +/-1 deg C
- 40 programmable segments up to 1200 deg C
- Tube dimensions are 4 in. x 17 in
- Electrical requirements: 220V, 1 Ph."
Anorad    676    Precision X-Y Stage    "- Stage Size: 10 in. x 5 in. x 2.5 in
- CRT display"
Anorad    STAGE    Large Stage    "- Inside Opal 7830I microscope under high vacuum
- Includes power unit
- Requires external control besides power box
- Stage Movement: 8 in. x 15 in"
Anritsu    MW 910 A    Optical Time Domain Reflectometer    "- With MH937A 1.3 mm unit
- Long-distance measurement with 1-meter resolution
- Three-point optical masking
- Memory for measurement setup
- Built-in thermal printer"
Anritsu    MP 1653 A    Error Detector    "- 3 GHz
- Built in high speed printer
- Errors are detected in intervals as short as 0.1 sec
- Zero wait time counter gate"
Anton Paar    CPX-NHT2    Nanoindentation Tester    "- 110V
- Includes Nanoindentation head with work table
- Load range from 0 to 500 mN
- Instrumented Indentation Testing from 0.1 to 500mN
- Motorized X and Y translation tables (120 x 70mm)
- Automated Z approach
- Electronic control unit
- PC with 19 in. LCD Display
- Berkovich diamond indenter with calibration certificate
- Flat tip diamond indenter with calibration certificate
- Instrument Control and Xpert Indentation software V5 (Win 7)
- Automatic hardness and elastic modulus calculation."
Applied Materials    Excite    High Speed Particle Detection System    "- Blanket films can be inspected at over 60 wafers per hour and patterned wafers at over 45 wph
- 1 micrometer particle detection on unpatterned silicon
- Windows NT operating system
- Currently configured for 200mm wafers but can be configured for 300mm"
Applied Materials    IPUP A 100 L    Dry Vacuum Pump    "- Advanced monitoring functions
- Water cooled motor
- AMAT interface
- Peak Pumping Speed: 63 cfm
- 208V, 3 Ph, 50/60 Hz, 12A, CE"
Applied Materials        HDP Chamber    "- Was for 200mm substrates
- No turbo pump or other accessories"
APT    280 AN    Gallium Arsenide Wafer Titler    - Exposes up to 16 easy to read alphanumeric characters across the wafer flat or at 3 other selectable locations in 90 degree increments from the flat
Arbin Instruments    BT-2043    Battery Test System    "- BT-2043 Voltage Range: -10 to 10V
- Current: 100mA
- Materials Research and Coin Cells
- 208V, 3 Ph, 50/60 Hz, 42A, CE"
Arbin Instruments    BT-2000    Battery Tester    "- Each channel is a true potentiostat and galvanostat which provides both voltage and current control for all charging and discharging requirements
- The BT-2000 can handle both rechargeable and primary battery applications for all chemistries
- Each test channel operates independently from the other enabling different test runs
- Channels: 1-4. High: +/-10A
- Medium: +/- 1A
- Voltage: 3-30V
- 220V, 3 Ph, 50/60 Hz, 12A"
Arbin Instruments    BT-2000    Battery Tester    "- Each of the 8 channels in this system is a true potentiostat and Galvanostat which provides both voltage and current control for all charging and discharging requirements
- The BT-2000 can handle both rechargeable and primary battery applications for all chemistries
- Each test channel operates independently from the other enabling different test runs
- Channels 1-4: High: +/-5A
- Medium: +/-500mA. Low: +/-10mA
- Voltage: 0-6V
- Channels 5-6: High: +/-10A. Medium: +/-1A
- Low: +/-100mA
- Voltage: 0-12V
- Channels 7-8: High: +/-30A, Medium: +/-10A
- Low: +/-1A
- Voltage: 0-12V. 220V, 3 Ph, 50/60 Hz, 30A, CE"
Aremco    Accu-Cut 5200    Ultra Hard Material Dicing Saw    "- Compact, semiautomatic
- Equipped with a 5,000 rpm fixed speed spindle, a manual indexing Y-stage and an air/oil in-feed"
ASM    EPITAXY    EPI Reactor    "- 5-1/4 in. disk drive
- (2) 8 in. cassettes"
Associated Environmental    SD-302    Mechancial Refrigeration Chamber    "- 2-inch port on side of chamber
- Dimensions of Chamber: 15 in. H x 15 in. L x 16 in. W
- Working Volume: 2 cu. ft.
- Temp. Range: -73 to 177 deg C
- Digital programmable controller
- Stainless steel interior
- High temp. adjustable fail safe
- Benchtop unit
- 110/120V, 1 Ph, 50/60 Hz"
AST    CVD-P    Parylene Deposition System    "- The Sublimation oven uses a heater of about 850W at 120 VAC
- The Pyrolysis oven uses a 2200W 208 VAC heater
- The pyrolysis oven is factory set to 650 deg C
- The vacuum gauge plumbing heater is rated at 420W 120 VAC
- The heater is factory set to 150 deg C
- Chamber is 16 in. dia. x 17 in. D
- System requires LN2 for cold trap. 208V, 3 Ph, 60 Hz, 20A"
Astex    A-2500 (Ulvac)    Microwave Generator    "- Maximum power output of 2500W
- 208V, 3 Ph, 50/60 Hz"
Asymtek    C-708 AICE    Automated Fluid Dispensing System    "- X-Y placement accuracy of 0.13mm
- Provides an 18 in. x 18 in. dispensing area and absolute positioning accuracy of +/-0.0005 in. over the entire work area
- Includes vision camera and tactile height sensor"
Asymtek    402    Automated Fluid Dispensing System    "- Dispense Area: 12 in. x 12 in
- Benchtop configuration
- No dispense head included
- 100-240V, 1 Ph, 50/60 Hz, 2/1A"
Asymtek    M-PLATFRM    Dispensing System    "- Inline board feeding
- Vision camera
- Height sensor
- PC controller
- 100-240V, 50/60 Hz, 10A, CE"
Atlas Electric Devices    Ci 65 XWA    Weather Ometer Weather Resistance Tester    "- Programmer enables the operator to select the desired periods of light, darkness and water spray
- Very large specimen chamber
- 230V, 3 Ph, 60 Hz, 52A"
Atlas Electric Devices    Suntest CPS+    Xenon Exposure System    "- Exposure Area: 560cm2
- User interface with 4 line display and selective languages
- Automatic control of BST
- Monitoring and display of CHT
- 200-240V, 1 Ph, 50/60 Hz, 14.5A"
Atlas Electric Devices    Suntest CPS+    Xenon Exposure System    "- Exposure Area: 560 cm2
- User interface with 4 line display and selective languages
- Automatic control of BST monitoring and display of CHT
- 220V, 1 Ph, 60 Hz, 15A"
August Technology    NSX-85    Automated Defect Inspection System    "- Automated wafer mapping and identification
- Automated data collection and reporting
- Menu-based, easy to use Windows environment
- 200-240V, 1 Ph, 50/60 Hz, 5A, CE"
Auto Tehcnology    15    Salt Spray Test Chamber    "- Capacity: 15 cu. ft
- 208V, 1 Ph, 60 Hz, 21A"
Automated Production    GF-B    Batch Oven    "- High Temperature
- Large top window to view boards through entire process
- 99 menu storage with password protection
- Max. Temp.: 250 deg C
- Forced air horizontal convection
- Heated Tunnel Length: 14 in. Max
- Board Width: 12 in
- 220V, 1 Ph, 50/60 Hz, 15A, 2.7kW
- Gas input."
Automation Unlimited    LD 1000 B 18 x 18    Liquid Dispensing System    "- Easily programmed
- Fully automatic
- Vision camera
- Automatic part handling-conveyer
- MC3 motion control computer
- Dispense Area: 18 in. x 18 in
- 110V, 50/60 Hz, 30A"
Avisa    Nano-ALD    Process Module    - Process module only, no pumps
Aviza    NANO-ALD    Brooks Automation Gemini Express Vacuum Cluster Tool Integration Platform    - No vacuum pumps included
Aviza    AL 203    Process Module for Nano-ALD System    - Process module only, no pumps
Avtech    AVOZ-B 3-B-P    Laser Diode Driver Pulsed Voltage    "- Up to 100V/100A into a 1 ohm load (or 1 ohm in series with a laser diode)
- The pulse width is adjustable from 100 ns to 2 us, with 35 ns rise and fall times
- The maximum pulse repetition frequency (PRF) is 10 kHz, and the maximum duty cycle is 1 percent
- The maximum average output power is 100 Watts
- Laser Diode Driver (Pulsed Voltage) AVOZ-B3-B: Base model. Units with the -B suffix include the IEEE-488.2 GPIB and RS-232 computer control feature (/gpib) and a 2 m/6 ft GPIB cable
- Positive Output Polarity: A polarity must be specified
- 115-230V, 50/60 Hz"
Axcelis Gemini        Microwave Downstream Plasma Asher    "- Dual Chamber
- Stand-alone system
- Touchscreen user interface
-  Currently configured for 150mm wafers
- Gas box with six MFC for each chamber, N2, O2, N2, H1, NH3 and Forming"
Balzers    UC-010 875-TA    Cryo Compressor    460V, 3 Ph, 60 Hz
Balzers    UC-010915    Cryo Compressor    "- Water cooled
- 480V, 3 Ph, 60 Hz"
Barnstead / Lab-Line    3623 A 3 C 1    Moisture Determination Vacuum Oven    "- Digital PID setpoint controller
- Max. Temp.: 150 deg C
- Inside Dimensions: 12 in. L x 13 in. W x 12 in. H
- Does not come with vacuum pump
- 120V, 50/60 Hz, 5A
- Air in line can be for inert gas"
BC Technology    BC-HU-09-12    Laminar Flow Horizontal Airflow Hood    "- Hepa filter
- Tabletop: 46 in. x 31 in. 230V, 1 Ph, 50/60 Hz, CE"
BC Technology    BC-HU-09-15    Work Bench Hood    "- Laminar Flow
- Horizontal Air Flow
- Hepa filter
- Work Area: 58 in. L x 31 in. W x 34 in. H
- 230V, 1 Ph, 50/60 Hz, CE"
Beckman Coulter    Z 2    Cell and Particle Counter    "- with PC Option
- Mercury free
- One button calibration
- 100-240V, 1 Ph, 50/60 Hz, CE"
Beckman Coulter    CEQ 8000    Genetic Analysis System    "- Automatic sample denaturation and introduction
- Automatic gel replenishment
- Single set up for both DNA sequencing and fragment analysis
- Performance maximizing linear polyacrylamide(LPA)
- 8 samples read in parallel
- 100/240V, 50/60 Hz, CE"
Bell & Gossett    1510    Centrifugal End Suction Pump    "- 3000rpm, 175 max. psi, 15 h.p
- 208-460V, 3 Ph, 50/60 Hz. 1510 BF 6.125"
Bendix    TME-2    High Vacuum Thermal Evaporator    "- With Crystal Deposition Rate Monitor
- Manual operation, not automated
- Two thermal sources, filament type
- No substrate rotation
- Diffusion pump with Welch roughing pump
- Crystal deposition monitor
- 17-inch dia. glass bell jar with implosion shield and motorized hoist"
Benetec    Labcut 250 F    Abrasive Cutting Saw    "- Floor Model
- High Speed
- Up to a 250 mm/10-inch cutting wheel for the general work in materials laboratory
- 208V, 3 Ph, 60 Hz, CE."
Bettcher    BPS SSRA    Wet Processing Bench    "- Stainless steel
- QDR Quick Dump Rinse Tank: 10.75 in. L x 10.75 in. W x 10 in. H
- Stainless Steel Bath: 10.75 in. L x 10.75 in. W x 16 in. H
- Overall Dimensions: 36 in. L x 62 in. W x 74 in. H
- Glove Wash
- Spray and air hand held guns
- 220V, 1 Ph, 60 Hz."
Binder    VD-53-UL    Vacuum Drying Oven    "- Temp. Range: 15 to 200 deg C
- Interior Dimensions: 15 H x 13 W x 15 L
- MP controller with two programs with 10 sections each or switchable to one program with 20 sections
- Digital temperature setting
- 115V, 60 Hz, 10.5A"
Blackstone-Ney Ultrasonics    CON-18-20-8 24 T 2-4 SW    Ultrasonic Cleaning System    "- PLC controller
- Stainless steel ultrasonic tank with Weir overflow and filtered recirculation
- Tank Working Dimensions: 26 in. LR x 12 in. FB x 9 in
- Tank is heated
- Multisonik generato
- 208V, 1 Ph, 60 Hz, 25A"
Blue M    8850 D-1    Box Furnace    "- Max. Temp.: 1093 deg C
- Inside Dimensions: 10 in. L x 18 in. W x 10 in. H
- 480V, 3 Ph, 60 Hz"
Blue M    DCA-206 C    Inert Gas Oven    "- Digital single setpoint controller
- Overtemp controller
- Digital timer
- Inert gas input
- Max. Temp.: 316 deg C
- Inside Dimensions: 20 in. L x 22 in. W x 20 in. H
- 208/240V, 1 Ph, 60 Hz, 17/20A"
Blue M    DCC-336 B    Inert Gas Clean Room Oven    "- Stainless steel
- Large
- Digital setpoint controller
- Overtemp controller
- Stainless steel construction
- Inert gas input
- Hepa filter
- Includes water cooling option for faster cool down
- Max. Temp.: 250 deg C
- Inside Dimensions: 21 in. L x 20 in. W x 37.5 in. H
- 208V, 1 Ph, 60 Hz, 33A"
Blue M    DC 146    Mechanical Convection Oven    "- Double stack
- Digital setpoint controller
- Overtemp controller
- Digital Timer
- Max. Temp.: 343 deg C
- Each oven has an internal space: 14 in. L x 14 in. W x 14 in. H
- Each: 208V, 1 Ph, 60 Hz, 14A"
Blue M    DC-256-B-ST 350    Airflow Mechanical Convection Oven    "- Horizontal
- Digital setpoint controller
- Overtemp controller
- Max. Temp.: 350 deg C
- Inside Dimensions: 25 in. L x 20 in. W x 20 in. H
- 208V, 1 Ph, 60 Hz, 26A"
Blue M    166    Large Batch Oven    "- Digital single setpoint controller
- Overtemp protection controller
- Chart recorder
- Max. Temp.: 316 deg C
- Inside Dimensions: 24 in. L x 24 in. W x 49 in. H
- 208V, 3 Ph, 60 Hz"
Blue M    DC-256-B-ST 350    Mechanical Convection Horizontal Airflow Oven    "- Watlow digital programmable controller
- Overtemp controller
- Max. Temp.: 350 deg C
- Inside Dimensions: 25 in. L x 20 in. W x 20 in. H
- 208V, 1 Ph, 60 Hz, 26A, CE"
Blue M    256    Mechanical Convection Horizontal Airflow Oven    "- Digital programmable controller
- Overtemp controller
- Max. Temp.: 343 deg C
- Inside Dimensions: 25 in. L x 20 in. W x 20 in. H
- 480V, 3 Ph, 60 Hz, 15A"
Blue M    CC-05-S-T-G-HP    Mechanical Convection Oven    "- Digital programmable controller
- Max. Temp.: 350 deg C
- Inside Dimensions: 25 in. L x 20 in. W x 20 in. H
- 480V, 3 Ph, 60 Hz, 10A"
Blue M    DCC-336-E-ST 350    Clean Room Oven    "- Hepa filter
- Digital single setpoint controller
- Temp. limit controller
- Water cooling option
- Max. Temp.: 250 deg C
- Inside Dimensions: 22.5 in. L x 20 in. W x 37.5 in. H
- 208V, 3 Ph, 60 Hz, 40A"
Blue M    CC-02-D-M-C    Mechanical Convection Horizontal Airflow Oven    "- Stat 1900 Single setpoint controller
- Overtemp controller (analog)
- Temp.: 15 deg C above ambient to 350 deg C
- Inside Dimensions: 16 x 16 x 16. 240V, 60 Hz, 1 Ph, 19A"
Blue M    BI-16 G-1    Burn In Oven    "- Partlow MIC2000 digital programmable controller
- Overtemp controller
- Mechanical convection horizontal air flow
- Chart recorder
- Max. Temp.: 300 deg C
- Inside Dimensions: 24 in. L x 25 in. W x 48 in. H
- 480V, 3 Ph, 60 Hz, 18A"
Blue M    DC-146-B-MP 350    Mechanical Convection Oven    "- Heavy gauge steel exterior and stainless steel interior
- Fiberglass insulation
- Interior Dimensions: 14 in. x 14 in. x 14 in
- Pro 350 digital programmable controller
- 3-inch lead-in-port for test connections
- Max. Temp.: 350 deg C
-  208V, 1 Ph, 60 Hz, 14A"
Blue M    MO 1420 A-1    Mechancial Convection Oven    "- Max. Temp.: 300 deg C
- Horizontal airflow
- Single setpoint controller
- Control Accuracy: +/- .5 percent
- Stainless steel rack
- Interior Dimensions: 13 in. L x 16 in. W x 10 in. D"
Blue M    DC-256 C    Mechanical Convection Horizontal Air Flow Oven    "- Inside Dimensions: 25 W x 20 D x 20 H
- Temp.: 343 deg C Max
- Stainless steel interior
- Overtemp protection controller
- Single setpoint temperature controller
- 208-240V, 1 Ph, 60 Hz, 25/29A"
Blue M    CC 09 C-P-E    Clean Room Oven    "- Temp. Range: 15 deg C above ambient to 250 deg C
- Digital programmable controller. +/-1 percent uniformity of setpoint
- Horizontal airflow
- Interior Dimensions: 22 in. W x 25 in. D x 25 in. H
- Hepa filter installed
- 208V, 3 Ph, 60 Hz, 19A"
BOC Edwards    Tempest NRB 642000    Exhaust Management Gas Scrubber    208V, 3 Ph, 60 Hz, 7A, CE
BOC Edwards    IL 600 N    Dry Pump Package    "- Peak Speed: 353 cfm
- Ultimate Vacuum: 1.5 x 10^-3
- Inlet Flange: ISO100
- 200-460V, 3 Ph, 50/60 Hz, CE
- Does not include optional remote controller, requires external interface"
Branson    B 452 RS    Ultrasonic Vapor Degreaser    "- Working Dimensions: 10 in. x 12 in. x 8 in. D
- Boil Sump Heat: 2000W immersion heat
- Ultrasonic Heat: 400W
- Ultrasonics: 40 kHz
- Distillation Rate: 7 gph
- Recirculation: 1 gpm
- Load Capacity: 200 lbs. steel/hour
- Vapor Zone: 28.7 in. x 15 in. x 8.5 in. D
- Solvent Capacity: 9.2 gals
- Input Power: 208 or 230V, 3 Ph, 27A"
Brighton        Reactor    "- Stainless Steel Vessel with Air Powered Lightning Mixer
-  Max. Work Pressure: 45 psi
- Max Work Temp.: 300 deg F
- 28 in. dia. x 30 in. H"
Books Automation    Fixload    Load Part to FOUP Module    "- 6 inch
- Modules only
- No PC or software
- Vision LPM system module
- 100-240V, 50/60 Hz, 1A"
Bruce    BDF-41    Diffusion Furnace    "- Four Stack
- Horizontal
- 7355X control units
- 2962079 - Max. Temp.: 1000 deg C
- Tubes: 9 in. ID"
BTU    VIP 98 N    7 Zone Belt Furnace    "- PC Controlled
- Max. Temp.: 300 deg C
- Dual track edge conveyor
- Belt width: 22 in
- Product clearance above belt: 2 in
- Heated length: 98 in
- Furnace atmosphere: N2/air
- Overall furnace length: 18 ft
- 480V, 3 Ph, 50/60 Hz."
Buehler    48-1572-BAX-R    Polishing Table    "- Two 8 in. dia. polishing wheels mounted in a table
- Variable Speed: High or Low settings
- Swing-out water spigots and drain
- 115V, 60 Hz, 12A"
Buehler    Duomet II    Belt Surfacer Coarse Grinder    "- Tabletop model
- Recessed grinding tables with belt guards
- Grinding Area: 4 in. x 9 in
- Built-in flushing systems and stainless steel sinks
- Water flow control knob
- Dual belt 1/2 hp heavy duty fmotor
- 115V, 1Ph, 60 Hz, 10.8A"
Buehler    Powermet I    Cut-Off Machine    "- Automatic
- Cutting power is provided by 7.5 h.p. motor driving a 14 in. abrasive cutting wheel
- Capacity to handle solid metallic sections up to 4 in. dia
- Requires 80 psi
- Recirculating cooling system
- 440V, 3 Ph, 60 Hz, 12.5A"
CAE Ultrasonics    Sweepsonik 40-S 2 DG-8 T-208 V-A    Advanced Ultrasonic Generator    "- 40 kHz
- Transducers: 36
- Average Output Power: 1500W
- Line/Load regulation, true variable power control, selectable sweep frequency, auto tuning, power modulation mode
- 230V, 1 Ph, 50/60 Hz, 6A"
Camelot    CAM / ALOT 3700    In-Line Dispensing System    "- Elevator input and output modules
- Max. dispense area: X-14 in
- Max. Z travel: 2 in. Max. Y: 14 in
- Dot placement accuracy: +/-0.003 in"
Camelot    3800    Fluid Dispensing System    "- Speedline 1230 automatic load and unload stations
- Large 14 in. x 14 in. dispense area
- Dual dispense heads
- Camera
- Adjustable conveyor width
- Dispense up to 25,000 dots of solder paste or SMD epoxy per hour"
Canon    PLA 501 F    Mask Aligner    "- Neutronix Remanufactured
- Manually Loaded
- Parallel light mask aligner
- Can be configured for proximity or contact alignment. 4-1/4 in. x 4-1/4 in. square vacuum chuck
- Manual load station for wafers up to 4 in. dia. 250W lamp
- 115V, 60 Hz"
Canon    PLA 600 F    Proximity / Contact Mask Aligner    "- Currently configured for cassette to cassette processing of 150mm wafers
- Reduced diffraction optical system
- Resolution of 1 micrometers in contact printing and 3 micrometers in proximity printing
- Manual alignment
- 250W lamp"
Corbolite    PF 60 (200)    Laboratory Oven    "- 300 deg C
- Benchtop
- Digital programmable controller
- Max. Temp.: 300 deg C
- Inside Dimensions: 15 in. L x 16 in. W x 15.5 in H
- Mechanical convection
- 220-240V, 1 Ph, 50/60 Hz, 6.8A, CE"
Corbolite    PF 120 (200)    Mechanical Convection Laboratory    "- 300 deg C
- Eurotherm digital programmable controller
- Max. Temp.: 300 deg C
- Inside Dimensions: 19 in. L x 20 in. W x 19 in. H
- Inside chamber stainless steel has brown stains
- 220-240V, 1 Ph, 50/60 Hz, 8.9A, CE"
Corbolite    CR / 220    Clean Room Oven    "- Not Hepa filtered unit
- Digital setpoint controller
- Overtemp controller
- Inert gas input
- Max. Temp.: 250 deg C
- Inside Dimensions: 24 in. L x 24 in. W x 24 in. H
- 200-230V, 3 Ph, 50/60 Hz, 16.2A, CE"
CDE    Resmap 178    Four Point Probe Resistivity Mapping System    "- Manual load
- Typical Measurement Time: 1 sec. per site
- Mapping Patterns: Polar map (align with notch/flat; rectangular map (choose inside edge exclusion); line scan (diameter, radius or any point to point diameter, minimum step 0.1mm); user defined (template)
- Measurement Range: 2 milliohms per sq. to 5 megaohms per sq. (can be optimized to 1 milliohm per sq.)"
Cee    4500 D    Cassette to Cassette Photoresist Developer Track    "- With Hot Plate Bake and Chill
- Two elevator units, one teflon bowl with four dispense valves, one hot plate bake unit and one cool plate
- The spinner parameters are programmable via multiline control panel
- The hot plate temperature range is 50 deg C to +300 deg C and is programmable
- Vacuum contact, proximity and gravity contact"
Cee    4000    Cassette to Cassette Photoresist Spinner    "- With Hot Plate Bake and Cool
- Fully programmable automated coat/bake track system
- Currently configured for 6 in. squares
- Spinner with backside rinse
- Max. Spin Speed: 6000 rpm
- Programmable acceleration control from 1 to 30,000 rpm/sec
- Storage of 10 recipes with up to 10 steps/recipes
- Hot Plate Max. Temp.: 300 deg C
- Programmable proximity, gravity contact and vacuum contact
- Cooling plate"
Cee    100 CB    Photoresist Spinner and Hotplate    "- Up to 200mm round or 6 in. square substrates
- 0-6000 rpm spin range
- 1- 30,000 rpm/sec acceleration - unloaded
- Hot Chuck size: 10 in. x 10 in
- Temp. Range: 50-300 deg C
- Temp. Control: Programmable Digital PID
- Dimensions: 38 in. L x 13 in. W x 13 in. H
- Weight : 79 lbs
- Precision: Repeatability is +/-5 rpm with a resolution of 1 rpm for the spincoater
- The hotplate has a 0.3 percent temperature uniformity across the working surface
- 110V"
Cee Brewer Science    200 CB    Photoresist Spin Coater and Hot Plate Combination Tool.    "- User friendly interface touch screen with expanded multi-level programming
- Can accommodate substrates up to 200mm round or 7 in. square
- 115V, 50/60 Hz, 15A"
Cee Brewer Science    200 FX    Large Substrate Precision Spin Coater    "- Touch screen interface and display
- Acceleration: 0 to 20,000 rpm/sec
- Spin speed programmable up to 6,000 rpm
- Can be configured for substrates up to 450mm dia.
- 208-230V, 1 Ph, 50/60 Hz, 4.2A, CE"
Cencorp    TR 1000    Circuit Board Depaneling Stand Alone Routing System    "- Adjustable tooling
- Fully enclosed ergonomically designed canopy
- Max. Panel Size: 16.5 in. x 16.5 in. (419mm x 419mm)"
CHA    SEC-1000-RAP    Dual Gun E Beam Evaporator    "- Two single pocket e-guns with individual power and sweep control
- Inficon IC4 Plus deposition rate monito
- Temescal CV-8 E beam power supply
- Auto-Tech II valve controller
- 25.5 in. diameter water cooled stainless steel bell jar
- CTI cryopump with compressor and roughing pump
- Does not have substrate fixturing currently"
CHA    SEC-600-RAP    E-Beam Evaporator    "- thin film deposition system
- High vacuum electron beam deposition system- 19 in. dia. water cooled bell jar
- 6 pocket e-gun with SR-10 power supply and sweep controls
- Inficon IC/4 crystal deposition monitor
- CTI on board cryopump with compressor and roughing pump
- Auto-Tech II vacuum controller
- Fixture rotation controller and motor but does not include substrate fixturing
- Heater power supply but no heaters currently installed"
CHA    SE-1000-RAP    Multisource E Beam and Thermal Evaporation System    "- for Optical Films and Oxygen Reactive Evaporations
- thin film deposition system
- Inficon IC-4 Plus rate and deposition controller
- Six pocket electron beam source with SR-10 E-Beam power supply
- Parts for optical monitor with system but not tested or covered under warranty
- Two outrigger style filament resistance sources with 6 kW SCR controlled power supply
- Automatic valve sequence controller
- 25 in. dia. x 30 in. tall water cooled bell jar
- Pressure control system for oxygen reactive evaporations
- VHS-10 high speed diffusion pump with roughing pump
- Quartz substrate heaters
- Slide type fixturing for lift off process
- Does not use substrate rotation type fixturing"
CHA    SE-1000    E-Beam Evaporator Deposition System    "- thin film deposition system
- Electron beam high vacuum deposition system
- Inficon IC4 crystal deposition rate monitor
- Temescal CV-14 14 kW E-Beam power supply
- Four pocket E-Gun
- CTI cryopump with compressor and roughing pump
- Quartz lamps for substrate heating
- Automatic or manual vacuum valve sequencing
- Water cooled stainless steel 25 in. dia. bell jar with hoist"
CHA    SE-600-RAP    E-Beam Evaporator    "- thin film deposition system
- Inficon IC6000 crystal deposition monitor
- Triple dome planetary for 3 in. wafers
- Automatic or manual valve control
- Diffusion pump and roughing pump
- Motorized hoist. 19 in. dia. bell jar
- Temescal CV-8 E-beam power supply with controls
- Single pocket e-gun with shutter"
CHA    SE-600    High Vacuum E-Beam Evaporation System    "- thin film deposition system
- PVD physical vapor deposition system
- 19 in. dia. water cooled bell jar
- Triple dome planetary fixturing currently configured for 3 in. dia. wafers
- Inficon IC6000 crystal deposition rate monitor
- Automatic valve sequencer
- Four pocket E-gun with manual rotation control
- Does not have auto pocket sequencer, manual only
- Temescal CV-8 E-Beam power supply with controls
- System uses a Varian VHS-6 diffusion pump for high vacuum
- Roughing pump included"
CHA    SE-600-RAP       
CHA        Thermal Evaporator   
Rasco    SO-1000 T    Handler    "Device type: SSOP24 150mil
Test mode:
Single, dual, quad(2x2)
Temperature: -60 - 175 °C"
Branson    452    Degreaser    - Sub Zero Button
        Gas Cabinet   
August Strecker    VM 00 F ST        - with microprocessor unit
Meyer Burger        Wire Electrical Joint Welding Machine    - with spare parts
Ultra T Equipment    SCS 125    Sapphire Wafer Cleaning unit   
Nano Surface    SL 910 SFCL    Single Diamond Polishing Machine   
PDIR        Ultrasonic Cleaning system   
NTS    4 C 320    Wax Mounting Machine   
Branson    S 4075-11020    Barrel Etcher   
JET    JWBS 20 Q    Vertical Bandsaw Machine   
    SW 1200    Cutting Circular Machine    - Cutting saw diameter 1000 mm
    K 8612    Cutting Machine   
Semitool        Spin Rinse Dryer (SRD)    - Dual Stack
    YX 11 A    X-ray Orientation unit   
Nidek    FT 17    Laser Interferometer   
ADL    1000    Lapping Machine    Double side
SVG    8632 CTD / 8635 HPO    Coater   
Mactronix    MCL-325    Wafer Transfer System   
Arc    AR 12-200/D-F    Cabinet    32” x 32” x 78”
Bias    HS-7 v 2 B-40/100    Cabinet    32” x 32” x 78”
Allen Bradley    SA 3100    Variable Frequency Drive    Drive module
Allen Bradley    SAP 1336    Variable Frequency Drive    Drive modules and cabinets
Rasco    SO 1000  A RT    Handler    "- QFN 7 Change Kit with Plunger
- The Base Handler is ready for Tri-temp upgrade (Model 1000 A RT) some mechanical and electrical already has the provisions. Just need to add the fan and heater assembly and cooling system"
Tennant    5680    Floor Scrubber   
Disco    DFD 640    Dicing Saw    "- Width 53.150  in  (135.0 cm)
- Depth 45.984  in  (116.8 cm)
- Height 48.622  in  (123.5 cm)
- Weight 2,866  lb  (1,300 kg)"
Intermec    3400 e    Label Printer   
KLA-Tencor    P-10    Profiler   
Applied Materials    Mirra    Chemical Mechanical Polishing (CMP) system    "- for oxide, shallow trench isolation (STI), polysilicon, tungsten, and copper planarization applications
- 4-head / 3-platen architecture coupled with in-situ endpoint detection capability
- dry-in/dry-out configuration"
Applied Materials    Mirra Trak    Chemical Mechanical Polishing (CMP) system    "- for oxide, shallow trench isolation (STI), polysilicon, tungsten, and copper planarization applications
- 4-head / 3-platen architecture coupled with in-situ endpoint detection capability
- dry-in/dry-out configuration"
Applied Materials    Mirra Mesa    Chemical Mechanical Polishing (CMP) system    "- for oxide, shallow trench isolation (STI), polysilicon, tungsten, and copper planarization applications
- 4-head / 3-platen architecture coupled with in-situ endpoint detection capability
- dry-in/dry-out configuration"
IPEC    472    Chemical Mechanical Polishing (CMP) tool    "- Fully automated precision polishing
- Two platen process for post polish buff
- Multiple slurry dispense
- In-situ pad conditioner
- Material compatibility for medium and low ph slurries (1-12)
- Down force up to 750lbs.
- Controllable wafer back pressure
- Polish head clean station
- External interface for end point capability
- Temperature controlled platens"
IPEC    776    Chemical Mechanical Polishing (CMP) tool    "- Four wafer planarizers
- Integrated double side PVA cleaner
- Three cassette tlter load/unload station
- Buff station
- Advanced pad motion control
- High speed polish drive (0-600 RPM)
- Closed loop delta P control
- Endpoint detection system
- Robot R1/R2/R3 equipped
- Stainless steel polish bell
- Pad conditioners (2)
- Upgraded 500MHz Pentium"
Axus Technology    GP 450 U    Chemical Mechanical Polishing (CMP) tool    "- Carrier Size, 150mm
- Optional Sizes, 4”, 3”, and coupons with templates
- Platen Speed, 30 – 200rpm
- Carrier Speed, 20 – 300rpm
- Slurry Pumps, 2
Options:
- In Situ Pad Conditioner
- Platen temperature control
- End Point Detection, motor current
- Low pressure polish control
- High pressure spray rinse"
IPEC    676    Chemical Mechanical Polishing (CMP) tool    "- Four wafer planarizers
- Three cassette tilter load/unload station
- Advanced pad motion control
- High speed polish drive (0-600 RPM)
- Closed loop delta P control
- Endpoint detection system
- Stainless steel polish bell
- Dual pad conditioning systems
- Upgraded 500MHz Pentium"
SpeedFam    Auriga    Chemical Mechanical Polishing (CMP) system    "- Two table, five carrier platform delivers exceptional throughput
- Precision automation ensures minimal wait state and high productivity
- Upgradable with advanced carrier technology for 3mm edge exclusion
- Dry-in, wet-out format provides maximum tool flexibility"
SpeedFam    6 D S SP    Chemical Mechanical Polishing (CMP) system    "- Dual polishing spindles for 100-200mm wafers
- Dual spindle design provides for both single and double wafer processing, providing a significant throughput advantage
- High throughput option increases production up to 30%
- Hydrolift Load Station improves loading reliability
- Two table design enables multi- step process capability
- Proven process performance for oxides, tungsten and STI applications
- Pad conditioning system provides in-situ programmable selective pad conditioning with 20 zones of programmable control
- Optional Axus Technology Titan Carrier - Upgrade delivers state-of-the-art process results, adding membrane carrier technology that improves uniformity, edge exclusion and removal rate"
Strasbaugh    6 C A    Chemical Mechanical Polishing (CMP) tool    "- Timer control for: Two stage polish / Two rinse cycles
- User specified carrier size, up to 200mm standard
- Manual load and unload
- 22 inch platen size
- Maximum spindle speed 245 RPM
- Spindle torque rated to 1400 in / lbs."
Strasbaugh    6 E C    Chemical Mechanical Polishing (CMP) system    "- Closed-loop table and spindle RPM motors help ensure consistent process results.
- Programmable pad conditioning increases the lifetime of the pad and improves WIWNU.
- Minimal maintenance lowers the cost of ownership.
- Small footprint offers convenience for small labs.
- Pad conditioning system provides in-situ and/or ex-situ programmable selective pad conditioning for consistent removal rates and minimum non-uniformity.
- 20 zones of Programmable control: dwell time, down force and RPM can be programmed for each zone. Pad conditioning can be done with a diamond plated disk or nylon brush. "
G&P Technology    412 R    Post-CMP (Chemical Mechanical Polishing) cleaning tool    "- Wafer Size Capability, 4”, 150mm, 200mm, 3000mm
- Double side scrub stations: 2
- Brush rotation speed: 30 – 200rpm
- Chemical inputs: 2
- Through the brush chemical dispense
- Spray nozzles for chemical dispense: 4
- Rinse and Spin dry station with N2 assist
- Single wafer manual load system
- Intuitive touch screen control
Options: Megasonic at rinse station"
G&P Technology    POLI 500    Chemical Mechanical Polishing (CMP) tool    "- Carrier Size, 200mm
- Optional Sizes, 4”, 150mm
- Platen Speed, 30 – 200rpm
- Carrier Speed, 20 – 300rpm
- In Situ Pad Conditioner
- Slurry Pumps, 3
Options:
- Platen temperature control
- End Point Detection, motor current
- Low pressure polish control
- High pressure spray rinse"
Disco    DAG 810    Grinder    "- Automated
- One spindle
- One chuck table
- High rigidity
- Low vibration"
Strasbaugh    7 AF    Wafer Grinder / Backgrinder    "- Touch Screen Controls, 19” Intuitive Interface
- Dual grinding spindles, coarse and fine
- Force adaptive grinding technology
- Dual wafer spindles for optimal throughput
- Four input/output cassette stations
- Robotic load and unload with flipping end effector
- In-situ thickness measurement gauges"
Strasbaugh    7 AA    Wafer Grinder / Backgrinder    "- Concentrically mounted grinding wheels on a single air bearing spindle
- Single-chuck design
- Three-arm, two position pick and place robot
- Dual input and output cassettes"
Disco    DFG 8540    In'Feed Surface Grinder    "- Automated thinning up to 200 mm diameter wafers
- Ultra-thin wafer handling to 100 µm and less
- DBG option available and Plasma-ready
- Flat/Notch alignment orientation
- Interior grind water nozzle
- Chuck/Spinner table
- Positioning and stopping system
- 2 spindles, 3 rotary-chuck table"
Disco    DFG 840    Wafer Grinding System    "- In-feed grinding with wafer rotation
- Two independently adjustable spindles
- Spindle speed - 1000-7000 rpm
- Vertical stroke - 110 mm
- Porous chuck table with vacuum chuck
- 8” diamond grinding wheel
- Two cassettes for loading/unloading
- Planarity accuracy within wafer - 0.0015 - mm
- DFG841 complies with the EC’s CE marking"
Disco    DFG 841    Wafer Grinding System    "- In-feed grinding with wafer rotation
- Two independently adjustable spindles
- Spindle speed - 1000-7000 rpm
- Vertical stroke - 110 mm
- Porous chuck table with vacuum chuck
- 8” diamond grinding wheel
- Two cassettes for loading/unloading
- Planarity accuracy within wafer - 0.0015 - mm
- DFG841 complies with the EC’s CE marking"
Disco    DFG 860    Wafer Grinder    "- two-spindle
- three-rotary-chuck table"
Okamoto    VG 502 MKII 8    In-Feed Grinding Machine    "- Automated high throughput two stage grinding
- Angular control of grinding spindles for consistent uniformity and customized substrate profile
- Capability for grinding 4” to 8” wafers, including custom shapes
- Four wafer chucks on carousel allow for maximum throughput with the minimum
wafer handling.
- Thickness control monitoring provides real time process control
- Simple maintenance and exceptional reliability"
Okamoto    VG 202 MKII    Precision Grinder    Ideally suited for SOI substrate manufacture
Okamoto    VG 201    Automated Backgrinding tool   
Okamoto    GNX 200    Automatic Wafer Grinder    "- Precision, high throughput grinding system
- Two step grinding process with low TTV output
- Multiple chuck system maximizes throughput with minimal handling"
Ontrak    DSS 200    Chemical Mechanical Polishing (CMP) Cleaner    "- Wet-station wafer loading   
- Wafer is spun at 2000 rpm
- Optional Megasonics
- Cleaning arm
- A Mechanical Transfer Arm (robotic arm)
- Output Station has a unique indexer that reliably receives the clean dry wafer from the mechanical arm"
Ontrak    DSS 200 Series 2    Chemical Mechanical Polishing (CMP) Cleaner    "- 200mm ergonomic load station
- Double sided PVA scrub
- Dual brush boxes
- Ammonia dispense
- IR assisted spin dry station
- Robotic unload
- Vertical unload station
- Touchscreen controls
Optional Features:
- Megasonics at spin dry station
- Multiple chemical delivery system
- Signal light tower"
Ontrak    DSS Series 1    Post-CMP (Chemical Mechanical Polishing) cleaner    "- Universal load station
- Double sided PVA scrub
ENCODER, 2048, HAZ AREA
 编码器,2048,危险区域    N10843
SOLENOID 螺线管    H10151
AIR CONDITIONER 空调
208/230-1PH-60HZ 36000BTU
220-1PH-50HZ-29880BTU
FRIEDRICH  弗里德里希    E30-1006-030
INPUT ANALOG 4 CH, 4-20mA, POINT I/O
输入模拟 4 通道,4-20mA,点 I/O    N10923
FIBER OPTIC, NEMA 4X, WALL MOUNT, W/DUPLEX   
光纤,NEMA 4X,壁挂式,W/DUPLEX    E15759
OUTPUT, DIGITAL, 4 RELAY
输出,数字,4 继电器    N10641
INPUT, ANALOG 8 CH CURRENT POINT I/O
输入,模拟 8 通道电流点 I/O    N10613
COMPACTLOGIX PROGRAMMABLE CONTROLLER COMPACTLOGIX 可编程控制器    N10942
INPUT, DIGITAL, 24VDC, 8CH , POINT I/O
输入, 数字, 24VDC, 8CH , POINT I/O    N10612
VFD, DRIVE, 690 VAC, 15 HP, 20 AMP
变频器驱动690 VAC,15 HP,20 AMP    E12885
VLV, HYD, DIR, 2 POS, 4 WAY, D03    H03-1024-010
VLV, HYD, DIR, 3 POS, 4 WAY, 24V, D03    H10059
VLV, HYD, DIR, 2 POS, 4 WAY, 24V, D03    H10142
VLV, HYD, DIR, 3 POS, 4 WAY, 24V, D03    H10053
TRANSMITTER, PRESS, 4-20mA, 3000PSI
变送器,压力机,4-20mA,3000PSI    N10350
CABLE, 4 C #16 AWG, EXANE, 600V
电缆,4 C #16 AWG,EXANE,600V    E03-1023-010
CIRCUIT BKR, 100A, 3P, 25 KIC, UV
电路 BKR,100A,3P,25 KIC,UV    E10551
CIRCUIT BREAKER, 70A, H-FRAME
断路器,70A,H 型    E14238
INVERTER MODULE逆变器模块
Model: MVD300-4602, 型号:MVD300-4602,
Continuous AC Current: 300/400A,
连续交流电流:300/400A,
3 PH AC Voltage: 0-690V, Frequency: 0-200Hz (Output)
三相交流电压:0-690V,频率:0-200Hz(输出)
DC Current:  346/461A (approx.)
直流电流:346/461A(大约)
DC withstand Voltage: 1200V 直流耐压:1200V    E15210
RTD,100 OHM, PLATINUM, 3WIRE, 2 ½ in
RTD,100 欧姆,铂金,3 线,2 ½ 英寸    E11088
Flex Analog Input Cat. No. 1794-IE8
Flex 模拟输入目录 编号 1794-IE8    N21-3002-010
OUTPUT, DIGITAL, 24V, 8 CH, POINT I/O
输出,数字,24V,8 通道,点 I/O    N10647
OUTPUT, DIGITAL, 8PT, 24VDC, FLEX I/O
输出,数字,8PT,24VDC,FLEX I/O    N21-3001-010
INPUT, DIGITAL, 16PT, 24VDC, FLEX I/O
输入,数字,16PT,24VDC,FLEX I/O    N21-3000-010
ETAP,DLR, COPPER PORT, 2 FIBER PORTS
ETAP、DLR、铜端口、2 个光纤端口    N10880
ETAP, DLR, 2 COPPER PORTS, 1 FIBER PORT
ETAP、DLR、2 个铜端口、1 个光纤端口    N10879
PRESSURE SWITCH 压力开关    N10161
FUSE, DC BUS, SEMICONDUCT, 1300V/630A
保险丝,直流母线,半导体,1300V/630A    E15676
FUSE, AC BUS, SEMICONDUCT, 650V/1600A
保险丝,交流总线,半导体,650V/1600A    E15677
HPU TRANSMITTER/ELEMENT, TEMPERATURE
HPU 变送器/元件,温度    E11416
ASSY, CABLE, FIBER OPTIC, 6 CH RECEPTACLE
组件,电缆,光纤,6 通道插座    AY19271-3
ASSY,CABLE,FIBER OPTIC,6 CH RECEPTACLE
组件,电缆,光纤,6 通道插座    AY19271-30
ASSY,CABLE,FIBER OPTIC,6 CH,PLUGGED
组件,电缆,光纤,6 通道插头    AY19270-180
ASSY, CABLE, FIBER OPTIC, 6 CH PLUGGED
组件,电缆,光纤,6 通道插头   
AY19270-230
ASSY, CABLE, FIBER OPTIC, 6 CH PLUGGED
组件,电缆,光纤,6 通道插头   
AY19270-80
ASSY, CABLE, FIBER OPTIC, 6 CH PLUGGED
组件,电缆,光纤,6 通道插头   
AY19270-110
CABLE, 4 C #8 AWG, PEND,POLYRAD XT,600V
电缆    E10196
CABLE, 10 C #12 AWG, PERFECT-A-FLEX,600V
电缆,10 C #12 AWG,PERFECT-A-FLEX,600V    E11302
CABLE, 4 C #8 AWG, 600V, GENERIC
电缆,4 C #8 AWG,600V,通用    E03-1019-010
CABLE, 10 C #12 AWG, 600V, GENERIC
电缆,10 C #12 AWG,600V,通用    E11302
CABLE, 10 C #16 AWG, 600V, GENERIC
电缆,10 C #16 AWG,600V,通用    E03-1034-010
CABLE, 4 TP 20 AWG, SHLD
电缆,4 TP 20 AWG,SHLD    E14168
CABLE, 7 C #10 AWG, 600V, TYFL
电缆,7 C #10 AWG,600V,TYFL    E11059
ASSY, CABLE, FIBER OPTIC, 6 CH PLUG/RECPT
组件、电缆、光纤、6 通道插头/接收器    AY19773-30
ENCODER OPTICAL ISOLATOR MODULE, 5V OUT编码器光隔离器模块,5V 输出    N10922
RTD, DYNAMIC BRAKE RESISTOR
RTD,动态制动电阻器    E13224
DYNAMIC BRAKE, 800AMP, 575VAC/940VDC
动态制动,800AMP,575VAC/940VDC    E15682
MICROSWITCH, INDICATOR, INVERTER, 630A
微动开关,指示灯,逆变器,630A    E15299
MICROSWITCH, RECTIFIER FUSE
微动开关,整流器保险丝    E15301
CANBUS, BUS COUPLER,24 VDC,2 x 5 POS.
CANBUS,总线耦合器,24 VDC,2 x 5 POS。    E15173
INLINE ANALOG OUTPUT TERMINAL BLOCK
在线模拟输出端子块    E15709
RELAY, SAFETY, 2A/2B, CONTACTS,24VDC
继电器,安全,2A/2B,触点,24VDC    E15688
FUSE,600V,10AMP,CL CC,TDEL,REJ,MIDGET
保险丝,600V,10AMP,CL CC,TDEL,REJ,MIDGET    E105789
KIT, PCB, I/O Model: MVC3002-4001A MV3000 Delta I/O Panel
套件、PCB、I/O 型号:MVC3002-4001A MV3000 Delta I/O 面板    AY21504
POWER SUPPLY, INVERTER, 575-690V, MV3000
电源,逆变器,575-690V,MV3000    E15209
INTERFACE, ETHERNET, MV3000, MODIFIED
接口,以太网,MV3000,修改    E18233
SERVICE LOOP, AC POWER, 5 IN, 500T,77 FT
服务回路,交流电源,5 英寸,500 吨,77 英尺    AY19252-2-1
SERVICE LOOP,CONTROL,4 IN,76 FT,FIBER
服务回路,控制,4 英寸,76 英尺,光纤    AY19251-2
- Ammonia dispense
- IR assisted spin dry station
- Robotic unload
- Vertical unload station
- Touchscreen controls
Optional Features:
- Megasonics at spin dry station
- Wafer size kits for 100 to 200mm
- Signal light tower"
G&P Technology    POLI 1300 PCB    Planarization Tool    "- High load capacity with low deflection (Large Diameter Thrust Bearing)
- Heavy duty motor
- High-flatness
- Constant temperature control (Cooling channel embedded)
- Slurry transport holes located center of the platen"
G&P Technology    POLI 762    Chemical Mechanical Polishing (CMP) tool    "- Carrier Size, 300mm
- Optional Sizes, 4”, 150mm, 200mm
- Platen Speed, 30 – 200rpm
- Carrier Speed, 20 – 300rpm
- In Situ Pad Conditioner
- Slurry Pumps, 4
- Platen temperature control"
G&P Technology    812 L    Post-CMP (Chemical Mechanical Polishing) cleaner    "- Double side scrub stations: 2
- Brush rotation speed: 30 – 200rpm
- Chemical inputs: 2
- Through the brush chemical dispense
- Spray nozzles for chemical dispense: 4
- Rinse and Spin dry station with N2 assist
- Intuitive touch screen control
Options:
- Megasonic at rinse station
- Friction and force measurement system"
G&P Technology    412 S    Post-CMP (Chemical Mechanical Polishing) cleaner    "- Double side scrub stations: 1
- Brush rotation speed: 30 – 200rpm
- Chemical inputs: 1
- Through the brush chemical dispense
- Spray nozzles for chemical dispense: 2
- Rinse and Spin dry station with N2 assist
- Single wafer manual load system
- Intuitive touch screen control
Options:  Megasonic at rinse station"
Axus Technology    Gemini 2    Dual Slurry Delivery system    "- Dimensions:
-    Depth: 13.7” - 34 cm
-    Width: 63.5” - 162 cm
-    Height: 63” - 160 cm
- Power: 110-240VAC - 50/60Hz
- CDA Supply: 60-80 PSI, 2 CFM - 4.13 bar, 56 lpm
- N2 Supply: 30 PSI, 5 CFM - 2 bar 142 lpm
- DI Supply: 30-50 PSI, 2 GPM - 2-3.44 bar, 8.8 lpm
- DI Return: <30 PSI, 2 GPM - <2 bar, 56.6 lpm
- Slurry output: >0.6GPM - >5 lpm
- Exhaust: 60 SCFM - 1.79 M3"
Trusval        CO2 DI Water Delivery system    "- Conductivity: 33 +/- 2 uS/cm
(adjustable: 10-90 uS/cm or 0.01-0.1 M-ohm/cm)
- Throughput: Avg: 4-80 LPM (under 33 uS/cm)
- DIW: 2”, Pressure: 60 Psig, Flow Rate: >80 LPM / 1”, Pressure: 40-60 Psig, Flow Rate: >30 LPM
- CO2: 3/8” x 1 / Pressure: 60 Psig, Flow Rate: > 30 LPM
- CO2 Consumables: 0.407 g/L (33 uS/cm CO2-DIW)
- N2: 1/2” x 1, Pressure: >80 Psig, Flow Rate: >30 LPM
- CDA: 3/8” x 1, Pressure: >80 Psig, Flow Rate: >30 LPM
- Drain: 1"" x 1"
Nanometrics    RPM Blue    Wafer Laser Measurement Tool    "- Includes: Laser Mdl 266nm Q-Switch
- Manufacturer: Team Photonics
- Stage Height Optimization
- Spatial Resolution
- D-Wafer Bow
- Genmark Wafer Loader
- Weight: 1000 lb / 453.60 kg
- Length: 44""
- Width: 58""
- Height: 57"""
Semitool    880 S    Dual Stack Spin Rinse Dryer    "- (2) Low Profile 8in Quick Connect Rotors
- Single Phase"
Lam    4520 i    Dry Etch   
Beckman    J 6 M    Centrifuge   
        Reverse Osmosis System   
        SS Bench   
NF    4005    High Speed Power Amplifier   
NF    4020    High Speed Power Amp/ Bi-Polar Power Supply X2   
Tektronix    2230    Digital Storage Oscilloscope    100 MHz
LeCroy    9314 A    Oscilloscope    400 MHz
Topward    TPS-4000    Dual Tracking DC Power Supply   
Velonex    345    High Power Pulse Generator   
ENI    1140 LA    Power Amplifier   
Optec    Micromaster    Laser Polymer Micromaching System   
Reel-Tech    LM-4000    YAG Laser Micromachining   
CVC    SC-5000    Evaporator   
K&S    1488 L Turbo    Wire Bonder   
Denton    502 A    Multi PVD Tool (e-beam + single cathode)    "- All power supplies – custom built – very little use
- Large custom chamber with a steel door, window and water cooling
- Sputtering head
- Thermal evaporation
- E-beam evaporation (3 pocket – externally rotatable)
- Shutter
- Film thickness monitor
- Rotatable sample stage
- Evaporation sources cannot be all at once
- Diffusion pumped"
KLA-Tencor    UV 1050    Thin Film Thickness Measurement tool    - Software v. 2.24
        Lot of Electric Test Equipment   
KLA-Tencor    Surfscan 4000    Particle Measurement System    For Non-Pattern Units
KLA-Tencor    Surfscan 5000    Particle Measurement System    For Non-Pattern Units
KLA-Tencor    Surfscan 6xxx    Particle Measurement System    For Non-Pattern Units
KLA-Tencor    Surfscan SP 1    Particle Measurement System    For Non-Pattern Units
KLA-Tencor    Surfscan SP 2    Particle Measurement System    For Non-Pattern Units
KLA-Tencor    Surfscan SP 1 TBI Series    Particle Measurement System    For Non-Pattern Units
KLA-Tencor    Surfscan 7000    Particle Measurement System    For Pattern Units
KLA-Tencor    AIT Series    Particle Measurement System    For Pattern Units
KLA-Tencor    21 xx Series    Inspection system   
KLA-Tencor    UV Series    Inspection system   
KLA-Tencor    Alpha Step Series    Surface Profiler   
KLA-Tencor    P Series    Surface Profiler   
KLA-Tencor    HRP Series    Surface Profiler   
KLA-Tencor    Prometrix RS Series    Resistivity Mapping System   
KLA-Tencor    Prometrix SM Series    Resistivity Mapping System   
KLA-Tencor    FT Series    Resistivity Mapping System   
KLA-Tencor    TF Series    Resistivity Mapping System   
KLA-Tencor    FT Series    Film Thickness Mapping System   
KLA-Tencor    Prometrix SM Series    Film Thickness Mapping System   
KLA-Tencor    UV Series    Film Thickness Mapping System   
KLA-Tencor    ASET Series    Film Thickness Mapping System   
KLA-Tencor    5100    Automated Overlay System   
KLA-Tencor    5200    Automated Overlay System   
KLA-Tencor    5200 XP    Automated Overlay System   
KLA-Tencor    Archer Series    Overlay System   
KLA-Tencor    3 xx    Reticule Inspection system   
KLA-Tencor    SLF    Reticule Inspection system   
KLA-Tencor    CRS 1010    Defect Review system   
KLA-Tencor    eV 300    Defect Review system   
KLA-Tencor    Flexus 23 xx Series    Stress Measurement system   
KLA-Tencor    8100 XP    Critical Dimension - Scanning Electron Microscopy (CDSEM)   
KLA-Tencor    8250    Critical Dimension - Scanning Electron Microscopy (CDSEM)   
KLA-Tencor    eCD 2    Critical Dimension - Scanning Electron Microscopy (CDSEM)   
KLA-Tencor / Therma-wave    Opti-Probe Series    Measurement system   
Eagle    ETS 564    Tester    "- OS Version: 3.00a
- 8 Floating Signal Source
- 48 Digital Receiver channels
- 48 Digital Driver channels
- 64k  Drive Receiver Memory
- 1 Digital Power Supply Board
- 48 TMUX channels"
Nanometrics    NanoSpec 6100    Automated Film Thickness Measurement System   
KLA-Tencor    FLX-2320    Thin Film Stress Measurement System   
Inficon    UL 100 Plus    Leak Detector   
Veeco / Digital Instruments    Dimension 3000    Atomic Force Microscope (AFM)    "Equipped with:
- PC Hardware and Software v5.30r2
- Digital Instruments F0-50 Light Source for Video Camera
- NanoScope IIIa Controller"
York        Roof Top Commercial Heat Air Ventilation Cooling System (HAVC)   
Veeco        FCA    3 phase
EVG    850       
Applied Materials    Centura Poly Etch DPS+    Poly Silicon Etch System    - 2x DPS+ Poly Chambers
JA Woollam    VASE    Ellipsometer    "- 193 to 3200 Spectral Range
- Taken Out of Service in August 2016"
Tel    Unity IIE 844 SCCM    Poly Silicon Etch System    - 2x SCCM Chambers
           
        Lot of Electric Test Equipment   
        Lot of Electric Test Equipment   
LTX-Credence    Fusion HF       
Teradyne    J 750 E    Tester    "- 512 Testhead Type
- Z 800 CPU Type
- WIN XP
- TDJ 751 Manipulator
- K-Dock Controller Brd
- 7x ASSY, J 750 Channel BD 16  M
- 2x DSIP
- 1x LMF-Mixed Signal Option
- 1x CalCub
- 2x DPS
- 8x ASSY, J 750 Channel BD 16 M
- 16 LVMFreq - 100
- Scan - 100
- Digital Signal Input Output - 1
- LMF - Mixed Signal Option - 1
- Converter test Option 16 M - 1
DPS - 32"
Advanced Plasma Systems (APS)    B Series 8       
Rudolph / August    NSX 95    Automated Wafer Handling Station   
K&S    7100 AD    Dicing Saw   
KLA-Tencor    Sp 1-TBi        - 1 Phase
Microtec    MT-550 TV    Screen Printer   
Hitachi    S-2700    Scanning Electron Microscope (SEM)    EDAX DX4 Spectrum Analyzer (Nonfunctional)
Chemspeed    ASW 2000    Automated Synthesis Work Station   
Cincinnati Sub Zero    Watlow F 4    Temperature Humidity Test Chamber    "- Digital programmable profiling controller
- Digital overtemp controller
- RS232 port
- Temp. Range: -10 to +90 deg C
- Humidity Range: 10 to 95 percent rh
- Inside Dimensions: 24 in. L x 24 in. W x 24 in. H
- Glass on front door is frosted, cannot see inside
- 3 in. cable port."
Cincinnati Sub Zero    Z-Plus Series    Temperature Humidity Chamber    "- EZ programming and data logging
- Touchscreen controller with graphical user interface
- Data logging capability, USB memory stick
- Ethernet, EIA-485 and EIA-232 interfaces
- Dimensions: 30 in. L x 30 in. W x 30 in. H"
CM Furnaces    1710 FL    Rapid Temperature Furnace    "- 1700 deg C
- Dimensions: 8.5 L x 10 W x 8 D
- for ceramics, glass, powders, lab research, materials testing, thermal cycling, sintering, annealing, firing and calcining"
Colandis    12568    Cleanroom Trolley with Battery Power    "- A fan-filter system ensures the air circulation in a closed circuit inside the cleanroom trolley
- Outside Dimensions: 26 in. L x 26 in. W x 26 in. H"
Colandis    13076 / RTW 04    Cleanroom Trolley with Battery Power    "- A fan-filter system ensures the air circulation in a closed circuit inside the cleanroom trolley
- Outside Dimensions: 26 in. L x 26 in. W x 26 in. H"
Colandis    12568    Cleanroom Trolley with Battery Power    "- A fan-filter system ensures the air circulation in a closed circuit inside the cleanroom trolley
- Outside Dimensions: 26 in. L x 26 in. W x 26 in. H"
Colandis    12568 / RTW 04    Cleanroom Trolley with Battery Power    "- A fan-filter system ensures the air circulation in a closed circuit inside the cleanroom trolley
- Outside Dimensions: 26 in. L x 26 in. W x 26 in. H"
Colandis    11994    Cleanroom Trolley with Battery Power    "- A fan-filter system ensures the air circulation in a closed circuit inside the cleanroom trolley
- Outside Dimensions: 26 in. L x 26 in. W x 26 in. H"
Comdel    CXV 2000    RF Generator    Four complete user interfaces control four separate RF outputs
Comdel    CPS 1000 / 4    RF Generator    "- With Match Pro controller
- No Matching Network, only control box
- Water cooled"
Comdel    CLF 5000 / 400    Low Frequency Power Supply.    "- 400 kHz
- Fast auto-tune circuitry
- Water cooled"
Commonwealth Scientific    N 2000    Neutralizer Power Supply   
Conceptronic    HVC 70    Forced Convection Reflow Soldering System    "- 5 vertical heating regions
- 24 in. wide belt
- Heated Chamber: 70 in. L
- PC controller"
Control Micro Systems    CMS UL 10    CO2 Laser Marking System    "- Semi-Automatic Manual Load
- Computer controlled laser and X-Y stage
- 10W sealed CO2 laser with 2 in. super high resolution lens assembly
- Computer controlled 6 in. x 12 in. X-Y axes
- CDRH Class 1 compliant enclosure with safety interlocked door"
Control Micro Systems    CMS 5050 Y    In-Line PC Board Laser Marking System    "- 20W diode pumped internally cooled Nd YAG laser
- 16 bit high precision galvanometer system
- 6-inch high precision marking lens
- LaserGraf 32 with Windows® 2000 OS
- Flat panel computer monitor with Ergotron arm
- Integrated barcode reader with database implementation
- Adjustable width, in-line conveyor
- Compliance with SMEMA specification 1.2
- CDRH Class 1 enclosure
- Fume extraction system"
Cooke Vacuum    MK VII ACFR    Dual Source Thermal Evaporation Deposition System    "- with Deposition Rate Monitor
- Two thermal resistive sources for evaporation. System has CTI cryopump and compressor along with roughing pump
- Crystal deposition rate monitor
- Valve controller
- Vacuum gauges
- Chamber Size: 11-3/4 in. dia. x 12 in. H"
CPA    V 2000    Five Target RF and DC Magnetron In Line Sputtering System    "- Currently configured for single sided sputtering but cathodes can be moved manually if double sided sputtering is desired
- Target Size: 3-1/2 in. x 18 in
- Easy access to cathodes via hinged doors
- Currently configured with 4 DC and 1 RF cathodes
- Multiple CTI cryopumps for high vacuum
- Load lock chambers on both ends
- Loader handles up to 28 20 in
- H panels or pallets
- Variable speed pallet transport system"
Crest Ultrasonics    OC 41218 HE    Ultrasonic Cleaning Line    "- Unit has rotation mechanisms on each of the tanks that spin cages that hold parts to be cleaned
- Parts Carrier Inside Dimensions: 5-3/8 in. x 5-3/8 in. x 12 in
- System can also be as a standard unit without these carriers
- 3 Heated Ultrasonic Baths: 12 L x 18 in. W x 10 in. H
- Hot Air Dryer: 12 in. L x 18 in. W x 10 in. H"
Crest Ultrasonics    4 HT 7103    Stainless Steel Heated Ultrasonic Cleaning Tank    "- with Controller
- Genesis Tru-Sweep 4G-250-3 simultaneous multi-frequency ultrasonic generator
- Vibra-Bar transducerized tank with Inside Dimensions: 10 in. L x 7 in.W x 10 in. D"
Crest Ultrasonics    Custom    Ultrasonic Cleaner    "- Two Heated Ultrasonic Baths: 89 in. L x 7 in. W x 5 in. D
- Dryer Tank: 89 in. L x 7 in. W x 5 in. D"
Crest Ultrasonics    Custom    Ultrasonic Cleaning System    "- with Transfer Robot
Five Station Ultrasonic Optimum Console:
- Tank 1: 10 in. L x 14 in. W x 8 in. H. Ultrasonic 40 kHz. Heated Immersion Wash.  
- Tank 2: 10 in. L x 14 in. W x 8 in. H. Heated 40 kHz Ultrasonic Immersion Wash.
- Tank 3: 10 in. L x 14 in. W x 8 in. H. Heated 68 kHz Ultrasonic Immersion Rinse.  
- Tank 4: 10 in. L x 14 in. W x 8 in. H. Heated 68 kHz Ultrasonic Immersion Rinse.
- Tank 5: 10 in. L x 14 in. W x 8 in. H. Heated Immersion Rinse
- Rigibot programmable transport system with PLC control"
Crest Ultrasonics    OC 31014 HE    Three Station Ultrasonic Cleaning System    "- Three Station Ultrasonic Cleaning System
- Station 1 - Heated Ultrasonic Immersion Wash Tank Size: 10 in. L x 14 in. W x 7 in. D.  
- Station 2 - Heated Ultrasonic Spray Over Immersion Rinse Tank Size: 10 in. L x 14 in. W x 7 in. D.  
- Station 3 - Recirculating Hot Air Dryer Size: 10 in. L x 16 in. W x 8 in. H"
Crest Ultrasonics    Custom    Custom In-Line Ultrasonic Cleaning System    "- Three heated Ultrasonic Cleaning Tanks: 12 in. L x 18 in. W x 10.5 in. H. Each has two ultrasonic generators
- Stainless steel construction"
Crest Ultrasonics    Custom    Heated Ultrasonic Cleaning System    "- Stainless steel cabinet on wheels
- Digital temperature setpoint controller
- Three Crest Genesis ultrasonic generators
- Tank Inside Working Dimensions: 36 in. L x 11.5 in. W x 12 in. D
- 3 phase"
Cryomech    ST 10    Parts Test System    "- Gold plated cryogenic test chamber D1456326-A with an internal test plate 5-3/4 in. dia., multiple SMA type electrical feedthroughs
- Oxford Instruments ITC 502 intelligent temperature controller for cryogenic system control
- 1 Phase"
CTI    CryoTorr 7    Conflat Flange    "- Cryo pump only, no compressor or cables
- Pumping speed for air: 1000 l/s, water: 3600 l/s"
CTI    CryoTorr 8    High Vacuum Cryopump    "- Standard ASA flange
- Pumping Speed for Water: 4000 l/s, Air: 1500 l/s
- Gauge type temperature readout
- Rebuilt pump"
CTI    Onboard 10 F    On-Board Cryopump    "- Fast Regen™ capability
- Embedded microprocessor control
- Pumping Speed: 9500 liters per second-water vapor
- Taken off machine in good working condition
- Conflat Flange: 14 in. O.D., 11-5/8 in. I.D"
CTI    9600    Cryo Pump Compressor.    "- On-Board cryopumps, On-Board waterpumps and On-Board TurboPlus vacuum pumps
- Supports both single and grouped pump configurations
- 3 Phase"
CTI    CryoTorr 8    High Vacuum Cryopump    "- Conflat flange.
- Pumping speed for water 4000 l/s, air 1500 l/s"
CTI    CryoTorr 8 F    Flat Cryo Pump    "- Conflat flange
- Pumping Speed: 4000 l/s water, 1500 l/s air"
CTI    CryoTorr 8    High Vacuum Cryopump    "- Standard ASA flange
- Pumping Speed for Water: 4000 l/s, Air: 1500 l/s
- Rebuilt pump"
CTI    CryoTorr 8    High Vacuum Cryopump    "- Conflat flange
- Pumping speed for water 4000 l/s, air 1500 l/s"
CW Price    212    Precision Thick Film Screen Printer    "- Vacuum substrate carrier
- Console mounted with power lift head, automatic substrate carrier and Squeegee Tuner."
CW Price    212    Precision Thick Film Screen Printer.    "- Able to do 8 x 10 screens
- Console mounted with power head lift, automatic substrate carrier and squeegee tuner
- Vacuum substrate holder"
Cyberoptics    Cyberscan 200    Non-Contact Profile Measurement System    Motorized stage
Dage    4000 BS 5 KG    Ball Shear Load Cell    - 5kg capacity
Dage    4000 HS    High Speed Bond Tester    "- Advanced analysis options, specifically force versus displacement graphs and energy measurements in addition to the conventional peak force measurement
- Does not include a load cell"
Dage    BS 5 KG    Ball Shear Load Cell    - 5 kg
Jeol    JSM 6100    Scanning Electron Microscope (SEM)   
Jeol    JSM 6510    Scanning Electron Microscope (SEM)   
Shimadzu    AA 6700 F       
Molecular Devices    SpectraMax M Series    Multi-Mode Microplate Reader   
Angstron Advanced    PHE-102    Spectroscopic Ellipsometer   
DNR    MicroChemi    Bio Imaging System   
Time Group        Ultrasonic Flaw Detector   
Sheffield    Cordax RM-30    Coordinate Measuring Machine (CMM)   
Optipro    ePX 200    4-Axis CNC High Speed Polishing Machining Center    "18.4'' X-Axis Travel,
8.3'' Y-Axis, 7.8'' Z-Axis,
-90/90 Degree B-Axis,
Tool Spindle Speeds to 2000 RPM,
Work Spindle Speeds to 2000 RPM,
Slurry System & Enclosure,
CPTPRO Fagor CNC Control with Remote Pulse Generator"
Hitachi    UH 5300       
March    AP 1000    Plasma System   
Bruker    Dektak XT       
    Shuttleline    PECVD   
        Robotic Welding Gun   
Rohde & Schwarz    FSP 7    Spectrum Analyzer    "- GPIB Compatible
-  K 30 Option
- 9 KHz - 7GHz"
Ultron    UH 102 8    UV Irradiator   
Cameca    LEAP 4000 x HR    Electrode Atom Probe Leap High Resolution   
Cameca    LA-WATAP    Laser Assisted 3D Atom Probe   
Jenoptik    HEX 03        "- Embossing Force: up to 200kN
- Embossing Speed: 1µm/min~8mm/s"
EVG    Gemini    Production Wafer Bonder    "- Fully-Automated Wafer Bonding System
- Up to 200mm Capability ""but only tooled for 150mm""
- Currently Configured for 6""/150mm Wafers
- For High Volume Production Applications
- 4 Universal Wafer Bond Chamber w/ Top & Bottom Side Heaters
- 2 Gas Lines with no Flow Controllers
- Automatic Alignment
- Robotic Handling Between Cassette and Alignment Stage (No robot or controller installed)
- Robotic Handling Between Aligner and Bonder (No robot or controller installed)
- Send, Receive and Reject Cassette Stations
- Fully Motorized SmartView Splitfield Microscope for Double Side Viewing
- 40 kN pressure bonding capability
- Integrated Cooling Station for High Throughput
- Max Temperature: 550°C
-- Neslab System II Chiller
- System Computer with Windows MS OS
- RAID Hard Drive System
- Wafer ID Reader"
Novellus    C 1    Chemical Vapor Deposition (CVD)    "- Concept One 150mm Base System
- Micro-Contamination Package
- Dual Frequency system with AE LF PDX 1400 Generator - CE Mark
- New Generation Process 150mm Gas Box, 9 Channel, STEC Horiba Z500 digital MFC's with LOTO's
- Standard Slot 150mm Heater Block.
- VCR Gasline Interconnect.
- External Heated Pendulum Valve.
- 586 Computer with Flash Drive.
- Digital Pressure/Temperature Control Unit.
- Robot with 70V Motors and OEM 750 Driver Kit.
-  Front Flat Panel Touchscreen.
-  Side Monitor.
- AC and RF Ceramic Feedthru's.
- FerroFluidic Spindle.
- AE Matching Network.
- RF Detector Endpoint System.
- RF Enclosure.
- Megapak Power Supply.
- Sapphire Window.
- One Piece Loadlock Door Shaft.
- Standard Front Facia - Aqueous paint.
- SECS II communications interface.
- AE RFG3000 2.75 KW HF Generator - CE Marked, interlock standard.
- AC Remote Pump Interface for ACP pumps and with AE LF Generator.
- Main System Control Cable CA 99 - 40 ft.
- RF Generator Control Cables for AE Generators - 20 ft.
- HF RF Cable for 3 KW generator CA 57 - 60 ft.
- LF RF Cable CA 102 - 60 ft.
- Pump Control Cable to be with ACP type interfaces - 10 ft. "
        Lot of Electric Test Equipment   
Semitool    880 S    Spin Rinse Dryer (SRD)    - 8" Low Profile Rotor Top Chamber and 6" standard Rotor Bottom Chamber
Binder    1200 L    Vertical Reactor    "Stainless Steel, Jacketed
Equipped With:
- Top Angle Mounted Agitation
- Bottom Discharge
- 20"" Man Way
- Control Panel
- Rosemount Temperature Transmitter
- Stainless Steel Frame on Casters
Includes:
- Spare UnBauer 1/2 HP Agitator Motor (400V; 3PH; 50Hz; 1.35A)
- Spare UnFlowserve VCA Series Mechanical Seal
- Qualification Documentation (English)
- Plant Documentation (English and German)"
Tuttnauer    2340 M    Autoclave Steam Sterilizer   
Lorimer        Hydraulic Press   
Atlas Copco    FD 300    Air Dryer   
Haas    Mini Mill        - 10 or 12 BT 40 Tool Holders
Enercon Industries        Corona Treater    60"
Flow Autoclave Systems        Isp Press   
Delta    TBC    Laser Cutting Machine   
Coherent    AVIA 355-7000    UV Laser System   
Netzsch        Attrition Mill   
Grenzebach    Custom    Flat Glass Conveyor   
MRL    FCE 2 TUBE RHL HT 1312    Furnace   
Protemp    Sirius 200 2    Furnace   
VWR    1675 HAFO       
Polycold    P 500 II    Chiller    "- Temperature Range: -100 to -140*C
- 3 Phase"
Solitec    820-SJX    "Auto Wafer Scrub Jet / Transfer System
"   
K&S    4123    Wire Bonder   
KLA-Tencor    P-2    Long Scan Profiler   
Sitek        Spin Rinse Dryer (SRD)   
HDI        Surface Scanner   
        Laser Interferometer   
Caterpillar    C6.6    Generator   
Nabertherm        Furnace   
Geiger Handling    K 700    Robotic Arm   
Asymtek    Millenium M 620    Dispenser   
Asymtek    Millenium M 2000    Dispenser   
Eckel        Anechoic Sound Chamber    "dimension W=30""
H=37""
D=37""
The outside dimension
W=56""
D=56""
H=64"" + 3"" isolation feet"
F&K Delvotec    6400    Bonding Machine   
Finetech    Fineplacer       
Glegg        RO System   
Vitronics Soltec    6748       
Joos    HP-S 40    Hot Pressing Machine   
Lindberg / Blue M    POM-588 C-3    Oven    Temp Range to 260*C
        Lot of Electric Test Equipment   
GE    Nanomex 180    X-Ray Machine   
Oxford    Plasmalab DP 90    Plasma-Enhanced Chemical Vapor Deposition (PECVD) System    "- One chamber with a load lock
- For up to 6” wafer
- Pumps: Stack Vacuum Pump (Edwards Booster EH500, Dry Pump DP80)
- 6 Gases – SiH4, NH3, O2, N2O, C2F6, N2"
Brooks Automation    1000027    Zbot   
Powerex    SLP 050831    Air Compressor    "- Oil-less Rotary
- with refrigerated air dryer"
Edwards    E 306    Thermal Evaporator Vacuum Coater   
Process Technology    TY 144 480 3    Hot DI Water Heater    144 KW
Edwards    40    Rotary Vane Dual Stage Mechanical Vacuum Pump   
Gaertner Scientific    L 116 C    Ellipsometer   
KLA-Tencor    SP 1    Wafer Chuck   
Gasonics    9102    Asher   
KLA-Tencor    Alphastep IQ       
Datacon    APM 2200    Die Bonder   
Hitachi    S 4500    Scanning Electron Microscope (SEM)   
Applied Materials        Parts   
Disco        Parts   
KLA-Tencor        Parts   
Lam        Parts   
Novellus        Parts   
On Track        Parts   
Semitool        Parts   
Varian        Parts   
Panasonic    CM 402    Pick and Place   
Panasonic    CM 602    Pick and Place    "- 12+3 with Tray
- 10 x 8 mm Dual Feeders"
MPM    125    Screen Printer   
Dek    Horizon 03    Screen Printer   
Speedline / Electrovert        Wave Solder   
Vitronics Soltec    6748       
MCP-Pal        Vertical Continuous Plating Line   
Tel    Trias    Metal Chemical Vapor Deposition (CVD)    2 chambers
Applied Materials    P 5000 Mark II    Chemical Vapor Deposition (CVD)    3 Chambers
Applied Materials    P 5000 Mark II J    Chemical Vapor Deposition (CVD)    3 Chambers
Jordan Valley    JVX 6200    Metal Thickness Measurement Tester   
Tel    8 SECFTPS    Furnace   
Tel    808 SC    Furnace   
Kyowa Interface Science    Drop Master 700 D    Contact Angle Measurement Tester   
Kokusai    Quixace Poly       
Tel    300    Furnace Group Controller   
Rigaku    MFM 65    Tester   
HP / Agilent    V 3300    Mixed Memory / Loic IC Test System   
HP / Agilent    V 3300    Mixed Memory / Loic IC Test System   
HP / Agilent    V 3300    Mixed Memory / Loic IC Test System   
HP / Agilent    V 3300    Mixed Memory / Loic IC Test System   
HP / Agilent    V 3300    Mixed Memory / Loic IC Test System   
HP / Agilent    V 3300    Mixed Memory / Loic IC Test System   
Espec    PVHC 331 MS    Clean Oven    Temperature 60-300*C
Espec    PVHC 431 MS    Clean Oven   
HP / Agilent    V 3300    Mixed Memory / Loic IC Test System   
HP / Agilent    V 3300    Mixed Memory / Loic IC Test System   
HP / Agilent    V 3300    Mixed Memory / Loic IC Test System   
HP / Agilent    V 3300    Mixed Memory / Loic IC Test System   
HP / Agilent    V 3301    Mixed Memory / Loic IC Test System   
HP / Agilent    V 3302    Mixed Memory / Loic IC Test System   
HP / Agilent    V 3303    Mixed Memory / Loic IC Test System   
HP / Agilent    V 3304    Mixed Memory / Loic IC Test System   
HP / Agilent    V 3305    Mixed Memory / Loic IC Test System   
HP / Agilent    V 3306    Mixed Memory / Loic IC Test System   
HP / Agilent    V 3307    Mixed Memory / Loic IC Test System   
HP / Agilent    V 3308    Mixed Memory / Loic IC Test System   
HP / Agilent    V 3309    Mixed Memory / Loic IC Test System   
HP / Agilent    V 3310    Mixed Memory / Loic IC Test System   
HP / Agilent    V 3311    Mixed Memory / Loic IC Test System   
HP / Agilent    V 3312    Mixed Memory / Loic IC Test System   
HP / Agilent    V 3300    Mixed Memory / Loic IC Test System   
Hitachi    WA 1350    Atomic Force Microscope (AFM)    Wide Area
Celerity    MegaFlow VII    Slurry & Cleaning Chemical Distribution System   
Accretech    Crystal Edge 2.0    Wafer Inspection   
Jeol    JWS 3000    Wafer Inspection System   
Jeol    JWS 3000    Wafer Inspection System   
Accretech    Win Win 50    Bright Field Defect Inspection   
Accretech    Win Win 50    Bright Field Defect Inspection   
Accretech    Win Win 50    Bright Field Defect Inspection   
Accretech    Win Win 50    Bright Field Defect Inspection   
Kokusai    VF 120 / 08 SD    Resistivity Test System   
Kokusai    VR 120 / 08 SD    Resistivity Test System   
Olympus    AL 2100    Wafer Inspection Scope   
Jeol    JWS 7555 S    Wafer Inspection System   
Agilent    8453 A    UV-Spectro Photrometer   
KLA-Tencor    Viper 2410    Metrology Measurement   
Mitisui Engineering    SB 5    Gas Turbine- Co Generation System   
Dynatex    DTX    Scribe and Break   
STS        ICP-RIE (Inductively Coupled Plasma - Reactive Ion Etch)    "- Brooks Dealer
- Dry Etching for III-V Semiconductors
- Gasses: Cl2, BCl3, N2, Ar, O2, CF4
- Sources:300W (platen) and 1000W (coil) RF sources
- Cooling system: Helium backside flow
- System layout: Main chamber with Loadlock
- Chuck: Max. 180°C heated substrate electrode, Electrostatic clamping
- Process information: GaN, InP, GaAs, etc.
- Up to 4"""
Alcatel    GIR 300    Reactive Ion Etch (RIE)   
Karl Suss    PM 5    Probe Station   
Savin    C 5050       
Savin    9033       
Asymtek    A 618 C    Dispenser   
        "Medical Ultrasonic Transducer
"   
        Infrared Sensor   
        Magnetic Sensor   
Philips    CM 200    Transmission Electron Microscope (TEM)    "- 200kV - LaB6 cathode
- TEM information limit of 0.24nm
- PGT energy-dispersive x-ray spectroscopy (EDX) detector.
- Single Tilt and Double Tilt, specimen holders
- Super Twin Lens
- Spirit Version 1.07.05 PGT Software
- Running on Windows XP
- Water Cooled Chiller"
K&S    8060       
K&S    971    Microwasher   
Dainippon (DNS)    80 B    Track System    "- 2x Coat / 2x Develop
- Right to Left Process
- EEW
- Does not have Stepper Interface
- Bake Unit/type
- 8/cp
- 9/sb
- 10/lh
- 12/sb
- 13/lk
- 14/cp
- 15hp
- 16/hp
- 17/hp
- 18/hp"
KLA-Tencor    RS-35    Resistivity Mapping System   
Okamoto    GNX 200    Back Grinding Machine   
Muhlbauer    DS 10000    Pick & Place Die Sorter   
Muhlbauer    DS 10000    Pick & Place Die Sorter   
Besi    CS 1250    Pick & Place Die Sorter   
Besi    CS 1250    Pick & Place Die Sorter   
NGK Megcon    RC 2000 ACDS    CO2 MIxer   
Lintec    RAD 2500 F    Wafer Mounter Detaper    Fully Automatic
Melles Giot    17 MMR 001    Bench Top Main Rack   
Agilent    8164 A        with options 2 & 3
Electra    PN 120-1006       
Savage        500 Ton Press   
        Lot of Edwards Pumps    "- (QTY: 2) E2M28's
- (QTY: 4) RV3's
- (QTY: 4) E2M30"
Datacon    2200 APM    Die Bonder   
KLA-Tencor    RS 75       
KLA-Tencor    Surfscan 6420    Unpatterned Surface Inspection System   
Rigaku    3640    Metal Thickness tool   
Akrion    V 3 System    USG Removal system for PWAx Wafers    - 3 Phase
NBS Technologies    WPC 08 A    Wafer Transfer system   
Verteq    1800-6    Spin Rinse Dryer (SRD)   
Korvis        Post Laser Measurement tool   
Leica    APEC 3020    Thickness Measurement Tool   
Hitachi    L-7000    High-Performance Liquid Chromatography (HPLC)   
New Brunswick Scientific    G 2    Gyrotory Shaker   
Thermo Scientific    Precision 180    Water Bath   
Molecular Devices    GENEPIX 4000 B PRO    Microarray Scanner   
Qiagen    Qia Symphony    SP / AS Instrument   
Beckman Coulter    Z 1    Cell & Particle Counter   
Illumina    VC 101 1000    BeadXpress Reader System    with Satellite Kit
Roche NimbleGen    4 Slide    Hybridization system    110 V
Roche NimbleGen    FLX    Genome Sequencer Instrument   
Biomicro Systems    Maui    Microarray / Glass Slide Wash system   
Roche NimbleGen    4 Slide    Hybridization system   
Roche NimbleGen    MS 200    Microarray Scanner   
Zeiss    Neon 40 EsB    Crossbeam    "- 6-axes fully eucentric motorized stage
- IR CCD camera for sample viewing
- 100mm airlock
- integrated CrossBeam/SmartSEM GUI based on Windows XP"
Amray    1845    Field Emission Scanning Electron Microscope (SEM)    "- 3 axes stage motorization package (X, Y, and R) and an IR Chamberview System
- Upgraded to WinXP for digital image capture and networking"
Elionix    8900    Field Emission Scanning Electron Microscope (SEM)    4 secondary electron detectors geometrically positioned around the primary beam and sample
Amray    3300    Field Emission Scanning Electron Microscope (SEM)    "- large chamber
- 3 axes (X,Y, and R) motorized stage
- upgraded to WinXP for digital image capture and networking"
Hitachi    S 4200    Field Emission Scanning Electron Microscope (SEM)   
Amray    1910    Field Emission Scanning Electron Microscope (SEM)    "- 3 axes stage motorization package (X, Y, and R)
- a 4” load lock for fast transfer of samples
- upgraded to WinXP for digital image capture and networking."
Hitachi    S 3200 H    Scanning Electron Microscope (SEM)    comes with an IR Chamber Scope and will be equipped with an STS X-Stream Imaging System
Amray    1830    Scanning Electron Microscope (SEM)    "- large specimen chamber and many accessory ports for future expansion of analytical capabilities
- upgraded to WinXP for digital image capture and networking"
Amray    3200    Scanning Electron Microscope (SEM)    "- Standard features include a Robinson BSE, large chamber size, and computer controlled SEM package
- Low vacuum specimen chamber pressure to 4 Torr
- Variable in 25 millitorr steps"
Jeol    JSM 6340 F    Scanning Electron Microscope (SEM)    "- in-lens secondary electron detector capable of offering a resolution of 2.5 nm at 1kV
- X-Stream Imaging System, with WinXP for image capture and networking capability"
Jeol    JSM 6300 F    Field Emission Scanning Electron Microscope (SEM)    The airlock specimen chamber allows up to a 32 mm diameter sample, and the size can also be up to 150 mm without the airlock
Amray    1860    Field Emission Scanning Electron Microscope (SEM)    "- 8” Auto Loadlock and Windows XP upgrade for digital image capture and networking
- equipped with a 5 axes motorized stage and STS IR Chamber scope"
Jeol    JSM 5900 LV    Scanning Electron Microscope (SEM)    "- Low Vacuum
- modified to acquire and display the video signal through an X-Stream Imaging System
- the SEM comes with an 8"" chamber, 5 axis motorized stage, Oxford EDS, and an STS IR ChamberView System"
Philips    XL 30    Scanning Electron Microscope (SEM)    "- mouse-driven operation in a Windows environment
- provides both secondary electron and backscattered electron imaging, along with an integrated EDAX system
- a 4 axis motorized stage with full manual override"
Leo    435 VP    Variable Pressure Scanning Electron Microscope (SEM)    5 axis computer controlled stage
Jeol    JSM 840    Multi-Purpose Scanning Electron Microscope (SEM)    "- features an Orion digital image capture system running on Win98
- a new NanoMaker Pattern Generator system with beam blanker for electron beam lithography to manufacture nano-scaled patterns"
Bieleferder Union        Washing Machine for PLF Dimensions    Pfor Laminated application, hard and soft brushes, deminarized water.
        Calander for Laminated Production    PLF dimension : 2 nip-roll systems, 2 heating area before first nip-roll, 6 heating area between first and second nip-roll
Bottero        Unloading Machine    capacity max 400 kg
Bielefeder union        Washing Machine for Laminated Application    2.6 width
Bottero        Squareness Conveyor and Crane for Glass Assembly    width 2.6m
Bottero        Unwinding Area for PVB Rolls    Equipment to laydown PVB on glass, width 2.6 m
Bottero        Unwinding Machine    "- 12 Positions
- 2.6m width"
Benteler        Calandering Machine    DLF dimensions (width 2.6), 2 niproll, 1 preheating section and 3 heating sections
Oxydry        Powdering Machine    For DLF size (width 2.6m)
Bottero        Loading Section area    DLF dimensions, weigth max : 700 kg
Deman        KBK    "- Exitline 2
- capacity 1T
- dimensions ~12*12m"
Scholtz        Autoclave    for DLF dimensions
Simri, Noel        DLF Autoclave Racks    - Capacity 18T
Ledoux, Eurotole, Hams        PLF Autoclave Racks    Capacity 35T
JM Industries    Tamarrak    Mask Alignment    with Video
OGP    Flash 500    Optical Laser Metrology Inspection Tool   
Royce    660    Universal Bond Tester   
Western Magnum        Dry Film Laminator   
BTU    TFF 91 NHC 1    Belt Furnace   
Durston        Double Power Mill    130 mm, 1.5 HP
Chemcut    In-Line XL 20    Photo Resist Developer   
Chemcut        Rinse Station   
Esico    PD 33 LF    Solder Pot   
BTU    Pyramax 98       
Resys        Loop Water System    with 208v & UV light
Anton Paar    Physica MCR 101    Rheometer   
Salvis    TC 100 T    Convection Oven   
Elmasonic    P 60 H    Ultrasonic Cleaner   
Revco / Kendro    ELT 7 LS 50 A 31    Ultra Low Temperature Freezer   
CleaTech        Nitrogen Desiccator Cabinet   
Hybond    EDB 141    Die Bonder   
ASA    SAP E 120    Mold Press   
    PE 75    Plasma Etch Benchtop System    1 Phase
Phoseon    RX StarFire MAX    LED Exposure Oven    "- Dual Array
- Emitting Window Size 300 x 20 W
- Peak Irradiance Wavelength: 395"
MPS    TF 100    Screen Printer    Semi-Auto
MPS    MPM TF 100    Screen Printer    Fram holder & squeegee
AmeriVacS    AVS 20    Vacuum Sealer    "- Retractable Nozzel
- 20"" Seal"
BTU    TFF 252    Furnace   
Thermo Scientific    Neslab ThermoFlex 900    Chiller   
Heraeus Fusion    LC 6 B    Belt Irradiator    with speed indicator
        Nitrogen Generator   
        Sand Blaster   
Ross        Three Roll Mill   
Exakt        Three Roll Mill   
Solarius        Laser Profilometer   
Ekra    X 5    Screen Printer   
CM    1212    Furnace   
CM    1612    Furnace   
Lindburg    1700    Furnace   
Buehler        Saw   
Buehler        Polisher   
Heraeus        Vacuum Oven   
Applied Materials    P 5000    Sub Atmospheric Pressure Chemical Vapor Deposition (SACVD)    "- 3 Chamber
- with Robot, Storage Elevator, 3 Ozone Generator, Chemical Gas Cabinet, PLIS system"
Applied Materials    P 5000    Nitride PARC Chemical Vapor Deposition (CVD) tool    - 4 Chamber
Applied Materials    8115    Oxide Etch   
Applied Materials    8310    Metal Etch   
Applied Materials    8330    Metal Etch   
ATMI    Vector    Scrubber, Facility tool   
AXIC    1000 XRF    Metal Thickness Measurement tool   
Cincinnati Sub-Zero    ZHS 811 H / AC    Environmental Chamber   
Delatech    858 V 2    Scrubber   
Delta Design    Summit ATC 1909177    P&P Handler    with Affinity PWG 060 K BE 44 CBD 2
Fusion Semiconductor    G 03    Ozone Asher    "- Dual Chamber
- Dual Robot Arm"
Gasonics    9104    Quartz Chamber Plasma Asher    with ENI OEM 12B 06 RF Generator
Gasonics    IPC 2000 LL    Plasma Asher Etch System    "- Cassette to Cassette
- Automatic"
Kaijo        Wire Bonder   
Zeuss    AIMS 193    Mask Qualification system    with Coherent LDU ESI 500 Hz FT 193 nm
KLA-Tencor    6200    Particle Measurement Surfscan Equipment   
K&S    1488 Plus    Wire Bonder   
Lam    Rainbow 4400    Poly Etch   
Lam    Rainbow 4500    Oxide Etch   
Lam    Rainbow 4501    Oxide Etch   
Lam    4520    Poly Etch    - with RF Generator, Cables, Manuals
Lam    490    Metal Auto Etch   
Leica    INM 20    Trinocular Microscope Wafer Inspection    "- with DIC Optics
- 5 Objective"
Miller Design & Equipment        Manual Probe Station    Temperature Control Chuck
Modutek        Wet Processing Bench, Station    "- 6'
- Polyvinylidene Fluoride (PVDF) "
Novellus / Lam    Concept One    Chemical Vapor Deposition (CVD) tool, Robot, RF Generator    - Includes Cables, Manuals
Novellus    Concept One    Chemical Vapor Deposition (CVD) tool, Robot, RF Generator    - Includes Cables, Manuals
Pacific Western    P 5 MS    Wafer Prober, Tester, Power Supply   
Pacific Western Systems    P 5 MS    Wafer Prober, Tester, Power Supply    - Missing power supplies
Plasmatherm    SL 730    Plasma-Enhanced Chemical Vapor Deposition (PECVD) equipment, Chemical Vapor Deposition (CVD) Processing tool   
KLA-Tencor    Prometrix FT 750    Thickness Measurement Tool   
Ransco Despatch        Temperature Cycle Oven    "- Fast Rate Cycling
- with LN2 Low Pressure Injection"
Rudolph Technologies    AutoEL IV NIR 3, SSI, 4 E    Ellipsometer    Automatic
Rudolph Technologies    FE 3    Focus Ellipsometer   
Semitool        Acid Spray Solvent   
Semitool        Acid Spray Solvent   
Technical Instruments    AMS 310    Mask Inspection Tool   
Tegal    901 E    Reactive Ion Etch (RIE)    with ENI ACG-10T RF Generator, Thermo Neslab M 75
Thermo Scientific    6700    Fourier Transform Infrared Spectroscopy (FTIR)   
Wentworth Lab    0 043 0001    Prober    with Lamp, 8" Wafer Chuck Temptronic TP 03000A-2300-1
WJ    1500    Atmospheric Pressure Chemical Vapor Deposition (AP-CVD)  TEOS tool    with Ozone Generator, Return Shuttle, Robot and Controller, Chemical Cabinet
Yes    R 3    Vacuum Plasma Cleaning tool    Comdel Generator Alcatel
Zygo    KMS 4501    Mask Inspection tool   
Neutralization Technology        Microscope   
Powervar    2000 GPI    Microscope   
    LIJ-2    Disintegration Tester   
Rohde & Schwarz    CMD 55    Digital Radio Communication Tester   
Company Detail    Company Assets Tab       
FEI    CLM-3 D       
Perkin Elmer    Analyst 800 AA    Double Beam Spectrometer   
Thermo Fisher        HPLC Thermo Spectra System   
Princeton Instruments    TriVista    Confocal Raman System (CRS)   
Cascade    12000    Probe Station    Single Phase
KLA-Tencor    P 11       
KLA-Tencor    P 2       
           
Technics    Planar Etch II       
Airco Temescal        Sputter Deposition System   
Disco        Dicing Saw   
Biolin Scientific    KSV NIMA    Nima Langmuir-Blodgett Trough   
Teledyne / LeCroy    HDO 6104    High Definition Oscilloscope   
Ulvac    ZEM-3    Resistivity Meter and OptiTemp Chiller   
GMP        Filtration Skid   
Nortel Networks    DP 0011001    Channelized Access Processor   
Wabash    V 75 H-24-BCX    Hot Press   
Okuma    LC-20 M    CNC Lathe   
Guifil    PE 15-35    38.5 Ton Hyd Brake   
Mitutoyo    QVX 202       
Paragon        Tester   
Paragon        Test Boards   
Heller    1809 EXL    Reflow Oven    "- Non-functional
- Without mainboard and two temperature control cards"
Applied Materials    Centura 4.X HTF HT Polycide        "Mainframe Options:
Mainframe Type: RP MAINFRAME
Plating Type: NON ENP
Frame: REVISION 53 FRAME

- Chamber A: Polygen 300
Process Capability: PH3 DOPED
Heater Type: Standard
Cleaning Type: Remote Plasma Clean
RGA Port and Valve: RGA Port with pneumatic valve
Heater Lift Assembly: Standard Lift

- Chamber B: Polygen 300
Process Capability: PH3 DOPED
Heater Type: Standard
Cleaning Type: Remote Plasma Clean
RGA Port and Valve: RGA Port with pneumatic valve
Heater Lift Assembly: Standard Lift

- Chamber C: DCSXZ 300
Process Capability: Tungsten Silicide
Heater Type: Standard
Cleaning Type: Remote Plasma Clean
RGA Port and Valve: RGA Port with pneumatic valve
Heater Lift Assembly: Standard Lift

- Chamber D: DCSXZ 300
Process Capability: Tungsten Silicide
Heater Type: Standard
Cleaning Type: Remote Plasma Clean
RGA Port and Valve: RGA Port with pneumatic valve
Heater Lift Assembly: Standard Lift

- Wafer Shape: SNNF
- Line Voltage: 200/208 VAC
- System Power Mainframe: 400A"
F&S Bondtec    5810    Ball Bonder   
Hesse    BJ 820    Bond Jet   
Bourn & Koch    1400 VG    Gear Grinder   
Bourn & Koch    1000 VG    Gear Grinder   
Bourn & Koch    500 VG    Gear Grinder   
Bourn & Koch    P 1200    CNC Hobber   
Bourn & Koch    PE 1000    CNC Hobber   
Landis        Precision Grinder   
Novellus    C Three Triple Speed       
Temptronics    TPO 412 A       
Temptronics    TPO 412 A       
Temptronics    TPO 4000 A       
Temptronics    T 2500    Precision Temperature Forcing System   
Tel    Telindy Plus    ALD High-K Furnace   
        Lot of Miscellaneous Equipment   
Mattson    Cygnus 100    Fourier Transform Infrared Spectroscopy (FTIR)   
Sarget    Thermonitor    IBM Voltammetric Analyzer   
Olympus    SZX 12    Microscope    cold-light source, ring light and base plate are included
Vision Engineering    Lynx 5/10    Microscope   
Varian    Mercury VX 400    Console with a SMS 50 Autosampler   
Magna Power Electronics    TSA 20-1250    DC Power Supply    "TS Series Programmable DC Power Supply Output: 0-20 Vdc, 0-1250 Adc; 25 kWInput: 380 Vac, 3ΦComm.: Front Panel, RS-232, and Isolated 37-pin I/OOptions INCLUDED:A Version Front PanelLXI TCP/IP Ethernet Interface (+LXI)
"
Acme Electric    T-2-52707-1    Autotransformer   
Takatori    MWS 812 SD    Down Cut Multi Wire Saw   
Takatori    MWS 612 SD    Down Cut Multi Wire Saw   
Kemet    LP (DS) 16    Dual Face Lapping & Polishing Machine   
Kemet    XJ 56    Copper Plate Single Side Polishing Machine   
Kemet    XJ 56    Single Side Polishing Machine   
Fujikoshi    PFM 19    Copper Table Facing & Grooving Machine   
AM Technology - Korea    AFS 700 A    Portable Facing System   
Fuji BC Engineering    FK 2 MLM 3 08    Portable Facing System   
Thru-Med        Annealing Furnace   
Waftech    WL 200 03 L    Auto Laser Marking Machine   
Control Micro Systems    CMS 1050 C    Laser Marker   
Korea        Wafer Auto Waxing Machine    4' - 6" - 8"
Quartet Mechanics    AVI 200    Automated Visual Inspector   
Dangdong    Gonio    X-ray Measuring Machine   
DCM    1 G 280 SD    Rotary Table Surface Grinder   
Supertec    G 38 P 60 NC    Universal Cylindrical Grinder    15" x 24"
Kent    KGS 84 AHD    Horizontal Spindle Surface Grinder    800 mm x 400 mm
Do-All    2012 D 12    Vertical Bandsaw   
Scotchman        Abrasive Cut Off Saw   
Mitutoyo    LSM 512 S    Laser Scan Micrometer   
Rave / Eco-Snow    MC 150    Mask Clean   
Zeiss    CDC 200    Critical Dimension Control   
Veeco / Digital Instruments    Dimension 9000    Atomic Force Microscope (AFM)   
Sigmameltec Metron Technology    SFB 3000    Post Exposure Bake   
MSP    2300 XP 1    Particle Deposition   
KLA-Tencor    SL 586 H T 3    Pattern Inspection   
Balzers    LLS 802    Sputtering System   
Applied Materials    Quantum X +    Single Wafer High Current Implanter   
ASM    A 412    Dual Reactor VDF Cure    CU, PI
Ebara    UFP 300 A    Auto Plater   
Evatec / Oerlikon    Starline 300    Backside Metal Tool   
FEI    1265    Dual Beam FocIon Beam (FIB)    "- Electron beam image resolution: 3nm-5nm, 1-30kV beam voltage
- Ion beam resolution: 5nm-7nm, 30kV beam voltage
- Full digital control through Windows environment
- Stage Accuracy: 1.5 µm over 300mm
- FE-SEM with through-the-lens detection
- 1 Load Lock - allows loading of the following: a 200mm wafer, a 300mm wafer, and a 200mm multi-stub holder (for single die, and other small die samples)
- GISs - allow for PD (Platinum Deposition), IEE (Insulator Enhanced Etch) and ID (Insulator Deposition)
- EDX Analysis - on defects (down to 0.2 microns in size)
     *This facility requires LN2, the EDX is supplied/serviced by Oxford Instruments.
- No Load Ports
- SECS/GEM Capability
"
FEI    Expida 1255 S    NG Scanning Electron Microscope (SEM) COL    Manual Load, No Stem
KLA-Tencor    AIT UV ++    Pattern Inspection    Darkfield
KLA-Tencor    SCD XT    Scatterometry Metrology Tool, NC   
KLA-Tencor    SL 3 UV URSA    Reticle Inspection   
Lintec    RAD 3010 F 12    Detape for Backside Grind, DP   
Nanometrics    Nanospec 8300 X    Thin Films Measuring system   
Tel    Act 12    Stand Alone Track    "- 2 Wafer Transfer Robot Arms: 1 Carrier, 1 Process
- 3 FOUP Loader Port
- SECS/GEM I/II Interface required is iUSC
- 2 SOG Coater Process Station
       * 2 SOG Nozzles w/ Temperature Control
       * 4 Solvent Capability
       * TARC Compatible: Teflon Coated Chamber
       * PTI Mass Flow Exhaust Controller
       * Temperature Humidity Control
       * 1 Set of SS Back Rinse and Cup Rinse Nozzles
- 4 Chill Plate Process Station
- 1 Transfer Chill Plate Process Station
- 3 Transfer Low Temperature Hot Plate (LHP)
- 6 High Temp Hot Plate Process Stations (HHP)
- 1 TEL Temperature and Humidity Controller
- Side Chemical Cabinet
       * 8 – 2.5 L Bottle Storage Capacity
       * 4 – 2.5 L Bottle Storage Capacity
       * 4 – 12 L Tank Solvent Canisters
- 1 AC Power Box
"
Tel    Lithius    Single Block   
Tel    Telius SCCM    Etcher    2 SCCM Chambers
Ultratech    Saturn Spec 300    GHI Expose Tool   
Nikon Precision    S 204    Scanner    248nm (0.68NA)
        Mixing Station   
        Chiller   
        Stainless Steel Tank   
        Boiler   
GE    9 T 23 B 3877    Transformer   
Square D    34349-17212-064 KVA-75    Transformer   
Electroglas    4090 u+    Prober   
Electroglas    4090    Prober   
Ace Gragon    AH-270    Oven   
Ruwac        Vacuum System   
LTX-Credence    D-10    Tester    "- Docking kit not included, only tester
- 5x DPIN96-32
- 1x DIBU
- 1x VIS 16
- 1x HDVI"
Excellon    2000    Drill   
        UV Drawer   
    F 300 S    Fusion   
    220 APM    Die Attach   
    GIX    Microplotter    Tabletop
Glen Technology    1000 P    Plasma Cleaning System   
        Vacuum Pump   
Genesis    WaBash    5 Ton Press   
        Water Pump   
Teradyne    Tiger    Tester   
        Professional Smoke Generator   
        Laser Raster Scanner   
Dainippon (DNS)           
Meuser    M 4 L    Gear Head Lathe   
        X Y Waterjet Table   
Electroglas    6000    Prober    - The top can accommodate soft dock, conventional dock, and direct dock.
        4,000 Gallon Stainless Steel Tank   
KLA-Tencor    F 2320 i       
Pillar        Wash Line Equipment   
KLA-Tencor    UV 1050    Thin Film Thickness Measurement   
GWC Instruments        Synchronous Sampling Demodulator   
Hinds Instruments    PEM-90    Photoelastic Modulator   
Mattson        Laser Power Supply   
Mattson        Gas Laser   
Melles Griot    05-LPM-340-065       
New Wave    2150    Automated Laser Scriber   
Nextest    Maverick PT-II    Tester    "With 64 digital channels

Includes:
64 Pins
16 Channels per PE
(4) PE’s
Maximum test rate: 33 / 66 / 133 MHz
(4) Meg LVM (local vector memory) per pin
Algorithmic pattern generator
Data buffer memory: 144 MB
Error catch & redundancy: 72 MB
Drive levels per pin
(4) Parametric measurement units
(4) Dual device power supplies
Pentium 4 workstation with nextsoft release
Main memory: 512 M
1 GB, 20GB Hard disk"
Donaldson Torit DCE    DLM 1-4-15    Dust Collector   
Tektronix    DSA 71254 B    Scope   
Tektronix    DTG 5274    Data Generator   
Tektronix    DTGM 30    Module   
Serialtek    U 3051 C    SAS/SATA 3G/6G Protocol Analyzer   
        Steam Turbine Chiller   
Thermonics    T 2820    Precision Temperature Forcing System   
Micromanipulator    1000 DC 12    Internal Prober   
Rockwell / Allan Bradley    PanelView 1400 e       
HP / Agilent    8595 E    Spectrum Analyzer    "Items included in purchase:
- spectrum analyzer unit
- power cord (for US 120 VAC outlet)
- RF male to BNC female adapter for front panel input signal connector
- user manual (PDF) also provided (sent by email upon purchase)

System options included:
-021 (HP-IB Interface)
-101 (Fast Time-Domain Sweeps and Analog+ Display)
-102 (AM/FM Demodulator and TV Sync Trigger)
-105 (Time-Gated Spectrum Analysis)
-130 (Narrow Resolution Bandwidths - 30 to 300 Hz and 200 Hz EMI)

Passed calibration:
System was run through and passed all the standard calibration procedures:
- frequency
- amplitude
- yttrium iron garnet (YIG)-tuned filter (YTF)
Most recent (June 2017) calibration data is stored in onboard memory.
"
Ulvac    Phi Adept 1010    Scanning Electron Microscope (SEM)   
Sppedfam    9 B    Lapping and Polishing Machine   
        Paint Booth   
CVD Equipment        HPVE Machine   
Commonwealth Scientific    Millitron    Ion Etcher   
Veeco    RF 350 S    Ion Beam Etcher   
    Ion Deposition Module (IDM) 1200 / Ion Etch Module (IEM) 700        6 Target IBD
    Ion Deposition Module (IDM) 1200        6 Target IBD
Semitool        Spin Rinse Dryer (SRD)    Single Stack
Disco    DAD 341    Dicing Saw   
    Ion Etch Module (IEM) 700       
Veeco        RF 16cm Ion Source    "- With RFN
- Does not include controller or power supplies"
        Lot of Electric Test Equipment   
Agilent    DD 2    Console    "- Four Channels (1H, 13C, 15N, 2H)
- Probes are triple resonance and indirect detection (two) and 2nd
generation cold probe
- 600 MHz"
Agilent    DD 2    Console    "- Three Channels (1H, X, 19F)
- Probes are HFX and OneNMR
- 500 MHz"
Varian    Inova    Console    "- Two Channels (1H and X)
- Probe is Nalorac Dual band
- 500 MHz"
Varian    VNMRS    Console    "- Three Channels (1H, X, Y)
- Probes are 5mm HXY and 3.2mm HXY"
Agilent    MR 400 DD 2    Console    "- Two Channels (1H/19F, X)
- Probes are AutoX and OneNMR
- 400 MHz"
Agilent    MR 400 DD 2    Console    "- Two Channels (1H/19F, X)
- Probe is OneNMR
- 400 MHz"
Varian        Magnet    "- Widebore (89mm)
- 400 MHz"
Varian        Magnet    "- Standard Bore (54mm)
- 400 MHz"
        Protune Unit    "- For OneNMR Probes
- Currently on a 500 MHz system"
        Protune Unit    "- For OneNMR Probes
- Currently on a 400 MHz system"
Eidshun        Parts Washer   
Blue M        Bake Oven   
        Solvent Hood   
Thermal Scientific    Lindberg Blue    Seal Bake Oven   
Karl Suss    S B 8    Bonder   
Edwards    XDS 10    Vacuum Pump   
        Power Supply   
        DNS Tool   
Tabai    STPH 201 M    Backing Oven   
Hamamatsu        IC Backside Polishing System   
Semicaps    SOM 4000    Inverted Analytical and Tester-Docked Scanning Optical Microscope System   
        Meters    "For VNWL, VINT, VPP, VBLH,
VBLEQ,VBB,VREFC,VBGR,VBLP,VTRM"
Leica    MZ APO    Stereo Microscope    Coaxial Incident Light Housing
Buehler    Ecomet 3    Polisher   
Leica    Ployvar SC    Charge-Coupled Device (CCD) for Polyvar Transmission Electron Microscopy (TEM)    Objective Lens, Plan APO 150X/0
Fischione        Plasma Cleaner   
Veeco        Step Height Standards   
Leica    PL APO 150        Widefield
Narishige    MMO    Oil Hydraulic Micromanipulator    3 Axis
        Liquid Nitrogen Bucket    with Wheel Frame
Ebara    A 10 S    Dry Vacuum Pump   
        Diamond Turning Lathe   
Yoshikawa        Rotary Grinder   
Ando / Yokogawa    AQ 6370    Optical Spectrum Analyzer   
DT Peers        Robot Cells   
Ando / Yokogawa    AQ 6370    Optical Spectrum Analyzer   
Agilent    70004 A    Display   
Agilent    70004 A + 70952 B    Optical Spectrum Analyzer (OSA)   
        Gun Drill   
        Surface Grinder   
Bridgeport           
EDM        Hole Popper   
Ando / Yokogawa    AQ 6370       
           
           
           
           
Cyberoptics    MX 600        Automated turn-on test plus accept/reject equipment for memory cards
Cyberoptics    QX 500 D    Automated Optical Inspection Unit   
Cyberoptics    QX 500 D    Automated Optical Inspection Unit   
OGP    Smartscope 400 ZIP    Coordinate Measuring Machine   
Varian    EHP 500    Implanter   
Applied Materials    xR 80 LEAP    Implanter   
Applied Materials    xR 80    Implanter   
KLA-Tencor    FLX 2320 A    Thin Film Measurement System   
Therma-wave    TP 50       
Aetrium    4098    DIP Handler    300 / 600ml
Aetrium    5050 T    SOIC Handler    300ml
Aetrium    4098    Module Handler amb   
Aetrium    5050 T    SOIC Handler    208ml
Aetrium    5050 T    SOIC Handler    330ml
Aetrium    5050 T    TSOC Handler   
Aetrium    VMAX    Base Handler    No kit
Bruker    D 8 Discover    Diffractometer   
Cincinatti Sub Zero    CSZ LBS 1.8 15 SCT / WC    Industrial Liquid Bath   
LTX / Credence    STS 5020    Domain Tester   
Dage    Series 400 PAX 1       
Dyna Tech    DT AUV 1200    UV Cure   
ESI    2050       
ESI    2050       
Kinetix    6120    Tester   
    M 310    Sorter   
    M 310    Sorter   
Mega    Q 2 52    Tester   
Mega    Q 2 62    Tester   
N&K Technologies    8000 CD LittleFoot       
Nextest    Maverick PT-I    Tester   
Nextest    Maverick PT-I NT    Tester   
Nextest    Maverick PT-I    Tester   
Nextest    Maverick PT-I    Tester   
Nextest    Maverick PT-I    Tester   
        Stainless Steal Sink   
Olympus    MX 50 A F    Microscope   
Olympus    AL 110 C 96    Wafer Auto Loader   
Oneac    CD 31200    Power Conditioner   
Oneac    CD 31200    Power Conditioner   
OTC    Revolver 1750    LTX Test Head Stand   
OTC    Revolver 1750    LTX Test Head Stand   
Reid Ashman    OM 3650 SL    Manipulator   
Reid Ashman    OM 1420 1000    Manipulator   
Reid Ashman    OM 1725 1001    Manipulator   
Sigma Intest    M 173    Air Calibration System   
Sigma Intest    M 173    Air Calibration System   
SVG    8800    Developer   
SVG    8800    Developer   
SVG    8800    Developer   
SVG    8800    Developer   
SVG    8800    Developer   
Techarmonic    EHTVS    Abatement   
Techarmonic    EHTVS    Abatement   
Techarmonic    MOJAVE    Abatement   
Temescal    BJD 1800    Thermal Evaporator   
Teradyne    GIII    Tester   
Tel    Unity M 85 TD    Etcher   
Accretech / TSK    MHF 6000    Manipulator   
Accretech / TSK    MHF 6000    Manipulator   
Blue M        Oven   
    5 UP H 73 5 UP 002    Laser Handler   
        RF Scanner   
Advanced Energy    ID 2500       
Airco Temescal    CV 8    Controller   
Airco Temescal        Power Supply for CV 8   
K.H. Fredrick        Large Manual Dial Voltage Controller   
ENI    DCG 100    DC Plasma Generator   
Lambda    GEN 30 25    Ion Gun Controller   
Maxtek    MDC 360    Deposition Controller   
Maxtek    MDC 360    Deposition Controller   
Inficon    XTC    Deposition Controller   
MKS    250 B    Controller   
MKS    247 C    4 Channel Readout   
MDC        E-Vap XY Prog. Sweep Controller   
Grainville Phillips    270    Gauge Controller   
Grainville Phillips    270    Gauge Controller   
VAT        Gate Valve    with 3-pos. pneumatic actuator
        Nitrogen Pot Adapter assembly   
        Diffusion Pump   
Test           
Cameca    IMS 6 F    Secondary Ion Mass Spectroscopy (SIMS)   
Edwards    Auto 306    Turbo Vacuum Coater   
Ficontec    FL 300-OTP 3    Alignment System   
Chroma    58620    LD Characterization Test System   
Trextonic    DSA 8200    Digital Serial Analyzer   
Omnicure    S 1500 A    UV Curing Machine   
Lumen Dynamic    S 1500 A    Omnicure UV Curing   
Edwards    Spectron 300 E    Leak Detector   
March    PX-250    Plasma Treatment System    with Power Supply
March    PX-1000    Plasma Asher / Etcher Treatment System    with RFX 600
Polaris    Accu-Weld 5000    Projection Welder   
Cameca    IMS 6 F    Secondary Ion Mass Spectroscopy (SIMS)    "AMETEK inspection, TMP Varian(Turbo-V 250), Missing : ACCEL, EM post accel, RAE, CS source, Sample camera, M4 camera, Ga, sample holder, EM detector, multi gauge
"
Panasonic    SP 60 P       
Panasonic    SP 60 P       
Panasonic    SP 80       
Vitronics Soitec    XPM 2       
Omegameter    OM 700    Ionic   
TRI    7006       
TRI    TR 7500       
Vitrox    AXI       
ASC    SP 3 D ADC       
Heller / Zevatech    1700 SX       
Excel    661 UC       
Vitrox        AOI   
Micro-Vu           
TDK    GEN 30    Power Supply   
TRI    7006       
        Lot of Electric Test Equipment   
Blue M    AC-7702 HC-1    Environmental Chamber   
        Lot of Electric Test Equipment   
Polaris    Accu-weld 5100    Welder   
IMS / Credence    ATS-2 Blazer    Tester   
        Fiber Connector End-Face Checker   
Blue M    ETC-09 DH-G    Environmental Chamber   
Blue M    AC-7702 TDB-1    Environmental Chamber   
Dionex    ASE 150    Accelerated Solvent Extractor   
Thermo Fisher Scientific    Barnstead Nanopure    Water Purification System   
Intellycit        High-Throughput Flow Cytometer (HTFC)    with computer
TA Instruments    NANO    Differential Scanning Calorimeter (DSC)    with Desktop Computer
CEM    Discover SP D    Microwave Digestion System   
Brady    BP 1344    Label Printer   
Consort    EV 233    Electrophoresis Power Supply   
GE Healthcare    AKTA Prime Plus    Fast Protein Liquid Chromatography (FPLC)   
Labnet    S 0500 230 V EU    Rocker Nutating Mixer   
New Brunswick    Innova 5000       
UVP    GelDoc It    Imaging System   
Bio-Rad    xMark    Microplate Absorbance Spectrophotometer   
MTI    OTF 1200 X S VT    Vertical Furnace   
Carbolite    VST 12 / 200    Vertical Split Tube Furnace    1200C
MTI    PTL HT    Dip Coater   
Rodwell    Sapphire    Autoclave   
Baileigh    BB 12014 H    Metal Bending Press   
Pro Cast    TC 71 LC    Precision Tape Casting Equipment   
Huber    Rota Cool    Chiller   
Eppendorf    Excella E 24    Benchtop Shaker   
Thermo Scientific    Microm HM 315    Microtome System   
Beckman Coulter    CL 1000    Liquid Handling Automated Workstation   
Van Der Heijden        Water Chiller   
PPT        Solvent Purification System   
Munter    ComDry    Dehumidifier   
AIP    CP 460    Isotatic press   
Alpha Innotech        Mini Imager   
Labconco    RapidVap    Freezer Dryer   
Bobrick    44 Series    Compact Hand Dryer   
HP    P 1102    LaserJet Printer   
Dell    V 313 W    Printer   
Millipore    Milli Q Integral    Water Purification System   
Nabertherm    TS 1 100    Tube Furnace   
Thermo Scientific    Thermolyne F 48020 80    Tube Furnace   
Elchrom    2100 E Origins    Electrophoresis Gel Box   
Labtech    H 150 5000    Recirculating Chiller   
Einhell    LEF 30    Dehumidifier   
Aerial    Blue Dry    Dehumidifier   
Alpha Innotech    Multi Image III       
Radiometer Copenhagen    ABL 800 Flex    Blood Gas Analyzer   
Molecular Devices    GenePix 4000 B    Microarray Scanner   
Sutter    P 97    Flaming Micropipette Puller   
SBT    MS 508    Disc Punch    3mm
Thermo Scientific    Catalyst 5    Robotic Arm   
Labconco    7811040    CentriVap Cold Trap   
Buehler    IsoMet 5000    Linear Precision Saw   
Buehler    MetaServ LC 250    Grinder / Polisher   
Buehler    IsoMet 1000    Precision Saw   
Coy Laboratory    Type B    Vinyl Anaerobic Chamber   
SciGene        Bench Top Enclosure    with ozone filtration system
Mettler Toledo    RS P 42    Printer   
Newport    Oriel 69911    Power Supply   
VWR        Safety Cabinet   
SSEC    Evergreen 3302    Metal Liftoff    "- Metal lift-off / photo resist strip GaAs and Silicon
- Wet station with tilting, agitation cassette, High pressure solvent station, Clean IPA station
- Self-contained, bottle fed with optional bulk supply controls
- NMP/IPA no DI H20"
Bethel Materials Research (BMR)    HIDEP    Plasma Enhanced CVD Tool   
Vacuum Research        Gate Valve    "ANSI 16"" ports both sides.
Pneumatically operated, ~80 PSI, 120V.
"
        Lot of Electric Test Equipment   
Veeco    Dektak V 300 Si    Profiler   
VWR    1601    Environmental Chamber   
Veeco    C 2    Cluster Tool    "- CX 6 Handler
- (1)PVD Modules
- (1)Main Power Cabinet
- (1)Direct Current
- (1)CTI Frequency Changer
- (1)Control Cabinets
- (3)CTI 9600's
- Nitrogen and Argon"
Veeco        NXP PVD    "- 1-Power COntrol Cabinet
- 1-CTI 9600
- 1-Alcatel ADP122 LM Dry Vacuum Pump
- 1-RSI Controller"
Balzers    LLS 802    Etch and Deposition Tool Twin Chamber    "-5 Target (Nife, Cu, Chrome)
- 1-Polycold EFC660HC Chiller
- 1-Main Cabinet
- 1-Control Cabinet
- 2-Leybold Trivac Pump
- 1-Leybold Roots Blower
- Gases that were Ar, Nitrogen"
        Wire Bonder   
        Scanning Electron Microscope (SEM)   
        RF Power Source   
        Testing Station   
        Testing Instrument   
        Optical Amplifier   
        Tunable Lasers   
Crest Ultrasonics    OC.1014.9.STA    Ultrasonic Machine   
Teradyne    Catalyst    Tester   
Advantest    M 4541    Handler   
Teradyne    A 530    Tester   
Teradyne    A 535    Tester   
LTX / Credence    SC Micro    Tester   
LTX / Credence    SC Micro    Tester   
LTX / Credence    Duo    Tester   
LTX / Credence    Quartet    Tester   
Rofin Sinar    Evertech LS-323    Laser Marking Machine   
           
Flow    20 XD-55    Waterjet Machine   
Balzers    TMH 260    Turbo Pump   
SteelSentry        32” x 72” Heavy Duty Workstation    "Laminate Surface
Total Lock Casters
Includes Articulating Keyboard Tray"
Jun-Air    6-25    Compressor    Includes 5um filter with gage
Semiconductor Systems Inc (SSI)    NCDNC (2)-105    Track System   
Agilent    81594 B       
Agilent    81578 A       
Agilent    81595 B       
Agilent    8166 A       
Agilent    8166 B       
ADE    Ultra Gauge 9520        - ASC Controller
Disco    DAD 3220    Dicing Saw   
Newbury Industries    V 3-30 ES    Molding Machine   
Fico    M 1    Molding Machine   
Verson    1648    Brake with Safety Curtain   
Verson        HTC 10 Ton Mini Brake   
Cisco    3750    Network Switches   
Panasonic    DMX-401    Die Bonder   
Dymax    Bluewave AS    UV Curing Light   
Scienta    R 4000 WAL    Electron Spectrometer   
Scienta    VUV 5 k    UV-Photon Source    Tabletop
Coherent    Mira 900 P    Ti Sapphire Oscillator    - Equipped with 10 W pump laser (Coherent Verdi G-10)
Coherent    RegA 9000    Regenerative Amplifier   
Coherent    Mira 900 F    Ti Sapphire Oscillator   
Bruker    IFS 113 v    Fourier Transform Infrared Spectroscopy (FTIR) Interferometer   
Bruker    Vertex 80 v    Fourier Transform Infrared Spectroscopy (FTIR) Interferometer    Modified Michelson design with a dynamically stabilized scanner
Coatema    LS 17    Linecoater   
Coatema    BC 37    Basecoater Inert   
Coatema    SM 21    Multifunctional Coating Line   
Veeco    Wyko HD 3300    Head Measurement System   
        Spin-Polarized Angle-Resolved Photoelectron Spectroscopy (SP-ARPS)   
Scienta    DA 30    Analyzer    with 2D mini-Mott
Elmitec        Aberration‐Corrected Low Energy Electron Microscope (AC LEEM)   
Micro Radian    MRA 150    Autocollimeter   
        Diffractometer   
        Mirror Tank   
        Monochromator   
        Vacuum Chamber   
Detectrius    Pilatus 100 K    Area Detector   
ADSC    Quantum 210    Area Detector   
Mar    165    Area Detector   
Hitachi    90 EX    Fluorescence Detector   
Quantum Detectors    Xpress 3    Fluorescence Detector    Mini single channel
Canberra        Fluorescence Detector   
Oxford    IC Plus 150    Electrode Ion Chamber   
Oxford    IC Plus 50    Electrode Ion Chamber   
ADC    MIC 205    Micro Ion Chamber   
Keithley    6517 B    Electrometer    High Resistance
Keysight    U 3401 A    Digital Meter   
Xantrex    XFR 40 70    Power Supply   
Stanford Research System    PS 350    Power Supply   
BK Precision    1670 A    DC Power Supply   
Extech Instruments    382200    DC Regulated Power Supply   
Stanford Research System    SR 570    Current Amplifier   
Stanford Research System    SR 830    Lock-in Amplifier   
Tektronix    TDS 2014 C    Oscilliscope   
Agilent    8720 D    Network Analyzer   
Kenwood    FG 273    Function Generator    Square, Sawtooth and Sinusoidal variable frequency
Agilent    8445 B    Automatic Preselector   
Photon Sciences Detector        Electrometer    4 Cha4 Channelnnel
        X-ray Diffraction (XRD) Microprobe   
Neslab    CFT 25    Chiller   
Neslab    RTE 111       
Lakeshore    336    Cryogenic Temperature Controller   
Lakeshore    218    Temperature Monitor    8 Sensor
Omega    DP 116    Temperature Panel Meter    3 1/2" Digit Mini-size
Standord Research Systems    SR 630    Thermocouple reader    16 channel
Edwards Vac    nXDS 10 i    Scroll Pump   
Schmid    AL TEX 980    Etch / Alkaline Texture Tool   
Applied Materials    Boccini Softline 2.0    Screen Print Line    with GP Solar Automation
Via Mechanics    LC 2 LA 252 E    Two Beam IR Co2 Laser Drilling Machine   
Via Mechanics    LC 2 LA 252    Two Beam IR Laser Drilling Machine   
Tempress Systems BV    Tempress TS 81254    Furnace    With all related components, attachments, accessories and installation
InnoLas Solutions        InnoLas Laser System and Coherent Laser system    With all related components, attachments, accessories and installation
Lauffer Pressen    RMV 200 (125) / 50 / 20    Lamination System   
Applied Materials    Bacinni Printer Softline 2.0    Solar Cell Printing and Drying Line   
Leybold Optics    P 10166 Pegasus       
Sensable Motion    SM 1024 01    Robotic Test and Sort System   
Complete Probe Solutions    R 4090 u+    Prober System   
Schmid Thermal Systems    502 12 5020    Controlled Atmosphere Conveyor Furnace system   
Precision Placement Machine    4000 C    Pick & Place Station System   
Four Dimensions    280 SI    Four Point Probe Station   
Via Mechanics        Laser system    "Includes:
- Loader/Unloader CTC-VIA-1007-1-3
- Metrology system Model VIA-SYS-1005
- VGEN lasers Model #VGEN-QS-HE-75
- With all related components, attachments, accessories and installation"
Haas    ST 20       
Singulus    Silex SDE 800    Automatic Wet Process Equipment    with Harrington Plastics lift pump and with all related software, manuals, licenses to use, supplies, components, attachments, accessories and installation
Singulus        Linex Inline Wafer Silicon Etchback tool    with all related software, manuals, licenses to use, supplies, components, attachments, accessories and installation
Asys        Test and Sort    "- 8 cassette sorter
- WIS
- SBB
- SPC
- SAS
- Alignuns turn station
- SDA test cell
- SES"
Gardner        Double Disc Grinder   
Nagel    SCD 80    Super Finishing Machine   
Engris        Bore Finishing System   
Myford    MG 12    Cylindrical Grinder   
LTX / Credence    HFi    Tester    "- Single Head
- 512 Digital Pins
- Digital Type VX 5
- Blade 2500 Work Station
- Analog Pins-0
- RF Ports- 0
- Memory Depth 16 M
- CYMU Wavecrest- 0
- DPS Boards- 4
- CPS Boards- 12
- HPDVM-1
- HF Sig Gen-0
- GTO-S- Y
- GTO-ML-4
- GTO-MLT-N
- GTO-MX-N
- DSCM- MSRFSCM
- Envision Prod Lic-1
- Envision Base O/S-12.33
- Envision Developer-1
- Test Head Manipulator-RAM"
Fanuc    M-16 i B 20    Robot   
Fanuc    M-16 i L    Robot   
Engris        Bore Finishing System   
KO Lee           
KO Lee    034.0056       
KO Lee    032.0081       
Ultrasonic    70-5    Sauer    "- 5-Axis
- Siemens 840 D Powerline Controller"
Haring    618    Auto Step Grinder   
Mitutoyo    PH-3500    Comparator   
Engris        Bore Finishing System   
Haring    618        with updated controller
Seebrez           
        Lot of Machine Tools   
Oxford    800+    RIE   
        Stainless Steel Reactor Tank    "-300 gallon
-Double walled for heating / cooling"
        Teflon Lined Reactor Tank    "-300 gallon
-Double walled for heating / cooling"
Donaldson    DFO 3-12    Cartridge Filter with Hepa System   
        Silo with Slurry System    80 Ton
Parker        Boiler with Water Softener System   
        Chiller    50 Ton
GP Solar / ISRA    ISRA    Vision Matrix Inspector   
Horiba    VIA 510        Range: 1000 ppm
Horiba    CLA 510        Range: 2000 ppm
Horiba    FIA 510        Range: 10000 ppm
Horiba    MPA 510       
Agilent / Varian    CP 2003    Gas Liquid Chromatograph   
Hewlett Packard (HP) / Agilent    5890    Gas Chromatograph   
Hewlett Packard (HP) / Agilent    6890    Gas Chromatograph   
Hewlett Packard (HP) / Agilent    6890 / 5973    Gas Chromatography-Mass Spectrometer (GC-MS)   
Horiba    ES C 510    Sample Conditioner   
Horiba    NEMA    Cabinet Enclosure   
EVG    501    Wafer Bonder    "- 6"" Heaters
- Standard bond chamber with top and bottom side heaters
- Up to 450°C and 7 kN force"
EVG    520 IS    Wafer Bonder    "- 10kN Bonding Capability
- Up to 550 deg C
- Multi-Stack Bonding Capability"
EVG    610    Bond Aligner    Backside Alignment
EVG    620    Mask Aligner    "- Topside & Bottomside Alignment
- 500W Lamphouse"
EVG    620    Mask Aligner    "- Topside & Backside Alignment
- Automatic Alignment"
Hitachi    S 9360    Scanning Electron Microscope (SEM)   
KLA-Tencor    2138 XP        "- Autofocus III
- SECS II/GEM"
KLA-Tencor    ASET F 5 X    Spectroscopic Elipsometer    "- Wafer Capable
- Dual Beam Spectrometry"
KLA-Tencor    FLX 2320    Stress Measurement System    "- up to 500C
- Data Analysis Capability"
KLA-Tencor    Prometrix RS 55 / tc    Four Point Probe    "- Temp Compensation
- Manual Wafer Handling
- Contour Mapping
- 3-D Plots"
KLA-Tencor    Surfscan 6220        "- New Laser
- New Power Supply
- Calibrated to OEM Specifications"
Mitutoyo    QV 202    3-D Vision Measurement System    "- Non-Contact
- 0.1um Resolution"
Oxford    Plasmalab 133    Inductively Coupled Plasma (ICP)    "- Load Locked
- Single Chamber
- 380 Electrode
- Chlorine Chemistry"
Oxford    Plasmalab 80 Plus    Plasma-Enhanced Chemical Vapor Deposition (PECVD)   
Seiko    SMI 3050 SE    FIB SEM (FocIon Beam – Scanning Electron Microscope)    - Dual Beam
Semitool    870 S    Spin Rinse Dryer (SRD)    - Dual Stack
Semitool    880 S    Spin Rinse Dryer (SRD)    - Dual Stack
SPTS / STS    MPX ASE HRM    Inductively Coupled Plasma (ICP) PRO Etcher    "- Deep Silicon Etch (Bosch Process)
- High Rate Magnetic Chamber"
SPTS / STS    Primaxx Monarch 3    HF Vapor Etcher    "- Semi-Automatic
- 3-wafer loader with Load Lock."
SST    SST 20 4034 H 2    Depletion Vacuum Furnace   
SST        High Vacuum Sealing System    "- up to 10-7 Torr
- Up to 1000 deg C
- Programmable
- Turbo Pump"
SST        Vacuum Sealing / Solder Reflow Furnace    "- Polished, Smooth SS Walls
- Programmable
- Medium Vacuum
- up to 1000 deg C
- Chille
- CE Marked"
SST    DAP 2200    Vacuum Sealing / Solder Reflow Furnace    "- Programmable
- Medium Vacuum
- up to 1000 deg C
- Chiller
- Pump"
Karl Suss    MA 6    Mask Aligner    "- Topside Alignment
- DVM6 Microscope
- 350W Lamphouse
- UV400 Optics"
Karl Suss    MA 8 / BA 8 Gen 3    Mask Aligner    "- Backside Alignment (BSA)
- 1000W Lamp House"
Waters    Acquity    Ultra Performance Liquid Chromatography (UPLC) System    "4 Piece includes:
- TUV Detector: 100-240V-145VA
- Binary Solvent Manager: 100-240V-420V-360VA
- Column Manager: 100-240V-420VA
- Sample Manager: 100-240V-420VA"
Waters    2695 Alliance    High Performance Liquid Chromatography (HPLC) Separations Modules    - Includes column heater
Leica    TCS SP 5    Confocal Laser Scanning Microscope System    "Includes:
- Leica model DMI 6000 CS Inverted Microscope
- Leica HCX PL APO 63x/1.40-0.60 Oil
- Leica HCX PL APO 40x/1.25 Oil PH 3 CS
- Leica HCX PL FLUOTAR L 63x/0.70 CORR
- Leica HCX PL FLUOTAR L 40x/0.60 CORR PH 2
- Leica HC PL FLUOTAR 10x/0.30 PH 1
- Leica HI Plan 20x/0.40 PH 1
- Leica model CTR 6500
- Leica model ebq 100 mc-L Isolated Mercury & Xenon Discharge Lamp
- Leica Motorized Stage Joystick
- Leica model DFC350 FX Digital Camera
- Leica TCS SP5 Table,100-240V, 50/60Hz"
Amersham Biosciences    AKTA    Fast Protein Liquid Chromatography (FPLC) System    "Includes:
- Frac-950 fraction collector
- A-900 sampler
- UPC-900 monitor
- P-920 pump"
Agilent / Varian    G 6140 A    Quadrupole Liquid Chromatography–Mass Spectrometry (LC-MS)   
AB Sciex    Qstar XL    Mass Spectrometer    Includes 3 boxes of spare parts
Eksigent    Express LC 800    High Performance Liquid Chromatography (HPLC)    "- 8 Channel
- True Parallel"
Sutter    P 2000    Laser Based Micropipette Puller   
MRC    603       
MRC    903       
        Vivarium Bottle Filler   
        Cage Washer   
        Wet Bench   
        BSC Cabinet   
Hitachi    HF 2000    Transmission Electron Microscopy (TEM)    - Needs a new turbo pump
HP / Agilent / Verigy    83000 F 330 t    Tester   
           
Tel    CS 500    Track   
Nikon    FX 601    Stepper   
Dainippon (DNS)    TE-400 G    Wet Etcher   
Dainippon (DNS)    TE-402 G    Wet Etcher   
Shibaura    AFJ-0729    Wet Stripper   
Shibaura    AFJ-1298    Wet Stripper   
Shibaura    AFJ-1300    Wet Stripper   
Kaijo    RT-969    Wet Stripper   
Tel    ME-450 II P 3    Dry Etcher   
Tel    ME-450 II R 3    Dry Etcher   
Disco    DFD 641    Dicing Saw   
Disco    DFD 640    Dicing Saw   
Disco    DFD 640    Dicing Saw   
Disco    DAD 341    Dicing Saw   
Disco    DCS 141       
Ekra    X 5    Automatic Screen and Stencil Printer    Print Area - 20" x 20"
Seiko / Epson    NS 6040    Handler    "Test Site Type:
Single / Dual 2x1
Quad 4x1
Quad 2x2"
Seiko / Epson    NS 7000    Handler    "Test Site Type:
Single / Dual 2x1
Quad 4x1
Quad 2x2"
Seiko / Epson    NS 8040    Handler    "Test Site Type:
Single / Dual 2x1
Quad 4x1
Quad 2x2"
HP / Agilent    4062 UX    Process Control System   
Lam    590    Etcher    "- Weight 750
- Dimensions 48 x 48 x 48 Inches"
Asymtek    S-920    Dispenser   
Tel    Act 8    Photoresist Processing System    "- Single Block
- Max. temperature on tempering station, prebake and hardbake hotplate: 200°C
- Thickness uniformity of selected polyimides within wafer: 3 sigma range < 0,3µm"
Tel    Alpha 8 SE    Furnace   
Serbig        Air Coil Winding Machines    "(QTY: 8) Serbig PNS-58
(QTY: 1) Serbig PRO-58
(QTY: 3) Serbig Unknown"
Speedline / Electrovert    Vectra 450 F    Wave Soldering Machine   
Ficontec    FL 300 OTP 3    Alignment System   
D-RAN    NI    Aging Chamber   
D-RAN    NI    Aging Chamber   
SME    S 6000    BGA / LGA Rework Bench   
SME    ZX 600    Eylet Inserter   
SME    S 5100    Pallet Cleaner   
Akita    NI    Reflow Oven   
JOT    J 208 50.3 / 20    Transfer Conveyor   
EKT    CVY 08    Transfer Conveyor    1500MM
EKT    CVY 07    Transfer Conveyor    2020MM
Siemens    Siplace HS 50    Surface Mount Technology (SMT) Pick & Place Machine   
Cockson    Ultraprint 2000    Surface Mount Technology (SMT) Screen Printer   
Siemens    Siplace HS 50    SMT Pick & Place Machine   
Eagle    ETS 300    Tester    "1     ETS-300 Base System ASM300
       220V CE Power Dist Options (OPT3001)
       ETS-200/300 System Caddy (ASM3111), installed
1     Mounting Kit (ASM2545), installed
1     Includes following boards
1     THIB-ISA (ASM3178), installed
1     DPS (ASM1809), installed
1     DVI (ASM1808), installed
1     MCP (ASM3163), installed
1     MCM-DDS (ASM3161), installed
1     ISOCOM 48 (ASM3066), installed
1     TMUX0 (ASM0557), installed
1     RCV0 256K (ASM1860), installed
1     DRV0 256K (ASM1859), installed
    FER CEX-0004 CEX 71 1250A 2.1 TS
ROTARY ACTUATOR    S-100-U-S080  
    B-059-U-S080-JSO 
    DSP-42SG-1S   
    VLG9/2+4PS/5-3 
    VLG9/2+4PS/5-1 
    NTE-212-CoNS-0000
    "Manufacturer: Knorr-Bremse
Condition: genuine new
Part number: 0481039268"
    Air caster B30NC001
    XVM-403-TONS-0000
    EP202-P00-ENR0
    STI-24IO
    XTMDS-015
    XUFTS-015
    MITSUBISHI NT-3020-BYH-R20 ROUTER BIT
     MITSUBISHI NT-3020-BYH-R16 ROUTER BIT
    MITSUBISHI NT-3020-BYH-R12 ROUTER BIT
    成型机上的外链板,2EF1243-112和2EF1243-120
    品牌:ABB      型号:560PSU01 R0002 电源
    CI854AK01
    "GE谢线机水箱
pWL 5000 wT"
    压力传感器 A-10   0-40MPa  4-20mA  30VDC  两线制  威卡 个 1
    压力传感器 A-10   0-1MPa  4-20mA  30VDC  两线制  威卡 个 1
    振动探头  330103-00-12-10-02-00  6根
    振动探头  330103-00-06-10-02-00   6个
    PCE835-001-T 3AC 380/400/480V
    Prosoft MVI56E-SIE 1块
    504C5NRSS4 CAL#666
    XVM-8022-TONS-0000
    HovaCAL 211MF
    6AV2123-2DB03-0AX0
    6AV2123-2GB03-0AX0
    6AV2123-2JB03-0AX0
    6AV2124-2DC01-0AX0
    6AV2124-0GC01-0AX0
    6AV2124-0JC01-0AX0
    6AV2124-0MC01-0AX0
 MTS TEMPOSONIC     "MTS MTS ER- M-0375M- D
34-1- A01"
    "MTS MTS ER- M-0600M- D
34-1- A11"
    MTS MTS Type 254 210
    MTS MTS 403 508
HIRAI DENKEIKI Co.,Ltd    ECT-40S-201X1-2000/5A   MCT-40R-W15/1A 40VA
    35030479 13C 0015  U S PAT 3225159
     SAAB Tank Control    9150072-501
    PCE835-001-T 3AC 380/400/480V
    rexroth  R902155995
    HovaCAL便携式校准气体发生器    (HovaCAL 211MF)
    VAT 12040-FE44-1007
    H+L 250 00292710 SVEZ05SSK090 333U40
    震动器,GOLDEN TURBINE GT10,数量20台
本特利瓦振传感器    9200-06-05-10-00
胀差传感嚣    330851-02-000-030-10-00-05
胀差传感嚣延长线    330854-080-24-00
位移传感嚣    330703-00-070-10-02-00
轴向位移延长线    330730-040-00-00
轴振延长线    330130-080-00-00
轴振前置变送器    330180-90-00
    气动比例调节阀总成8043-GS3   定位器型号8049IPC  品牌:SCHUBERT&SALEZR,含定位器和执行器,DC24V,4-20mA,配304不锈钢法
    DIENES 245AD07B01001
    ECT-40S-201X1
    MCT-40R-W
    气动比例调节阀总成8043-GS3   定位器型号8049IPC  品牌:SCHUBERT&SALEZR,含定位器和执行器,DC24V,4-20mA,配304不锈钢法
    “AEG” RELAY 26-40 AMP. BW55K 139642
"
Emerson"    FM-3DN            
     GGK1-125/70-460×1360/阿托斯
    HSGK01-160/90E-2501-250×783/阿托斯
    G125/70-200/阿托斯
    HSGK01-150/85E-3111-1010×450/阿托斯
    HSGL01-40/20E-1101-80×305/阿托斯
    HSGL01-80/40E-2511-160×507/阿托斯
    HSGL01-63/32E-2501-100×395/阿托斯
    HSGK01-90/50E-1411-150×462/阿托斯
    DCMOTORFGVH112LL-4-R-F-B-C
    HIWIN S10C475-1 120
    接近开关 JLXW6-22B 只 4
    接近开关 JLXW6-22A 只 2
    BEHA BELT RS02 use for nylon mandrel welding  size:6.05 to 25.4mm
    EMERSON  EZ1082-08-00-005 反向安装    6个
    EMERSON  EZ 1900-055  4个
    EMERSON  EZ 1900-095  4个
    EMERSON  EZ 1000-FCAL  6个
    EMERSON  A6500-UM    3个
    EMERSON  A6500-CC  1个
    EMERSON  A6500-FR  1个
    FAN MOTOR N 25-40/729 240V 60HZ 75W 0.47A 1300RPM
    TEIJIN SEIKi驱动器VIGOSERVO ARS30-10 ARS60-15 ARS15   15B140-bA0电机
    M220-23-S-42-06
    E4SM-3201-34-XDBS9-D024
    115U3D300CACAA
    SR18-CG-RGX-R02-K
    maxon motor gmbh 310007 + 358385
    309-314 AM
    "VERSA Valve, 3-Way, SST, 24VDC
MODEL: E4SM-3201-34-XDBS9-D024"
    AB S - M G 1 S 1 5 / 3 0 - G 1 - E 1 Q1 Q1 P G G
    MG 9 7 S 2 / 6 5 - G 6 0 Q1 Q1 P G G
    50044027  Ex-Flanged Heater   DHF22B03GG-9-T6   M.E.S.C.No. 6795530423
    50044028  Ex-Flanged Heater  DHF22B03GG-2,4-T6  M.E.S.C.No. 6795530403
    GE Panametrics M2LW-00-000-0
    PARKER  DRM-1030B-115  00052600279
    MELEGARI L FIGLI   M0710429  11062589
    变频电机风扇电机 风扇型号:G-315A,功率:0.55KW,电压:380V,电流:1.5A,转速:1400r/min  品牌:Ventilators
    DANAHER MOTION 53025-05A
    高压保险(18KV保险 PH2-20 25CLPT-5 美国伊顿-卡特拉.汉莫
    必克 压力表 订货号:SPX J04010078 配PRESSURE INDICATION APV-1000;斯必克均质机APV-1000原装压力表)
    HEATTERM  SR25
    #J04010078 PRESSURE GAUGE
    Parker / Compumotor DM-1030B-115 Dynaserv Direct-Drive Servo
    V18KV  PH2-20 25CLPT-5 
    "
PARKER

706000

727847-01

REPAIR KIT"
    GENERAL ELECTRIC " CIRCUIT BREAKER  M.C.C.B  100A. DH160 3P-3D.  713232
    速度传感器|锤式破碎机PCM1000型 部件号:TCR12531220|德国福伊特公司速度传感器\TCR.12531220\福伊特
    Heatpac CBM Heater/ PN: 176456082
NOV    M364001129
NOV    86812-2
NOV    86812-1
nov    86811-2
nov    86811-1
CANRIG    R01-3016-010
CANRIG    R01-3006-010
CANRIG    R01-3002-010
NOV    NOV P/N:93545-25N;BALL VALVE, MANIFOLD MOUNT
NOV    M364000821-01
NOV    156657
NOV    零件号156656,适用于149487液缸280/220
    53500-225
NOV    10676666-01
NOV    P854000099-HXXEN
NOV/PEPPERL+FUCHS    SENSOR,PROXIMITY;NOV P/N:87961;P+F P/N:106693,type:NJ40-U4-N
捷杰西    备件号:110056
捷杰西    备件号:110061-326
    ACS550-01-03A3-4
    ACS355-03E-07A3-4
    CIMR-HB4A0060,30KW,60A
    ACS-880-3-25A==ACS880-01-025A-3
    ATV610D15N4
    速度传感器\TCR.12531220\福伊特
    负压传感器\GPD10
    压力传感器\GPD10G\珂尔玛\国产
    "Air caster B30NC001, with a working pressure of 1
bar the optimal capacity is 500 kg with an air
consumption of ca. 200­400 Nl/min.Nr.
2004015,16/ 2009199­204"
    Honeywell SYS57 KEY KIT CNTRL CD EXTRACT / ENG 05701-A-0550
    NDC测厚仪扫描头电机  105/14527-01SA
     lamps  GF203-0.7-160-60
BARTEC    VPR047   VA300+M 100V line Amplifier
    VPR066  VA300+M Amplifier (70v Line) Gen II
    "RRV,MAJOR REPAIR KIT,3""-8K Major Repair Kit for
3"" Reset Relief
Valve (8,000 psi)
Schedule B : 8481400000"
    NTE-212-CoNS-0000
    GE Panametrics MISP2-2W-T10-1000
    GE Panametrics MISP2-2W-T00-0000
    GE Panametrics M2LR-00-010-0
    GE Panametrics M2LW-00-010-0
    GED 9T58K0085 CORE AND COIL SM PWR
    Klockner Moeller NZM6-63 3-Pole 600V 63A Circuit Breaker
    motor 190U3D400JBFCB215320SREL with an FC absolute
美国 simpson    数显透气性仪 0042105-M-ASM   1个
    砂强度测试仪 0042104-M-ASM  1个
    砂制样锤 0042100-M 1个
    制样管填充附件 0042100A-M 1个
    锤座 0042100C  1个
    铸型强度计 592-801-102 1个
    编码器,,EATON,38150-1000 数量3
    CMF310A2Y0Y0B2C1A5Y1A0Y ABB FIELD MOUNT PROCESS CONTROLLER
    Autosigma 3000
    "Pepperl
MNI20N-0H02K241N-00500"
    40FP32AF                           
    JD63F400
    3UB81234JW2
    M11091 Super Silent 20-A 110V/60Hz
    ELECTRO CRAFT E240  0240-06-018
simpson    砂制样锤 0042100-M  23公斤
    0042100A-M 1.2公斤
    0042100C   51公斤
    0042104-M-ASM   30公斤
    0042105-M-ASM    22公斤
    铸型强度计 592-801-102 0.2公斤
    1SCA135540R1001 1SCA135540R1001 ABB ENCLOSED SWITCH DISCONNECTOR, 20A, 380-415V, RED/YELLOW, PLASTIC, 2XM20, IP67
    1SCA135540R1001GE
    System 57 Calibration Plug p/n 05701-0550 05701-A-0550
    VOLEO 402 743 24V 504
    heiden ac 电源  型号:he-ac-s1000
    控制系统配件MTL浪涌保护器 电源模块8914-PS-AC  数量1个
    控制系统配件MTL浪涌保护器 电源模块8507-BI-DP   数量1个
    AIR COMPRESSOR TMC 26-8 EANA F015921
    SET SCREW NT2305 
    FAN NT5297
SYS TRONICS    DDC-201R  
SYS TRONICS    DDC-201D 
SYS TRONICS    SS-3303
    GE General Eastern MMR31-B-2-A-1-G
    
    A06B-0077-B003 
    A06B-0085-B103  
    A06B-6130-H002  
    A06B-6130-H003
    M11091 - Super Silent 20-A 110V/60Hz White
    GE General Eastern MMR31-R-3-A-2-G
    MLN 16SB9BB4A14SSM2L SB9 SWITCH 2
    AMAT SYM3 LLB LCF SENSOR DAMAGE NO:0090-07638
    BTL5-S173B-M0250-H-SA69K-KA05
Eaton MEDC    XB13230GNNR
    SM87HXBSB220GN2T2BYTR
    SM87HXBSB220YN2T2BYTR.
    SM87HXBSB220BN2T2BYTR
    SM87HXBSB220RN2T2BYTR
    M11091 - Super Silent 20-A 110V/60Hz White
    IC655CPU50OJ   IC655MEM503B
40086233    T260775
40063567    T260601
40079208    P165-5421
40087573    P165-5577
40079154    P604-(10225-1)     P604-9631
    "TM710E探头 马达传动组 件
图号:105/15537-02SA 品牌: NDC"
R301017-27    Vetco
R301017-24    Vetco
R301017-29    Vetco
R301017-19    Vetco
R301017-28    Vetco
R301017-12    Vetco
R301017-14    Vetco
H132990    Vetco
200008-101    Vetco
195000-214    Vetco
04584801    Vetco
04585302    Vetco
02206201    Vetco
724373    Vetco
H176822-1    Vetco
H139278-1    Vetco
02206101    Vetco
2206203    Vetco
2206204    Vetco
    Siemens/Unify HDCF S30810-K2319-X300/X330
     115UDB300BACAA
RADOX TENUIS    "Cable: RADOX TENUIS- TW 600V MM
Item No: 12 568 049"
    12536692
    12568099
    12568049
    EGTL-A03 PM1  2L87-100966-12   FIBER VER 4Z-HT CENT PM
    "
AKRON STANDARD
541-4998-02

REMOTE FUNCTION PROCESSOR (RFP) VER 3
通讯处理板 Remote Function processor board 541-4998-X3(RFP)"
    CMDS-100
    CFCS-100
    美国AT   C7-3000  配备OSHA/NFPA
    chemiquip  1/4'' NPT 25B
    9T58K0085
     PCIe x1 Gen2 Host  OSS-PCIe-HIB25-X1
    TEL NV3  ES2L87-100966-11 ==ES2L87-100966-12
    98 86 029减速机  59 01 007 减速机  57  04  005减速机  XB1-100-200-1-6/6  谐波减速机
    INDU EDH 76-6-90000-05-D-S/W25MM/HG5/IP00/8MM 1812/204542681  INDUCODER - 76-6-90000-05-D-S/we25MM/HG5/IP00/8m S/N 1812/204542681
HR Textron    27B50F-5E02-995
    CR420JFA0229
    Fairford  DFE-30  (75kW-132kW;  400V-50Hz)
    PCH1216
    R4257047  R4233232
    TS2113N21E10NLA
GE    16SB9BB4A14SSM2L
    Heidenhain ECN 113 2048
    TRENNVERSTÄRKER TV-PT1000.2xOUT
    TRENNVERSTÄRKER TV-U2 .. . ..
    SIMPLE EMV 250R/NW
    ZM92.01   
    SM91.10   
    ZL93.04    
    SA94.04   
    AI92.05     
    SW1  DRIVE SETTINGS   J4 CONTROL   PACIFIC SCIENTIFIC 04G-020
    SPIDER 376 0071-801 250W VER4.3.1
    M11091 Super Silent 20-A 110V/60Hz White white  Serial #: 1134696
    Invicta BL 60-105/6  BL60-105/6/01/50
    DELTA 备件反光镜R110 HTA   5个
GE    1 HC43VL460   SAME AS 5KCP39JGF737BS
    PCIe-HIB25-x4-H   One Stop Systems PCIe x4 Gen 2 host cable adapter
     PCIe-HIB25-x1-T  One Stop Systems PCIe x1 Gen 2 target cable adapter
    PCIe-HIB25-x1-H  One Stop Systems PCIe x1 Gen 2 host cable adapter
    PM10816-PL7310
    3170G040 UNI-TRIBALL S70-G F05-07/D14  DN40-FB / AISI316 / BSP THREAD
    23DA004F05D14 ACTUATOR WM4DA ISO F05/D14 ART.NO: WMR004422
    ADI 分析仪抽气泵M161-FT-AB2    3台
    41709 C0 42714098-V16-1   EMERSON 401282217591里面的41709 C0 42714098-V16-1
     27B50F-5E02-995
    功率单元 Mega Vert-FM150AN Megavert-F2000-10
    分析仪抽气泵M161-FT-AB2
    压力传感器DGZ-11/K0-500bar
    保险 FTB-HO 250V,5A
    力士乐电机MSK050C-0300-NN-M1-UG0-NNNN
    VB12-14270-03    Assembly, Standard Cord, VB-12C VibroBlock
    SLG090-050-KMGS-RA2-168
    GE GT10000 GT10A23/1810A009
    "德国
Dr-Brandt
压力传
感器
DGZ-11/K 500bar
Serno:55573"
    INGERSOLL RAND  IY90L-6
    OILTECH ISSLG 132M-6B
    AMO GMBH A-4963 8604381 2048 5V DC mounting hole center spacing 61.5mm
    853-001983-005,85*66*3  853-001983-005
    WITTENSTEIN   500S-MA3-220-0K1-2S
    3051SFADG200ZSHPS2T100071AA1B2RLG6Q4M5E1F2   (0-1.11kPa)阿牛巴流量计ROSEMOUNT
    HFX236 E1003
    "wika
型号:  IS-3-0-2211-1ZZ-BZZ-GTFDZZZ-UAX                 0~3000BAR"
    5D3A1121PO1O ARND-3119A
    GEMS SENSORS CONTROLS  GH3115-MM-VAC
    M000-09402000DU0100AB100
    M000-09402000DU0100AN100
    M700-07400770A10101AB100
    型号82400000016000
    型号82400000017800
    型号82400000016500
    NXD63B120
    NXD63B800H
    功率单元_MegaVert-FM150AN MegaVert-F2000-10
    51ML72  1720
    压力变送器 IDP10-T22C21F-L1     红外测温传感器 Raytek Model:RAYTXCLTSF Serial:486340  
CLECO    直轴拧紧轴 4BTS-4B660A-4K3B-4ZA
    拧紧轴主电缆 961109-110
    拧紧轴跳线电缆 961104-020
"
GEMS SENSORS & CONTROLS

GH3115-MM-VAC"    GH3131-01MM-V1-203
    GE261A1812P6,火焰扫描仪ITS 967X7179M379
    "VP-VISION 
奥地利Grabner微量蒸气压测试仪
MINIVAP VP VISION"
    IME D8AW2 POWER ACCESSORY
    51ML72 MICRO
    "Honeywell 51ML72

Mfr. Part #: 51ML72
Allied Stock #: 70120312  "
    GED CR306D002LTH MEBANE RENEWAL
    GED CR306C002ACDT SIZE 1 STARTER
    GED CR305E002AYH Z 3 FVNR LESS
    转速探头A5S05T90-5M,数量6件,品牌:布朗
    "DF-310E痕量氧仪专用电解液 100ml
Delta F"
PUMP OIL\O0075\C'TREAT    PUMP OIL\厂家配件号:O0075\技术参数:450℉\制造厂或品牌:C'TREAT\设备名称:FRESHWATER MAKER PACKAGE
COUPLING GREASE\160C\CALTEX    COUPLING GREASE\规格:40×14OZ\制造厂或品牌:CALTEX\厂家配件号:160C
    parker 驱动器 TBF60/5R 10台
    CIB (ASM1508), installed
10   Analog Pin Unit (APU12) (ASM2160), installed"
Discos            "(QTY: 1) Disco DFD 641 Dicing Saw
(QTY: 2) Disco DFD 640 Dicing Saws
(QTY: 1) Disco DAD 341 Dicing Saw
(QTY: 1) Disco DCS 141 Wafer Cleaner"
Timesavers    3111 11 0       
    V 3    Verticle Slide   
US Baird    3 24 Vertislide       
Nilson    S 1       
Nilson    S 0       
Nilson    S 0       
US Baird    0       
Nilson    S 2       
Nilson    S 3 F       
Borg Warner        Automatic Assembly Machine    Custom
Horkos    HFN R 40 H 16    Computer Numerical Control (CNC) machine    "- HSK 63
- Horizonal
- MQL
- 4 Axis"
    FER CEX-0004 CEX 71 1250A 2.1 TS
ROTARY ACTUATOR    S-100-U-S080  
    B-059-U-S080-JSO 
    DSP-42SG-1S   
    VLG9/2+4PS/5-3 
    VLG9/2+4PS/5-1 
    NTE-212-CoNS-0000
    "Manufacturer: Knorr-Bremse
Condition: genuine new
Part number: 0481039268"
    Air caster B30NC001
    XVM-403-TONS-0000
    EP202-P00-ENR0
    STI-24IO
    XTMDS-015
    XUFTS-015
    MITSUBISHI NT-3020-BYH-R20 ROUTER BIT
     MITSUBISHI NT-3020-BYH-R16 ROUTER BIT
    MITSUBISHI NT-3020-BYH-R12 ROUTER BIT
    成型机上的外链板,2EF1243-112和2EF1243-120
    品牌:ABB      型号:560PSU01 R0002 电源
    CI854AK01
    "GE谢线机水箱
pWL 5000 wT"
    压力传感器 A-10   0-40MPa  4-20mA  30VDC  两线制  威卡 个 1
    压力传感器 A-10   0-1MPa  4-20mA  30VDC  两线制  威卡 个 1
    振动探头  330103-00-12-10-02-00  6根
    振动探头  330103-00-06-10-02-00   6个
    PCE835-001-T 3AC 380/400/480V
    Prosoft MVI56E-SIE 1块
    504C5NRSS4 CAL#666
    XVM-8022-TONS-0000
    HovaCAL 211MF
    6AV2123-2DB03-0AX0
    6AV2123-2GB03-0AX0
    6AV2123-2JB03-0AX0
    6AV2124-2DC01-0AX0
    6AV2124-0GC01-0AX0
    6AV2124-0JC01-0AX0
    6AV2124-0MC01-0AX0
 MTS TEMPOSONIC     "MTS MTS ER- M-0375M- D
34-1- A01"
    "MTS MTS ER- M-0600M- D
34-1- A11"
    MTS MTS Type 254 210
    MTS MTS 403 508
HIRAI DENKEIKI Co.,Ltd    ECT-40S-201X1-2000/5A   MCT-40R-W15/1A 40VA
    35030479 13C 0015  U S PAT 3225159
     SAAB Tank Control    9150072-501
    PCE835-001-T 3AC 380/400/480V
    rexroth  R902155995
    HovaCAL便携式校准气体发生器    (HovaCAL 211MF)
    VAT 12040-FE44-1007
    H+L 250 00292710 SVEZ05SSK090 333U40
    震动器,GOLDEN TURBINE GT10,数量20台
本特利瓦振传感器    9200-06-05-10-00
胀差传感嚣    330851-02-000-030-10-00-05
胀差传感嚣延长线    330854-080-24-00
位移传感嚣    330703-00-070-10-02-00
轴向位移延长线    330730-040-00-00
轴振延长线    330130-080-00-00
轴振前置变送器    330180-90-00
    气动比例调节阀总成8043-GS3   定位器型号8049IPC  品牌:SCHUBERT&SALEZR,含定位器和执行器,DC24V,4-20mA,配304不锈钢法
    DIENES 245AD07B01001
    ECT-40S-201X1
    MCT-40R-W
    气动比例调节阀总成8043-GS3   定位器型号8049IPC  品牌:SCHUBERT&SALEZR,含定位器和执行器,DC24V,4-20mA,配304不锈钢法
    “AEG” RELAY 26-40 AMP. BW55K 139642
"
Emerson"    FM-3DN            
     GGK1-125/70-460×1360/阿托斯
    HSGK01-160/90E-2501-250×783/阿托斯
    G125/70-200/阿托斯
    HSGK01-150/85E-3111-1010×450/阿托斯
    HSGL01-40/20E-1101-80×305/阿托斯
    HSGL01-80/40E-2511-160×507/阿托斯
    HSGL01-63/32E-2501-100×395/阿托斯
    HSGK01-90/50E-1411-150×462/阿托斯
    DCMOTORFGVH112LL-4-R-F-B-C
    HIWIN S10C475-1 120
    接近开关 JLXW6-22B 只 4
    接近开关 JLXW6-22A 只 2
    BEHA BELT RS02 use for nylon mandrel welding  size:6.05 to 25.4mm
    EMERSON  EZ1082-08-00-005 反向安装    6个
    EMERSON  EZ 1900-055  4个
    EMERSON  EZ 1900-095  4个
    EMERSON  EZ 1000-FCAL  6个
    EMERSON  A6500-UM    3个
    EMERSON  A6500-CC  1个
    EMERSON  A6500-FR  1个
    FAN MOTOR N 25-40/729 240V 60HZ 75W 0.47A 1300RPM
    TEIJIN SEIKi驱动器VIGOSERVO ARS30-10 ARS60-15 ARS15   15B140-bA0电机
    M220-23-S-42-06
    E4SM-3201-34-XDBS9-D024
    115U3D300CACAA
    SR18-CG-RGX-R02-K
    maxon motor gmbh 310007 + 358385
    309-314 AM
    "VERSA Valve, 3-Way, SST, 24VDC
MODEL: E4SM-3201-34-XDBS9-D024"
    AB S - M G 1 S 1 5 / 3 0 - G 1 - E 1 Q1 Q1 P G G
    MG 9 7 S 2 / 6 5 - G 6 0 Q1 Q1 P G G
    50044027  Ex-Flanged Heater   DHF22B03GG-9-T6   M.E.S.C.No. 6795530423
    50044028  Ex-Flanged Heater  DHF22B03GG-2,4-T6  M.E.S.C.No. 6795530403
    GE Panametrics M2LW-00-000-0
    PARKER  DRM-1030B-115  00052600279
    MELEGARI L FIGLI   M0710429  11062589
    变频电机风扇电机 风扇型号:G-315A,功率:0.55KW,电压:380V,电流:1.5A,转速:1400r/min  品牌:Ventilators
    DANAHER MOTION 53025-05A
    高压保险(18KV保险 PH2-20 25CLPT-5 美国伊顿-卡特拉.汉莫
    必克 压力表 订货号:SPX J04010078 配PRESSURE INDICATION APV-1000;斯必克均质机APV-1000原装压力表)
    HEATTERM  SR25
    #J04010078 PRESSURE GAUGE
    Parker / Compumotor DM-1030B-115 Dynaserv Direct-Drive Servo
    V18KV  PH2-20 25CLPT-5 
    "
PARKER

706000

727847-01

REPAIR KIT"
    GENERAL ELECTRIC " CIRCUIT BREAKER  M.C.C.B  100A. DH160 3P-3D.  713232
    速度传感器|锤式破碎机PCM1000型 部件号:TCR12531220|德国福伊特公司速度传感器\TCR.12531220\福伊特
    Heatpac CBM Heater/ PN: 176456082
NOV    M364001129
NOV    86812-2
NOV    86812-1
nov    86811-2
nov    86811-1
CANRIG    R01-3016-010
CANRIG    R01-3006-010
CANRIG    R01-3002-010
NOV    NOV P/N:93545-25N;BALL VALVE, MANIFOLD MOUNT
NOV    M364000821-01
NOV    156657
NOV    零件号156656,适用于149487液缸280/220
    53500-225
NOV    10676666-01
NOV    P854000099-HXXEN
NOV/PEPPERL+FUCHS    SENSOR,PROXIMITY;NOV P/N:87961;P+F P/N:106693,type:NJ40-U4-N
捷杰西    备件号:110056
捷杰西    备件号:110061-326
    ACS550-01-03A3-4
    ACS355-03E-07A3-4
    CIMR-HB4A0060,30KW,60A
    ACS-880-3-25A==ACS880-01-025A-3
    ATV610D15N4
    速度传感器\TCR.12531220\福伊特
    负压传感器\GPD10
    压力传感器\GPD10G\珂尔玛\国产
    "Air caster B30NC001, with a working pressure of 1
bar the optimal capacity is 500 kg with an air
consumption of ca. 200­400 Nl/min.Nr.
2004015,16/ 2009199­204"
    Honeywell SYS57 KEY KIT CNTRL CD EXTRACT / ENG 05701-A-0550
    NDC测厚仪扫描头电机  105/14527-01SA
     lamps  GF203-0.7-160-60
BARTEC    VPR047   VA300+M 100V line Amplifier
    VPR066  VA300+M Amplifier (70v Line) Gen II
    "RRV,MAJOR REPAIR KIT,3""-8K Major Repair Kit for
3"" Reset Relief
Valve (8,000 psi)
Schedule B : 8481400000"
    NTE-212-CoNS-0000
    GE Panametrics MISP2-2W-T10-1000
    GE Panametrics MISP2-2W-T00-0000
    GE Panametrics M2LR-00-010-0
    GE Panametrics M2LW-00-010-0
    GED 9T58K0085 CORE AND COIL SM PWR
    Klockner Moeller NZM6-63 3-Pole 600V 63A Circuit Breaker
    motor 190U3D400JBFCB215320SREL with an FC absolute
美国 simpson    数显透气性仪 0042105-M-ASM   1个
    砂强度测试仪 0042104-M-ASM  1个
    砂制样锤 0042100-M 1个
    制样管填充附件 0042100A-M 1个
    锤座 0042100C  1个
    铸型强度计 592-801-102 1个
    编码器,,EATON,38150-1000 数量3
    CMF310A2Y0Y0B2C1A5Y1A0Y ABB FIELD MOUNT PROCESS CONTROLLER
    Autosigma 3000
    "Pepperl
MNI20N-0H02K241N-00500"
    40FP32AF                           
    JD63F400
    3UB81234JW2
    M11091 Super Silent 20-A 110V/60Hz
    ELECTRO CRAFT E240  0240-06-018
simpson    砂制样锤 0042100-M  23公斤
    0042100A-M 1.2公斤
    0042100C   51公斤
    0042104-M-ASM   30公斤
    0042105-M-ASM    22公斤
    铸型强度计 592-801-102 0.2公斤
    1SCA135540R1001 1SCA135540R1001 ABB ENCLOSED SWITCH DISCONNECTOR, 20A, 380-415V, RED/YELLOW, PLASTIC, 2XM20, IP67
    1SCA135540R1001GE
    System 57 Calibration Plug p/n 05701-0550 05701-A-0550
    VOLEO 402 743 24V 504
    heiden ac 电源  型号:he-ac-s1000
    控制系统配件MTL浪涌保护器 电源模块8914-PS-AC  数量1个
    控制系统配件MTL浪涌保护器 电源模块8507-BI-DP   数量1个
    AIR COMPRESSOR TMC 26-8 EANA F015921
    SET SCREW NT2305 
    FAN NT5297
SYS TRONICS    DDC-201R  
SYS TRONICS    DDC-201D 
SYS TRONICS    SS-3303
    GE General Eastern MMR31-B-2-A-1-G
    
    A06B-0077-B003 
    A06B-0085-B103  
    A06B-6130-H002  
    A06B-6130-H003
    M11091 - Super Silent 20-A 110V/60Hz White
    GE General Eastern MMR31-R-3-A-2-G
    MLN 16SB9BB4A14SSM2L SB9 SWITCH 2
    AMAT SYM3 LLB LCF SENSOR DAMAGE NO:0090-07638
    BTL5-S173B-M0250-H-SA69K-KA05
Eaton MEDC    XB13230GNNR
    SM87HXBSB220GN2T2BYTR
    SM87HXBSB220YN2T2BYTR.
    SM87HXBSB220BN2T2BYTR
    SM87HXBSB220RN2T2BYTR
    M11091 - Super Silent 20-A 110V/60Hz White
    IC655CPU50OJ   IC655MEM503B
40086233    T260775
40063567    T260601
40079208    P165-5421
40087573    P165-5577
40079154    P604-(10225-1)     P604-9631
    "TM710E探头 马达传动组 件
图号:105/15537-02SA 品牌: NDC"
R301017-27    Vetco
R301017-24    Vetco
R301017-29    Vetco
R301017-19    Vetco
R301017-28    Vetco
R301017-12    Vetco
R301017-14    Vetco
H132990    Vetco
200008-101    Vetco
195000-214    Vetco
04584801    Vetco
04585302    Vetco
02206201    Vetco
724373    Vetco
H176822-1    Vetco
H139278-1    Vetco
02206101    Vetco
2206203    Vetco
2206204    Vetco
    Siemens/Unify HDCF S30810-K2319-X300/X330
     115UDB300BACAA
RADOX TENUIS    "Cable: RADOX TENUIS- TW 600V MM
Item No: 12 568 049"
    12536692
    12568099
    12568049
    EGTL-A03 PM1  2L87-100966-12   FIBER VER 4Z-HT CENT PM
    "
AKRON STANDARD
541-4998-02

REMOTE FUNCTION PROCESSOR (RFP) VER 3
通讯处理板 Remote Function processor board 541-4998-X3(RFP)"
    CMDS-100
    CFCS-100
    美国AT   C7-3000  配备OSHA/NFPA
    chemiquip  1/4'' NPT 25B
    9T58K0085
     PCIe x1 Gen2 Host  OSS-PCIe-HIB25-X1
    TEL NV3  ES2L87-100966-11 ==ES2L87-100966-12
    98 86 029减速机  59 01 007 减速机  57  04  005减速机  XB1-100-200-1-6/6  谐波减速机
    INDU EDH 76-6-90000-05-D-S/W25MM/HG5/IP00/8MM 1812/204542681  INDUCODER - 76-6-90000-05-D-S/we25MM/HG5/IP00/8m S/N 1812/204542681
HR Textron    27B50F-5E02-995
    CR420JFA0229
    Fairford  DFE-30  (75kW-132kW;  400V-50Hz)
    PCH1216
    R4257047  R4233232
    TS2113N21E10NLA
GE    16SB9BB4A14SSM2L
    Heidenhain ECN 113 2048
    TRENNVERSTÄRKER TV-PT1000.2xOUT
    TRENNVERSTÄRKER TV-U2 .. . ..
    SIMPLE EMV 250R/NW
    ZM92.01   
    SM91.10   
    ZL93.04    
    SA94.04   
    AI92.05     
    SW1  DRIVE SETTINGS   J4 CONTROL   PACIFIC SCIENTIFIC 04G-020
    SPIDER 376 0071-801 250W VER4.3.1
    M11091 Super Silent 20-A 110V/60Hz White white  Serial #: 1134696
    Invicta BL 60-105/6  BL60-105/6/01/50
    DELTA 备件反光镜R110 HTA   5个
GE    1 HC43VL460   SAME AS 5KCP39JGF737BS
    PCIe-HIB25-x4-H   One Stop Systems PCIe x4 Gen 2 host cable adapter
     PCIe-HIB25-x1-T  One Stop Systems PCIe x1 Gen 2 target cable adapter
    PCIe-HIB25-x1-H  One Stop Systems PCIe x1 Gen 2 host cable adapter
    PM10816-PL7310
    3170G040 UNI-TRIBALL S70-G F05-07/D14  DN40-FB / AISI316 / BSP THREAD
    23DA004F05D14 ACTUATOR WM4DA ISO F05/D14 ART.NO: WMR004422
    ADI 分析仪抽气泵M161-FT-AB2    3台
    41709 C0 42714098-V16-1   EMERSON 401282217591里面的41709 C0 42714098-V16-1
     27B50F-5E02-995
    功率单元 Mega Vert-FM150AN Megavert-F2000-10
    分析仪抽气泵M161-FT-AB2
    压力传感器DGZ-11/K0-500bar
    保险 FTB-HO 250V,5A
    力士乐电机MSK050C-0300-NN-M1-UG0-NNNN
    VB12-14270-03    Assembly, Standard Cord, VB-12C VibroBlock
    SLG090-050-KMGS-RA2-168
    GE GT10000 GT10A23/1810A009
    "德国
Dr-Brandt
压力传
感器
DGZ-11/K 500bar
Serno:55573"
    INGERSOLL RAND  IY90L-6
    OILTECH ISSLG 132M-6B
    AMO GMBH A-4963 8604381 2048 5V DC mounting hole center spacing 61.5mm
    853-001983-005,85*66*3  853-001983-005
    WITTENSTEIN   500S-MA3-220-0K1-2S
    3051SFADG200ZSHPS2T100071AA1B2RLG6Q4M5E1F2   (0-1.11kPa)阿牛巴流量计ROSEMOUNT
    HFX236 E1003
    "wika
型号:  IS-3-0-2211-1ZZ-BZZ-GTFDZZZ-UAX                 0~3000BAR"
    5D3A1121PO1O ARND-3119A
    GEMS SENSORS CONTROLS  GH3115-MM-VAC
    M000-09402000DU0100AB100
    M000-09402000DU0100AN100
    M700-07400770A10101AB100
    型号82400000016000
    型号82400000017800
    型号82400000016500
    NXD63B120
    NXD63B800H
    功率单元_MegaVert-FM150AN MegaVert-F2000-10
    51ML72  1720
    压力变送器 IDP10-T22C21F-L1     红外测温传感器 Raytek Model:RAYTXCLTSF Serial:486340  
CLECO    直轴拧紧轴 4BTS-4B660A-4K3B-4ZA
    拧紧轴主电缆 961109-110
    拧紧轴跳线电缆 961104-020
"
GEMS SENSORS & CONTROLS

GH3115-MM-VAC"    GH3131-01MM-V1-203
    GE261A1812P6,火焰扫描仪ITS 967X7179M379
    "VP-VISION 
奥地利Grabner微量蒸气压测试仪
MINIVAP VP VISION"
    IME D8AW2 POWER ACCESSORY
    51ML72 MICRO
    "Honeywell 51ML72

Mfr. Part #: 51ML72
Allied Stock #: 70120312  "
    GED CR306D002LTH MEBANE RENEWAL
    GED CR306C002ACDT SIZE 1 STARTER
    GED CR305E002AYH Z 3 FVNR LESS
    转速探头A5S05T90-5M,数量6件,品牌:布朗
    "DF-310E痕量氧仪专用电解液 100ml
Delta F"
PUMP OIL\O0075\C'TREAT    PUMP OIL\厂家配件号:O0075\技术参数:450℉\制造厂或品牌:C'TREAT\设备名称:FRESHWATER MAKER PACKAGE
COUPLING GREASE\160C\CALTEX    COUPLING GREASE\规格:40×14OZ\制造厂或品牌:CALTEX\厂家配件号:160C
    parker 驱动器 TBF60/5R 10台
    YCBY 9    Silk Screen Machine   
    JY 960    Silk Screen Machine   
    XP 6090    Silk Screen Machine   
XTM        Cu Punching Machine   
        Press Machine    "(QTY: 1) Model: XCLL 2 300
(QTY: 1) Model unknown "
        Swing Arm Punch Machine    "(QTY: 1) Model: GRT SZ 7 L
(QTY: 1) Model unknown"
Chaoind    Chaoying    Swing Arm Punch Machine   
YMJ    ICP 6000    PVC Punching Machine   
YMJ    ICP    Chip Punching Machine   
YMJ        Index Hold Punching Machine   
Finesun        Laminate Machine   
Burkle        Laminate Machine    "(QTY: 1) Burkle CHK 100 / 200
(QTY: 1) Burkle unknown"
Wenlin    WL FA 7500    Laminate Machine   
Laiyin Laser    TY 965 B    Laser Cutting Machine    "(QTY: 1) Laiyin Laser TY 965 B
(QTY: 1) Laiyin Laser TY 960 B"
YMJ        Wire Bonding Machine    "(QTY: 10) YMJ D 01 L Welder M 30
(QTY: 1) YMJ unknown"
        Mini Computer Numerical Control (CNC) M/C Carving   
        Pneumatic Doube Stations Heat   
YRSC        Roll to Sheet Cutting Machine   
N&F Automation        Automatic Pick & Place Machine   
Veltop    VIP 100 B    Wire Bonding Machine   
CMC    HSA 50 20 Line    Auto Gluing Machine   
        Conveyor plus Control   
RLC Innovation        Automatic Placement & Welding   
Ruhlamat    WCE 2000    Auto Wire Embedding Machine   
XMT        Hydraulic Die Cutting Machine   
    HW 2803    Ultrasonic Plastic Welding Machine   
        Manual Gluing Machine   
ACT        Chiller Machine    "(QTY: 1) ACT AX 7.5 A
(QTY: 1) ACT AX 10 A"
        Air Condition    "(QTY: 1) 12,000 BTU
(QTY: 1) 48,000 BTU
(QTY: 2) 60,000 BTU
(QTY: 2) 150,000 BTU"
Carrier    42 TSN 012    Air Condition   
Central Air    9649 BTU    Air Condition   
Thermotron    S-32 C    Production Chambers/ Temperature Chambers   
Thermotron    "S-32 Minimax    "    "
Categories    Class    Stock No.    Manufacturer    Model No.    W
in.    D
in.    H
in.    Photo
Production Chambers/ Temperature Chambers    CT    627J    THERMOTRON    S-32C    38    38    38    THERMOTRON S-32C Production Chambers/ Temperature Chambers

Thermotron Temperature Test Chamber Model S-32C
I.D. 38""W X 38""D X 38""H
O.D. 60""W X 67""D X 89""H
Temperature Range: -70 to +170 Deg. C - Air Cooled
Watlow Programmer, Over and Under Temperature Protection
Environmentally Friendly Refrigerants, Stainless Steel Interior
Includes Viewing Window, Interior Light, 1 Shelf
CASTORS AND ADDITIONAL SHELVES AVAILABLE - PLEASE INQUIRE
Power: 208V, 3Ph, 36.5 Amps

Stock #627J
Spec sheet: THERMOTRON S-32C Production Chambers, Temperature Chambers spec sheet
Production Chambers/ Temperature Chambers"   
Carrier    30000 BTU    Air Condition    "(QTY: 1) Carrier 42VFE / 42VFT
(QTY: 13) Carrier 38LB015SC-D
(QTY: 4) Carrier unknown

"
Central Air            "(QTY: 2) 12000 BTU
(QTY: 1) 12161.05 BTU
(QTY: 2) 25927.47 BTU
(QTY: 8) 9000 BTU"
    HT 1501 f    Dehumidifier   
Tenney    T-30-C    Temperature Chambers   
Associated    SD-302    Temperature Chambers   
Sun    EC- 03/02    CO2 LN2 Chambers/ Temperature Chambers   
MD Intelligent        Dehumidifier   
Tenney    BTC    Temperature Chambers   
Newtec        Air Compressure Machine    "(QTY: 1) Newtec Max 50 D
(QTY: 1) Newtec SSR MH 45 (60 HP)"
Ultra Compressor    TA 120    Air Compressure Machine   
    DHR 30    Air Dryer   
        Exhaust   
        Distribution Board   
Thermotron    S-8    Production Chambers/ Temperature Chambers   
Thermotron    S-32 C    Production Chambers/ Temperature Chambers   
Tenney    JR    Temperature Chambers   
Thermotron    SM-32 C-7800    Production Chambers/ Temperature Chambers/ Steady State Chambers   
Thermotron    SM-16 C    Production Chambers/ Temperature Chambers/ Temperature Humidity Chambers/ Steady State Chambers   
Thermotron    S-32    Temperature Chambers   
Thermotron    S-16    Production Chambers/ Temperature Chambers   
Thermotron    S-16 C    Production Chambers/ Temperature Chambers   
Thermotron    SE-2000-10    Humidity Chambers/ Production Chambers/ Temperature Chambers/ Temperature Humidity Chambers   
ESPEC    ESZ-2 CA    Production Chambers   
Thermotron    S-32 C    Temperature Test Chamber   
Thermotron    SM-8C    Humidity Chambers/ Temperature Humidity Chambers   
Tenney    TTRC    "
Humidity Chambers/ Production Chambers/ Temperature Chambers/ Temperature Humidity Chambers"   
Thermotron    SL-1500-2-25    Humidity Chambers/ Temperature Chambers/ Temperature Humidity Chambers   
Tenney    T-30 RC-2    Production Chambers/ Temperature Chambers   
CSZ    Z-ZHB-1-H/AC    Temperature Chambers   
CSZ    Z-ZH8-1-H/AC    Humidity Chambers/ Temperature Humidity Chambers   
Tenney    TTS    Production Chambers/ Temperature Chambers   
Russells    RB-16-25    Temperature Chambers   
Delta Design    2850 F    CO2 LN2 Chambers/ Temperature Chambers/ Cryogenic Chambers   
Tenney    AG-40    Agree Chambers   
Thermotron    SM-16 C    Humidity Chambers/ Production Chambers/ Temperature Chambers/ Temperature Humidity Chambers/ Steady State Chambers   
CSZ    ZPHS-8-2-2 H/AC    "Humidity Chambers/ Production Chambers/ Temperature Chambers/ Temperature Humidity Chambers/ Steady State Chambers    "   
Qualmark    OVS 2.5    CO2 LN2 Chambers/ Halt Chambers/ Production Chambers/ Temperature Chambers/ Cryogenic Chambers   
Thermotron    SE-300-2-2    Production Chambers/ Temperature Chambers   
CSZ    Z-32-3-3-H/AC    Production Chambers/ Temperature Chambers   
Thermotron    Replacement 3.8    Humidity Chambers/ Production Chambers/ Temperature Chambers/ Temperature Humidity Chambers   
Bemco    LDFB 1.5-100/350    CO2 LN2 Chambers/ Temperature Chambers/ Cryogenic Chambers   
Tenney    T 10 RS    "Humidity Chambers/ Temperature Chambers/ Temperature Humidity Chambers    "   
Despatch    16507    Humidity Chambers/ Annealing Ovens/ Steady State Chambers   
Sun Electronic Systems    EC-0013    Production Chambers/Temperature Chambers   
Delta Design    9076-6-6-4    CO2 LN2 Chambers/ Production Chambers/ Temperature Chambers/ Cryogenic Chambers   
Tenney    BTRC    Humidity Chambers/ Temperature Chambers/ Steady State Chambers   
Tenney    T 6 RC    Humidity Chambers/ Production Chambers/ Temperature Chambers/ Temperature Humidity Chambers   
CSZ    Z-16-2-2-H/AC    Production Chambers/ Temperature Chambers/ Temperature Humidity Chambers   
Delta Design    9039-1-3-2-1    CO2 LN2 Chambers/ Production Chambers/ Cryogenic Chambers   
CSZ    ZPHS 16-3.5-3.5-SC/AC    Temperature Chambers/ Temperature Humidity Chambers/ Steady State Chambers   
Test Equity    1020 C    Production Chambers/ Temperature Chambers   
Ransco    16607-1    Humidity Chambers/ Production Chambers/ Temperature Chambers/ Temperature Humidity Chambers/ Steady State Chambers   
Test Equity    1007 S    Production Chambers/ Temperature Chambers   
Thermotron    HPS-16    Temperature Chambers   
Thermotron    SM-4 SL    Humidity Chambers/ Production Chambers/ Temperature Chambers/ Temperature Humidity Chambers/ Steady State Chambers   
Tenney    T 10 RC    Humidity Chambers/ Production Chambers/ Temperature Chambers/ Temperature Humidity Chambers   
Tenney    TIIC    Production Chambers/ Temperature Chambers   
Despatch    EC-619    Temperature Chambers/ Temperature Humidity Chambers   
BMA    AT-102 XS    Temperature Chambers   
BMA    TC-2    CO2 LN2 Chambers/ Bench Top Ovens/ Cryogenic Chambers   
Blue M    ETCU-09 S 2.5-B    Temperature Chambers   
Dallions Instruments    2875 B    Planetary Lap / Polisher SS   
Dallions Instruments    2875 B    Planetary Lap / Polisher SS   
Dayton        Drill Press    15"
Perkin Elmer    16 PC    Fourier Transform Infrared Spectroscopy (FTIR)   
        Crystal Lap and Polisher   
        CA Polishers   
        RO System   
Thermatron    T 6 S    Temperature Chambers   
Agilent    8133 A    Pulse / Pattern Generators   
Tenney    T 20 C-2.0    Production Chambers/ Temperature Chambers   
Agilent        Logic Analyzer   
Sigma Systems    M 10 G    CO2 LN2 Chambers/ Bench Top Ovens/ Temperature Chambers/ Cryogenic Chambers   
Tektronix        Multi-Instrument Chassis   
        ESD Simulator   
FEI    DB Strata 400 S    Dual Beam FocIon Beam (FIB)    "- with Omniprobe, GIS and PIA
- Software XT v3.6.x upgrade"
Cascade    Summit 12000    Prober    "Includes
- Electrical Bench
- Agilent : 4156C / 41501B / E4980A / 16440A (x2)"
        Pipe Tapping Tool   
Zed    L-3    Vacuum Forming Machine   
Tel    Act 12    Stand Alone Track - Cu    "- 2 Wafer Transfer Robot Arms: 1 Carrier, 1 Process
- 3 FOUP Loader Port
- SECS/GEM I/II Interface required is iUSC
- 2 SOG Coater Process Station
       * 2 SOG Nozzles w/ Temperature Control
       * 4 Solvent Capability
       * TARC Compatible: Teflon Coated Chamber
       * PTI Mass Flow Exhaust Controller
       * Temperature Humidity Control
       * 1 Set of SS Back Rinse and Cup Rinse Nozzles
- 4 Chill Plate Process Station
- 1 Transfer Chill Plate Process Station
- 3 Transfer Low Temperature Hot Plate (LHP)
- 6 High Temp Hot Plate Process Stations (HHP)
- 1 TEL Temperature and Humidity Controller
- Side Chemical Cabinet
       * 8 – 2.5 L Bottle Storage Capacity
       * 4 – 2.5 L Bottle Storage Capacity
       * 4 – 12 L Tank Solvent Canisters
- 1 AC Power Box
"
Axcelis Technologies    Fusion Gemini Photostabilizer GPS    UV Cure System   
Denton    Explorer 14    Sputtering Tool    "- KYKY 600 l/s turbo-molecular pump (6.0” inlet flange, particulate screen, water-cooled).
LN2 trap:
- Varian DS 402 two-stage, rotary vane pump (14 CFM):
- Chamber: 12” (high) x 18” (wide) x 18” (deep)
- (3) 3.0” diameter, internal “stalk-mount”, planar magnetron sputter source:
- RF/DC capability
Configuration: either straight down sputtering or con-focal sputtering
-  DVI 12” diameter copper plate, water-cooled, substrate stage assembly
- Xantrex DC power supply (600 volts, 4 amps).
- 600 Watt Seren RF power supply coupled to an automatic matching network.
- Substrate rotation:   (0 - 20 RPM)
- Interfaced to system PLC for remote on/off operation.
- ProcessProTM control software configured for specific delivered hardware"
Patricia    LA-950 A 2    Particle Analyzer   
LTX / Credence    Kalos HEX    Memory Tester   
M&R    AG 350-6 n-D-S-S-V    Mask Aligner   
Dainippon (DNS)    SK 2000    Single Block Resist Coater / Developer   
Electroglas    Horizon 4080 X    Production Wafer Prober   
Electroglas    Horizon 4090 u    Production Wafer Prober   
Hitachi Semiconductor    S 9220    Scanning Electron Microscope (SEM) Critical Dimension (CD) Measurement   
KLA-Tencor    2139    Brightfield Inspection   
KLA-Tencor    Archer 10    Overlay Measurement System   
KLA-Tencor    Viper 2410    Macro-Defect Inspection   
Leica    INS 3000    Macro-Defect Inspection   
Nikon    NSR 2205 i 12 D    i-Line Stepper   
Nikon    NSR S 204 B    Scanner    248nm (KrF)
Suss Microtec    MA 200    Mask Aligner   
SVG    90 S / SE    Linear Wafer Tracks (Resist Coater / Developer)   
Tel    Clean Track 8    Multi Block (Resist Coater / Developer)   
Veeco / Digital Instruments    Dimension Vx 210    Atomic Force Profiler (AFP)   
HP / Agilent / Verigy    V 1200    Memory Tester   
Zeiss    Axiopsect 200    Optical Review System   
Mitsui Seiki    HU 40 T AWC    5-Axis Mill   
        Film Chamber   
Exatron    902    Handler System    "1. Input : Bowl-feed or JEDEC tray/waffle
2. Output :  JEDEC Tray/waffle  or Cannister
3. Pick up head x 4.
4. Tri-temp.
5. Kit QFN 2x3mm"
    11    Oven Mark Ink Cure   
    AP 600    Tester    "The AP600 automated device programming system is a 4 site,
tray input and output, pick-and-place handler for device
programming. It is capable of generating a throughput of up to
800 UPH with zero programming time.
System General AP600 Universal IC Programmer.
Handler model: AP600 Base Frame, Auto tray, upward camera,
single pick up head, Insdustrial computer with window OS JOB
software and four H9200 programmer."
        Ultrasonic Cleaning System   
        Thin Wire Electrical Joint Welding Machine   
Vitronics Soltec    XPM 1030    Oven    nitrogen capable
Vitronics Soltec    940    Oven    nitrogen capable
Cincinnati    Millacron 630    4-Axis Mill   
Andor    iXon 3 885    EMCCD Camera   
Dainippon (DNS)    FC 3100    Wet Station   
Dainippon (DNS)    SU 3100    Single Wafer Cleaning System   
Lam    Vector Express    Chemical Vapor Deposition (CVD)   
Lam    2300 Kiyo    Metal Etch   
Applied Materials    DPS II AE Poly G 3    Poly Etch   
Applied Materials    Producer GT    Chemical Vapor Deposition (CVD)   
Tel    Indy B L    Furnace   
Tel    Indy    Furnace   
Hitachi    S 9360       
Hitachi    S 9300       
Leica    INS 3300       
Kokusai    VR 120 S       
Rudolph    MP 300       
Novellus    Inova Next    Physical Vapor Deposition (PVD) system   
Tel    ACT 12       
Nanometrics    Orion       
Tel    SCCM TE    Etch   
Shinsung Eng    SGL 30       
Leica        UV Optic Scope   
Hitachi    S 9380 II       
KLA-Tencor    Archer AIM+       
Tel    Unity ME 85 SCCM    Etch   
Varian    VIISta 80       
Rigaku    XRF 3630       
ASML    100    Stepper   
Lam    Vector Extreme    Chemical Vapor Deposition (CVD)   
Ortho    Vitros 5600    Integrated System   
Olympus    CH-2    Binocular   
Recif    SPP 8    Wafer Transfer Tool   
Bio-Rad        Fourier Transform Infrared Spectroscopy (FTIR)   
Franklin    T 7 XL    Shrink Wrap Tool    with heat zone on belt
Bruce    7351 C    Furnace    Drive and Oxidation
Bruce    7351 C POCI 3 Dopant    Furnace    Atmospheric Pocl3 (n-type) dopant
Varian    180 XP    High Current Ion Implanter   
    Rapitran II    Wafer Transfer System    Metal
        Transfer Tool    LPCVD
Eaton    NV 10    High Current Ion Implanter   
        Nitride layer Wet Etch Wet Bench    Phosphoric Acid, QDR
Corso Gray Instruments    DA 660 V    CG Bank    3-Stack with Forming Gas Anneal and Vacuum
Semitool        Wet Bench    RCA 1, RCA 2
Advantech    FSP 700-80 PSA    Power Supply   
Corso Gray        Low Pressure Chemical Vapor Deposition (LPCVD) Furnace    Nitride
Corso Gray        Low Pressure Chemical Vapor Deposition (LPCVD)    Oxide
Bruce    7351    Furnace    Initial Oxidation
Bruce    7351    Furnace    Drive and Oxidation
        Wet Bench    "- HF (1 tank), Rinse (6 tanks), HCl, HNO3
- with heating capability"
Molecular Imprints    Imprio 55       
        Oxide Layer Wet Etch Bench    BOE, 15:1 HF, 60:1 HF, QDR
Semitool    Sirius Ozone    Photo Resist Strip    Non-metal
Semitool    Sirius Ozone    Photo Resist Strip    Non-metal
Semitool    Srius Ozone    Photo Resist Strip    Non-metal
American Optical    Microstar / Epistar    Microscope   
        Bulk Developer   
        Bulk Developer    SCP 3103, AT8500, 3107, 1100
Advantek        Tape Laminator   
Advantek    UTP 400    Tape Delaminator   
        Wet Bench    "- Microstrip (4 tanks), Man Rinse (6 tanks)
- with heating capability"
        Transfer Tool   
        Wet Bench    Microstrip (1), Ti (1), Rinse (6), HF (1), HFNO3 (1), Cr (1), KI (1)
Electroglas    1034 XA 6    Manual Prober   
Rucker & Kolls        Manual Prober   
Advantek        Tape Laminator   
Mactronix    UKA 625 A 3    Transfer Tool   
Lab-Line    Imperial III 2455 M 3    Oven   
Tegal    903 E    Etcher   
Balzers    BA 710    Coating Machine    "-Diffusion pumped
-Two resistance heated evaporation
"
Advanced Energy    MDX-L 12 M    Power Supply    "M/N 3152313-014 A
208 V, 3 phase, 4 wires power"
Advanced Energy    Pinnacle Plus    Power Supply    "M/N 3152427-110 A
208 V, 3 phase, 4 wires power"
Advanced Energy    MDX-6    Power Supply   
Advanced Energy    PE-II-10 K    Power Supply   
ENI    RPG 50    Power Supply   
Agilent    6012    Power Supply   
        Lot of Power Supplies   
Pfeiffer    Classic 500.1    Physical Vapor Deposition (PVD) Evaporator    "Telemark Electron Beam Source:
- E-Gun, Model 266, 4 Pocket
- Sweep Controller & Crucible Indexer 376
- Power Supply Model ST-6, 6kW
- Deposition Controller Model 860"
Maximus    804    Robot Coater   
SSI    150    Dual Track    with coater, coolplate, and cooling station
SVG    88    Spinner Dual Track System   
Jeng Yueh Enterprise    M-36    Lapping Tool   
Applied Materials    P 5000       
Lam    4525 i    Oxide Etcher    "
"
LTX / Credence    Trillium Deltamaster        - 256 pin, 2 Meg DPMY,
Verimation    M 4900 C    Portable Conductivity Tester   
Akrion    Gama       
AST / Mattson    2800    Rapid Thermal Process (RTP)    "- Dual Load Lock
- Single Chamber "
KLA-Tencor    HRP 240 S       
        Lot of Spin Rinse Dryers (SRD)   
Nanometrics    M 6100       
Teradyne    A 585        184 pin, 20MHz
Teradyne    A 585        184 pin, 50MHz
Bal-Tec    Med 020    Coating System   
Heidelberg    DWL 66    Mask Writer   
Beltron        Belt Oven   
        XYZ Table   
Karl Suss    RC 8    Spinner   
Stangl        HEPA Fume Hood   
Horiba    XGT-700 V    X-Ray Analytical Microscope (microXRF)    "- Rh x-ray tube operating at 15, 30, or 50 kV, max. 1 mA
- Si(Li) EDS detector replaced under warranty in March 2010
- X-ray guide tube cartridge for 10 or 100 micrometer beam size (You can select in software)
- NaI(Tl) scintillator transmission x-ray detector
- Horiba high-purity Si Be-window EDS, liquid nitrogen cooled, MnKα 148 eV resolution, possible elements Na-U
- Oxford Inca signal pulse processor
- Oxford Inca-based software package
- No further modifications other than adding second PC for off-line data-processing, network access, data storage
- Orientation using 3 CCD cameras and 4 light sources from different angles
- Sample dimensions max. 300x300x80 mm, 1 kg
- Stage travel range 100x100x20 mm
- Still original Rh x-ray tube, hours counter at 695 hours
- Can be operated under vacuum (rotary vane pump) or at room atmosphere
- Bench not included"
Cameca    SX 100    Electron Microprobe    "- Original gun was replaced with new one in 2008
- Optical microscope (reflected/transmitted light, zoom, polariser)
- Side-mounted ion pump was installed  2007, replacing original design with ion pump elements around gun that caarcing at accelerating voltages above 27kV.
- Computer control system by Cameca Peak Sight 4.2 and Probe Software ""Probe For EPMA"" and ""Probe Image"" software packages
- System has two PCs, 1 PC for Cameca software (3 screens top row), and second PC for Probe Software.  Second PC, Probe Software and monitor rack system were added in 2010.
- Cameca PC was replaced with newer PC incl new frame grabber for light optical microscope in 2011
- Ion getter, oil diffusion and rotary vane pumps
- Liquid nitrogen anticontamination system
- 5 WDS with LLiF, PET, LPET, TAP, and PC0 to PC2 analysing crystals to analyse elements boron to uranium
- Integrated Rontec X-Flash 1204 Be-window SDD EDS with Bruker Quantax 200 Esprit software (elements Na-U)
- 3-axis motorised stage, XYZ range 80x55x2mm, 1um repeatability
- Tungsten Source, LaB6 optional, currently not in use
- Cameca SE, BSE and CL detectors"
Camtek    Falcon 620 Plus    Optical Inspection Machine    "- Windows XP, die edit SW (online and offline)
- Fun Filter Unit - enables class 100 mini environment
- Automatic wafer ID reading
- Bar code reader
- Wafer maps
- Formats available: STDF, Semi G85, TSK, TEL, EG_SORTNET, SINF, ESC, ITSK, TI_WWWM, STIF, KLA etc.
- Auto loader for 6"" and 8"" framed and unframed wafers
- Ionizers and particle removal
- Color filters wheel
- Certified 2D performance target
- Built in verification camera with 0.6 micron resolution"
Hewlett Packard (HP) / Agilent    7500    ICP-Mass Spectrometer    "-ASX500 Auto-sampler
-HP/Agilent G 1879 B Heat Exchanger
-Edwards vacuum pump
-Argon regulator protocol station valves, also helium and hydrogen gas regulator valves
-Franek Laboratory Protection System-Uninterruptible Power Supply
-P.C. w/ Software"
VAT Vakuumventile AG    29032-KA/H/E/11/21/31/41    Angle & Incline Valve with soft-pump function   
VAT Vakuumventile AG    610 PM-26 AC-AAW 1    Controller PM-2   
Ophir    NanoModeScan M 2    Measuring System   
Applied Materials    Centura HDP Ultima Plus    CVD System    - 3x Chambers
Tel    Alpha 8    Furnace   
Tel    UW-200       
KLA-Tencor    Prometrix RS 55/TC       
Screen        Megasonic Hood   
Jordan Valley    BedeMetrix       
Delta    WIS 2400 SC       
Rena    8 L       
Schmid    SP 7000    Etch   
Centrotherm    400 4 T       
Tree        CNC Mill   
Centrotherm    400 5 T       
Centrotherm    500 4 T       
Centrotherm    500 5 T       
        Wire Electrical Discharge Machine (EDM)   
Sullair        Air Compressor    25 HP
Centrotherm    E 2000 HT 410 4    Plasma-Enhanced Chemical Vapor Deposition (PECVD)   
Lincoln    TIG 255    Welder   
Applied Materials        Walking Beam   
Applied Materials        Atom Probe Tomography (APT)   
Centrotherm        FFF    Single Lane, Dual Lane
Despatch        FFF    Single Lane
Delta        FS    Dual Lane, Triple Lane
CST    SP 3000 DDR 3 1066    Tester   
CST    SP 3000 DDR 2    Tester   
CST    SP 3000 DDR 3 1700    DIMM Tester   
Thermonics    T-2650 BV    Precision Temperature Forcing System   
CST    RoboFlex 2    Dimm Handler   
    KT 2 Pro        Single Site
CST    Eureka DDR 4    Tester   
CST    Eureka Express DDR 3 1866    SO-DIMM Tester   
CST            Handler
Magnus        Aqueous Cleaning System   
Baron Blakeslee        Vapor Degreaser   
KLA-Tencor    P 20 H    Long Scan Profiler    "- 500 lbs
- 46"" x 32"" x 32"""
Tepla    300 AL PC    Autoload PC Plasma Processor    "- with stand
- 600 lbs
- 84"" x 32"" x 41"""
        Air Compressor   
        CDA Dryer   
        Chiller   
        Vacuum Pump   
        DI System   
        Gantry System   
Fujikura    FSM-20 CSII    Fusion Splicer   
Fujikura    FSM-30 SF    Fusion Splicer   
K&S    4522    Wire Bonder   
K&S    4124-21    Wire Bonder   
Karl Suss    MJB 3    Mask Aligner   
Edwards    E 306 A    Thermal Evaporation   
March    PX 250    Plasma Treatment System   
Westbond    747677 E-79 C    Wire Bonder   
Logitech    PM 5    Polisher   
Nikon    4425 i    Wide Field Stepper   
Dainippon (DNS)    200 W    Coater / Developer   
March    PX-1000    Plasma Cleaning System   
TMC    Stacis    Anti-Vibration Platform    for a Joel-2100 F TEM
Glassman    PS / LH 003 R 1.7171    Power Supply   
Ferrotec    50-103361 J    Ferro-Fluidic Feedthrough   
Ferrotec    51-133198 A    Ferro-Fluidic Feedthrough   
CHA    600        with cryo 8200 helium compressors
Minipack    Tunnel 70    Oven   
Branson    IPC P 2000    Barrel Etchers   
Focus Microwave    iCCMT-3620    RF Tuner   
Focus Microwave    MPT-3620    RF Tuner   
ASML    PAS 5500/250    Stepper   
ASML    PAS 5500/100    Stepper   
ASML    AT 850    Stepper   
STS    Multiplex ICP ASE    Deep Reactive Ion Etcher (DRIE)    "- Ceramic finger for weight clamp type
WTC
- ASE Chamber (No Hard Disk)
- Coil Power: ENI ACB 10B. 
- Platen Power: ENI ACG 3B  + ADVANCED ENERGY  LF-5
- Windows 2000
- Gases: C4F8(S), SF6(S), O2(S), Ar
- Load-Lock: Carousel type have two wafer(6"") in the load lock"
Cameca    IMS 4 F - 6 F    Secondary Ion Mass Spectroscopy (SIMS)    - Updated from 4F-6F, body style of 4F with electronics of 6F
TRE / Electromask        Mask Maker   
Guangzhou    RTS 2 A    4 Point Probe   
Guangzhou    SXJ II    4 Point Probe   
Wuxi Fanhua Electromechanical    SVG 86    Al Coating Track   
Canon    PLA 5 OF    Wafer Aligner   
    DMG 601    Back Grinder   
Fisher    418 F    Baking Oven   
Heraeus    T 5402 E    Baking Oven   
Espec    LC 212    Baking Oven   
Espec    LC 223    Baking Oven   
Espec    LC 123    Baking Oven   
Shanghai Yinhuan    ED 005 F / ZD 005 F    Baking Oven   
Wuxi Ruida        Batch Develop Hood   
        Cabinet Stainless Steel   
Qindao Jinlidun    7000    Chain Furnace   
NingBo Zhongce Electric    DW 4822    Curve Tracer / Oscilloscope   
ShaoYang Huabao Radio    DF 4810    Curve Tracer / Oscilloscope   
Shanghai Xinjian Equipment    QT 2    Curve Tracer / Oscilloscope   
Tektronix    TDS 3012 B    Curve Tracer / Oscilloscope   
Tektronix    DPO 2012 B    Curve Tracer / Oscilloscope   
Suzhou Purification    SJ 1381 E    Dehumidifier   
Taiwan More Technology Services    MTS 8600    Developing Track   
        Die Demounter   
Shanghai Hyperway Electrionics Equipment    HW DM 306    Die Demounter   
Taiwan Think Technologies    DS 168    Die Sorter   
Thermco    4100    Diffusion Furnace   
Tempress    2 X Omega    Diffusion Furnace    6" L
Qingdao Hidicon    HDC 2000    Diffusion Furnace   
Qingdao Sairuida    SRD 165 / TV 4    Diffusion Furnace   
Gwinstek    GDM 8246       
Shanghai Junda & AE    DMM 4020 & PS / EQ 003 R 400 22    Dark Current Tester   
Frothingham Electronics    FEC 200    Electrical Characteristics Tester   
Scienfific Test    ST 5000 E    Electrical Characteristics Tester   
Taiwan Think Technologies    TK 170 - 2 K    Electrical Characteristics Tester   
Taiwan Think Technologies    TRR 170 - 3 K    Electrical Characteristics Tester   
Taiwan Think Technologies    TK 168 D    Electrical Characteristics Tester   
Taiwan Think Technologies    TK 170 - 3 K    Electrical Characteristics Tester   
Taiwan Think Technologies    TK 168 DQ - 3 K    Electrical Characteristics Tester   
Taiwan Think Technologies    TK 170 TVS    Electrical Characteristics Tester   
Taiwan Think Technologies    TK 168 RST - 3 K    Electrical Characteristics Tester   
Taiwan Poworld Electronics    TVR 7000    Electrical Characteristics Tester   
Taiwan Think Technologies    MM 878 SCR    Electrical Characteristics Tester   
Tianjin Danaher    PM 3000    Electronic Scale   
Tianjin Danaher    BL 301 A    Electronic Scale   
Wuxi Radar Equipment    MG 001    Etch Hood   
Wuxi Radar Equipment    MG 002    Etch Hood   
Wuxi Radar Equipment    MG 003    Etch Hood   
Wuxi Radar Equipment    MG 004    Etch Hood   
Wuxi Radar Equipment    MG 005    Etch Hood   
Kunshan Huaye Equipment        Etch Hood   
Shandong Gaotang Jieshen Equipment        Etch Hood   
Electrotech    ET 680    Evaporator   
Taiwan Fulin    FU 20 PEB    Evaporator   
OAI Optical Associates    LS 30 / 5    Exposure Tower   
Beijin BeFirst Technology    F 20    Film Thickness Gauge   
Frothingham Electronics    PLS 400 RB    Forward Surge Tester   
Hangzhou Sanhai Electronic        High Temperature Reverse Bias (HTRB) System   
Hangzhou Sanhai Electronic    SPFP T    High Temperature Reverse Bias (HTRB) System   
Yes    5    Hexa Methyl Di Silazane (HMDS) Oven   
WuXi Soiflong    IMI 1510 U    Image Measurement   
Suzhou Taisite Electronic    SG 255 G    Impulse Surge Generator   
Jiangsu Yixing Equipment    YX 2670 C    Insulation Voltage Tester   
Karl Suss    MJB 21    Dual Side Aligner   
Suzhou AirTech    SW CJ 2 FD    Laminar Flow Hood   
Suzhou AirTech    SH 130    Laminar Flow Hood   
Wuhan HG Tech    LDF 20 W    Laser Cutter   
Shengzhen Hans Laser    YAG 50    Laser Maker   
Tempress    2 X Omega    Low Pressure Chemical Vapor Deposition (LPCVD) Furnace    6" L
MRL Industries    MS 7 A 0002    Low Pressure Chemical Vapor Deposition (LPCVD) Furnace   
Shanghai Meiyin Equipment    SH 05 3    Magnetic Stirrer / Heater   
Olympus    SZ 51 40 X    Microscope   
KunShan Gaoqiang Industrial Equipment    BUBE 1496 6 ADE 1452    N2 Cabinet   
Rudolph / August    NSX 95    Wafer Inspection System   
Rudolph / August    NSX 105    Wafer Inspection System   
KunShan Gaoqiang Industrial Equipment    HSD 718 FD    N2 Cabinet   
Wuxi Radar Equipment        N2 Cabinet   
        N2 Cabinet    Stainless Steel
Qingdao Sairuida Equipment    SDR KS 1 2    Ni Sintering Furnace   
Hangzhou Sanhai Electronic    SPTB GF    Oplife System   
Hangzhou Sanhai Electronic    SPTB G    Oplife System   
Electrotech    Plasmafab 508    Plasma Etching   
Taiwan Fulin Tech    FU 16 PEB IRP    Plasma Etching   
Yangzhou Shekonic Electronic    WWL LDX    Power Supply   
Yangzhou Shuanghong Electronic    WWL PD    Power Supply   
Jinhua Huafeng Instrument    JYS 2940 G    Reverse Current Tester   
Allwin 21    AW 610    Rapid Thermal Anneal (RTA)   
Xi An Electric Power Electronics Technology    DBC 2242 M    SCR Electrical Characteristics Tester   
Gasung Pak    GBD 45 E    Sealing Machine   
Gasung Pak    SF B    Sealing Machine   
Semitool        Spin Rinse Dryer (SRD)    "- Dual Stack
- PSC 101 Controller"
Verteq    1600    Spin Rinse Dryer (SRD)   
Verteq    C / ASSL    Spin Rinse Dryer (SRD)   
Jinhua Jinfeng Instrument    JYS 2960 F    Trr Tester   
Jinhua Jingeng Instrument    JYS 2960 H    Trr Tester   
Shanghai Yihen Tech    DZF 6020    Vacuum Oven   
Taiwan Think Technologies    VC 168 1.5 KE    Vc Clamping Tester   
Taiwan Think Technologies    VC 168 5 KE    Vc Clamping Tester   
K&S        Wafer Mounter   
Taiwan Chain Logic    LEDA 6 S    Wafer Prober   
Shenzhen Sidea Semiconductor Equipment    PT 301 MAP D    Wafer Probe    Dual Side
Disco    2 H 6    Wafer Sawer   
KunShan Gaoqiang Industrial Equipment    ADE 726    N2 Cabinet   
Applied Materials    Centura AP DPS II Polysilicon    Parts / Chamber Modules   
Entegris    FOUP       
KLA-Tencor    Aleris CX    Film Thickness Measurement System   
KLA-Tencor    Aleris HT    Film Thickness Measurement System   
Kokusai Electric    Quixace II TEOS    Vertical Low Pressure Chemical Vapor Deposition (LPCVD) Furnace   
Lam Research    2300 Exelan Flex    Dielectric Etch    Chamber Only
Manufacturing Integration Technology (MIT)    Optimus 1    Die Sorter   
Mattson Technology    Helios    Standalone RTP Equipment   
Tel    Telius SP 305 DRM Chamber    Dielectric Etch   
        Chamber Carts - Extra Heavy Duty Table, Mobile with Shelf    "34” Height x 48"" Width x 30"" Depth.
They have a 3,600 lbs capacity"
Cherusal    61 M 0141    Reflow Solder Hot Bar   
Cherusal    DT 250 PH    Reflow Solder Hot Bar   
TRI    TR 7100    Optical Inspection Components   
        Lot of Universal Equipment    "Models:
- GSM (2)
- MAR (1)
- PTF (1)"
        Lot of Sunsda Conveyors    "11 Models include:
- SMV 600 (1)
- SBC 100 A (3)
- SWT 100 A (1)
- E 01045 0 (1)
- C Con XL (1)
- Flowtrack (1)"
Haas    CSMD    (3) Simulator Panels & Machine Parts   
           
EVG    6200    Mask Aligner    top side only
Balzers    TMU 260    Turbo Pump   
Edwards    EXT 255 H    Turbo Pump   
Leybold    Turbovac 150    Turbo Pump   
Leybold    Turbovac Tw 220/150 S    Turbo Pump   
Cascade        Probe Station   
New Brunswick Scientific    G 25    Incubator Shaker   
Hermle    Z-360    Centrifuge   
Beckman    J 2-MI    Centrifuge    4 rotors (JA-14, JS-13, JA-20, and JA-10)
Harris    SLT 21 V-85 DBA-80    Freezer   
KLA-Tencor    P 20 H    Long Scan Profiler   
Tegal    903 E    Plasma Etcher   
Tepla    300    Autoload PC Plasma Processor   
Fusion-Semiconductor Systems    M 150 PC    Microlite Photostabilizer System   
Tegal    900 E    Plasma Etcher   
K&S    8020    Wire Bonder   
Nanometrics    Nanospec 7000    Microscope   
K&S    1488 Turbo    Wire Bonder   
Gasonics        Aura Wafer Photoresist Plasma Asher   
Temptronics    Titan Series TPO 3500    Chiller   
Unitek Miyachi    LW 51    Compact Yag Laser System   
Agilent / Varian    7500 Series    Inductively Coupled Plasma Mass Spectrometers (ICP-MS) Metals Analysis   
Zeiss    Apotome       
Chroma    58173    LED Chip Level Tester   
Yang        Analytical Prober Unit   
CHA    Mark 50    Evaporator    "- Two Inficon IC 6000 
- SR-10 Power supply "
Genie    GS 1930    Scissorlift   
Chemical Safety Tech    CST 72    Corrosive Wet Bench   
Clean Tech / Centrothe    CT BW K 4    Waste Gas Abatement System   
        UL Switch Cabinet    WIS 01 w
        UL Switch Cabinet    WHP 03 w
Chemical Safety    CST 216 / 9 ACL    Chemical Process Station   
Baccini        Printer Island   
Baker Solar        Photovoltaic Cell - Pilot   
Sapphire        Bespoke System   
Toyota    7 FBCU 18    Forklift   
Connors Haas        CCTV system   
        Analog Tachometer   
        Rapid NMR quadrature mouse body coil for a 4.7T MRI   
"Dymax
"    Curespot 50    UV Curing Light   
Verteq    1800-6    Spin Rinse Dryer (SRD)   
Nikon    Eclipse L 200    Station   
Nikon    Eclipse L 200    Station   
Verteq    1800-6    Spin Rinse Dryer (SRD)   
Lintec    RAD 2500 M / 8       
SpeedFam        Double Sided Lapper   
Reeco    R 8 H    Annealing Oven   
Lunaire    CEO 932 W 3    Temperature & Humidity   
Airgas        Manifold   
Strasbaugh    7 H    Edger   
Cincinnati Sub Zero    Z 8118 AC       
        Flowbench   
Tenney        Environmental Chamber   
Lab Line Instruments    680    Environmental Chamber   
TMI        UV Fab Test Lens 4 Area   
Strasbaugh    6 TV    Edge Polisher   
Tenney    TJR    Environmental Chamber   
    LACI 38 A 5    Despatch Oven   
Kimmon Electric        Helium Cadmium Laser   
Goodman    GSX 130363 AA    3-Ton AC   
Overbeck Machine Tools    1 B    Twister Speed Lathe   
Dayton    4 Z 141    Grinder Plate   
GTAT        Toss Lathe   
Sunnen    MMRB 1660    Precision Honing Machine   
Strasbaugh    6 AV    Cadmium Fluoride Beveler   
        Flow Booth   
        Germanium Growth Station    Upgrade 50KW
Semitool    SD 2700    Spin Dryer   
Blue M    OV 520 C 2    Oven   
Sheldon Manufacturing VWR Scientific    1326    Oven   
        X-ray Machine   
Strasbaugh        Tilt Spindle   
Strasbaugh        Spindle   
Ultrasonic Cleaning        Line of items   
PR Hoffman        Double Sided Polisher   
        Homemade Polisher    72 inch
        Conditioners/Bruisers (Multiples)   
Strasbaugh    6 DE 4    4 Bank Spindle   
Strasbaugh    6 UR 1    6 Bank Spindle   
Strasbaugh    6 UR 4    4 Bank Spindle   
Strasbaugh    6 DEDCZ    2 Bank Spindle   
Strasbaugh    6 AA    10 Bank Spindle   
Strasbaugh        Single Spindle   
Strasbaugh    6 DE 2    2 Bank Spindle   
Strasbaugh    6 SWSX 6    6 Bank Spindle   
Schneider    SLC 40    High Speed Machine   
Schneider        Tilt Spindle   
Schneider    GDF 6 C    2 Bank Spindle   
Schneider    6 UR 8    8 Bank Spindle   
Loh    4322    Centering Machine   
Schneider        4 Bank Spindle   
SpeedFam        Double Side   
Balzers / Unaxis    Clusterline 200        "- The system has a load-lock with cass (150mm/6”wafers) with turbo pomp.
- Transfer chamber turbo pump.
- 1 sputter-etch chamber , with turbo pump
- 1 sputter chamber, lamp heated and rotation magnets  with turbo pump
- Magnetron has rotation magnets
- System was for sputtering of alu and alu nitride
- System has a dual arm robot. System is shut down after  full operation mode."
Heraeus    Xenotest       
Olympus    PMG 3    Microscope   
LNS Turbo    57508026       
        Tilting Waste Hopper   
        1000 Gallon Fuel Tank   
K&S    4123    Wedge Bonder   
Kaeser        Air Compressor   
Kampf LSF    WSM 600    Winding Tool    for foil and metal
Sigma        Mixer   
        Push Back Racks   
20/20 Technology    Biostage 600    Temperature Controlled Warming Stage   
B/R Instrument    9700 MiniPro Cycler    Solvent Recycler   
Labline    314    CO2 Incubator   
Beckman Coulter    Allegra 6 R    Centrifuge   
Beckman Coulter    Allegra 21 R    Centrifuge   
Bio-Rad    MyIQ RT    Thermal Cycler   
Eksigent    2D Nano LC    LC Pump   
Eksigent    Nano LC    LC Pump   
Erlab    Flowcap 700 S    Cage Changing Station   
Genetix    AliQuot    Liquid Handler   
Gilson    GX 271    Liquid Handler   
Labline    3526    Shaking Incubator   
Leica    EM AFS 1    Automatic Freeze Substitution system   
Leica    CM 3050 S    Cryostat   
Leica    DM 2000    Upright Microscope   
Lindberg / Blue    GO 1330 A 1    Gravity Oven   
Matrical Biosciences    SonicMan    Sonicator   
Molecular Devices    GenePix 4000 B    Microarray Scanner   
Organomation Associates    Multvap 118    Nitrogen Evaporator   
Retsch International    RM 100    Cell Grinder   
Rich-Mar    Sonitron 2000    Sonicator   
Sheldon    2350    CO2 Incubator   
Techne    TC 412    Thermal Cycler   
The Baker Company    AG 4 ATS    Cage Changing Station   
Thelco / Precision    51221122    Oven   
ThermoFisher Scientific    Helios    Spectrophotometer   
Thermo-Savant    AES 2010-220    Speedvac   
Ventana    Discovery Classic    Autoimmunostainer   
CyAn    ADP    Cyan Flow Cytometry   
        Ball / Lead Scanner   
IPTE    MGU    Manual Gate Unit   
IPTE    MLL 1    Single Magazine Line Loader   
IPTE    MLU-I    Single Magazine Line Unloader   
IPTE    TRV    Automatic Traversing Unit   
Leica    DM LB    Microscope   
Leica    DM IRE 2    Microscope   
Nikon    Diaphot    Microscope   
Leica    TCS SP 2 / DM RXE    Microscope Base-DMRXE   
Genesis Systems Group / Fanuc        Robotic Welding Cell   
Hetran-B        Roll Straightener    :   .591" - 3.342"   Diameters,  4' - 22' lengths
Hetran-B        Bar Turner    .125" - 3.25" Diameters,  10' - 22' lengths
Topack    R-65 GT    Horizontal FFS High Speed Packaging Machine   
Cortest        Containment Vessel   
Topack    R-35 GT    Horizontal FFS High Speed Packaging Machine   
Koehring        Crane   
Hitachi    V 22 Plus       
Lagun    FTV-1 S       
Chimer           
Colchester    Bantam 1600    Lathe   
Chimer    50 NZ       
Hitachi    Hi Screw 37       
Gilbreath        Verical Baler   
Audio Precision    APx 525    Audio Analyzer   
B&K    4292    Anechoic Test Box   
G.R.A.S.    40 HL    Reference Microphone   
Veeco / Wyko    NT 8000    Optical Profiling System   
Nikon    MM 40    Microscope   
Olympus    OLS 1100    Video Microscope   
    NM 300 TT A    Kudoa Nanometro Gage for Disc Insp   
Benshaw    CFMVRSM 18 900 4160 1    Soft Starter 4200 Vac Controller    M183C Medium Voltage
Semitest    X 0015    Epimet 2 Wafer Resistivity Monitoring System with Treatment   
Leica    DM IRE 2    Microscope   
Airsource    5600    Ionizer Blower   
Alcatel        Helium Leak Detector   
Alloy Products        Helium Bombing Chamber   
Babcock        Lower Power Microscope   
Bausch and Lomb    Stereozoom 7    Lower Power Microscope   
Bausch and Lomb        Lower Power Microscope   
Berstead Thermolyne        Hot Plate   
Bikor    ACX 509    Drill Press   
Blue M    OV 490 A 1    Oven   
Bosch    0840001002    Drill Press   
Bosch        Monitor   
Branson        Ultrasonic Degreaser   
Bruce    7354 M    7354 Temperature Control Unit   
Bruce    7354 N    7354 Control Unit   
Comco Procenter    CTR 200 1    Micro Abbrasive Sand Blaster   
DEI    PDG 2510    Digital Delay Pulse Generator   
Disco    DAD 321    Wafer Saw Machine    - Defective Theta Motor
Explorer Ohaus        Weighing Scale   
FEC    Scan 16    Fixture   
Fluke        DVM VF Test   
FPSC    SC DF 25    Freezer   
Glassman        HV Amp Unit   
Glassman    Series FX    High Voltage Power Supply Unit   
GPD    856 VS    Peelback Force Tester   
Granite Microsystems    IAC C 800 A    Power Supply Unit   
GTS    DG 150 WW    Wafer Washer   
Hewlett Packard        Spare Parts   
HIMAX Worldwide    UV 200    UV Decure   
K&S    4524    Wire Bond   
Mettler Toledo    XTC 1001    Weighing Scale   
Microinstrument    1120 2 10    Power Supply Unit   
Microinstrument    1120 3 10    Power Supply Unit   
        Convection Reflow Oven   
Branson    Ultrasonic       
        Compressor   
FEC        Tester   
FEC    100    Tester   
        Helium Leak Detector   
        Hot Plate   
        Low Power Microscope   
        Luxo Lamp   
        Manual Press   
        Assorted Machine Manuals   
        N2 Shutter Unit   
Microsemi        Power Supply Unit   
        Support Rack   
        Schotkey Reverse Energy Pulser   
        Vacuum Pump   
        VF Tester Fixture   
        Weld Head Assembly   
Nikon    SMZ 445    Lower Power Microscope   
Omega        Hot Plate   
Pacific    29610    Transformer Unit   
        Power Supply Unit   
        Proportioning Control   
Research Devices        Illuminator Assembly   
SAGE Enterprises        Thermal Resistance Tester   
        Silicon Half Mask   
Softronix    7613    Oscilloscope   
Syntron    J 1 B    Jogger   
Syntron    SMS    Manual Press   
TCR Electronics Measurements    60054 5    Power Supply   
Tektronix    TYPE 577    Curve Tester   
Tektronix    TYPE 576    Curve Tester   
Tektronix        Curve Tester   
Tektronix    5103 N    Oscilloscope   
Tektronix    2430 A    Oscilloscope   
Tesec        Test Box Manual   
Tesec        High Current Unit   
Tesec    8115 PU    Power Supply Unit   
Tesec    8114 KT    VBE Tester   
Ultron System        Wafer Mount   
Unitek Weldmatic    1 132 01    DC Welder   
Wentworth    2803    Power Supply Unit   
Wild Heerbrug        Lower Power Microscope   
XPect Solutions        Static Robotic Tester   
Zebra    ZUPS 1000 N    UPS Unit   
        EA Die Sorte   
Hong Hua    RJS-1000    Foil Winding Machine   
Trumpf    TLF 4000    Laser   
Trumpf    Trumatic L 3030    Laser    5x10 beds
Agilent    E-6000    OTDR   
Fitel    S 122 M 12    Fusion Splicer   
Noyes    OPM 4-2    Power Meter   
Noyes    OPM 4-4 C    Power Meter   
Protec    Ecomax    X-Ray Film Processor   
Milli Q        Water Purification System   
Fuji / Luxel    Finalproof 5600       
Fuji / Luxel    F-6000       
PK Technology    2210       
Thermo Fisher    CryoExtra 40    High-Efficiency Cryogenic Storage System   
Applied Materials    SEMVision       
Therma-wave    OP 5220    Measurement System   
Therma-wave    OP 2600    DUV System   
SMTech        Screen Printer   
Euroklimat    IPE 15       
Rofin    Sinar    Laser Marker   
Applied Materials    Centura IPS    Etcher    -3x Chambers
Applied Materials    Centura RTP    Rapid Thermal Process (RTP) Anneal    - 2x Chambers
Tel    ACT 8 4 Block       
ASML    PAS 5500 / 750 E    Scanner DUV    248nm
ASML    PAS 5500 / 400 D    Scanner UV    365nm
Koyo Lindberg    VF 100 B Cure    Furnace   
Jordan Valley    BedeMetrix    X-ray Diffractor (XRD)   
Balzers / Evatec    BAK 761    Autoload Evaporator   
Microcontrol    Leonardo 200    Taper / Detaper   
Tel    Mark 8    Barc Coater   
    C 166 4    Magazine Line Loader    -78x49x35
Vitronics Soltec    XPM 2 730    Reflow Oven    "- Single conveyor
- 177 x 71 x 56"
    CV 1022    Post Reflow Accumulator Conveyor    - 96 x 38 x 31
        Matrix Tray Feeder for a Fuji QP3    - 43 x 54 x 26
    B 00 1689    PCB Conveyor    "- Programmable
- 40 x 24 x 55"
    SPM / B    Solder Paste Printer    "- 61 x 45 x 46
- 1047 lbs
- Missing computer"
        Removable Feeder Bank for a QP3    - 37 x 36 x 37
        Removable Feeder Bank for a Qp3    "- 37 x 30 x 23
- 1 Phase"
        Autosplice Autoheader Trimmer    - 28 x 15 x 11
Trumpf    Vectormark (VMC)    Laser   
Vitronics Soltec    XPM 2-820    Reflow Oven   
Tel    Alpha 8 SE    Furnace   
Tel    Act 8 4 Block       
ASML    PAS 5500 / 400    Scanner UV    365nm
Teradyne        ATE Platform   
Advantest        ATE Platform   
LTX / Credence        ATE Platform   
LTX / Credence    ASL 1 K    Tester   
LTX / Credence    ASL 1 K    Tester   
LTX / Credence    DVI 2 K    Parts   
Teradyne    Ultra Flex       
Teradyne    iFlex       
Teradyne    Microflex       
Teradyne    J 750       
Teradyne    Eagle       
Teradyne    Catalyst       
Verigy    PS 1600       
Verigy    PS 800       
Verigy    PS 400       
           
EVG    620    Contact Aligner    - 4" and 6" Tooling
EVG    501    Bonder    - 4" and 6" Tooling
K&S    7500 Plus       
Everett Industries    12 MA    Saw   
Sager    EPP-150-12    Power Supplies    150 Watt / 12 VDC
K&S    4129    Manuel Wedge Bonder   
Amray    1654 Turbo    Scanning Electron Microscope (SEM)   
Dage    MCT 15    Microtester   
Laurier    HA 225 Plus    Hybrid Epoxy Die Bonder   
Orthodyne    20    Heavy Wire Wire Bonder   
Southwest Science    SBV 1000    Vortex Mixer    "Speed 3000rpm
Power 115V 60 Hz
AMPS 1.5 A"
Zygo    Mark II    Interferometer    4” reference lamina and dedicated PC (software MetroPro 8.1.0
Greenlee    1818 R    Benders   
Hitachi    S-4700    Field Emission Scanning Electron Microscope (FE-SEM)   
Tel    Act 12    SOD Track System   
Applied Materials    RTP    Chamber    "- Single wf Boron doped poly deposition @ reduced pressure   (gas: B2H6, SiH4;  pressure 275 Torr;  temperature: 730 C)
- Chamber:  POLYgen 200 TPCC
- The chamber was running on Amat Centrua mainframe in position “D before it was de-installed"
Elastocon    EB 07    Stress Relaxation Test Equipment   
        Mixer   
Hong Hua    RJ-600    Foil Winding Machine   
NRC        Vacuum Diffusion Pump   
NRC    0161 2    Vacuum Diffusion Pump   
W.M. Welch        Duo Seal Vacuum Pump   
Norton / NRC    0162    Vacuum Diffusion Pump   
Asyst / AKT    ACLS    Chemical Vapor Deposition (CVD)   
Datastick        Vibtation Spectrum Analyzer   
Ecosys Vector    Ultra    Point of Use Scrubber   
Envirco    69514 006    Robot, Rail, and Robot Enclosure   
Envirco    69514 006    Fan Filter Units   
Envirco        Cassette Port Enclosure   
Etel        Controllers for AKT Physical Vapor Deposition (PVD) system    "There are 5 Etel modules total:
3 of the DSB2-152 that when we originally purchased our spare from Amat were 21,492.43 each
2 of the DSB2-132 that when we originally purchased our spare from Amat were 17,266.39 each,
So we have potentially 100 K in controllers.
Then the rack with the power supply, and a big, heavy transformer."
Reynoldstech        Maintenance Wet Bench   
Simkom        Simkon Rails   
Sopra        Inspection Tool   
KLA-Tencor    FP 20    Metrology Tool   
Trek    158    Charge Plate Monitor   
        Lot of Machine Tools   
        Electronics Rack for Physical Vapor Deposition (PVD) Tool   
Asyst        Robot Support Documentation   
        Spray Coater   
        Laser Repair System   
Villa Precision Int’l    C 69514 051    Laser Repair System   
        Rack, Electronic with Power Supply for AKT PVD / Etel Controllers    "There are 5 Etel modules total:
3 of the DSB2-152 that when we originally purchased our spare from Amat were 21,492.43 each
2 of the DSB2-132 that when we originally purchased our spare from Amat were 17,266.39 each,
So we have potentially 100 K in controllers.
Then the rack with the power supply, and a big, heavy transformer."
        Transformer for AKT PVD / Etel Controller Rack    "There are 5 Etel modules total:
3 of the DSB2-152 that when we originally purchased our spare from Amat were 21,492.43 each
2 of the DSB2-132 that when we originally purchased our spare from Amat were 17,266.39 each,
So we have potentially 100 K in controllers.
Then the rack with the power supply, and a big, heavy transformer."
        Flat Panel Sorter   
        Microscope   
ICOS    CI G 10    Lead Scanner & Conversion Kit    "- Rev.04 (tube type)
- Conversion kit# TSOP 44 Lead"
ICOS    CI G 10    Lead Scanner    "- Rev.03 (tube type)
- Conversion kit# TSSOP 56 Lead"
        Tooling for FP-330A Leadcon    - Tooling pkgs : PM80/PN80/PK128/DU128/PM144/PN64/DP208
Keithley    237       
Keithley    238       
Agilent    34401 A       
Agilent    E 5250 A       
Agilent    6035       
LeCroy    334       
Sorenson    DCS 600       
Blue M    DCI 256 CY    Bake Oven    - 230 V
KLA-Tencor    Alpha Step IQ    Surface Profilometer    Includes USB Dongle and manual
Lam    Alliance A 6        2x 4520 XLe Chambers
Perkin Elmer    Elan 9000    ICP-MS (Inductively Coupled Plasma Mass Spectrometer)   
Speedline    Electrovert Econpak Gold    Wave Solder   
KLA-Tencor    RS-55    Resistivity Mapping System   
Thermo Scientific    Sorvall RC 6+    Centrifuge    - has a Sorvall HS-4 swinging bucket rotor and 4 PP inserts
Okuma    Cadet    CNC Lathe   
IVS    ACV 4 8000    Accuvision Optical Critical Dimension Measurement Photolithography tool   
Lam    4400    Poly / Oxide Etch System    "The pumps are not included, however the TCU, Power Distribution and RF rack are all included.

The TCU may need to be ‘de-gassed’ if being shipped by air or to certain countries."
        Shaker Table for sorting scrap   
Teradyne    971       
        Lot of Electric Test Equipment   
           
           
           
           
           
           
           
Nanometrics    Vertex    PL Mapping Tool   
        DVB NH3   
Edwards        Skid Pump   
        Skid Pump   
Leybold        Skid Pump   
        DVB H2   
Varian    979    Leak Detector   
        Lot of Turbo Pumps    "Leybold Turbovac Tw 220/150 S Turbo Pump
Leybold Turbovac 150 Turbo Pump
Edwards EXT 255 H Turbo Pump (2001)
Balzers TMU 260 Turbo Pump (2001)
Balzers TMH 260 Turbo Pump (1994)"
Tykma    Zetalase    Laser Marking System   
MRL        Furnace   
Chroma    58173    LED Chip Level Tester   
Yang Electronic System    YAF-1000 PM-GLR    Prober   
Transfer Engineering        Wafer Loadlock System   
AKT    1600    Process System   
Kenmec        Wafer Inspection System    "- 1,000 pcs/hr
- Inspection items : micro-crack, inclusion, pin hole, V-shape, chipping, saw mark and some customized function"
Delta, GPM        Cassette Transfer System    2,400 pcs/hr
Rena        Acid Texture    "- 8 lanes
- 2,400 pcs/hr"
GPM        Automation of Texture tool    3,000 pcs/hr
SC New Energy        Diffusion Furnace    "- 5 Tubes
- 1000 wfs/tube(BTB)
- 3,600 pcs/hr"
SC New Energy        Automation of Diffusion Furnace    3,600 pcs/hr
SC New Energy        InOxside HT    "- 5 lanes
- 3,600 pcs/hr"
SC New Energy        Automation of InOxside    3,600 pcs/hr
Centrotherm        Plasma-Enhanced Chemical Vapor Deposition (PECVD)    "- 4 Tubes
- 216 wfs/boat
- 1,100 pcs/hr"
J&R        Automation of Plasma-Enhanced Chemical Vapor Deposition (PECVD)    1,400 pcs/hr
Centrotherm        Pre-Coater    "- One Tube
- Manual Type"
Asys        Single Printer    1,400 pcs/hr, BBF
Centrotherm        Co-Fire    1,500 pcs/hr
Baccini        I-V Measurement and Level Tester & Sorter    "- 1,200 pcs/hr
- 24 bins
- BERGER Sun Simulator"
IPI        Local Scrubber for Plasma-Enhanced Chemical Vapor Deposition (PECVD)    ECS-2100, 900slm
        Volatile Organic Compounds (VOC) Condenser    OM-75, 4, 500 CMH
Tree Machine Tool    J 425 Journeyman    CNC Mill   
Lincoln Electric    Square Wave TIG 255    Electric Welder   
Mitsubishi    SX 20    Electrical Discharge Machining (EDM) tool   
Tree Machine Tool    J 425 Journeyman    CNC Mill   
Sullair    SA 283    Air Compressor for Factory   
Epson    Perfection V 850 Pro    Scanner   
Bio-Rad        Personal Molecular Imager FX System   
Bio-Rad        Screen Eraser-K   
Molecular Devices    SpectraMax Plus 384    Microplate Reader   
TBS    TEC 120    Tissue Embedding Center   
Leica    2035 BIOCUT    Rotary Microtome   
K&W        Mask Aligner   
Zeiss    DSM 940    Digital Scanning Microscope System   
ATX        Computer Power Supply   
        Reflow Oven   
Perkin Elmer        Lab Equipment    "(QTY: 1) Clarus 500 Gas Chromatograph
(QTY: 2) TurboMatrix 110 Headspace Sampler"
Leica    DM-RM 307-371.010    Microscope   
Bellheimer    SYS-351-2016.5-NT    Vertical Carousel   
Micromass    MALDI Micro MX       
VarioKlav    VarioKlav    Steam Sterilizer   
GE    AKTA Crossflow       
        SMC Air Cylinder   
Strasbaugh    7 AA-SP       
OAI    Hybralign Series 200        - does not have a lamp power supply
Technics    Micro-RIE Series 800-IIC        - does not have a vacuum pump
VWR        Oven   
Tenny    Jr       
Sigma Systems        Thermal Cycle Chamber   
        Lot of Electric Test Equipment   
Teradyne    J 971    SDT Test System   
Teradyne    J 971    Tester   
Sun Microsystems    Sparc 20    Workstation   
Multitest    MT 8704    Multitest Base Handler   
Teradyne    A 585    Advanced Analog VLSI Test System   
Teradyne    A 580    Machine Tester   
Semics    Opus 2    Wafer Prober   
Fusion    LC 6 B    Belt Irradiator    with Speed Indicator
Varian    979    Leak Detector   
Leybold    UL 100 Plus    Leak Detector    w/ Trivac Pump
Therma-wave    OP 5230       
Therma-wave    OP 3260       
Schmid Technology    TC 5    Position Dilution of Precision (PDOP) Transfer Conveyor   
Schmid Technology        Position Dilution of Precision (PDOP) Phosphor Doper   
Schmid Technology    TC 5 BU    Transfer Conveyor   
Schmid Technology    BU 1500 / 5 / 3    Buffer   
Schmid Technology    TC 5 P Etch    Transfer Conveyor   
Schmid Technology    P Diff    Diffusion Furnace   
Schmid Technology    LCL 1500    Load Cell Line   
Schmid Technology    TC 5    Transfer Conveyor   
Schmid Technology    TC 2 BU    Transfer Conveyor   
Schmid Technology    BU 800 / 2 / 4    Buffer   
Schmid Technology    LTM 1500    Laser Edge Isolation   
Schmid Technology    C Sort 3000    Cell Sorter   
Schmid Technology    TC 2 C SORT 3000 L    Transfer Conveyor   
Schmid Technology    BU 400    Buffer   
Schmid Technology    TC 2 BU 400    Transfer Conveyor   
Sierra Therm        Position Dilution of Precision (PDOP) Diffusion Furnace   
Schmid Technology    AC TEX 1500    Acid Texturing   
    Spectronic 20    Spectometer   
KLA-Tencor    5200 XP    Overlay   
ASM    iHawk Xtreme       
Tel        Lithius Track   
Veeco / Digital Instruments    Dimension X 3 D    Atomic Force Microscope (AFM)   
Eco-Snow Systems    Versa Clean 1200       
Hitachi    S 4700 II    EDS FE-SEM (Energy-Dispersive Spectroscopy Field Emission-Scanning Electron Microscope)   
KLA-Tencor    RS 55 TCA    Tool   
KLA-Tencor    2810 BF    Inspection Tool   
KLA-Tencor    SFS 7700    Inspection Tool   
SELA    MC 100    Review Station   
Kokusai    VR 120 / O 8 S    Wafer Open Handler   
Nikon    IM 9    Autoloader   
Hitachi    S 9380    Critical Dimension - Scanning Electron Microscopy (CD-SEM)   
Olympus    BH 3 MJL    Microscope   
Therma-wave    OP 3260    Thickness Measurement System   
KLA-Tencor    FX 200    Thickness Measurement System   
KLA-Tencor    P 2    Profiler System   
KLA-Tencor    RS 55    Resistivity Measurement System   
Thermawave    Therma-Probe 500    Thickness Measurement System   
KLA-Tencor    SFS 6220    Surfscan   
Nanometrics    Accent Q 200       
Rigaku    3750    X-Ray Fluorescence Spectrometer   
Olympus    MX 61 F    Microscope   
Bio-Rad    Nanometrics QS 1200    Fourier Transform Infrared Spectroscopy (FTIR)   
Hitachi    VR 120 SD    Reflectance Measurement and Resistivity Test System   
Nicolet Thermo Fisher    ECO 3000    Fourier Transform Infrared Spectroscopy (FTIR)   
Hitachi    S 5200    Field Emission Scanning Electron Microscope (FESEM)   
Hitachi    S 8820    Scanning Electron Microscopy (SEM)   
KLA-Tencor    FX 200    Filmthickness Measurement System   
Hitachi    E 1030    Ion Sputter Coater   
KLA-Tencor    Viper 2401    Macro Inspection   
Yes    3    Oven   
Munstermann        Curing Oven   
Rigaku    3640    X-ray Diffractometer   
Oxford    Plasmalab 133    PECVD   
Oxford    Plasmalab 133    ICP   
Matrix    105 AT    Asher   
SVG    ESVG 8600    Track   
Veeco    M 3302    SSEC Dry Film Develop   
Semitool    EQ 334 PR    Equinox Plating System   
Esec    3018    Wire Bonder   
Evatec    Temescal FC 1800    Evaporator   
Semitool    870 S    Double Stack Spin Rinse Dryer (SRD)   
Semitool    PCS 101    Double Stack Spin Rinse Dryer (SRD)    with both 4" and 6" Rotors
Reynolds        Plating Hood    48" Nickle Plater
        Chem Cabinet   
        Wafer Clean Hood   
Accu-Seal    675 G 20 3    Smartvac Vacuum Sealer   
Air Control    FH 45 D S    Fume Hood   
Air Control    FH 45 D SS    Solvent Hood   
Air Control    FH 21 SS 6 FT TT    Exhaust Hood   
Air Control    FH 45 D SS    Demount Bench   
Air Control    FH 45 D SS    Fume Hood   
Air Control    FH 45 D SS    Wet Bench   
Analogee    105    Asher   
C&D    490    Track Tool & Chem Cabinet   
CEE        Side Debonder   
Disco    DAD 321    Dicing Saw   
ADT    7100 Vectus    Dicing Saw   
ECI    Qualilab QL 10 E    Plating tool    Programmable
ECI    QL 10 EZ    Bath Analyzer   
Electro Light    ELC 4001    UV Curing System & Chamber   
Electroglas    2001    Autoprober   
Electroglas    2001 X    Prober   
Electroglas    2001 CX    Prober   
Electroglas    2001    Prober   
EVG    620    Mask Aligner   
Futurefab    US 78 Fume 2717    Wet Bench    "4 Tank Solvent
1 QDR.
1 Megasonic.
1 Ultrasonic"
Joel    JSM 6400 F    Scanning Electron Microscope (SEM)    Custom
Joel    JSM 6400 F    Scanning Electron Microscope (SEM) Frame   
Joel    8840    Critical Dimension - Scanning Electron Microscopy (CD-SEM)   
KLA-Tencor    P 10    Profiler   
KLA-Tencor    P 11    Profiler   
KLA-Tencor    P 22    Profiler   
KLA-Tencor    5200 XP    Overlay   
KLA-Tencor    UV 1050    UV   
K&S    Maxum Plus    Wire Bonder   
Lam    9600 CFE    Dry Etcher   
Lam    490    Autoetcher   
Leighton    1510 C RS    Contactless Measurement Prober   
LTX / Credence    ASL 1000    Tester   
Mettler Toledo    PG 503    Toledo Scale   
Micro Printing Systems    AP 25    MPM Screen Printer   
MRC    603    Metal Physical Vapor Deposition (PVD) system   
MRC    643    Metal Physical Vapor Deposition (PVD) system   
Nitto    UM 810    UV Cure Unit   
Plasmatherm    Versalock 700    Inductively Coupled Plasma (ICP)   
Reynolds    O 341    Plating Bench   
Rudolph    AutoEl IV    Ellipsometer   
Sandvik    HT 1018 FCE    Anneal Furnace System   
Semitool        Spin Rinse Dryer (SRD)   
Semitool    106    Double Stack Spin Rinse Dryer (SRD)   
Semitool    113    Spin Rinse Dryer (SRD)   
SMC    INR 244 214 E 36 Thermo-Con    Track    200 V, 50/60 Hz, 20 Amp Max
Karl Suss    ACS 200 Plus    Coat / Develop Track   
SVG    8626 / 8632    CB and Developer Coater    with OAI DUV Exposure
SVG    8626    Coat Bake Track System   
SVG    8632        Develop Track
SVG    8600    Bake Track    with Hot/Chill Plate
SVG    8626    BARC Track   
SVG    EVG SU 8    Coater / Developer   
Technic    Semcon 1500    Plating system   
Airco / Temescal    SEC 600 RAP / FC 1800    Evaportor   
Ultratech    SCS 124    Wet Bench   
Ultron    UH 110    Wafer Backlapping Film Remover   
Ultron    UH 108    Wafer Backlapping Film Remover   
Ultron    UH 130    Die Matrix Expander   
Ultron    UH 102    UV Expose Tool   
UTS Microservices    8600 02    Saw Coater   
    UH 201    UV Curing Systems    Automatic
    TTL 5.5 0 24 3 B J 6204 / 1    Horizontal Tube Furnace   
        Chem Cabinet   
        Annealer Tool   
KLA-Tencor    F 5 X       
LEYBOLD INFICON COLD CATHODE VACUUM GAUGE 850-610-G2

HP PCB 10762-60001 COMPARATOR ASML 42F011 9019300146

ACROMAG AVME 947x 9471 Digital I/O PCB 1018-510a

HORIBA STEC TOKYO ELECTRON TEL MASS FLOW CONTROLLER SEC-Z512MGX

Tokyo electron tel p8 p8xl prober pcb circuit status tvb6004-1

LEYBOLD INFICON COLD CATHODE VACUUM GAUGE 850-610-G2

GASKLEEN 6101 GLF6101V04 WITH SMC SR3111

NIKON 4S018-172 PCB AIRCTRL2 NSR-S202A

BARRY SYSTEMS ISOLAIR PNEUMATIC CONTROL ANTI VIBRATION CONTROL SYSTEM SL21-6

TOKYO ELECTRON LIMITED DAIHEN RF MATCHER 2L39-000100-V3 FRM-30A6 40.68/12.88

APPLIED MATERIALS AMAT 0140-75111

TOKYO ELECTRON TEL LIMITED HIGH TEMP 4 ZONE CONTROLLER ATCC ES2L87-100720-13

TOKYO ELECTRON TEL ES2L86-057344-V1 PMM603DCC23 MOTOR MAG-GAP3

LAM RESEARCH SLEEVE, PLUNGER GUIDE 713-011578-001

SVG90S ASML MILLIPORE PUMP CONTROLLER INGEN2PSI

APPLIED MATERIALS AMAT ENDURA CONDUCTOR FLEX DC BIAS 0020-29409

TOKYO ELECTRON TEL TIMING BELT 376-2GT-6 CT023-004369-1

RKC TOKYO ELECTRON TEL LINE CONVERTER COM-A 17B07004 014-000747-1
TEL 36-003978-1
TEL 810-420526-1
TEL 1910-422804-11
TEL 023-001755-1
TEL 481-501622-4
TEL 022-100669-1
TEL 028-012366-1
TEL 012-004962-1
TEL 024-016676-1
TEL 027-101896-1
TEL CPU-88C
TEL 2910-400292-12
TEL CS014-000629-1
TEL 012-002675-1
TEL 1381-64968-12
TEL DS012-000623-1
TEL 1985-412879-1
TEL 82124V-12416-R
TEL MB026-005725-1
TEL 024-018667-1
TEL 024-015843-1
TEL 024-019044-1
TEL ES3D10-400587-11
TEL 043-000036-1
TEL 024-015984-1
TEL 386-439377-4
TEL 012-004991-1
TEL 043-000272-1
TEL 2110-329842-11
TEL 024-015095-1
TEL 037-001353-1
TEL 027-000132-1
TEL 028-002124-1
TEL 012-004336-1
TEL 024-017617-1
TEL 5080-191952-11
TEL 030-005248-1
TEL 1180-000225-11
TEL 13020V-12421-R
TEL CS024-011728-1
TEL CS036-000058-1
TEL 2105-120642-51
TEL 1380-200893-11
TEL 1386-455467-11
TEL AZD124821-200A
TEL ES2L87-000576-15
TEL 3802-420079-11
TEL 5085-427417-11
TEL ES1880-020100-12
TEL CS036-005883-1
TEL CT1380-101194-11
TEL 032-000005-1
TEL 028-004989-1
TEL 2110-348937-12
TEL 1B12-000170-11
TEL 2910-399191-11
TEL 1380-101266-11
TEL 1B05-200040-13
TEL 1B05-300046-12
TEL ES023-001504-1
TEL 3S10-401601-11
TEL CS012-004346-1
TEL 2981-600589-11
TEL 2110-223783-11
TEL 1B12-000162-11
TEL 1B12-000182-11
TEL 1B10-222700-11
TEL 310-495858-1
TEL 028-002837-1
TEL 310-492751-1
TEL 1910-324205-11
TEL 2980-190087-11
TEL 310-494658-1
TEL CT024-012183-1
TEL 1810-323880-14
TEL 1310-496772-11
TEL 071-001986-1
TEL 027-101874-1
TEL 012-005193-1
TEL 1985-415482-1
TEL CS014-000722-1
TEL B3027-000428-1
TEL MB024-021953-1
TEL 1386-444581-11
TEL 1910-433437-12
TEL 1903-400101-11
TEL B2040-001562-1
TEL 1386-444896-11
TEL 1D05-300162-11
TEL 1910-437810-12
TEL 1910-432613-12
TEL ES036-100476-1
TEL 1380-100547-11
TEL 1386-451359-11
TEL 1110-329285-11
TEL 5085-404315-17
TEL 1910-425029-11
TEL 1B05-100354-12
TEL CS012-005129-1
TEL 3385-010281-11
TEL 1910-441433-12
TEL CS036-001993-1
TEL 1380-100546-11
TEL 1910-426450-11
TEL 1386-458644-11
TEL 1386-451360-11
TEL 5010-406593-11
TEL 020-002114-1
TEL 845
TEL APC-2
TEL 9699861
TEL 8008743
TEL H7881-AA
TEL 501-01-30
TEL C56041440
TEL YY5000431
TEL 1910-419491-13
TEL 2986-419545-W1
TEL 1310-496964-13
TEL DS028-012714-1
TEL 1910-426569-12
TEL 886-430840-2
TEL 1987-412992-11
TEL 1187-004746-11
TEL 3V10-200026-11
TEL 1D86-004286-11
TEL 013-000281-1
TEL 1393-743092-1
TEL ES1D05-300038-14
TEL 1910-327866-12
TEL CT1310-497100-11
TEL 034-001103-1
TEL 043-001294-1
TEL 024-019593-1
TEL 286-000965-1
TEL 028-000049-1
TEL 280082331
TEL 028-002331-1
TEL 1181-000131-1D
TEL CT2980-195012-11
TEL 1386-451849-13
TEL 3312710
TEL 4020443
TEL 9525-0058
TEL 1014612
TEL USB-C78
TEL 1014631
TEL 6060170
TEL BC-701A
TEL 3021530
TEL 3131130
TEL 1386-444607-14
TEL 2181-020111-11
TEL CT2910-415917-11
TEL WZ10-102823-11 X4
TEL 1386-458651-11
TEL 2986-400683-1
TEL 1386-458654-11
TEL D112578
TEL D121115
TEL A113819
TEL 6101004
TEL D116050
TEL A127584
TEL 1013830
TEL 80-2010
TEL D119017
TEL A123751
TEL 1910-428132-11
TEL MC-31095
TEL 1910-427793-11
TEL M-121CTL
TEL CP-8320C
TEL 953-9230
TEL 0129-K11
TEL 771150-4
TEL 567-1138
TEL PR300-02
TEL AZ-18944
TEL USB-PA48
TEL PCI-1107
TEL D127254
TEL 1881-022137-11
TEL 1810-250 048-11
TEL 386-442722-1
TEL 310-495888-1
TEL MR-18319
TEL AHX-654H
TEL VLV1B0007
TEL 1910-437520-11
TEL 85406-01
TEL 11810001
TEL 2110-339634-11
TEL E32-T14L
TEL 99357-01
TEL TEB102-1
TEL DS043-001617-1
TEL SR1200A02
TEL 211-1/GAS
TEL 1310-290871-12
TEL 1985-464453-1
TEL PFFV01D8S
TEL 1D81-000304-31
TEL D112341
TEL 3M10-350626-11
TEL CT044-001787-1
TEL D124736-S
TEL LMK25MAUU
TEL 043-000719-1
TEL 109S091
TEL CT1985-316294-13
TEL 1380-100380-11
TEL 810-44139
TEL 9620-0592
TEL A115115
TEL 850-9034
TEL B16-37117
TEL 017-001326-1
TEL DS2105-320496-11
TEL AB41-04-8
TEL 810-54274
TEL 043-000696-1
TEL WAFA21KP3
TEL 810-50024
TEL 810-50029
TEL DR1-02AC
TEL 1380-101485-11
TEL DS024-022454011
TEL 23-030632
TEL ES3D05-200136-11
TEL CS012-005789-1
TEL 810-54741
TEL 810-56545
TEL WCDSCLB01
TEL PCB1A0001
TEL F95-44620
TEL DS2187-097139-13
TEL D2DK21819
TEL CT2910-101204-12
TEL 1D86-004493-11
TEL ES023-002966-1
TEL 028-010432-1
TEL 281-600244
TEL 026-001328-1
TEL 012-008483-1
TEL 1D05-300180-11
TEL CT5044-000241-11
TEL CT012-003812-1
TEL 385-390960-
TEL S473D12114
 IODE MODULE DZ540 N20 EUPEC
 DIGITAL I/O MODULE 0917.0000 X LUSTDRIVE
W8F60020575
CLS KIT- PITCH BEARING 636-45074-1 S88
江海P.C-UC-9.S
GWR EMD-FL 0-300
ASLY WITH LIMIT SWTCH LANG 00107
M411.0219-01
1.25MW 高速轴制动器刹车盘
高速轴制动器刹车盘M S30s122058
航空障碍灯
LPU-0222-B GVA
RESISTOR 470KOHM 12W HVR50RE470KKHIPAS
 SPEED MONITOR FR1 DD2003
G112M12/6-DH,HYDAC
Crowbarsteuerung 1200V-BOD LPG-0237 GVA
E50 R23-784N30 PK16 780µF
STAR LIFTKET WINCH W/ EXT BEAM S88
MD 550-PART 490 1563 BRAKES A/S
Pinion Shaft LP 370.0/3.03, Helical
1600W 1.46A 750欧
SURGE ARESTR VALMS230+FM 5752670 PHOENX
 SURGESUPPRESSOR 3RT1926-1BB00 SIEMENS
 SURGE SUPPRESSOR GHV2501902R0002 ABB
 HYDRAULIC PUMP (ROTOR LOCK)-ENERPAC P 84
 VARISTOR 24VDC 3TX7402-3G SIEMENS
 CT 2500A CT10055 IKTECHNICS
 CURRENT TRANSDUCER LTC 600SF/SP5 LEM
FAN HEATER 9000W
TB40 贺德克
 PROX SNSR IGK3005-BPKG/M/US IGM 200
PROX SNSR PNP BES 515-360-S4-C
滑差柜配套电阻箱外壳
LIMIT SWITCH LTV OSISWITCH ZCKJ1+ZCKE066
NACELE FAN DQ 630-4 50 Hz 2.15 KW
ACB2500A 3WL12253DG324GA4ZC22K07S07 SIEME
G112M12/6-DH HYDAC
补偿电容抽屉组件
FRONT CONTACT-MPCB 1NO+1NC GV-AE11
AUXADDON1NO+1NCNHI-E11PKZO FRNT082882MOE
AUXILIARY SW 3RH1921-1LA11 SIEMENS
AUX CONTACT 1NO 3SB3403-0B SIEMENS
AUX ADDON FRONT GV3A01 SCHNEIDER
AUX ADDON 1NO+1NC S2H11 ABB
AUX CONTACT 1NO+1NC CAT NO:-LADN11
AUXILIARY SW 2NO+2NC 3RH1921-1HA22 SIEME
R28 98SHA 1a-38/1a-24(567893-0)
CONTACTOR 3RH1140-1BB40 SIEMENS
 CONTACTOR 24VDC GJL1313001R0101 ABB
CONTACTOR 24V2P32A 3TC4417-0AB4 SIEMENS
CABLE FO MIL+CONT 100M LS708499 LAPP
苏司兰风机偏航电机 ZD350—S W8F51030135
REDUCTION ASSEMBLY 1:5.77 2T235340920
3RT1025-1BB44-3MA0 SIEMENS
MAIN SW+RDRIVE OT25E31SCAO22283R8630 ABB
 MAIN SW+RDRIVE OT25E41SCA022352R7330 ABB
 MCB 10A 2P 440VDC S282-UC-K10A  ABB
3-MOL AF 132M/6C-11
DIL H2000 MOLLER
DILH 1400/22 MOLLER
 W/CTRL SVNK013S070M32 IXYS
SUA 1500I APC( UPS SUA1500I(P.NOS26113-E400-L1)SIEMENS)

TOKYO ELECTRON TEL PCB TAB22Q-3 PURF3-R 2L81-050097-31
AMAT SYM3 LLB LCF SENSOR DAMAGE  0090-07638
TOKYO ELECTRON VD5187-017303-13
AMAT 0140-16143 H/A, SMIF PLC Wide Body LLB INTRCNCT PHA
APPLIED MATERIALS AMAT P5000 MXP OXIDE KIT 0021-09104
Applied Materials AMAT 0022-27981 Sliding Sleeve, Variable Geometry, SYM3
 AMAT 0022-27981 SLIDING SLEEVE, VARIABLE GEOMETRY, SYM3    TOKYO ELECTRON TEL LIMITED HIGH TEMPERATURE 4 ZONE CONTROLLER ATCC ES2L87-100720       
AMAT 0022-27981 SLIDING SLEEVE, VARIABLE GEOMETRY, SYM3        Dryer    -to clean gloves
Elenco    XP 581 A        - Quad Power - Four Linear Regulated Supplies
Alpha    1010    Variable AC/DC Power Supply   
VWR    97042 618    Sargent Welch Hot Plates   
Pasco    TD 8551 A    Mechanical Equivalent of Heat   
Cenco    CP 75425 00    Ballistic Pendulum   
Eisco    ESR DS 1337    Discharge Tube Power Supply   
    IF HN 05    Industrial Fiber Optics Lasers   
Cyber    SE 300    SPI    TOKYO ELECTRON TEL LIMITED HIGH TEMPERATURE CONTROLLER ATCC, 2L96-259484-12
KOLB    PS 03    Steel Plate Washer   
TEL PAA61868TEL 1310-496860-12TEL 1903-200024-13TEL L25-YA54TEL 3208-000043-14TEL ES1D86-021146-F2TEL Alpha 8S I/O Rack 2TEL 2L10-453753-11TEL 1910-321310-13TEL 018-000354-1TEL 3D81-000020-14TEL 2GN60KTEL P23344TEL DS2105-120767-52TEL 2L10-453470-11TEL A119275TEL ES-1810-325571-14TEL 1380-101267-11TEL 1380-101728-11TEL HPS-102TEL 1B86-032475-13TEL ES1810-429126-11TEL 17688-01BTEL 3Z10-401681-11TEL MD-9280193TEL MD-9201245TEL MD-9222633TEL MD-9201876TEL 1910-422608-11TEL 1810-329522-11TEL CS012-005789-1TEL 310-494836-1TEL 2986-460456-11TEL 2L80-050368-21TEL 027-005488-1TEL 2110-338369-11TEL 012-004344-1TEL 1380-001200-11TEL ES039-126638-1TEL 1393-740789-1TEL 3M86-027270-11TEL 1105-400715-11TEL 3D10-150110-11TEL CT040-004064-1TEL 2193-852177-11TEL 024-010637-1TEL 2L05-350119-11TEL B31D10-405372-11TEL PMC-16TEL a-8STEL 310-390662-3TEL D136045TEL 2181-020069-16TEL J-4TEL 310-492490-3TEL 285-004583-2TEL TVB0008-1TEL 771150-4TEL 000-PQF102-9TEL F-T100-2TEL 885-23-000TEL 027-101869-1TEL BBB-99AG1TEL 7310-3516-01TEL 023-000941-1TEL 1986-420719-11TEL 3M36-050151-12TEL M-121CTLTEL 501-01-35TEL D130671TEL A112990TEL P20941TEL ES3D80-001918-13TEL P7027ATEL 825TEL TEL183TEL YZ0046TEL P13023TEL DSFE52TEL BC2061TEL BC2041TEL 121-188TEL 1D10-401106-12TEL D22860TEL FPF07P-AC100CTEL ND-LD-15-BTEL FEF-G901TEL 99357-01TEL ES1D81-000142-17TEL 1310-498296-11TEL 99A0167TEL R15A-24TEL 022-000302-1TEL 022-000046-1TEL DA32X40ATEL 3D80-001913-11TEL 022-100350-1TEL 1012TEL 2L81-050009-14TEL 022-100409-1TEL 022-100048-1TEL 022-000592-1TEL 022-000149-1TEL 022-000202-1TEL 022-000306-1TEL 1810-424709-11TEL 3D80-001570-24TEL 1D10-101551-11TEL DS028-003721-1TEL 1380-101342-11TEL 1208-001184-16TEL 3281-000016-14TEL CT018-000949-1TEL S7UE-00035TEL Y9708042-01TEL 1D10-000626-13TEL 022-100408-1TEL VMM-40-102TEL B31D05-400028-22TEL 3D81-000021-14TEL CT1910-419152-11TEL SUS304T1.0TEL 044000045-1TEL 5010-411843-11TEL 2L81-050097-12TEL ES1266TEL DLX-11TEL 1110-424045-12TEL M2713TEL 3D10-400605-12TEL 4008070TEL 3091900TEL A121392TEL D122850TEL PAA54775TEL MD-9212025TEL 024-016768-1TEL 850-9034TEL JDAS20X21-10TEL 810-420158-4TEL J2P14088TEL 025-001150-1TEL 1D86-003783-13TEL MD-9212203TEL D1241819-KTEL 2981-305583TEL 4620212-0001TEL 1810-424668-11TEL 5024-000453-11TEL 1110-350049-12TEL 3M81-019887-19TEL MD21-6049-4TEL C-PRM-1TEL FC-SE-01TEL 012-007741-1TEL 022-100986-1TEL MB3M10-311488-14TEL 022-000060-1TEL 020-004496-1TEL 022-101733-1TEL 1810-1211367-11TEL 1D87-005407-15TEL 022-100133-1TEL 022-000119-1TEL 022-000220-1TEL 1110-348707-11TEL CP-8310TEL 1310-290833-13TEL 022-000296-1TEL 022-100867-1TEL 022-000247-1TEL 022-101432-1TEL PB1-U125-01-TTEL 1310-497235-11TEL 1380-101290-11TEL VMU-40-007EXTEL 022-100468-1TEL 5085-428454-11TEL 024-012859-1TEL 5187-024061-11TEL VMM-40-101APMTEL VMU-40-003APMTEL VMU-40-009APMTEL 014-000052-1TEL MB3M10-204604-11TEL 022-100534-1TEL ES1D10-302586-12TEL 018-000397TEL 3M10-151404-11TEL 1310-497646-11TEL 036-004631-1TEL 385-335707-4TEL 3281-000087-11TEL PAA54745TEL 10440350-003TEL 013-002795-1TEL PAA55405TEL ES1805-220135-13TEL ES1D05-300052-13TEL T1DD1-55007-00013TEL CT017-007298-1TEL MC-101MTEL 385-303511-5TEL 050-0027 17-1TEL 3M80-002575-11TEL 2192-128661-12TEL 3U86-000567-11TEL ES1D10-090280-TMTEL 012-003382-1TEL 022-101657-1TEL 022-100762-1TEL HTE-TGR-A-11TEL MB3S80-000255-11TEL 5010-317625-11TEL 1386-453643-11TEL 810-120087-1TEL 1380-101302-11TEL 1D81-000131-11TEL ES3D10-201448-12TEL 3M81-019551-17TEL U93-750841-2TEL M10-300902-1TEL 170000741TEL 180004901TEL 1910-318303-12TEL 3281-000043-1TEL BE-737-PMC-ATEL 028-001387-1TEL 022-000018-1TEL 1310-392250-14TEL 2L05-350012-11TEL D123021TEL 307-144.001-008TEL A111210TEL 310-496408-2TEL 071-000354-1TEL 886-436531-2TEL CS012-000173-1TEL TEB102-1TEL 2L05-350118-11TEL ES3D10-101276-V1TEL 2L10-354930-13TEL 310-495888-1TEL AB41-04-8TEL TF317005-001TEL 1187-028970-16TEL TFR427790-001TEL 071-000056-1TEL MDIF-01A-1TEL CT1380-101619-12

Pioneer    818 T 0 / 20    Ion Chromatography (IC) Laser Machine   
Laser Design Inc (LDI)    DS-2020       
           
Mattson    Aspen II        - 2x ICP Chambers
Mattson    Aspen II        - 2x Triode Chambers
Cameca    LEXFAB-300    12"   
Hitachi    S-4800-II       
Ultratech    UT 1500        - Non-MVS

TEL Tokyo Electron 205-500463-1 Motor Driver Cardinal Circuit Board Drive PCB
TEL Tokyo Electron 205-500463-1 Motor Driver Cardinal Circuit Board Drive PCB
 
Teltronic TC Telemanagement Group SEB II 256K Model 2 Site Event Buffer
Teltronic TC Telemanagement Group SEB II 256K Model 2 Site Event Buffer
 
NEW Assembleon 4022 592 13485 Placement Head Controller PCB Board
NEW Assembleon 4022 592 13485 Placement Head Controller PCB Board
 ENCODER, 2048, HAZ AREA
 编码器,2048,危险区域    N10843
SOLENOID 螺线管    H10151
AIR CONDITIONER 空调
208/230-1PH-60HZ 36000BTU
220-1PH-50HZ-29880BTU
FRIEDRICH  弗里德里希    E30-1006-030
INPUT ANALOG 4 CH, 4-20mA, POINT I/O
输入模拟 4 通道,4-20mA,点 I/O    N10923
FIBER OPTIC, NEMA 4X, WALL MOUNT, W/DUPLEX   
光纤,NEMA 4X,壁挂式,W/DUPLEX    E15759
OUTPUT, DIGITAL, 4 RELAY
输出,数字,4 继电器    N10641
INPUT, ANALOG 8 CH CURRENT POINT I/O
输入,模拟 8 通道电流点 I/O    N10613
COMPACTLOGIX PROGRAMMABLE CONTROLLER COMPACTLOGIX 可编程控制器    N10942
INPUT, DIGITAL, 24VDC, 8CH , POINT I/O
输入, 数字, 24VDC, 8CH , POINT I/O    N10612
VFD, DRIVE, 690 VAC, 15 HP, 20 AMP
变频器驱动690 VAC,15 HP,20 AMP    E12885
VLV, HYD, DIR, 2 POS, 4 WAY, D03    H03-1024-010
VLV, HYD, DIR, 3 POS, 4 WAY, 24V, D03    H10059
VLV, HYD, DIR, 2 POS, 4 WAY, 24V, D03    H10142
VLV, HYD, DIR, 3 POS, 4 WAY, 24V, D03    H10053
TRANSMITTER, PRESS, 4-20mA, 3000PSI
变送器,压力机,4-20mA,3000PSI    N10350
CABLE, 4 C #16 AWG, EXANE, 600V
电缆,4 C #16 AWG,EXANE,600V    E03-1023-010
CIRCUIT BKR, 100A, 3P, 25 KIC, UV
电路 BKR,100A,3P,25 KIC,UV    E10551
CIRCUIT BREAKER, 70A, H-FRAME
断路器,70A,H 型    E14238
INVERTER MODULE逆变器模块
Model: MVD300-4602, 型号:MVD300-4602,
Continuous AC Current: 300/400A,
连续交流电流:300/400A,
3 PH AC Voltage: 0-690V, Frequency: 0-200Hz (Output)
三相交流电压:0-690V,频率:0-200Hz(输出)
DC Current:  346/461A (approx.)
直流电流:346/461A(大约)
DC withstand Voltage: 1200V 直流耐压:1200V    E15210
RTD,100 OHM, PLATINUM, 3WIRE, 2 ½ in
RTD,100 欧姆,铂金,3 线,2 ½ 英寸    E11088
Flex Analog Input Cat. No. 1794-IE8
Flex 模拟输入目录 编号 1794-IE8    N21-3002-010
OUTPUT, DIGITAL, 24V, 8 CH, POINT I/O
输出,数字,24V,8 通道,点 I/O    N10647
OUTPUT, DIGITAL, 8PT, 24VDC, FLEX I/O
输出,数字,8PT,24VDC,FLEX I/O    N21-3001-010
INPUT, DIGITAL, 16PT, 24VDC, FLEX I/O
输入,数字,16PT,24VDC,FLEX I/O    N21-3000-010
ETAP,DLR, COPPER PORT, 2 FIBER PORTS
ETAP、DLR、铜端口、2 个光纤端口    N10880
ETAP, DLR, 2 COPPER PORTS, 1 FIBER PORT
ETAP、DLR、2 个铜端口、1 个光纤端口    N10879
PRESSURE SWITCH 压力开关    N10161
FUSE, DC BUS, SEMICONDUCT, 1300V/630A
保险丝,直流母线,半导体,1300V/630A    E15676
FUSE, AC BUS, SEMICONDUCT, 650V/1600A
保险丝,交流总线,半导体,650V/1600A    E15677
HPU TRANSMITTER/ELEMENT, TEMPERATURE
HPU 变送器/元件,温度    E11416
ASSY, CABLE, FIBER OPTIC, 6 CH RECEPTACLE
组件,电缆,光纤,6 通道插座    AY19271-3
ASSY,CABLE,FIBER OPTIC,6 CH RECEPTACLE
组件,电缆,光纤,6 通道插座    AY19271-30
ASSY,CABLE,FIBER OPTIC,6 CH,PLUGGED
组件,电缆,光纤,6 通道插头    AY19270-180
ASSY, CABLE, FIBER OPTIC, 6 CH PLUGGED
组件,电缆,光纤,6 通道插头   
AY19270-230
ASSY, CABLE, FIBER OPTIC, 6 CH PLUGGED
组件,电缆,光纤,6 通道插头   
AY19270-80
ASSY, CABLE, FIBER OPTIC, 6 CH PLUGGED
组件,电缆,光纤,6 通道插头   
AY19270-110
CABLE, 4 C #8 AWG, PEND,POLYRAD XT,600V
电缆    E10196
CABLE, 10 C #12 AWG, PERFECT-A-FLEX,600V
电缆,10 C #12 AWG,PERFECT-A-FLEX,600V    E11302
CABLE, 4 C #8 AWG, 600V, GENERIC
电缆,4 C #8 AWG,600V,通用    E03-1019-010
CABLE, 10 C #12 AWG, 600V, GENERIC
电缆,10 C #12 AWG,600V,通用    E11302
CABLE, 10 C #16 AWG, 600V, GENERIC
电缆,10 C #16 AWG,600V,通用    E03-1034-010
CABLE, 4 TP 20 AWG, SHLD
电缆,4 TP 20 AWG,SHLD    E14168
CABLE, 7 C #10 AWG, 600V, TYFL
电缆,7 C #10 AWG,600V,TYFL    E11059
ASSY, CABLE, FIBER OPTIC, 6 CH PLUG/RECPT
组件、电缆、光纤、6 通道插头/接收器    AY19773-30
ENCODER OPTICAL ISOLATOR MODULE, 5V OUT编码器光隔离器模块,5V 输出    N10922
RTD, DYNAMIC BRAKE RESISTOR
RTD,动态制动电阻器    E13224
DYNAMIC BRAKE, 800AMP, 575VAC/940VDC
动态制动,800AMP,575VAC/940VDC    E15682
MICROSWITCH, INDICATOR, INVERTER, 630A
微动开关,指示灯,逆变器,630A    E15299
MICROSWITCH, RECTIFIER FUSE
微动开关,整流器保险丝    E15301
CANBUS, BUS COUPLER,24 VDC,2 x 5 POS.
CANBUS,总线耦合器,24 VDC,2 x 5 POS。    E15173
INLINE ANALOG OUTPUT TERMINAL BLOCK
在线模拟输出端子块    E15709
RELAY, SAFETY, 2A/2B, CONTACTS,24VDC
继电器,安全,2A/2B,触点,24VDC    E15688
FUSE,600V,10AMP,CL CC,TDEL,REJ,MIDGET
保险丝,600V,10AMP,CL CC,TDEL,REJ,MIDGET    E105789
KIT, PCB, I/O Model: MVC3002-4001A MV3000 Delta I/O Panel
套件、PCB、I/O 型号:MVC3002-4001A MV3000 Delta I/O 面板    AY21504
POWER SUPPLY, INVERTER, 575-690V, MV3000
电源,逆变器,575-690V,MV3000    E15209
INTERFACE, ETHERNET, MV3000, MODIFIED
接口,以太网,MV3000,修改    E18233
SERVICE LOOP, AC POWER, 5 IN, 500T,77 FT
服务回路,交流电源,5 英寸,500 吨,77 英尺    AY19252-2-1
SERVICE LOOP,CONTROL,4 IN,76 FT,FIBER
服务回路,控制,4 英寸,76 英尺,光纤    AY19251-2
1SVR040004R0700   2
6ES7972-0AA02-0XA0   2
1766-BWA  2 查不到面价
1766-L32BWA
847H-DN2A-RG01024  2  5861
140CPU67160  2 99133
6SL3054-0EH00-1BA0     3
3RP2005-2BW30    20
3RP1527-1EM30    10
6SL3244-0BB12-1PA1     18
6ES7317-2AJ10-0AB0      2
6ES7972-0BB50-0XA0     40
6EP1333-3BA00    5
6SL3054-1CG00-1AA0    3
6ES7922-4BC50-0AD0     3
6SL3244-0BB12-1BA1     5
6SL3040-0GA00-1AA0    3
6AG1307-1EA01-7AA0    5
6ED1055-1FB10-0BA0     10
6AG1314-1AG14-7AB0    5
C695CPE310-ABAB    7
IC695CPE310
6ES7 313-6CG04-0AB0   1
6ES7 972-0BA41-0XA0   2
3AFE64677543   25
RASP-312AI1S0-C320V1   1
ABB DX581-S 1SAP284100R0001 2个不含税
1.中央处理单元(CPU)模块 (IC695CRU320 )   2件 用于监控系统配件
2.电源模块(IC694PSD140B)   5个   用于监控系统配件
3.电源模块(IC694ACC310A)   5个   用于监控系统配件
140CPS22400   15     面价11160
BMEP582040   1
HMIGTO3512   1
BMXAMI0410   1
BMXDDI3202K   1
BMXDDO1602  1
BMXFCW303   1
BMXFTB2010   2
BMXCPS2000   1
BMXXBP0600   1
1762-IQ8,1台    面价1680
1762-OW8,1台   面价1762
1762-IF4,2台   面价3509
1762-OF4,1台 面价3310
1766-L32BWA,1台  面价6420
2711P-T10C21D8S ,1台    面价23711
1769-OF2*2                面价5795
1769-L18ER-BB1B*2      面价19930
1783-ETAP2F*1   面价  7430
6ES7153-2AR04-0XA0   4
6ES7321-7BH01-0AB0   4
6ES7322-8BH10-0AB0   4
6ES7331-7HF01-0AB0    4
6ES7195-1GA00-0XA0   4
CIMR-V12A0002BAA   1
CIMR-VT2A0002BAA
CIMR-JT2A0002BAA   1
ATV71HD45Y    1
TPM810    1
RASP-312AI1S0-C320V1数量1
7MH5116-3HD01    1
7MH5107-3PD01    1
GBB161.1E   5个
3BDS005799R1    1
6ES7141-1BF12-0XB0
6ES7142-1BD40-0XA0
6ES7141-1BF31-0XA0
6ES7144-1JB31-0XB0
6SN1118-0NK01-0AA2    1
5069-FPD数量2  1451
5069-OF4数量1  7617
5069-OB16数量1   3143
5069-IB8S数量3    5528
5069-OBV8S数量3   7099
5069-RTB18-SCREW数量6   549(21年面价)
315-2AH14-7AB0数量11
307-1EA80-2AA0数量28
216-2BD23-2XB0数量6    
307-1EA01-7AA0数量17
315-2AG10-2AB0数量23
221-1BH22-2XA0数量6
ABB    规格型号:PFEA112-20  3BSE030369R0020    数量:5
3RP1576-2NP30    5
6DL9901-8AA   1
6DL3100-8AC   5
193-EIOGP-42-24D   2 面价2962
193-ECM-DNT   2 面价2060

150-F251NBD数量2   42573
TPC070TD-B  1合
AB6916-C-203   10个
WAGO:750-504  2
234-4HE32-0XB0  1
1FL6044-1AF61-2LB1数量1
板卡   ARND-4065B     2
VXZ242FZ2AXB    个    3   
VQ5301R-51,DC24V    个    2
A75-22-00*380-400V 50Hz/400-415V 60Hz    2个
5D3A1121P010 ARND-3119A数量2
TBU810    1
IK9173   1 提供订货号 0049350
P642218A1M0048J  1
压力变送器ETM-B01SAA1-05  2
PALL   HH9021A12DPSWD      1
魏德米勒   DRM270110L  8教110V      5
米斯特主令控制器  MST-33  FN  18  AK VR  IPZ        2
HA-LP11K1MB   1个
IK9173   1 提供订货号 0049350
ACS550-01-08A8-4+N7511+J404   1
FR-E720-0.2K   63
FR-A7NC   63
QJ71E71-100   3
Q312B   3
Q61P   3
QJ61BT11N   6
Q13UDVCPU   3
QJ71GP21-SX   3
QJ71GP21S-SX   3
AJ65SBTB1-32D   6
AJ65SBTB1-32DT   3
AJ65VBTCE3-32D   12
AJ65VBTCE32-32DT   51
A6CON-L5P   12
1SVR040004R0700   

6ES7972-0AA02-0XA0   
1766-BWA  
1766-L32BWA
847H-DN2A-RG01024  
140CPU67160  
6SL3054-0EH00-1BA0  
3RP2005-2BW30
3RP1527-1EM30
6SL3244-0BB12-1PA1  
6ES7317-2AJ10-0AB0   
6ES7972-0BB50-0XA0  
6EP1333-3BA00
6SL3054-1CG00-1AA0
6ES7922-4BC50-0AD0  
6SL3244-0BB12-1BA1  
6SL3040-0GA00-1AA0
6AG1307-1EA01-7AA0
6ED1055-1FB10-0BA0  
6AG1314-1AG14-7AB0
C695CPE310-ABAB    
IC695CPE310
6ES7 313-6CG04-0AB0   
6ES7 972-0BA41-0XA0   
3AFE64677543   
RASP-312AI1S0-C320V1   
ABB DX581-S 1SAP284100R0001
1.中央处理单元(CPU)模块 (IC695CRU320 )  
2.电源模块(IC694PSD140B)   
3.电源模块(IC694ACC310A)  
140CPS22400  
BMEP582040   
HMIGTO3512   
BMXAMI0410   
BMXDDI3202K   
BMXDDO1602  
BMXFCW303   
BMXFTB2010   
BMXCPS2000   
BMXXBP0600   
1762-IQ8
1762-OW8
1762-IF4
1762-OF4
1766-L32BWA
2711P-T10C21D8S
1769-OF2              
1769-L18ER-BB1B
1783-ETAP2F
6ES7153-2AR04-0XA0   
6ES7321-7BH01-0AB0   
6ES7322-8BH10-0AB0   
6ES7331-7HF01-0AB0    
6ES7195-1GA00-0XA0   
CIMR-V12A0002BAA   
CIMR-VT2A0002BAA
CIMR-JT2A0002BAA   
ATV71HD45Y    
TPM810    
RASP-312AI1S0-C320V1
7MH5116-3HD01    
7MH5107-3PD01    
GBB161.1E  
3BDS005799R1    
6ES7141-1BF12-0XB0
6ES7142-1BD40-0XA0
6ES7141-1BF31-0XA0
6ES7144-1JB31-0XB0
6SN1118-0NK01-0AA2    
5069-FPD
5069-OF4
5069-OB16
5069-IB8S
5069-OBV8S
5069-RTB18-SCREW
315-2AH14-7AB0
307-1EA80-2AA0
216-2BD23-2XB0   
307-1EA01-7AA0
315-2AG10-2AB0
221-1BH22-2XA0
ABB    规格型号:PFEA112-20  3BSE030369R0020   
3RP1576-2NP30    
6DL9901-8AA   
6DL3100-8AC   
193-EIOGP-42-24D  
193-ECM-DNT

150-F251NBD
TPC070TD-B  
AB6916-C-203   
WAGO:750-504  
234-4HE32-0XB0  
1FL6044-1AF61-2LB1
板卡   ARND-4065B     
VXZ242FZ2AXB  
VQ5301R-51,DC24V
A75-22-00*380-400V 50Hz/400-415V 60Hz    
5D3A1121P010 ARND-3119A
TBU810    
IK9173   订货号 0049350
P642218A1M0048J  
压力变送器ETM-B01SAA1-05  
PALL   HH9021A12DPSWD      
魏德米勒   DRM270110L  8教110V      
米斯特主令控制器  MST-33  FN  18  AK VR  IPZ        
HA-LP11K1MB   

ACS550-01-08A8-4+N7511+J404   
FR-E720-0.2K   
FR-A7NC  
QJ71E71-100   
Q312B   
Q61P   
QJ61BT11N   
Q13UDVCPU   
QJ71GP21-SX   
QJ71GP21S-SX   
AJ65SBTB1-32D   
AJ65SBTB1-32DT   
AJ65VBTCE3-32D   
AJ65VBTCE32-32DT   
A6CON-L5P   
A6CON-PW5P   
A6CON-TR11   
IK-FCKLK8-4p-0.75kw   
压力变送器ETM-B01SAA1-05    
25473-2 004    
5D3A1121PO1O ARND-3119A     
CEMS取样泵\74R130-P101-H203X     
1. OMRON  RFID系统读写器  V680S-HMD63-EIP
2. OMRON,V680-D1KP54T
3. OMRON EtherNet 通信线缆  XS5W-T421-GMC-K
FR-A840-00126-2-60   
YLP-1-100-30-EMC      
魏德米勒 1788230000   
A6CON-PW5P   12
A6CON-TR11   3
IK-FCKLK8-4p-0.75kw   1
压力变送器ETM-B01SAA1-05    2个
25473-2 004    1个
5D3A1121PO1O ARND-3119A     1
CEMS取样泵\74R130-P101-H203X     1个
1. OMRON  RFID系统读写器  V680S-HMD63-EIP,38个;
2. OMRON,V680-D1KP54T ,38个;
3. OMRON EtherNet 通信线缆  XS5W-T421-GMC-K,38个
FR-A840-00126-2-60   2
YLP-1-100-30-EMC      1
魏德米勒 1788230000   15个
wika
型号:  IS-3-0-2211-1ZZ-BZZ-GTFDZZZ-UAX                 0~3000BAR
 LAURENCE (RG) COMPANY INC.: Model#SC9D-130-E10
Heidenhain RCN 8380 667596-01
Heidenhain 360737-22
Heidenhain 760912-04     Heidenhain Dubai ECN 113 ECN113 2048 27S17-58 EnDat01 528100-53 ABS 13 Bit Encoder
Heidenhain 376842-07
Heidenhain 821220-07   Heidenhain Heidenhain ECN 113 2048 03S17-58K ID 810800-24
Heidenhain 6FX2001-5JE24-2DA0 46835997A
Heidenhain LIF98W 745613-01/658725-03  HEIDENHAIN/海德汉旋转编码器ECN113 2048 ENDAT 01
Heidenhain 689697-24
Heidenhain ERN480 2048 1VPP   TEL Tokyo Electron 281-501035 Loader Stati IF 80E Board 208-501035-1 PCB
Heidenhain LC485-370 5.0
Heidenhain 533110-01
HINO    DM100 W06E DK10 H07D J08C EH700  Codificador de motor ECN 113 2048 03S17-58K ID 810800-24
DAEWOO    DB33 DB33A D427 G424 G424F DC24 G420 G420F DB58
KUBOTA    V1502 V1505 V2203 V2403 V3300 V1512 D1503  HEIDENHAIN ECN 113 2048-27S17-58
YANMAR    4TNE92 4TNE94 4TNE94L 4TNV94L 4TNV98  Heidenhain ECN 113 2048 01-58 Encoder ID: 337641-56
国产发动机    NB485B NB485BPG 490B 490BP 490BPG A490BPG C490BPG 495B 495BPG A495BPG 4102 6102 4105 6105

首页 | 产品中心 | 服务中心 | 新闻中心 | 关于我们 | 联系我们
Top