< 返回产品中心
备件清单879
产品图片:
型号:1
品牌:进口
应用:工业设备
单价:¥1
产品图片:

产品描述:

Chiyoda Seiki EX-500mkII-TD Pressure Regulator, Controller, 407034
Chiyoda Seiki EX-500mkII-TD Pressure Regulator, Controller, 407034
 1   
Chiyoda Seiki EX-500mkII-TD Pressure Regulator, Controller, 452998
Chiyoda Seiki EX-500mkII-TD Pressure Regulator, Controller, 452998
 1   
Pilz PNOZs4 Safety Relay 24 VDC 3n/o 1n/c, 451558
Pilz PNOZs4 Safety Relay 24 VDC 3n/o 1n/c, 451558
 85   
Pilz PNOZs4 Safety Relay 24 VDC 3n/o 1n/c, 451560
Pilz PNOZs4 Safety Relay 24 VDC 3n/o 1n/c, 451560
 85   
CKD AGD01V-X0001 Valve, Type N C , 451598
CKD AGD01V-X0001 Valve, Type N C , 451598
 11   
Class 10 Technologies Inc  Model 76 Temperature Pc Board
Class 10 Technologies Inc  Model 76 Temperature Pc Board
 14   
3161950 / Pcb Board, System Microcont  Assy, 2859302 / Btu Engineering - Bruce
3161950 / Pcb Board, System Microcont  Assy, 2859302 / Btu Engineering - Bruce
 385 82    
Philips Analytical CPU Board
Philips Analytical CPU Board
 875     
Asm 03060811-06 Cvj-f729-1790
Asm 03060811-06 Cvj-f729-1790
 729     
Gcg2951-s8td92 Brushless Motor 85w Ac115v, Used
Gcg2951-s8td92 Brushless Motor 85w Ac115v, Used
 4     
Ulvac M-11 M11 SensorHead, Working
Ulvac M-11 M11 SensorHead, Working
 23     
Fuji Electric Magnetic Contactor  SC N2 SE SC35BAS222
Fuji Electric Magnetic Contactor SC N2 SE SC35BAS222
 67 44    
Wonik 1105-302142-51 Cap Cover Quartz 11-5/8" OD 3-1/2" ID 110530214251 TEL
Wonik 1105-302142-51 Cap Cover Quartz 11-5/8" OD 3-1/2" ID 110530214251 TEL
 685   
Fujikin FCS-4WS-798-F200#B Mass Flow Controller 250kPaG
Fujikin FCS-4WS-798-F200#B Mass Flow Controller 250kPaG
 8     
Fujikin FCS-4WS-798-F30#B Mass Flow Controller 250kPaG
Fujikin FCS-4WS-798-F30#B Mass Flow Controller 250kPaG
 8     
Fujikin FCS-4WS-798-F160#B Mass Flow Controller 250kPaG
Fujikin FCS-4WS-798-F160#B Mass Flow Controller 250kPaG
 8     
Asml 4022 651 85761 Ir2-fir
Asml 4022 651 85761 Ir2-fir
 1,118     
TEL Tokyo Electron MA-15705 LED Panel Board PCB SW-PANEL Used Working
TEL Tokyo Electron MA-15705 LED Panel Board PCB SW-PANEL Used Working
 503 18    
Fujikin N c 5way Valve 0 34~0 6mpa
Fujikin N c 5way Valve 0 34~0 6mpa
 28     
VAT 61234-KEGQ-AWX2/0006 valve controller
VAT 61234-KEGQ-AWX2/0006 valve controller
 5     
323-0202// Amat Applied 3700-02300 Oring Id 14 984 Csd  139 Chemraz New
323-0202// Amat Applied 3700-02300 Oring Id 14 984 Csd  139 Chemraz New
 6   
TEL Tokyo Electron A124566 Cathode Adapter Assembly New
TEL Tokyo Electron A124566 Cathode Adapter Assembly New
 400 07    
Tokyo Electron America, Tel, Ct5085-413053-13, Nozzle, Lithius Dev Nld
Tokyo Electron America, Tel, Ct5085-413053-13, Nozzle, Lithius Dev Nld
 1,284     
Agilient Z4207-60003 Circuit Board PCB Z4207 NC1 Used Working
Agilient Z4207-60003 Circuit Board PCB Z4207 NC1 Used Working
 609 16    
DCS Chiller Systems DCS M-6-2P-T Chiller
DCS Chiller Systems DCS M-6-2P-T Chiller
 9     
Metron D112649 MRC 8" Cathode Dark Space Shield Rev  G New
Metron D112649 MRC 8" Cathode Dark Space Shield Rev  G New
 301 12    
Motion Engineering A038-2001
Motion Engineering A038-2001
 275     
TEL Tokyo Electron PCB Board 3M81-023609-15 SELL "AS-IS" free ship
TEL Tokyo Electron PCB Board 3M81-023609-15 SELL "AS-IS" free ship
 599   
Modus Instruments DA-4-05M-0-RR-14-003 Display Alarm Lot of 2 Used Working
Modus Instruments DA-4-05M-0-RR-14-003 Display Alarm Lot of 2 Used Working
 206 12    
Micrion Model 150-891 Board
Micrion Model 150-891 Board
 12   
Mattson 255-16932-00 Robot Assembly
Mattson 255-16932-00 Robot Assembly
 2,   1,4     
IF IMAGE FOCUS IF-DRL6735-W OP2 LED ring illuminator 2UNIT
IF IMAGE FOCUS IF-DRL6735-W OP2 LED ring illuminator 2UNIT
 149 90  
Comtrol 5302265 REV C PC Board
Comtrol 5302265 REV C PC Board
 99 90  
ON SEMI  MC14007UBDR2 Qty of 75 per Lot semiconductor
Top-Rated Plus Seller ON SEMI MC14007UBDR2 Qty of 75 per Lot semiconductor
 65     
Kniel CP 8 25/Ext  I/O Power Supply Module
Kniel CP 8 25/Ext  I/O Power Supply Module
 79     
Applied Precision 21-000317-001 Processor Interface Board PCB Used Working
Applied Precision 21-000317-001 Processor Interface Board PCB Used Working
 507 18    
Stirring Machines & Scale for container or bottles
Stirring Machines & Scale for container or bottles
 3,     
Mks Throttle Valve, Model 653b-13538
Mks Throttle Valve, Model 653b-13538
 35     
Nikon 4S013-225-1A Backplane Interface Board PCB SPAPWT NSR-S202A Used Working
Nikon 4S013-225-1A Backplane Interface Board PCB SPAPWT NSR-S202A Used Working
 704 18    
Balzers BG 290 339 U Transformer PCB Card BG290 339-U Used Working
Balzers BG 290 339 U Transformer PCB Card BG290 339-U Used Working
 507 16    
300MM LIFT RING Applied Materials AMAT 0020-46322
300MM LIFT RING Applied Materials AMAT 0020-46322
 8     
ACS Electronics OR81 8 Channel Controller PCB Card AMAT Orbot WF 720 Used
ACS Electronics OR81 8 Channel Controller PCB Card AMAT Orbot WF 720 Used
 408 16    
SCN-4 Power Cable Spin Unit, 450281
SCN-4 Power Cable Spin Unit, 450281
 195   
AMAT Applied Materials 0242-70297 Standard Insert Kit New Surplus
AMAT Applied Materials 0242-70297 Standard Insert Kit New Surplus
 308 12    
Omron OPE-SJ300-3 Board
Omron OPE-SJ300-3 Board
 17     
ASML 4022 668 66291 Cable
ASML 4022 668 66291 Cable
 25     
Novellus 16-029857-00 Evaporator Top, Swagelok 316LV Micro-Fit, 1/2" VCR, 452275
Novellus 16-029857-00 Evaporator Top, Swagelok 316LV Micro-Fit, 1/2" VCR, 452275
 15   
Ultratech Stepper 03-15-02066 6-Axis Laser Transition XP-Axis PCB Card 4700 Used
Ultratech Stepper 03-15-02066 6-Axis Laser Transition XP-Axis PCB Card 4700 Used
 456 18    
Dayton 2E462A SPDT Cooling/Heating Appliance Switch, 451660
Dayton 2E462A SPDT Cooling/Heating Appliance Switch, 451660
 3   
Applied Materials Pcb Assembly, Rotation Drive 0100-02036
Applied Materials Pcb Assembly, Rotation Drive 0100-02036
 5   
uthe B4S048 ultrasonic transducer
uthe B4S048 ultrasonic transducer
 388     
Applied Materials AMAT VCR Weldment, 0050-01041
Applied Materials AMAT VCR Weldment, 0050-01041
 125     
AMAT Applied Materials 0150-04722 C/A Mainframe Interlock Main Cable New
AMAT Applied Materials 0150-04722 C/A Mainframe Interlock Main Cable New
 408 10    
346-0302// Amat Applied 0020-09432 0020-09434 Cover,housing,plug 2nd Source New
346-0302// Amat Applied 0020-09432 0020-09434 Cover,housing,plug 2nd Source New
 15   
Amat 0020-34694 Liner, Gdp, R2 Oxidegeco , Refurbished
Amat 0020-34694 Liner, Gdp, R2 Oxidegeco , Refurbished
 4,5     
Brooks 6256S, MFC, Ar / CF : 1000, 200 SCCM
Brooks 6256S, MFC, Ar / CF : 1000, 200 SCCM
 2     
NUPRO 316 Shutoff Valve Whitney Swagelok VCR Fittings Pressure Valves
NUPRO 316 Shutoff Valve Whitney Swagelok VCR Fittings Pressure Valves
 39 93    
AMAT Applied Materials 0020-82818 Aperture Plate New
AMAT Applied Materials 0020-82818 Aperture Plate New
 312 11    
Amat 0150-21437 Cable Assy Neslab Flow Sw
Amat 0150-21437 Cable Assy Neslab Flow Sw
 25     
Dek Vf351 Carbon Filter, P/n 173357, Nos
Dek Vf351 Carbon Filter, P/n 173357, Nos
 349     
Fujikin 316L Pneumatic Solenoid Valve, L# AGB3V000, C# 023718, 1/4" VCR, 452234
Fujikin 316L Pneumatic Solenoid Valve, L# AGB3V000, C# 023718, 1/4" VCR, 452234
 45   
Fujikin 316L Pneumatic Solenoid Valve, L# DD0E00, C# 023718, 1/4" VCR, 452235
Fujikin 316L Pneumatic Solenoid Valve, L# DD0E00, C# 023718, 1/4" VCR, 452235
 45   
Fujikin 316L Pneumatic Solenoid Valve, L# DD0E00, C# 023718, 1/4" VCR, 452237
Fujikin 316L Pneumatic Solenoid Valve, L# DD0E00, C# 023718, 1/4" VCR, 452237
 45   
New Microset/electronic Card/pcb Us-224
New Microset/electronic Card/pcb Us-224
 125   
New Microset/electronic Card/pcb Us-252
New Microset/electronic Card/pcb Us-252
 175   
New Microset/electronic Card/pcb Us-206
New Microset/electronic Card/pcb Us-206
 175   
New Microset/electronic Card/pcb Us-220
New Microset/electronic Card/pcb Us-220
 145   
New Microset/electronic Card/pcb Us-105
New Microset/electronic Card/pcb Us-105
 225   
New Microset/electronic Card/pcb Us-265
New Microset/electronic Card/pcb Us-265
 215   
New Microset/electronic Card/pcb Us-103
New Microset/electronic Card/pcb Us-103
 125   
New Microset/electronic Card/pcb Us-101
New Microset/electronic Card/pcb Us-101
 195   
New Microset/electronic Card/pcb Us-102
New Microset/electronic Card/pcb Us-102
 125   
New Microset/electronic Card/pcb Us-528
New Microset/electronic Card/pcb Us-528
 195   
New Microset/electronic Card/pcb Us-253
New Microset/electronic Card/pcb Us-253
 155   
New Microset/electronic Card/pcb Us-209
New Microset/electronic Card/pcb Us-209
 165   
Axcelis Simf Loader Control Board (pwb Assy:414503)
Axcelis Simf Loader Control Board (pwb Assy:414503)
 1,1     
SCI Engineered Materials Cobalt Co Target 99 95% Pure 3" Dia x 0 25" Thick
SCI Engineered Materials Cobalt Co Target 99 95% Pure 3" Dia x 0 25" Thick
 138     
Cavro 725643 Motorized Syringe Pump, 451684
Cavro 725643 Motorized Syringe Pump, 451684
 15   
Bruce BDF4 3 Zone Firing Assy Ready For Install
Bruce BDF4 3 Zone Firing Assy Ready For Install
 5     
Credence DMA-W Controller 678-1553-0036066B
Credence DMA-W Controller 678-1553-0036066B
 149 90  
IHH RF Detector 3 39MHz
IHH RF Detector 3 39MHz
 99 90  
Credence DMA-W Controller 678-1553-0034020B
Credence DMA-W Controller 678-1553-0034020B
 149 90  
Dainippon Screen Control Gepi-001 With Pcn And Nfb Connections New
Dainippon Screen Control Gepi-001 With Pcn And Nfb Connections New
 13     
AMAT 0100-00086 PCB Centerfinder Sensor 412555
AMAT 0100-00086 PCB Centerfinder Sensor 412555
 35   
Machined TRE Forging RP65831
Top-Rated Plus Seller Machined TRE Forging RP65831
 65 35  23 53    
AMAT, RESTRICTOR WELDMENT, 29 SLOT, N2 PURGE, 0050-10028, New
AMAT, RESTRICTOR WELDMENT, 29 SLOT, N2 PURGE, 0050-10028, New
 2     
Setra 2271Z02PCE511ZZFR1 2271Z02PCE511ZZ 227 Digital Pressure Transducer Sensor
Setra 2271Z02PCE511ZZFR1 2271Z02PCE511ZZ 227 Digital Pressure Transducer Sensor
 10   
Amat Optical Lense 35410/294 Left
Amat Optical Lense 35410/294 Left
 5   
130-0303// Hana Kvme-21j1 Board Used
130-0303// Hana Kvme-21j1 Board Used
 6   
1517250  / Pcb Assy Sce Cont Intfc / Eaton
1517250 / Pcb Assy Sce Cont Intfc / Eaton
 1,049 56    
AMAT Applied Materials BIMBA 881692 Air Cylinder 1-3/4x28" New
AMAT Applied Materials BIMBA 881692 Air Cylinder 1-3/4x28" New
 308 18    
Ktec Electronics KTC AMAT Applied Materials 0140-76190
Ktec Electronics KTC AMAT Applied Materials 0140-76190
    
Ev Group Xc1324 2000309 / Cni 120808 Pcb's
Ev Group Xc1324 2000309 / Cni 120808 Pcb's
 6     
Ev Group Xcd163 281102 Pcb
Ev Group Xcd163 281102 Pcb
 6     
Amat 0010-77651 Assy Ext Rinse Arm W/ Nozzle , Used
Amat 0010-77651 Assy Ext Rinse Arm W/ Nozzle , Used
 3,5     
National Instruments NI-488 2 CD 500739D-00
National Instruments NI-488 2 CD 500739D-00
 45     
AMAT Applied Materials 0020-26721 Transfer Mount Ball Rev  003 New
AMAT Applied Materials 0020-26721 Transfer Mount Ball Rev  003 New
 357 15    
JEL Jusung REA100980000 Vacuum Process Interface Board PCB Untested AS-IS
JEL Jusung REA100980000 Vacuum Process Interface Board PCB Untested AS-IS
 310 18    
Patlite WME-FB  WMEFB Signal Tower  24V AC/DC  2W/1Stack,USED
Patlite WME-FB WMEFB Signal Tower  24V AC/DC  2W/1Stack,USED
 8     
TEL Tokyo Electron J12 Cable Assembly New Surplus
TEL Tokyo Electron J12 Cable Assembly New Surplus
 204 18    
AMAT Applied Materials 0050-88513 Gas Lines 0050-88929 Lot of 5 0050-88928 New
AMAT Applied Materials 0050-88513 Gas Lines 0050-88929 Lot of 5 0050-88928 New
 308 12    
43700932 / Pressure Regulator / Veriflo Valves Parker
43700932 / Pressure Regulator / Veriflo Valves Parker
 40 68    
TEL Tokyo Electron 3208-000057-12 PCB Stage Interlock Circuit Board *used workin
TEL Tokyo Electron 3208-000057-12 PCB Stage Interlock Circuit Board *used workin
 75   525     
Advanced Energy 1344017-00, Pin, Connector/ca-79br
Advanced Energy 1344017-00, Pin, Connector/ca-79br
 89     
Kalrez Custom O-Ring, K#11416, Compound 2037, AMAT 3700-01668, 328704
Kalrez Custom O-Ring, K#11416, Compound 2037, AMAT 3700-01668, 328704
 35   
Novellus 03-028661-03, A0P4, Cable 402556
Novellus 03-028661-03, A0P4, Cable 402556
 35   
123-0501// Fine Esf1500-24 Suntronix Used
123-0501// Fine Esf1500-24 Suntronix Used
 2   
Frick Quantum Control Assembly Digital Board #2 640C0024G02
Top-Rated Plus Seller Frick Quantum Control Assembly Digital Board #2 640C0024G02
 9     
Varian B401143R01 PCB Gate Driver Module
Varian B401143R01 PCB Gate Driver Module
 15     
Sokki Electronics DR100 Digital Reader,Positioning system,Unused 4858
Sokki Electronics DR100 Digital Reader,Positioning system,Unused 4858
 139     
ASML 4022 471 4657 Interface Board PCB Card S15 4022 471 46581 Used Working
ASML 4022 471 4657 Interface Board PCB Card S15 4022 471 46581 Used Working
 506 17    
Cosel Gt4 24v4a G Series Power Supply
Cosel Gt4 24v4a G Series Power Supply
 199 95    
Alcatel 27778 Control and Sensor Assembly Used Working
Alcatel 27778 Control and Sensor Assembly Used Working
 1,     
Fabco-air E-5-x Cylinder 1/2x1/4
Fabco-air E-5-x Cylinder 1/2x1/4
 5     
Tosoh 0800E-24-000-370, CR, 3N7, 102587
Tosoh 0800E-24-000-370, CR, 3N7, 102587
 35   
Varian 04-712161-01 Belly Shield, Conmag II, 102582
Varian 04-712161-01 Belly Shield, Conmag II, 102582
 35   
Innovative 08001-A41-P21-W11-EC1 Robot 0820 Interface *used working
Innovative 08001-A41-P21-W11-EC1 Robot 0820 Interface *used working
 2,5   1,75     
Applied TST Port Coup 1/8" x 1/8-27" 106280668
Top-Rated Plus Seller Applied TST Port Coup 1/8" x 1/8-27" 106280668
 35 95  12 94    
ASML 4022 471 6809 Interface Board PCB Card 03 4022 471 57531 Used Working
ASML 4022 471 6809 Interface Board PCB Card 03 4022 471 57531 Used Working
 506 17    
NEW ASM PN: 2509733-02 !!TC DOUBLE PT/PTRH 13% A=122 Thermocouple
NEW ASM PN: 2509733-02 !!TC DOUBLE PT/PTRH 13% A=122 Thermocouple
 347 06  
TEL Tokyo Electron 1B80-002389-11 Relay DN Board PCB DI80DO80 Used
TEL Tokyo Electron 1B80-002389-11 Relay DN Board PCB DI80DO80 Used
 549     
Applied Materials 0022-18340 Cover Ring With Twas Amat *unused*
Applied Materials 0022-18340 Cover Ring With Twas Amat *unused*
 1,999     
Amat 0010-03624 Tl Slurry Dispense Arm Assembly , Used
Amat 0010-03624 Tl Slurry Dispense Arm Assembly , Used
 3,5     
323-0101// Amat Applied 3300-03034 Ftg Tbg Conn Metric Pt 1/8 X 1/8t One-to New
323-0101// Amat Applied 3300-03034 Ftg Tbg Conn Metric Pt 1/8 X 1/8t One-to New
 2   
Simplimatic Automation Model 2662 Tool
Simplimatic Automation Model 2662 Tool
 9     
^^ Marteq Process Solutions Inc P/n Xdcr (hy70)
^^ Marteq Process Solutions Inc P/n Xdcr (hy70)
 1   75     
ROTEC D 76437 RASTATT VCPU302 BOARD Gmbh free ship
ROTEC D 76437 RASTATT VCPU302 BOARD Gmbh free ship
 249   
LTX 865-1481-00 Rev  A  VEN 12265  LTX ICEN-CIF BC circuit board
LTX 865-1481-00 Rev  A  VEN 12265  LTX ICEN-CIF BC circuit board
 275 95  
Ac1328 / Ac1328 A M C 1 Controller Module / Sts
Ac1328 / Ac1328 A M C 1 Controller Module / Sts
 45     
Mattson Aspen2 Raceway Parts 258-02984-00 and 302-03615-00
Mattson Aspen2 Raceway Parts 258-02984-00 and 302-03615-00
 165     
NEW ASM PN: 2509733-01 !!TC DOUBLE PT/PTRH 13% A= Thermocouple
NEW ASM PN: 2509733-01 !!TC DOUBLE PT/PTRH 13% A= Thermocouple
 347 06  
ULVAC Hot Cathode Gauge Unit G-TRAN BMR2
ULVAC Hot Cathode Gauge Unit G-TRAN BMR2
 596     
Amat 1270-00221 Sw Press No Lp/ 156 Hp 3/4 In H20
Amat 1270-00221 Sw Press No Lp/ 156 Hp 3/4 In H20
 5     
Acroloc Spindle Drive
Acroloc Spindle Drive
 5     
General Electric Lpx1010-c2snw-1 Unmp
General Electric Lpx1010-c2snw-1 Unmp
 88     
Bruker Type AQX FAN Unit
Bruker Type AQX FAN Unit
 15   
5 Siemens 3VU1300-1MG00 Motor Starter Protector Assembly w/ 5 3TF2, 450460
5 Siemens 3VU1300-1MG00 Motor Starter Protector Assembly w/ 5 3TF2, 450460
 25   
Balluff BTL2-P1-0305-f-KA05 Linear Transducer 305mm New
Balluff BTL2-P1-0305-f-KA05 Linear Transducer 305mm New
 262 11    
DIP 15049105 DeviceNet PCB Card CDN491 AMAT Applied Materials 0660-01879
DIP 15049105 DeviceNet PCB Card CDN491 AMAT Applied Materials 0660-01879
 324     
Bel Power Solutions Pfc375-4201 Power Supply Rev Aw
Bel Power Solutions Pfc375-4201 Power Supply Rev Aw
 1,55     
BTU Engineering 3161231 V02 Temperature Control Board
BTU Engineering 3161231 V02 Temperature Control Board
 262 49  
Shinko Electric SCE93-100037-C1 Interface Board PCB SBX08-000041-11 Used Working
Shinko Electric SCE93-100037-C1 Interface Board PCB SBX08-000041-11 Used Working
 503 18    
Draeger CABLE ASSY INTERCONNECT MU09693
Draeger CABLE ASSY INTERCONNECT MU09693
 25 23  
Draeger CABLE ASSY INTERCONNECT MU04534
Draeger CABLE ASSY INTERCONNECT MU04534
 24 95  
Hama Laboratories PAS-11LA PAS Sensor (used working)
Hama Laboratories PAS-11LA PAS Sensor (used working)
 15   105     
Advantest M6542 BLM-027101 X03 MAIN PCB
Advantest M6542 BLM-027101 X03 MAIN PCB
 2,     
Anelva APC-3 PRESSURE Controller
Anelva APC-3 PRESSURE Controller
 549     
Novellus 16-029857-00 Evaporator Top, Swagelok 316LV Micro-Fit, 1/2" VCR, 452260
Novellus 16-029857-00 Evaporator Top, Swagelok 316LV Micro-Fit, 1/2" VCR, 452260
 15   
Huntington
Huntington
 1,3     
Hitachi 3-824791 GV1 Cover New
Hitachi 3-824791 GV1 Cover New
 200 08    
Lsi Pwb Assy 6200024p-5
Top-Rated Plus Seller Lsi Pwb Assy 6200024p-5
 5   
TEL Tokyo Electron D117579 S-2 Old Pod Shield New
TEL Tokyo Electron D117579 S-2 Old Pod Shield New
 180 08    
Hitachi BBET-11 Backplane Interconnect PCB Board Used
Hitachi BBET-11 Backplane Interconnect PCB Board Used
 27     
Hitachi BBPS-11 Interface Board PCB Used HITACHI
Hitachi BBPS-11 Interface Board PCB Used HITACHI
 299     
3m ion gun controller [4*X-30]
3m ion gun controller [4*X-30]
 75     
Eaton Cutler Hammer Coil 787409g04
Eaton Cutler Hammer Coil 787409g04
 5     
Hitachi 3-08520489 200mm Mesh Plate New
Hitachi 3-08520489 200mm Mesh Plate New
 211 08    
Coaxial Power Systems Ltd MN 600 RF Match
Coaxial Power Systems Ltd MN 600 RF Match
 1,388     
Nordson 745NC Square Wave Non-Contact Dispense Valves
Nordson 745NC Square Wave Non-Contact Dispense Valves
 3     
4QU15-095 Replacement Filter Element for Finite HN4L-4QU, 0 01 Micron Particulat
4QU15-095 Replacement Filter Element for Finite HN4L-4QU, 0 01 Micron Particulat
 132 36  
Lot of 4 Misumi JPAMF5-4 -20 Height Adjustment Pin
Lot of 4 Misumi JPAMF5-4 -20 Height Adjustment Pin
 38 75  
Fujikin 316L Pneumatic Solenoid Valve, L# AG7X6000, C# 023718, 1/4" VCR, 452233
Fujikin 316L Pneumatic Solenoid Valve, L# AG7X6000, C# 023718, 1/4" VCR, 452233
 45   
Fujikin 316L Pneumatic Solenoid Valve, L# AGBDR000, C# 023718, 1/4" VCR, 452236
Fujikin 316L Pneumatic Solenoid Valve, L# AGBDR000, C# 023718, 1/4" VCR, 452236
 45   
Fujikin 316L Pneumatic Solenoid Valve, L# DCTG00, C# 023718, 1/4" VCR, 452238
Fujikin 316L Pneumatic Solenoid Valve, L# DCTG00, C# 023718, 1/4" VCR, 452238
 45   
CKD AGD-R SERIES AGD01R-4R  Air operated
CKD AGD-R SERIES AGD01R-4R Air operated
 13     
Rorze Sorter Rsc222
Rorze Sorter Rsc222
 100,     
Veriflo 45800047PA Regulator SQ420E1003PXFS8MMM Inlet 1 72 MPa (used working)
Veriflo 45800047PA Regulator SQ420E1003PXFS8MMM Inlet 1 72 MPa (used working)
 15   105     
Aseco PCB p/n 10-0921-00 030890-4  Comp  side: 10-0924-00, serial#8620-4
Aseco PCB p/n 10-0921-00 030890-4  Comp  side: 10-0924-00, serial#8620-4
 285 95  
MCT 32 Channel/Driver 3616 107701 Rev  D  PCB  Made in USA
MCT 32 Channel/Driver 3616 107701 Rev  D PCB  Made in USA
 285 95  
MCT 107777 Rev  D  PCB  Made in USA
MCT 107777 Rev  D PCB  Made in USA
 285 95  
Tray, Ptf Teach Comp 47295801
Tray, Ptf Teach Comp 47295801
 299     
Amat 0021-78664 Mount, Vertical, Output Module, 200m
Amat 0021-78664 Mount, Vertical, Output Module, 200m
 1,8     
Aptech Sl5206sm 2p Fuj/6 Regulator Max In 150psi Out 60psi, Used
Aptech Sl5206sm 2p Fuj/6 Regulator Max In 150psi Out 60psi, Used
 8     
KULICKE & SOFFA Model # 4322, 4-Channel Controller  (88-1226)
KULICKE & SOFFA Model # 4322, 4-Channel Controller  (88-1226)
 25     
Used Anelva A/d Converter H11-09728 Rev 01 A/d Conv
Top-Rated Plus Seller Used Anelva A/d Converter H11-09728 Rev 01 A/d Conv
 25   
Dns/2-f3-39031 Develop Chuck
Dns/2-f3-39031 Develop Chuck
 79     
Turck RKV 5711-1M Cordset U7353 U-7353
Top-Rated Plus Seller Turck RKV 5711-1M Cordset U7353 U-7353
 4   
442-0402// Oriental Motor Asm69mc (cut Cable) Motor [used]
442-0402// Oriental Motor Asm69mc (cut Cable) Motor [used]
 2   
Amat 0020-21105 Clamp 8 Inchbufferfrog Leg, Used
Amat 0020-21105 Clamp 8 Inchbufferfrog Leg, Used
 48     
H-SQUARE Corp Model SP-002 Susceptor Pencil
H-SQUARE Corp Model SP-002 Susceptor Pencil
 44 56    
Turck RKV RSFPV 56-1M U-15690 Mini Fast Cordset 1 Meter
Top-Rated Plus Seller Turck RKV RSFPV 56-1M U-15690 Mini Fast Cordset 1 Meter
 6   
Applied Materials AMAT VCR Weldment, 0050-61611
Applied Materials AMAT VCR Weldment, 0050-61611
 115     
Varian V87-310588 Scan Linearity
Varian V87-310588 Scan Linearity
 5     
TEL Tokyo Electron J4 Cable Assembly New Surplus
TEL Tokyo Electron J4 Cable Assembly New Surplus
 204 18    
SMC CQ2B63-01-59741 Air Cylinder Hitachi 3-823437 New
SMC CQ2B63-01-59741 Air Cylinder Hitachi 3-823437 New
 200 08    
Proteus 98004PN1P1 Liquid Flow Meter 5 VDC=1 0 GPM
Proteus 98004PN1P1 Liquid Flow Meter 5 VDC=1 0 GPM
 89   
Telemechanique 3 Color Light Stack
Telemechanique 3 Color Light Stack
 4     
Balzers RTA 101, BG M66 506 Rate Timer Adder
Balzers RTA 101, BG M66 506 Rate Timer Adder
 36     
TEL Tokyo Electron 3208-000070-13 PCB Circuit Board *used working
TEL Tokyo Electron 3208-000070-13 PCB Circuit Board *used working
 75   525     
Jackrabbit Z-world Inc 1500-00024 Pcb Board
Jackrabbit Z-world Inc 1500-00024 Pcb Board
 255     
Fujikin FCS-4WS-F500 Mass Flow Controller w/ Valves  39~59 MPa N C  CO Gas
Top-Rated Plus Seller Fujikin FCS-4WS-F500 Mass Flow Controller w/ Valves  39~59 MPa N C  CO Gas
 28   168     
Lam Research 15-00866-00 Spring, Ceramic , New
Lam Research 15-00866-00 Spring, Ceramic , New
 1     
Amat Applied Materials Vacuum Fitting 0040-09720 Rev A New
Amat Applied Materials Vacuum Fitting 0040-09720 Rev A New
 34     
Amat Applied Materials Vacuum Fitting 0040-38508 New
Amat Applied Materials Vacuum Fitting 0040-38508 New
 34     
Amat Applied Materials vacuum fitting 0040-38507 rev P1 new
Amat Applied Materials vacuum fitting 0040-38507 rev P1 new
 34     
Amat Applied Materials Vacuum Fitting 0040-38849 New
Amat Applied Materials Vacuum Fitting 0040-38849 New
 24     
Applied Material AMAT 0150-32853 Rev 02 Cable Assy,300535-2118-0009,Used,CN&7839
Applied Material AMAT 0150-32853 Rev 02 Cable Assy,300535-2118-0009,Used,CN&7839
 139     
Vacuum Flange  Tag #83
Vacuum Flange Tag #83
 788     
Entegris Moore ESPY 10 Meter Sensor & Probe VL01C 101973 M004022
Entegris Moore ESPY 10 Meter Sensor & Probe VL01C 101973 M004022
 86   
VGB 10332-10250 Rev P7
VGB 10332-10250 Rev P7
 199   
OEM ASC 200 TENSION SPRING (2 Pkg)
OEM ASC 200 TENSION SPRING (2 Pkg)
 5     
Baumer Ifrm 05n15/405197 Inductance Proximity Switch
Baumer Ifrm 05n15/405197 Inductance Proximity Switch
 5     
MKS Instruments 9620-0591 Rev A HPS Heater Jacket W/ MKS 99H0544 Heater Adapter
MKS Instruments 9620-0591 Rev A HPS Heater Jacket W/ MKS 99H0544 Heater Adapter
 34     
Muto Technology MR-23431 SS Plenum Shield
Muto Technology MR-23431 SS Plenum Shield
 306 18    
Nikon KXG81782 Interface Module DIPSW NSR System Used Working
Nikon KXG81782 Interface Module DIPSW NSR System Used Working
 606 18    
Applied Materials AMAT Mirra Power Cable, 0140-04831
Applied Materials AMAT Mirra Power Cable, 0140-04831
 345     
PALL - Pre-Wet in-Line 10" Pneumatic Filter 0 01um - FLHF100E-09M3F-PW (UK)
PALL - Pre-Wet in-Line 10" Pneumatic Filter 0 01um - FLHF100E-09M3F-PW (UK)
 119 50    
NEW MKS TECHNOLOGIES 492015-1008 HEATER JACKET- 8” Long 1 5” Diameter 120 VAC
NEW MKS TECHNOLOGIES 492015-1008 HEATER JACKET- 8” Long 1 5” Diameter 120 VAC
 265     
100
    839-011906-001    OPM New    6" SILICON UPPER ELECTRODE (Silicon + Graphite)
3    839-011907-100    OPM New    8" Silicon Upper Standard Electrode
4    839-011907-111    OPM New    8" Silicon Upper Exelan Electrode
5    839-011907-222    OPM New    8" Silicon Upper Thicker Electrode
6    839-443215-101    OPM New    8" Silicon Upper HP Electrode
7    839-443215-502    OPM New    8" Silicon Upper HPT Electrode
8    839-443215-504    OPM New    8" Silicon Upper HPT Electrode
9    0010-21810    OPM New    MAGNET ASSY, G12+, Encapsulated, Dura Source
10    0010-20328    OPM New    8" AL MAGNET Ass`y Dura source
11    0010-21844    OPM New    MAGNET Ass`y Dura source TTN Encapsulated G Type
12    0010-20225    OPM New    MAGNET Ass`y 'A'13 0 AL Ass`y
13    0010-20258    OPM New    Ti A-Type MAGNET
INERT GAS GENERATOR
Fire Fighting/Life-Saving Equipment and Personal Safety/Protective Equipment
INERT GAS GENERATOR
AMAT 3080-01035 | BELT TIMING 0, 082,
Applied Materials CHEMRAZ O-RING 9276-SC513 10 984 ID X 0 139 CX
    Q, O-RING #9005-SC513
2    Q, O-RING #9006-SC513
3    Q, O-RING # 9010-SC513
4    Q, O-RING  #9011-SC513
5    Q, O-RING #9012-SC520
6    Q, O-RING #9013-SC520
7    Q, O-RING #9019-SC513
8    Q, O-RING #9030-SC513
9    Q, O-RING #9108-SC513
10    Q, O-RING #9109-SC520
11    Q, O-RING #9113-SC513
12    Q, O-RING #9114-SC520
13    Q, O-RING 9120-SC513 (DC)
14    Q, O-RING 9130-SC520
15    Q, O-RING 9137-SC513
16    Q, O-RING 9169-SC513
17    Q, O-RING 9202-SC513
18    Q, O-RING 9203-SC513
19    Q, O-RING 9213-SC513
20    Q, O-RING 9216-SC513
21    Q, O-RING (dc) 220-8085 – 9220-SSE38
22    Q, O-RING 9222-SC513
23    Q, O-RING 9224-SC513
24    Q, O-RING 9226-SC513
25    Q, O-RING 9229-SC513
26    Q, O-RING 9238-SC513
27    Q, O-RING 9242-SC513
28    Q, O-RING 9251-SC513
29    Q, O-RING 9254-SC520
30    Q, O-RING 9255-SC520
31    Q, O-RING 9256-SC513 (DC)
32    Q, O-RING 9258-SC513
33    Q, O-RING 4201B25702SP927
34    Q, O-RING 4201B25702SSE38
35    Q, O-RING 9264-SC513
36    Q, O-RING 9267-SC513
37    Q, O-RING 9268-SC520
38    Q, O-RING 9269-SC513
39    Q, O-RING 9273-SC513
40    Q, O-RING 9274-SC513
41    Q, O-RING 9275-SC513
42    Q, O-RING 9276-SC513
43    Q, O-RING 9277-SC513
44    Q, O-RING 9280-SC520
45    Q, O-RING 927B9-SC513
46    Q, O-RING 9282-SC513
47    Q, O-RING 9314-SC520
48    Q, O-RING 9343-SD571
49    Q, O-RING 9383-SC513
50    Q, O-RING 4201B38212SP742
51    Q, O-RING 4201B38202SS513
52    Q, O-RING N024702665SC513
53    Q, O-RING N035332614SC513
54    Q, O-RING# 9384-SS592
55    Q, O-RING 9111-SC513
56    Q, O-RING 9207-SC513
57    Q, O-RING 9208-SC513
58    Q, O-RING 9227-SC513
59    Q, O-RING 9233-SC513
60    Q, O-RING 9246-SC513
61    Q, O-RING 9223-SC513
62    Q, O-RING 9204-SC513
63    Q, O-RING  9126-SC513
64    Q, O-RING CHEMRAZ 9119-SC513
65    Q, O-RING CHEMRAZ 9279-SC513
品牌 产品型号 FSI 291009-200 FSI 290062-200 FSI 290017-200 FSI 290030-400 FSI 290063-400 FSI 290077-400 FSI 301030-001 FSI 230092-001 FSI 906961-002 FSI 304040-001 FSI 290199-400 FSI 301312-005 FSI 306116-001 FSI 0154 FSI 900141-001 FSI 304233-001 FSI 306479-001 FSI 305345-001 FSI 305344-001 FSI 305348-001 FSI 408-010 FSI 901197-001 FSI 290065-400B FSI 230260-004 FSI 230296-001 FSI 230252-001 FSI 230254-001 FSI 300949-001 FSI 280271-001 FSI 209104-400 FSI 301872-001 FSI 902067-003 FSI 900180001H FSI 903752-003 FSI 402012-001 FSI 300785-006 FSI 301202-001 FSI 290062-400 FSI 230063-001 FSI 300440-002 FSI 304622-001 FSI 410286-009 FSI 230793-001 FSI 901155-001 FSI 290104-400 FSI 906668-001 FSI 230201-003 FSI 230297-001 FSI 231097-001 FSI 02-10262 FSI 402360-001 FSI 901949-004 FSI 303218-031 FSI 307214-001 FSI 307694-001 FSI 306794-001 FSI 314772-004 FSI 306800-101 FSI 313498-001 FSI 313498-002 FSI 313498-003 FSI 919023-401 FSI 312278-055 FSI 313498-005 FSI 304221-004 FSI 294209-400 FSI 232297-003 FSI 912635-001 FSI 307997-001 FSI 310053-001 FSI 311980-001 FSI 313726-003 FSI 919417-001 FSI 305349-001 FSI 915745-306 FSI 401601-004 FSI 410196-001 FSI 900688-001 FSI 230082-002 FSI 290025-200 FSI 290063-200 FSI 914233-001 FSI 300919-335 FSI 303821-001 FSI 281-0012 FSI 902999-001 FSI 290134-400 FSI 209104-200 FSI 305187-001 FSI 305226-001 FSI 403037-001 FSI 280026-006 FSI 230088-004 FSI 231145-002 FSI 231197-001 FSI 231198-001 FSI 231221-020 FSI 231221-022 FSI 231221-023 FSI 231449-001 FSI 231465-001 FSI 234594-002 FSI 231626-001 FSI 231889-001 FSI 232112-001 FSI 232181-001 FSI 232188-001 FSI 232235-001 FSI 232327-006 FSI 232330-001 FSI 280282-001 FSI 280284-001 FSI 306109-001 FSI 306842-001 FSI 307659-001 FSI 308334-001 FSI 410854-001 FSI 418838-001 FSI 919186-200 FSI 308409-001 FSI 411425-001 FSI 410926-001 FSI 301809-001 FSI 303954-007 FSI 303285-022 FSI 405540-001 FSI 303329-001 FSI 300485-001 FSI 414455-001 FSI 416668-001 FSI 412935-001 FSI 907346-001 FSI 307868-001 FSI 307868-002 FSI 414998-001 FSI 421736-001 FSI 918658-001 FSI 305706-001 FSI 902091-004 FSI 900662-004 FSI 900662-005 FSI 900662-002 FSI 01-17430-001 FSI 19-27915-002 FSI 19-27915-001 FSI 01-22172-003 FSI 01-22172-007 FSI 01-22172-006 FSI 01-22172-001 FSI 01-17421-002 FSI 02-22145 FSI 02-18029-004 FSI 02-18029-001 FSI 02-18029-003 FSI 02-18029-002 FSI 02-22014 FSI 02-22015 FSI 03-14405 FSI 02-15999 FSI 02-15906 FSI 03-19785 FSI 02-15782 FSI 02-18294 FSI 03-15366-001 FSI 02-15581-001 FSI 02-15259 FSI 05-08678 FSI 02-17663 FSI 02-19220-002 FSI 02-19220-001 FSI 02-17665 FSI 05-19518-001 FSI 05-22658-001 FSI 03-19111 FSI 05-25548-001 FSI 19-22237 FSI 02-22318-003 FSI 02-28556-001 FSI 03-14354-002 FSI 03-14373 FSI 03-22331-001 FSI 03-23248-001 FSI 100-015 FSI 01-18047-002 FSI 01-18047-001 FSI 05-29614-001 FSI 03-19298 FSI 19-22165 FSI 03-13136 FSI 04-17473 FSI 04-17474 FSI 02-18721 FSI 19-22040-005 FSI 02-15581 FSI 01-22172-002 FSI 04-09033 FSI 030373-002 FSI 030373-003 FSI 230042-001 FSI 230142-001 FSI 230145-001 FSI 231110-017 FSI 231221-011 FSI 231379-001 FSI 231512-001 FSI 231601-002 FSI 231872-001 FSI 231878-004 FSI 231893-001 FSI 232048-001 FSI 232202-001 FSI 232203-001 FSI 232204-001 FSI 232205-001 FSI 232208-001 FSI 232240-001 FSI 232360-001 FSI 232446-001 FSI 232446-002 FSI 232446-003 FSI 232508-001 FSI 232521-001 FSI 232531-001 FSI 232569-001 FSI 232608-003 FSI 234594-007 FSI 234594-018 FSI 280320-001 FSI 292033-400 FSI 292048-400 FSI 294024-400 FSI 294130-402 FSI 300834-004 FSI 300844-033 FSI 300844-147 FSI 300919-326 FSI 300982-002 FSI 301447-002 FSI 301632-001 FSI 301642-001 FSI 301652-001 FSI 301791-001 FSI 301800-001 FSI 301912-001 FSI 302006-002 FSI 302037-019 FSI 302330-001 FSI 302465-046 FSI 302482-002 FSI 302863-003 FSI 302898-001 FSI 303266-012 FSI 303266-110 FSI 303266-117 FSI 303266-220 FSI 303308-001 FSI 303308-002 FSI 303362-003 FSI 303365-001 FSI 303367-001 FSI 303367-002 FSI 303368-002 FSI 303369-001 FSI 303371-001 FSI 303372-001 FSI 303733-002 FSI 304441-001 FSI 304528-015 FSI 304528-019 FSI 305183-001 FSI 305225-001 FSI 305659-001 FSI 306035-002 FSI 306271-001 FSI 306429-001 FSI 307039-001 FSI 307507-001 FSI 402163-001 FSI 404949-002 FSI 404949-003 FSI 406260-001 FSI 406565-001 FSI 410925-001 FSI 416442-090 FSI 416442-160 FSI 416442-200 FSI 420641-001 FSI 434928-001 FSI 901850-003 FSI 902000-002 FSI 902085-002 FSI 903275-001 FSI 903276-001 FSI 906974-001 FSI 907814-001 FSI 908215-001 FSI 911238-007 FSI 911238-107 FSI 912769-001 FSI 300919-110 FSI 300919-113 FSI 300919-121 FSI 300919-215 FSI 300919-223 FSI 301574-116 FSI 300917-368 FSI R280290-001 FSI 912788-02 FSI 3066152-001 FSI 410017-102 FSI 306170-001 FSI 911721-001 FSI 303857-004 FSI 303857-003 FSI 292031-400 FSI 292066-400 FSI 280295-001 FSI 305913-001 FSI 307970-001-A FSI 292030-400 FSI 305037-001 FSI 981077-001 FSI 305643-002 FSI 306411-001 FSI 280309-001 FSI 304172-002 FSI 292027-400 FSI 306470-001 FSI 292064-400 FSI 294017-006 FSI 294041-400 FSI 292028-400 FSI 293010-400 FSI 306165-001 FSI 307375-001 FSI 294045-401B FSI 307250-001 FSI 302793-001 FSI 307534-001 FSI 306173-001 FSI 306172-001 FSI 301029-001 FSI 308357-001 FSI 308357-002 FSI 303266-014 FSI 303266-226 FSI 303266-119 FSI 911213-001 FSI 906313-003 FSI 905838-001 FSI 232083-001 FSI 280210-001 FSI 305225-002 FSI 300533-002 FSI 01-17405-800 FSI 903188-001 FSI 232495-001 FSI 230084-001 FSI 231847-001 FSI 231995-001 FSI 302482-005 FSI 308433-001 FSI 420566-001 FSI 302756-001 FSI 230201-001 FSI 302758-030 FSI 300144-004 FSI 409599-001 FSI 300698-001 FSI 290113-400C FSI 230488-001 FSI 902000-001 FSI 914979-001 FSI 307322-002 FSI 305143-010 FSI 300844-035 FSI 301628-002 FSI 301698-001 FSI 305340-001 FSI 305139-003 FSI 300144-002 FSI 301605-105 FSI 301770-003 FSI 307172-003 FSI 08-90010-562 FSI 290199 FSI 230591-001 FSI 542-131 FSI 553-394 FSI 553-395 FSI 559-113 FSI 559-144 FSI 559-245 FSI 569-144 FSI 569-197 FSI 569-271 FSI 300888-001 FSI 553-392 FSI 563-155 FSI 559-209 FSI 569-196 FSI 553-454 FSI 553-396 FSI PR-025-100-V FSI 290025-400 FSI 402075-001 FSI 294058 FSI 294062 FSI 294009 FSI 409438-001 FSI 404368-001 FSI 230060-002 FSI 409241-001 FSI 300541-001 FSI 301799-001 FSI 082-120 FSI 409580-001 FSI 301049-001 FSI 301086-002 FSI 304587-001 FSI 302548-001 FSI 302867-001 FSI 903213-001 FSI 290121-400 FSI 302114-001 FSI 290156-400 FSI 290108-400 FSI 290109-400 FSI 300531-004 FSI 303203-001 FSI 300951-003 FSI 303343-003 FSI 303954-016 FSI 900664-001 FSI 902426-001 FSI 905134-010 FSI 902070-001 FSI 904785-001 FSI 907995-001 FSI 232709-001 FSI 300961-001 FSI 407067-001 FSI 401463-5 FSI 901101-2 FSI 402057-1 FSI 402058-3 FSI 290073-400 FSI 400978-2 FSI 900830-1 FSI 290053-400 FSI 900361-1 FSI 402460-001 FSI 300820-1 FSI 402079-1 FSI 402080-1 FSI 904432-1 FSI 901020-1 FSI 402082-2 FSI 402077-1 FSI 402410-1 FSI 900308-1 FSI 900222-1 FSI 900533-2 FSI 290055-400 FSI 900349-1 FSI 420195-1 FSI 290082-400 FSI 900594-1 FSI 407156-2 FSI 400880-2 FSI 403037-1 FSI 300527-1 FSI 401022-1 FSI 401691003 FSI 400611-1 FSI 401687-2 FSI 401211-56 FSI 401021-1 FSI 914979-002 FSI 290138-400E FSI 303343-002 FSI 303266-013 FSI 290141-400E FSI 305227-001 FSI 290229-402 FSI 109451-01 FSI 302922-008 FSI 422257-001 FSI CMP630-30UV-M8 FSI G420LIQUI-CEL FSI 919054-003 FSI 302549-001 FSI 404843-001 FSI FLR-2 FSI 300457-001-E FSI 491-001 FSI 902199-001 FSI 308388-011 FSI 409557-001 FSI 230107-001 FSI 401682-002 FSI 401463-002 FSI 301605-108 FSI 300939-001 FSI 303266-011 FSI 260169-400 FSI 294145-400 FSI 912785-002 FSI 908215-002 FSI 294001-200 FSI 290234-200 FSI 303218-051 FSI 903277-003 FSI 290168-400 FSI 311796-001 FSI 230029-004 FSI 918331-002 FSI 301574-166 FSI 307172-001 FSI 230566-002 FSI 424852-001 FSI 922159-001 FSI 301612-113 FSI 313906-005 FSI 404949-001 FSI 05-00782-01 FSI 230029-006 FSI 230029-005 FSI 307935-001 FSI 307324-002 FSI 417133-003 FSI 417276-001 FSI 300533-001 FSI 2819864-01 FSI 01-82810-00 FSI 05-05211 FSI 909-055-9 FSI 307664-001 FSI R232608-003 FSI 301696-001 FSI 02-17283-003 FSI 400994-001 FSI 401211-006 FSI 408927-001 FSI 409240-001 FSI 410027-003 FSI 413589-001 FSI 413590-001 FSI 413591-001 FSI 413592-001 FSI 413593-001 FSI 905516-001E FSI 303733-001 FSI 973-1044-20 FSI 402403-001 FSI 10-01-026-00 FSI 206-0855-1F FSI 300917-001 FSI 302458-001 FSI 411223-001 FSI 411225-001 FSI 908567-001 FSI 902001-002 FSI 305488-001 FSI 905336-001 FSI 902155-001 FSI 307969-001 FSI 700218-001 FSI 230084-004 FSI 307426-001 FSI 307426-002 FSI F31000102 FSI 294211-400 FSI 308038-001 FSI 307775-001 FSI 910115-104 FSI 921788-001 FSI 304607-001 FSI 412939-001 FSI 231587-001 FSI 304056-203 FSI 302473-342 FSI 304119-001 FSI 304122-001 FSI 303354-001 FSI 300824-003 FSI 305251-001 FSI 305695-001 FSI 300551-001 FSI 304253-302 FSI 412827-002 FSI 300439-004 FSI 412440-030 FSI 900661-001 FSI 303211-001 FSI 401562-003 FSI 401562-002 FSI 401562-001 FSI 304125-002 FSI 302513-001 FSI 088170-000 FSI 171069-001 FSI 210269-001 FSI 230029-013 FSI 230256-001 FSI 232420-001 FSI 232482-001 FSI 232482-002 FSI 232482-004 FSI 232483-001 FSI 232483-004 FSI 232498-001 FSI 232498-002 FSI 290207-400 FSI 301063-011 FSI 301666-001 FSI 301666-002 FSI 303169-004 FSI 303962-001 FSI 304345-001 FSI 305163-001 FSI 305163-002 FSI 305498-001 FSI 305499-001 FSI 305793-003 FSI 306159-001 FSI 306228-002 FSI 307322-003 FSI 307781-002 FSI 308087-002 FSI 420987-001 FSI 905335-001 FSI 906959-001 FSI 906961-001 FSI 908725-001 FSI 911046-201 FSI 911046-202 FSI 301469-001 FSI 290179-400 FSI 301726-001 FSI 302897-001 FSI 303944-001 FSI 303967-001 FSI 313765-001 FSI 404778-001 FSI 405028-001 FSI 901852-005 FSI 902819-001 FSI 905386-001 FSI 906713-002 FSI 915707-003 FUJI 2030220 FUJI SRCA3931-02 FUJI 305784-02 FUJI CR2LS-100 FUJI 96K190 FUJI CF50B FUJI R10233 FUJI AH30 FUJI FUCL-915-6 FUJI CR2L2-75 FUJI 4NC0F0-10 FUJI 303FN-167742 FUJI 303FN-167743 FUJI KZE95866RI FUJI ZD010-0205 X0718 FUJI ZD010-0205 X0719 FUJI T1DC1-010062 FUJI 1040190010 FUJI TP48X FUJI 4500017437 FUJI TK336663A FUJI FUCL-915-9 52-0 123 FUJI FUCL-715-9 52-0 023 FUJI FUCL-715-6 35-0 023 FUJI A6536ADBPN8162 FUJI AWPH-3083 FUJI K2105H FUJI A6536ADBPN8202 FUJI H14407 FUJI R1020 FUJI MQC1033 FUJI 92660BHTD0421 FUJI 92660BHTD0433 FUJI 92661SAM6221 FUJI 92661SAM6270 FUJI EEAN1751 FUJI EENP2810 FUJI EEPN3210 FUJI FH1001B FUJI FH1017A FUJI H5463A FUJI K20573 FUJI R10014 FUJI M5082F FUJI T4123L FUJI 92660BHTD0132 FUJI S3135A FUJI A6537DBEH4420 FUJI SAA1321 FUJI 4227E FUJI RFAN1850 FUJI A6537DBEH4461 FUJI A6537DBEH4471 FUJI 92660ABHPN9880 FUJI 98644BHPP0670 FUJI 98644ABHPN9222 FUJI 99800CUEH5311 FUJI 99800CUPP0670 FUJI 99800CUQC0170 FUJI 99800CUSX0010 FUJI 99800CUSX0020 FUJI 99800CUSY0010 FUJI 99800CUSY0020 FUJI 99800CUTD1450 FUJI 99800CUTD2230 FUJI 99800SAM6221 FUJI 99800SAM6270 FUJI 99800SAM6400 FUJI 92660ABHPN9870 FUJI A6536ADBPN8171 FUJI ADBEN3600 FUJI SAM6600 FUJI EA53F-30A FUJI A6536DBPH0021 FUJI 98644BHEH5421 FUJI K20574 FUJI AWPH-9530 FUJI WCA9000 FUJI K5315Z FUJI WCA9300 FUJI 2031997 FUJI 201029 FUJI R4042A FUJI A6537DBPH5020 FUJI A6537DBPH5030 FUJI AH225 FUJI EA33 FUJI EG53F FUJI BU-FSB3125LWF8 FUJI 031-001129-1 FUJI AQNA-9200 FUJI GRA1400 FUJI FRS800B FUJI GRA1200B FUJI FRF101A FUJI FH1017A3 FUJI FH1016A0 FUJI FH1015A0 FUJI SAM6270 FUJI A6536ADBPN8201 FUJI SC-3N FUJI FHF-TA/5/250 FUJI VFC706-AN FUJI FUCL-915-6 35-0 07 FUJI JZMMC-IS70B FUJI FSC-30D FUJI 8703-0 FUJI 0PT8701-0 FUJI JZMMC-CP200A FUJI FH1017A1 FUJI 98644BHEH5330 FUJI A6536DBPQ1210 FUJI EG33BM FUJI FA-0712C2-C FUJI FA-1612XB-C FUJI FA-1210MB-C FUJI AH225-VLR11E3 FUJI FA-1212C2-C FUJI BU-ESB3015 FUJI BU-ESB3100 FUJI BU-ESB3030 FUJI 1010731 FUJI 1070903 FUJI 2-827491-01 FUJI EEPN2810 FUJI MC1002B FUJI D4EE37294 FUJI D4EE37299 FUJI D4EE40304 FUJI EG203B FUJI HLBA-A-NW50AS FUJI 1 61681e+006 FUJI 4 50002e+009 FUJI CUTD0431-0001 FUJI SRC3631-02 FUJI TK-ON FUJI 1616810 FUJI BU-ECA FUJI SC-0 FUJI SC-5N FUJIKIN FPR-71-6 35-2 FUJIKIN FUDFL-716G-6 35 FUJIKIN FP-UDDF-71-6 35-2 FUJIKIN FUDDF-71G-9 52 FUJIKIN FPR-UDDF-71-9 52 FUJIKIN FUDDFL-716G-6 35-2 FUJIKIN FP-71-6-35 FUJIKIN FP-71-6 35 FUJIKIN FUBFL-71-6 35 FUJIKIN FUDFL-716G-6 FUJIKIN FUDFL-916G-6 35 FUJIKIN FUCL-915-6 35 FUJIKIN FPR-71-6 35-UP FUJIKIN FP-71-6 35-UP FUJIKIN FUFT-915-9 52-140 FUJIKIN FUDDF-71G-8 35NL FUJIKIN FUBFL-71-6 35-UP FUJIKIN FPR-91-9 52 FUJIKIN C18H800-039546 FUJIKIN 940062-100155 FUJIKIN FVBFN-71M-6 35 FUJIKIN 9UH-33PB FUJIKIN A71323 FUJIKIN EA103F100AMP FUJIKIN FUDDF-71G-6 35-2 FUJIKIN EA2021 FUJIKIN EOR05-1073C-3 FUJIKIN EOR05-1656-2 FUJIKIN EOR05-1625B FUJIKIN EOR05-2710-2 FUJIKIN UJR-9 52G-NI-O FUJIKIN FPR-UDDF-71-6 35 FUJIKIN FP-71-9 52 FUJIKIN FPW-EF-4/8-200DIB-NS FUJIKIN 1500 FUJIKIN FP-UDF-71-952 FUJIKIN FUCL-715-6 35 FUJIKIN UJR-P-6 35-UP FUJIKIN FPR91-6 35 FUJIKIN FRP-71-9 52 FUJIKIN F900-ATG-12 7D FUJIKIN F900-L-12 7 FUJIKIN FUFL-915-6 35-5 FUJIKIN FUBFL-51-6 35 FUJIKIN FUFL-915-6 35-5(A61749) FUJIKIN FUFL-915-9 52-5(A70986) FUJIKIN SRCa3931-5-1 FUJIKIN UU3036624UFV036 FUJIKIN FPR-UBF-716-6 35 FUJIKIN FPr-UDDFTB-71-6 35UP FUJIKIN FUDDF-71G-6 35-2-NL-UP FUJIKIN FUDDF-71g-6 35-2-UP FUJIKIN FUDDF-71G-6 35-NL FUJIKIN FUDDF-71g-6 35-UP FUJIKIN UN-94M-6 35-S FUJIKIN PF6-2743-000 FUJIKIN FPR-UDDFTB-71-6 35 FUJIKIN FPR-UDDF-71-6 35-3-NL FUJIKIN FP-UDDF-71-6 35-3-NL FUJIKIN FPR-71-9 52 FUJIKIN FPR-TB-71-9 52X6 35-3-316L-IN-BR-DLC FUJIKIN FPR-71-6 35-2-BR FUJIKIN FPR-71-6 35-316L-IN-DLC FUJIKIN FRP-71-6 35 FUJIKIN FUD-15B FUJIKIN FBL-6 35-2B4-F-316L-IN-BR-DLC FUJIKIN F900-R-12 7X9 52 FUJIKIN FBL-6 35-1B2-F FUJIKIN PF6-2749-000 FUJIKIN FP-91-9 52 FUJIKIN FUE-15B FUJIKIN L-915-6 35-5(A61749) FUJIKIN L-915-9 52-5(A70986) FUJIKIN DDF-71G-6 35-2-NL-UP FUJIKIN FUDDF-91G-6 35 FUJIKIN UJR-F-6 35-L56 4-UP FUJIKIN F900-X-3 2 FUJIKIN FUDF-716G-6 35-2 FUJIKIN FUFL-715-6 35-5-UP FUJIKIN FUD-35A FUJIKIN FUD-35B FUJIKIN FUN-15A FUJIKIN 076476 FUJIKIN 100083 FUJIKIN 100019 FUJIKIN FP-UDDF-71-6 35-NL FUJIKIN FP-71-6 35-2 FUJIKIN FP-91-6 35 FUJIKIN FPR-71-6 35 FUJIKIN F900-TG-12 7C FUJIKIN FUCL-715-6 35-0 07-UP FUJIKIN FPR-71-6 35-2-UP FUJIKIN UJR-L-6 35 FUJIKIN FP-UDDF-71-6 35NL FUJIKIN FUCL-915-6 35-0 07 FUJIKIN FUCL-915-6 35-0 023 FUJIKIN FPR-91-6 35 FUJIKIN F900-F6 35X3 2 FUJIKIN F900-JC-6 35 FUJIKIN F900-R-9 52X12 7 FUJIKIN F900-T-6 35 FUJIKIN FAD-UBF-91-6 35 FUJIKIN FPR-91-12 7 FUJIKIN FUBFL-91-6 35#A FUJIKIN FUFL-715-6 35-2 FUJIKIN FUFL-715-6 35-5 FUJIKIN UJR-F-6 35XF3 2 FUJIKIN UJR-H-6 35 X 9/16-18 FUJIKIN UJR-P-6 63 FUJIKIN FP-71-9 52-PA FUJIKIN FPR-UDDF-71-6 35-NL-UP FUJIKIN FPR-UDDF-71-9 52-2-NL FUJIKIN FP-UDDF-71-6 35-NL-UP FUJIKIN FUCL-715-9 52-0 023 FUJIKIN FUDDF-71G-6 35-NL-UP FUJIKIN FPR-SDTB-71-6 35-6 FUJITSU 4250-E921 FUJITSU 4247-E925 FUJITSU 4249-E922 FURNAS 42BF25AG FURON NV3-144-HP FURON 973-512-1AX FURON 1103020 FURON UPM2-71212-MT FURON 539-P38-0107 FURON 1103501 FURON 1103303 FURON UPM2-644-MT FURON AR10400-214UC FURON NV3-234-HP-312 FURON WB-2W16PC FURON 1101459 FURON ACR-2W-16P-C FURON WB-2W8P-C FURON SBVM188 FURON SBV-1-34 FURON MV1-12 FURON 1102774 FURON 1102816 FURON 8002-0012 FURON 8002-0483 FURON 30-19MCT12-TF FURON 1103359 FURON 1102842 FURON UPM3-688 FURON UPM2-688NC FURON DDVHT-2-6812 FURON 3000534 FURON 1101760 FURON UPM2-144-NC FURON UPM3-F888 FURON UPM2-F1212M FURON UPM3-8812 FURON DV3-144A1 FURON HPV2-144NC FURON 1104544 FURON 1100945 FURON 1103354 FURON UPM2-6812NC FURON UPM2-F81216-MT-HT FURON MV2-14 FURON 480149457 FURON 9010011 FURON DDVHT-10-6812 FURON SC-2-24-3B FURON 1103569 FURON 1103571 FURON 1104803 FURON 1104959 FURON 1105399 FURON 1105409 FURON 1102867 FURON 1104556 FURON UPM2-FB12NC FURON 1101680 FURON AN2-188 FURON HPV3-644 FURON UPM2-F46NC FURON SBV134 FURON 1104249 FURON 1102806 FURON UPRM-688-60-M FURON 1102808 FURON DV2-144NOA1 FURON AR10103-203UH FURON AR10400-450WC FURON 1102841 FURON UPM3-244 FURON 1103307 FURON 1102792 FURON MCV-256 FURON 1104111 FURON 1102860 FURON 12128MBT FURON UPRM-144-30-M FURON 1104745 FURON 3000528 FURON 3000540 FURON 1104290 FURON 1101021 FURON 1101022 FURON 1 10502e+006 FURON JCS1 FURON PV144 FURON 4180161A FURON NV2-144NC-HP FURON MOB3-122HP FURON 1 10257e+006 FURON NV2224 FURON 1 10389e+006 FURON 1104216 FURON 1 10176e+006 FURON 3 037e+006 FURON UPM2-F1212NC FURON F3SER000 FURON 1 10748e+006 FURON 1103893 FURON 3000371 FURON 1107476 FURON 1105015 FURON 1102567 FURON UPM2-6812N0-ADK FURON 224565 FURON 4121736 FURON BPR500-P2 FUSION 022AC1 FUSION 023812 FUSION 029232 FUSION 031562 FUSION 047402 FUSION 047404 FUSION 054383 FUSION 055902 FUSION 061951 FUSION 061992 FUSION 0622791 FUSION 068221 FUSION 068224 FUSION 068229 FUSION 068431 FUSION 068961 FUSION 069272 FUSION 069273 FUSION 069661 FUSION 072771 FUSION 075031 FUSION 077171 FUSION 077581 FUSION 077582 FUSION 077661 FUSION 077671 FUSION 077811 FUSION 078983 FUSION 079428 FUSION 080921 FUSION 081033 FUSION 081061 FUSION 082852 FUSION 082872 FUSION 086081 FUSION 086771 FUSION 086871 FUSION 087233 FUSION 09058 FUSION 092571 FUSION 099402 FUSION 099581 FUSION 1624E0125 FUSION 202000095 FUSION 202111 FUSION 206661 FUSION 210021 FUSION 212812 FUSION 22AC1 FUSION 240361 FUSION 265842 FUSION 32GBF-128-E FUSION 361951 FUSION K81701-U4 FUSION 249291 FUSION 249331 FUSION 061991 FUSION 061981 FUSION 080924 FUSION 265841 FUSION 283764 FUSION 53420 FUSION 53350 FUSION 239801 FUSION 415045 FUSION 259352 FUSION 265661 FUSION 303361 FUSION 259351 FUSION 26243 FUSION 266091 FUSION 266081 FUSION 86795 FUSION 266700 FUSION 44791 FUSION 266012 FUSION 31471 FUSION 202333 FUSION 284411 FUSION 79001 FUSION 250681 FUSION 315301 FUSION 219372 FUSION 400721 FUSION 208556 FUSION 96317 FUSION 45455 FUSION 39205 FUSION 53361 FUSION 287142 FUSION 201461 FUSION 251542 FUSION 25073 FUSION FLM-2-1/2 FUSION K92141-P2 FUSION K82401-P2 FUSION 211633 FUSION 200751 FUSION 081037 FUSION 23874 FUSION 23871 FUSION 249141 FUSION 248411 FUSION 78331 FUSION 285871 FUSION 286351 FUSION 250861 FUSION 238401 FUSION 174203 FUSION 400361 FUSION 538491 FUSION K86152-U4 FUSION 45254 FUSION 039205 FUSION 39005 FUSION 262872 FUSION 098831 FUSION 238481 FUSION 078121 FUSION 063051 FUSION 082882 FUSION 26521 FUSION 23L6270 FUSION 2662 FUSION 68221 FUSION 047401 FUSION 091811 FUSION 064742 FUSION 029615 FUSION 061961 FUSION 062721 FUSION 54253 FUSION 85681 FUSION 86871 FUSION 076491 FUSION 23L629R FUSION 204277 FUSION 237131 FUSION 536566 FUSION 343691 FUSION 437581 FUSION PFHC-2600 FUSION 064461 FUSION 061771 FUSION 098972 FUSION 220861 FUSION 085973 FUSION A23L6270R FUSION 25082 FUSION 26532 FUSION 085331 FUSION 44841 FUSION K82954-MI FUSION 062781 FUSION 400362 FUSION 417729 FUSION 251511 FUSION 051970 FUSION 045061 FUSION 115960 FUSION 228192 FUSION 500974 FUSION 418411 FUSION 490252 FUSION 490088 FUSION 490241 FUSION 218762 FUSION 203661 FUSION 322391 FUSION 321531 FUSION 322151 FUSION 249961 FUSION 257611 FUSION 257641 FUSION 245224 FUSION 200771 FUSION 258161 FUSION 386642 FUSION 210951 FUSION 464913 FUSION 248692 FUSION 247571 FUSION 279821 FUSION 216184 FUSION 052141 FUSION 74032 FUSION 53521 FUSION 68229 FUSION 322703 FUSION 0282861 FUSION 251012 FUSION 344281 FUSION 250261 FUSION 095291 FUSION 023382 FUSION 025045 FUSION 026243 FUSION 081055 FUSION 087144 FUSION 098611 FUSION 202961 FUSION 203331 FUSION 238351 FUSION 265292 FUSION 239821 FUSION 239892 FUSION 240071 FUSION 269911 FUSION 265342 FUSION 266211 FUSION 074032 FUSION 282191 FUSION 072241 FUSION 249351 FUSION 098921 FUSION 251501 FUSION 438631 FUSION 200741 FUSION 247781 FUSION 088534 FUSION 209237 FUSION 209235 FUSION 203081 FUSION 209232 FUSION 248851 FUSION 209234 FUSION 257622 FUSION 249511 FUSION 258451 FUSION 250881 FUSION 204973 FUSION 257621 FUSION 054254 FUSION 250133 FUSION 203341 FUSION 064481 FUSION 549501 FUSION 026331 FUSION 283251 FUSION 268281 FUSION 247651 FUSION 267303 FUSION 267301 FUSION FLQ-10A FUSION 044787 FUSION 248511 FUSION 262981 FUSION 247791 FUSION 044789 FUSION 208552 FUSION 217252 FUSION 202321 FUSION 303211 FUSION 019713 FUSION 082877 FUSION 303212 FUSION 431021 FUSION 026066 FUSION 411712 FUSION 212153 FUSION 082002 FUSION 042624 FUSION 972440001 FUSION 22AC2-0012 FUSION 285591 FUSION 2659 FUSION 061115 FUSION 063975 FUSION 2B52A FUSION 31562 FUSION 37975 FUSION 88684 FUSION 202342 FUSION 202581 FUSION 206592 FUSION 249181 FUSION 259311 FUSION 284J FUSION FLM21/2A FUSION SRR-C8-1/2-B FUSION F102DA FUSION 100003 FUSION 390140 FUSION 257601 FUSION 257604 FUSION 73581 FUSION 74021 FUSION 92121 FUSION 102266 FUSION 211901 FUSION 240371 FUSION 0212812 FUSION 024963 FUSION 025082 FUSION 025085 FUSION 031561 FUSION 033714 FUSION 053361 FUSION 054253 FUSION 086071 FUSION 087141 FUSION 087631 FUSION 239071 FUSION 293221 FUSION 386601 FUSION 61991 FUSION 266251 FUSION 258101 FUSION 044951 FUSION 44787 FUSION 414011 FUSION 391232 FUSION 303271 FUSION 413961 FUSION 251541 FUSION 044791 FUSION 257612 FUSION 401641 FUSION 203082 FUSION 266591 FUSION 158161 FUSION 064745 FUSION 099371 FUSION 431161 FUSION 058032 FUSION 058033 FUSION 250411 FUSION 415901 FUSION 250471 FUSION 237681 FUSION 026062 FUSION 319371 FUSION 202112 FUSION 536567 FUSION 203664 FUSION 439593 FUSION 2186219999 FUSION 402615 FUSION 0-91811 FUSION 414402 FUSION K92211-P2 FUSION 343514 FUSION 076141 FUSION 209841 FUSION 095331 FUSION 678611 FUSION 55-0233A FUSION 55-0244 FUSION 137901 FUSION 200941 FUSION 72241 FUSION 218032 FUSION 061971 FUSION 063082 FUSION 038216 FUSION 61191 FUSION 27087 FUSION 81312 FUSION 63691 FUSION FU064481 FUSION FU086081 FUSION 515120 FUSION 515121 FUSION 209991 FUSION 026362S FUSION 269161 FUSION 78981 FUSION 44771 FUSION 77102 FUSION 426790 FUSION 288861 FUSION 61951 FUSION 269591 FUSION 343281 FUSION 311521 FUSION 288261 FUSION 288321 FUSION 265031 FUSION 1624E024S(16/5-76:1) FUSION 287331 FUSION K90543-01 FUSION 026362 FUSION 079031 FUSION 077451 FUSION 77822 FUSION 429291 FUSION 61771 FUSION 260541 FUSION 390141 FUSION 61095 FUSION 386641 FUSION 68705 FUSION 0613544 FUSION 51490 FUSION 75031 FUSION 247782 FUSION 96021 FUSION 80921 FUSION 212031 FUSION 265451 FUSION 60394 FUSION 49206 FUSION 098841 FUSION 48410 FUSION 50930 FUSION 02298 FUSION 02312 FUSION 95371 FUSION 268661 FUSION 313952 FUSION 17241 FUSION 68805 FUSION 73301 FUSION 208901 FUSION 436643 FUSION 68224 FUSION 79422 FUSION 64481 FUSION 431022 FUSION 1031122-0701 FUSION 239221 FUSION 220490 FUSION 202782 FUSION 211891 FUSION 243428 FUTURESTAR 120-030 FUTURESTAR 100-040 FUTURESTAR 154-040 FUTURESTAR 137-004 FUTURESTAR 219-010 FUTURESTAR 2A3-005-12F GASONICS 01-0164-01 GASONICS 01-0190-01 GASONICS 01-0197-01 GASONICS 01-0243-01 GASONICS 152E-P2 GASONICS 17-0080-01 GASONICS 17-5020-01 GASONICS 17-5024-01 GASONICS 17-5028-01 GASONICS 18-0233-01 GASONICS 252C-3 GASONICS 36-7000-01 GASONICS 38-0052-01 GASONICS 38-0053-01 GASONICS 38-0054-01 GASONICS 58000501 GASONICS A90-1036-01 GASONICS 90-2570 GASONICS 90-2577 GASONICS 90-2658 GASONICS 90100101 GASONICS 90100207 GASONICS 90103302 GASONICS 90104801 GASONICS 93-0013-01 GASONICS 94-1119 GASONICS 94-1175 GASONICS 95-0118-01 GASONICS 95-0458 GASONICS 95-2778 GASONICS 95017701 GASONICS A01-003-01 GASONICS A01-007-01 GASONICS A01-071-02 GASONICS A01-095-01 GASONICS A02-082-01 GASONICS A02-084-02 GASONICS A04-004-01 GASONICS A06-001-01 GASONICS A06-002-01 GASONICS A06-005-01 GASONICS A06-010-01 GASONICS A06-012-01 GASONICS A17-001-01 GASONICS A17-007-01 GASONICS A17-023-01 GASONICS A17-028-01 GASONICS A20-005-01 GASONICS A20-098-01 GASONICS A22-005-01 GASONICS A22-006-01 GASONICS A22-024-01 GASONICS A23-002-01 GASONICS A35-002-01 GASONICS A36-001-01 GASONICS A38-009-01 GASONICS A39-006-01 GASONICS A78-024-01 GASONICS A90-003-01 GASONICS A90-004-01 GASONICS A90-005-01 GASONICS A90-020-01 GASONICS A90-024-01 GASONICS A92-037-01 GASONICS A93-021-05 GASONICS A93-032-01 GASONICS A94-016-03 GASONICS A94-016-04 GASONICS A95-005-01 GASONICS A95-006-01 GASONICS A95-016-01 GASONICS A95-027-01 GASONICS A95-030-01 GASONICS A95-031-01 GASONICS A95-041-01 GASONICS A95-043-01 GASONICS A95-045-01 GASONICS A95-060-01 GASONICS A95-070-01 GASONICS A95-097-01 GASONICS A95-206-01 GASONICS A95-901-17 GASONICS A9505601 GASONICS A96-018-01 GASONICS EOM-1DA72 GASONICS SSR-240D50 GASONICS A02-076-04 GASONICS A05-008-01 GASONICS A05-007-01 GASONICS A02-079-03 GASONICS A01-093-01 GASONICS A94-009-01 GASONICS A94-007-01 GASONICS A78-021-01 GASONICS 97-0198-01 GASONICS A20-012-01 GASONICS A96-016-01 GASONICS A39-1485 GASONICS 15392-01 GASONICS A39-1486 GASONICS RA95-060-01 GASONICS A95-130-01 GASONICS FPS-264 GASONICS 18-0273-42 GASONICS A93-999-83 GASONICS 97-2847 GASONICS 01-0697 GASONICS A90-024-02 GASONICS A95-121-01 GASONICS A89-004-01 GASONICS A96-094-01 GASONICS A38-044-01 GASONICS A16-76785 GASONICS A95-800-02 GASONICS A89-039-01 GASONICS A02-008-01 GASONICS 22-0252-00 GASONICS A20-005-001 GASONICS A58-001-01 GASONICS 16092-01 GASONICS 16875-01 GASONICS 17692-01 GASONICS 73629-08 GASONICS 94-1104 GASONICS 95-0517 GASONICS A06-300-01 GASONICS 9-116SS592 GASONICS 3510AA GASONICS 95-0253-01 GASONICS 90100616 GASONICS A57-013-01 GASONICS A01-008-01 GASONICS A23-001-01 GASONICS A95-107-01 GASONICS 57-0060 GASONICS 90-1028-01 GASONICS A02-068-02 GASONICS A73-015-03 GASONICS A95-171-01 GASONICS A95-026-01 GASONICS 97-3181 GASONICS A90-002-01 GASONICS 94-0114-01 GASONICS A05-039-01 GASONICS A95-104-01 GASONICS A97-127-01 GASONICS 94-1172 GASONICS 96-0073-01 GASONICS 97-0411 GASONICS A22-025-01 GASONICS A17-015-01 GASONICS A78-008-01 GASONICS A90-005-02 GASONICS A39-001-01 GASONICS A94-060-01 GASONICS 94-1085 GASONICS 01-4670 GASONICS 15383-01 GASONICS A89-014-01 GASONICS A02-104-01 GASONICS A01-082-01 GASONICS A22-010-03 GASONICS A39-004-01 GASONICS A78-094-01 GASONICS A90-010-01 GASONICS FPS-702 GASONICS 95-3917 GASONICS A05-032-01 GASONICS A95-801-04(5 16) GASONICS A73-015-01 GASONICS 95-0127-03 GASONICS FPS-440 GASONICS 310-2301 GASONICS 13970-01 GASONICS 14679-01 GASONICS 13967-01 GASONICS 14382-01 GASONICS 14453-01 GASONICS KB670A GASONICS 01-0148-01 GASONICS A01-132-01 GASONICS A01-332-01 GASONICS A01-446-01 GASONICS A01-363-01 GASONICS A02-064-01 GASONICS A90-004-02 GASONICS 90-1002-07 GASONICS 90-1001-01 GASONICS A02-091-01 GASONICS 73337-01 GASONICS 72087-84 GASONICS 73629-01 GASONICS 73325-02 GASONICS 73629-02 GASONICS 73629-03 GASONICS 16898-01 GASONICS 15367-01 GASONICS 15719-01 GASONICS 73333-05 GASONICS 94-1134 GASONICS 73248-01 GASONICS 73336-01 GASONICS 15368-01 GASONICS 17291-02 GASONICS 15361-01 GASONICS 16065-01 GASONICS 16784-01 GASONICS 16337-02 GASONICS 92-0009 GASONICS 73789-04 GASONICS 16209-01 GASONICS 22-0324 GASONICS 73629-06 GASONICS 17688-01 GASONICS 73029-02 GASONICS 15382-01 GASONICS 73629-05 GASONICS 16783-01 GASONICS 73629-11 GASONICS 22-0201-02 GASONICS 73797-06 GASONICS 96-0148 GASONICS 16495-01D GASONICS 94-1112 GASONICS A78-014-01 GASONICS 15132-01 GASONICS 16207-01 GASONICS 05-2854 GASONICS 94-1105 GASONICS 94-1115-102 GASONICS 05-0132 GASONICS 15112-01 GASONICS 15198-02 GASONICS 15198-01 GASONICS 15784-01 GASONICS 16785-01 GASONICS 15158-01 GASONICS 17697-01 GASONICS 73494-01 GASONICS 94-1120 GASONICS 01-3112 GASONICS 15884-02 GASONICS 15331-01 GASONICS 02-1534 GASONICS 07565-01 GASONICS 73603-03 GASONICS 97-2766 GASONICS 15429-01 GASONICS 16532-01 GASONICS 30-0009-001 GASONICS 95-0292 GASONICS 70959-02 GASONICS 70964-03 GASONICS 73277-04 GASONICS 73173-05 GASONICS 04625 GASONICS B10465 GASONICS B12139 GASONICS 300220 GASONICS B04444 GASONICS 04598 GASONICS 90-0020-01 GASONICS 90-1002-01 GASONICS A90-014-02 GASONICS A05-020-02 GASONICS A38-034-01 GASONICS A02-328-01 GASONICS A02-328-02 GASONICS A94-006-01 GASONICS A01-330-01 GASONICS A06-301-01 GASONICS A90-029-01 GASONICS CH016-005 GASONICS A01-102-01 GASONICS A38-045-01 GASONICS 38-0099-01 GASONICS 16321-01 GASONICS 95-0462 GASONICS 14138-01 GASONICS 15131-01 GASONICS 05-0293 GASONICS 73618-01 GASONICS 73340-02 GASONICS 73185-39 GASONICS 96-3041 GASONICS 01-2845 GASONICS 60-171792-00 GASONICS A95-027-03 GASONICS 06-2447 GASONICS A01-094-01 GASONICS A05-036-01 GASONICS A94-007-002 GASONICS A96-113-01 GASONICS A02-086-01 GASONICS A02-087-01 GASONICS A01-438-01 GASONICS 22-2715 GASONICS A01-357-01 GASONICS 22-2671 GASONICS A01-394-01 GASONICS A57-019-01 GASONICS A20-028-01 GASONICS A90-028-01 GASONICS 16498-01 GASONICS A95-062-01 GASONICS 05-2733 GASONICS 94-3162 GASONICS A38-026-01 GASONICS 16206-01 GASONICS A95-027-04 GASONICS 90-2609 GASONICS A90-031-01 GASONICS RA93-021-04/C GASONICS 94-1179 GASONICS A02-083-01 GASONICS A95-104-03 GASONICS A01-012-01 GASONICS A97-034-01 GASONICS A94-032-02 GASONICS 90-2607 GASONICS A90-029-03 GASONICS A94-064-01 GASONICS A93-021-01 GASONICS A90-039-01 GASONICS A90-013-01 GASONICS 90-1025-04 GASONICS A95-075-01 GASONICS A09-004-01 GASONICS 94-1086 GASONICS 95-4186 GASONICS 90-27010 GASONICS 95-16511 GASONICS 90-27004 GASONICS 73797-05 GASONICS 95-0289 GASONICS RA93-021-04 GASONICS A94-062-01 GASONICS 17371-01 GASONICS A90-042-01 GASONICS A06-014-01 GAST R7100A-2 GAST MFG MOA-V112-AE GAST MFG DOA-V113-DB GASTRONICS 16474-01 GCA 26689 GCA 25875 GCA 031454G1 GCA 25983 GCA 23742 GCA 3075562 GCA 25156 GCA 32115 GCA S008390 GCA 3128202 GCA 3128201 GCA 42850 GCA 24369 GCA 6Z16083025 GCA 14726 GCA 035741G2 GCA 070090G1 GCA 037158G1 GCA 047061G1 GCA 47739 GCA 005527G1 GCA 44294 GCA 068653G1 GCA 68297G4 GCA 9262173G1 GCA 9262185G1 GCA 926236G1 GCA 037897G1 GCA R1635 GCA 002875G1 GCA 006551G1 GCA 044479G1 GCA 011736G1 GCA 9262177G1 GCA 005866G1 GCA 005686G1 GCA 005893G2 GCA 037492G1 GCA 045199G2 GCA 047056G1 GCA 47823G1 GCA 068400G2 GCA 67000G1 GCA 43820 GCA 45419 GCA 44852 GCA 2075711 GCA 080126G1 GCA 005023G1 GCA 005751G2 GCA 046947G1 GCA 9262151G1 GCA 037345G2 GCA 005863G1 GCA 002879G2 GCA 005318G1 GCA 67093 GCA 36307 GCA 45781 GCA 035986G2 GCA 066536G1 GCA 066585G1 GCA 046931G1 GCA 080761 GCA 66431 GCA 68091 GCA K3S0-360-5-7404-6B GCA 068926G1 GCA 49529 GCA 046939G1 GCA 45298 GCA 67063 GCA 46651 GCA 44420 GCA 037198G2 GCA 045601G1 GCA 080760 GCA 82920G1 GCA 005897G2 GCA 005894G2 GCA 068391G1 GCA 9262150G1 GCA 068285G1 GCA 037157G1 GCA 2122806 GCA 67980 GCA 47737 GCA 036958G1 GCA 066494G1 GCA 67979 GCA 2894461 GCA 037198G1 GCA 46650 GCA 029515G1 GCA 69662 GCA 005869G1 GCA 80761 GCA 80760 GE IC610CHS130A GE IC610CCM105A GE CR305C024 GE IC610CH5130A GE IC610MDL180A GE Q50MR16CWFL55 GE BRL-C7 GE IC610MDL106A GE JC610CCM105D GE TPBRK36A GE 78316843950 GE CR353AB3AB1 GE IC693CPU331X GE IC693MDL740C GE IC693MDL641C GE 014864 GE 5KH32GN5588X GE IC609SJR100C GE IC610MDL112A IC610MDL156A GE IC693CPU331-AA GE IC693MDL741E GE IC693MDL645D GE IC693PWR321S GE 5KH32GN5589X GE CR306A022 GE IC697BEM711 GE IC697MDL250E GE IC697MDL940 GE IC697PWR710 GE IC697ALG230 GE 78006509401 GE IC693APU301M GE IC693CMM321-DE GE IC693CMM321-DD GE IC693CPU351-GR GE MDL112A IC610MDL156A GE IC693ALG221 GE IC693PWR321R GE IC693PWR321U GE IC693MDL930E GE 5KPM49FG463X GE IC610MDL112A GE IC697CPU782-JE GE IC693CMM311G GE IC693CMM311J GE AF-300B GE IC693ALG392B GE CL04D310MD GE 9T51B0029G03 GE E02F-2-5 GE IC693CHS398D GE 5K49TG744 GECO P110-51W3/4440 GEMO 690-20D-020141 GEMS ELS-1100 GEMU CH-6343 GEMU 610-10-D7524 GEMU 610-15-D72052-1 GEMU 615-12-D13752-1 GEMU 617-15-D72014-0 GEMU 690-25-D02014-1 GEMU 677/25/D02052-0HP GEMU 677/25/D202052-0HP GEMU 677/25/D282052-0HPW GEMU DN20 GEMU DN25 GEMU DN32-40 GENERAL 2853M06 GENERAL 2853MO6 GENERAL DEW-10 GENERAL 86-24-310 GENERAL 30259-1 GENERAL DYNAMICS 683031-001 GENERAL DYNAMICS 753020-003 GENERAL DYNAMICS 03-2774292-1 GENERAL DYNAMICS PCI-DL024 GENERAL DYNAMICS 09-2774157-1 GENERAL DYNAMICS 672870-001 GENERAL DYNAMICS MHW-203361-01 GENERAL DYNAMICS A71887-001 GENERAL DYNAMICS 156204 GENERAL DYNAMICS A71883-001 GENERAL DYNAMICS A71884-002 GENERAL DYNAMICS A71886-002 GENERAL DYNAMICS A71885-001 GENERAL DYNAMICS A48230-005 GENERAL DYNAMICS 680089-003 GENERAL DYNAMICS PCI-DI024 GENERAL DYNAMICS 156884 GENERAL DYNAMICS 672100-00 GENERAL DYNAMICS 680952-00 GENERAL ELECTRIC GE-778 GENERAL ELECTRIC GE-85 GENERAL ELECTRIC GE-68 GENERAL ELECTRIC IC693CMM321-EF GENERAL ELECTRIC IC693ALG221G GENERAL ELECTRIC IC670ALG230J GENERAL ELECTRIC IC610MDL111A GENERAL ELECTRIC IC610MDL156A GENERAL ELECTRIC IC693CPU323 GENERAL ELECTRIC IC600BF831A GENERAL ELECTRIC IC693ALG220F GENERAL ELECTRIC IC670MDL640J GENERAL ELECTRIC IC670GB1002H GENERAL ELECTRIC IC670MDL740K GENERAL ELECTRIC IC610MDL106A GENUS 13052-00 GENUS 1499-00 GENUS 2299-01 GENUS 3569-01 GENUS 3779-04 GENUS 3779-06 GENUS 3779-07 GENUS 3779-08 GENUS 3779-09 GENUS 8969-00 GENUS 14033-00 GENUS 22994-00 GENUS 25343-00 GENUS 26748-00 GENUS 27551-00 GENUS 52-0334 GENUS 77-0261 GENUS 77-0263 GENUS 95-2878 GENUS P126951 GENUS 1569-02 GENUS 24090-02 GENUS 25982-00 GENUS 22981-02 GENUS 17060-00 GENUS 24786-00 GENUS 17067-00 GENUS 228291-00 GENUS 21742-00 GENUS 27913-01 GENUS A00-1549 GENUS 23958-00 GENUS 28291-00 GENUS 2369-01 GENUS 2269-03 GENUS 3779-06M GENUS 13056  GENUS 2319-00 GENUS 2269-01 GENUS 2142-02/MA844-8-32-375 GENUS 0229-00 GENUS 2139-01 GENUS CD1HA80SS GENUS 0039-01 GENUS 3010-00 GENUS 3442-00 GENUS ADS-00103400 GENUS 4343-04 GENUS 2373-00 GENUS 22980-00 GENUS 1457-00 GENUS 2253-00/PL3G GENUS 1549-01 GENUS 16696-00 GENUS 1569-03 GENUS 1569-04 GENUS 2616-01 GENUS 2616-02 GENUS 2792-00 GENUS 085742-000 GENUS 0039-262-073 GENUS 3779-01 GENUS 21719-00 GENUS 21718-00 GENUS 23658-00 GENUS 22248-00 GENUS 26750-00 GENUS 23689-00 GENUS 23688-00 GENUS SS-8BK GENUS SS-BN-C-K10 GENUS 10100-01 GENUS 10100-02 GENUS 11606-02 GENUS 1426-01 GENUS 8031-00 GENUS 8102-62 GENUS 8103-56 GENUS 8103-70 GENUS 8495-00 GENUS 8802-00 GENUS 8840-00 GENUS J00004-01 GENUS 8103-48 GENUS 42045-00 GENUS 41579-00 GENUS 42414-00 GENUS 41378-00 GENUS 43860-00 GEORGE FISCHER 3-2507 100-6V GEORGE FISCHER 175 315 462 GEORGE FISCHER 3-8300 101-P GEORGE FISCHER 199 223 136 GESPAC GESDAC-2B-8945 GF 124A5APP1/4 GF TYP-315 GF 450005 GF SIGNET 06-99052-00 GF SIGNET 3-2536-V0 GILMONT INSTRUMENTS GF-4551-1250 GLAS-COL 7 089e+008 GLAS-COL 102A0708900036 GLAS-COL 102A1117400012 GLAS-COL 102A5000700006 GLAS-COL 102A0708900263 GLAS-COL 708900066 Glemco 90155 Glemco 99369 Glemco 99252 Glemco 0020-86735 Glemco 90159G Glemco 90057 GLOBAL MOTORS 409A6029-2 GM ANSEROS GM 6030-HP GME MT4218-128 GORDON AC1024801 GORDON ASGC00Q060UK000 GORDON ASGC00Q080UK000 GRAFF MLS-1-3J-114-A GRAINGER HG-50377 GRAINGER 4C918 GRAINGER 1R426 GRAINGER W199DX-2 GRAINGER VLS27N003 GRAINGER H-32400-60 GRAINGER H-32400-10 GRAINGER 11015980 GRAINGER W199AX-14 GRAINGER RPS105-20 GRAINGER 4M090A GRAINGER 4Z140 GRAINGER 6X542 GRAINGER 7P098 GRAINGER 3D093 GRANVILLE-PHILLIPS 307520 GRANVILLE-PHILLIPS 270006 GRANVILLE-PHILLIPS 33102 GRANVILLE-PHILLIPS 275185 GRANVILLE-PHILLIPS 332-102 GRANVILLE-PHILLIPS 307130 GRANVILLE-PHILLIPS 275203 GRANVILLE-PHILLIPS 274015 GRANVILLE-PHILLIPS 20275956 GRANVILLE-PHILLIPS 20360205 GRANVILLE-PHILLIPS 275821 GRANVILLE-PHILLIPS 376588 GRANVILLE-PHILLIPS 222320 GRANVILLE-PHILLIPS 007402 GRANVILLE-PHILLIPS 332102 GRANVILLE-PHILLIPS 275243 GRANVILLE-PHILLIPS 275112 GRANVILLE-PHILLIPS 303007 GRANVILLE-PHILLIPS 275911 GRANVILLE-PHILLIPS 20275-500 GRANVILLE-PHILLIPS 275-262 GRANVILLE-PHILLIPS 275-915 GRANVILLE-PHILLIPS 275-20000-265 GRANVILLE-PHILLIPS 275 GRANVILLE-PHILLIPS 012313-101 GRANVILLE-PHILLIPS 343004 GRANVILLE-PHILLIPS 275806-EU GREENE TWEED 216 9216515 GREENE TWEED 5641-0090 GREENE TWEED 9262-SS592 GREENE TWEED 9268-SC520 GREENE TWEED N026230480SS513 GREENE TWEED 922E3-55513 GREENE TWEED 9381-SD570 GREENE TWEED 922E3-SS513 GREENE TWEED 9222-SS513 GREENE TWEED 9-226SD550 GREENE TWEED 9-233SD550 GREENE TWEED 9-251SC513 GREENE TWEED 9346-SC513 GREENE TWEED 9111-513 GREENE TWEED 9006-SC513 GREENE TWEED 9202-SC513 GREENE TWEED 9123-SD550 GREENE TWEED N035335979SS513 GREENE TWEED 9256-SC520 GREENE TWEED 9019-SD570 GREENE TWEED 9238-SC520 GREENE TWEED 9257-SS513 GREENE TWEED 9264-SC513 GREENE TWEED 9008-SS571 GREENE TWEED 9006SC513 GREENE TWEED 9120-SD550 GREENE TWEED 9271-SS513 GREENE TWEED 9372-SD550 GREENE TWEED 9160-SD550 GREENE TWEED 9011-SS515 GREENE TWEED 9223-SS515 GREENE TWEED 9242-SS513 GREENE TWEED 5641-0108-SC513 GREENE TWEED 9264-SS513 GREENE TWEED 9220-SC515 GREENE TWEED 9010-SS513 GREENE TWEED 5641-0590-SS630 GREENE TWEED 9453-SC513 GREENE TWEED 9229-SS513 GREENE TWEED 9109-SD505 GREENE TWEED 9125-SS513 GREENE TWEED 9238-SS513 GREENE TWEED 9363-SS513 GREENE TWEED 9259-SS513 GREENE TWEED 9160-SC513 GREENE TWEED 9014-SS513 GREENE TWEED 9363-SC515 GREENE TWEED 9437-SS513 GREENE TWEED 4772-0497-0000 GREENE TWEED 4201B37902SS513 GREENE TWEED 9010-SS570 GREENE TWEED 9014-SS630(AS-568A-014) GREENE TWEED 9111-SS513(AS-568A-111) GREENE TWEED 9117-SC520(AS-568A-117) GREENE TWEED 9220-SS630(AS-568A-220) GREENE TWEED 9222-SC513(AS-568A-222) GREENE TWEED 9229-SS520(AS-568A-229) GREENE TWEED 9229-SS520AS-568A-229CPD520 GREENE TWEED 9112-SC513 GREENE TWEED 9125-SC513 GREENE TWEED 922F6-SS513 GREENE TWEED 9269-SC513 GREENE TWEED 9276-SC513 GREENE TWEED 9277-SC513 GREENE TWEED 9282-SS513 GREENE TWEED N035325834SC513 GREENE TWEED 9222-SS513(AS-568A-222) GREENE TWEED 9231-SS630(AS-568A-231) GREENE TWEED 9232-SS513AS-568A-232CPD513 GREENE TWEED 9236-SS630(AS-568A-236) GREENE TWEED 9238-SS513(AS-568A-238) GREENE TWEED 9238-SS630(AS-568A-238) GREENE TWEED 9242-SS513(AS-568A-242) GREENE TWEED 9270-SS513(AS-568A-270CPD513) GREENE TWEED 9279-SS520 GREENE TWEED 9369-SS630(AS-568A-369) GREENE TWEED 9443-SS630(AS-568A-443) GREENE TWEED M0400-03900-003 GREENE TWEED M057024930SS520 GREENE TWEED N017819337SP742 GREENE TWEED N017819337SS571 GREENE TWEED N031024930SS513 GREENE TWEED M057024930SS513 GREENE TWEED 9048-SD550 GREENE TWEED 9231-SC513 GREENE TWEED AS-568A-141 GREENE TWEED 4201B25002SS592 GREENE TWEED MO24002160SD570 GREENE TWEED 9906-SS520 GREENE TWEED M050005500SS520 GREENE TWEED 9011-SC515 GREENE TWEED 9113-SS592 GREENE TWEED NO35335979SC513 GREENE TWEED 9236-SS610 GREENE TWEED 921B5-SD570 GREENE TWEED M040017000SS513 GREENE TWEED 5641-0307-SD570 GREENE TWEED 5641-0423-SD570 GREENE TWEED 9011-SC513 GREENE TWEED 9103-SC513 GREENE TWEED 9108-SC513 GREENE TWEED 9111-SC513 GREENE TWEED 9119-SC513 GREENE TWEED 9120-SC513 GREENE TWEED 9207-SC513 GREENE TWEED 9208-SC513 GREENE TWEED 9223-SC513 GREENE TWEED 9227-SC513 GREENE TWEED 9233-SC513 GREENE TWEED 9246-SC513 GREENE TWEED 9347-SC513 GREENE TWEED B3027-00324-1 GREENE TWEED M016000910SC513 GREENE TWEED 9268-SS592 GREENE TWEED 9380-SC513 GREENE TWEED 9372-SS592 GREENE TWEED 9236-SC513 GREENE TWEED 9326-SC513 GREENE TWEED 9120-SC520 GREENE TWEED 9107-SS592 GREENE TWEED 9005-SC513 GREENE TWEED 4201B38213SP888 GREENE TWEED 9011-SD505 GREENE TWEED 927B9-SS513 GREENE TWEED N026228791SC520 GREENE TWEED 5641-0504-SS520 GREENE TWEED N035335979SC513 GREENE TWEED 9232-SSE38 GREENE TWEED 9266-SSE38 GREENE TWEED 9371-SSE38 GREENE TWEED 5641-0368-SD570 GREENE TWEED AS-568A-061 GREENE TWEED 4201B16300SS513 GREENE TWEED 9158-SC513 GREENE TWEED 9159-SD550 GREENE TWEED 9240-SD570 GREENE TWEED 1073462-1 GREENE TWEED 303266-023 GREENE TWEED 303266-014 GREENE TWEED 9383-SD570 GREENE TWEED 9206-SC513 GREENE TWEED 9384-SSE38 GREENE TWEED 4201B25502SS513 GREENE TWEED 9278-SS513 GTI TECHNOLOGY RB6900ZZ GTI TECHNOLOGY 12D2D-2000 GTI TECHNOLOGY J-7-V4 GTI TECHNOLOGY D113037 GTI TECHNOLOGY D262008 GTI TECHNOLOGY RB-6001-ZZ GTI TECHNOLOGY RB-608ZZ GTI TECHNOLOGY GT-1S GTI TECHNOLOGY TL-WIR5MCI GTI TECHNOLOGY EE-SX672 GTI TECHNOLOGY 12K3 GTI TECHNOLOGY G162053 GTI TECHNOLOGY 12D136048 GTI TECHNOLOGY 12D136049 GTI TECHNOLOGY 8C1041 GTI TECHNOLOGY 8C1011 GTI TECHNOLOGY 4X50 120V45W GTI TECHNOLOGY E6C-CWZ5C GTI TECHNOLOGY E3S-RS30E4-30 GTI TECHNOLOGY C163024 GTI TECHNOLOGY C362008 GTI TECHNOLOGY D163004 GTI TECHNOLOGY C262076 GTI TECHNOLOGY 12BSU4 GTI TECHNOLOGY C163010 GTI TECHNOLOGY C262028 GTI TECHNOLOGY C262036 GTI TECHNOLOGY C262062 GTI TECHNOLOGY C262064 GTI TECHNOLOGY 12-28136 GTI TECHNOLOGY 6005ZZ GTI TECHNOLOGY BS32D1-A GTI TECHNOLOGY D162008 GTI TECHNOLOGY DKW-040416 GTI TECHNOLOGY G162054 GTI TECHNOLOGY C250831 GTI TECHNOLOGY PH544-A GTI TECHNOLOGY G162053-G162-54 GTI TECHNOLOGY N01 0119 GTI TECHNOLOGY 3 30-208 314 GTI TECHNOLOGY V04 12 GTI TECHNOLOGY N08 01 GTI TECHNOLOGY 3 30-208 220 :00 GTI TECHNOLOGY 3 30-219 200:08 GTI TECHNOLOGY 3 30-220 200:09 GTI TECHNOLOGY G01 57 GTI TECHNOLOGY 3 30-208 300:28M GTI TECHNOLOGY 6 36-200 400:01 GTI TECHNOLOGY 6 36-200 100:02N GTI TECHNOLOGY 3 30-211 200:04S GTI TECHNOLOGY 6 36-200 400:09 GTI TECHNOLOGY 6 36-200-100:02N GTI TECHNOLOGY PH544-NA-C4 GTI TECHNOLOGY TL-W1R5MC1 GTI TECHNOLOGY C164024 GTI TECHNOLOGY BS321D1-A GTI TECHNOLOGY G162053-G162054 H SQUARE CORP NOPQ H SQUARE CORP NOQ1 H SQUARE CORP T591PKAS3D H SQUARE CORP B000-272-3 H SQUARE CORP TC-3 H SQUARE CORP TC-2N H SQUARE CORP A000-222-4 H SQUARE CORP SQ21457-25 H SQUARE CORP SQ20710-1 H SQUARE CORP SQ21598-1 H SQUARE CORP T692PKAS-2R H SQUARE CORP SQ1346-1 H SQUARE CORP A000-785 HANSEN CORPORATION 237500-342 HARRINGTON INDUSTRIAL PLASTICS INGN2SP01 HARRINGTON INDUSTRIAL PLASTICS B003129AB HARRINGTON INDUSTRIAL PLASTICS 3-825202-1 HATHAWAY BLC02805-A00108 HDS HDA225P-R HERAEUS 64395 HERAEUS 64394 HERAEUS 108032-01 HERAEUS 108842-04 HERAEUS 108033-03 HERAEUS 64410 HERAEUS 61315 HERAEUS 64472 HERAEUS 63635 HERAEUS 64475 HERAEUS 64412 HERAEUS 108865-02 HERAEUS 64456 HERAEUS 108411-08 HERAEUS 34326 HERAEUS 1105-300128-12 HERAEUS 35147 HERAEUS 1105-300560-12 HERAEUS 1105-100259-12 HERAEUS 73020 HERAEUS 1105-300485-13 HERAEUS 43213 HERAEUS 55126 HERAEUS 1105-300153-11 HERAEUS 1105-300430-11 HERAEUS MJ-100564-OM HERAEUS MJ100564-ON HERAEUS MJ-305416-OF HERAEUS 34293 HERAEUS 1105-300418-11 HERAEUS 44798 HERAEUS 69857 HERAEUS 50694 HERAEUS 1105-300417-12 HERAEUS 2105-220148-11 HERAEUS 34333 HERAEUS 2105-320039-13 HERAEUS 2105-320067-11 HERAEUS 2105-420061-11 HERAEUS 2105-320068-11 HERAEUS 07-00606-00 HERAEUS 2185-026602-11 HERAEUS 2105-320139-11 HERAEUS 2105-320276-11 HERION 9502432 HERION 9213003 07 HERION 9213003 HERION 9504402 HEVI-DUTY 307664-001 HEWLETT PACKARD HP5517A HEWLETT PACKARD HP41420A HEWLETT PACKARD 107808 HEWLETT PACKARD 10703A HEWLETT PACKARD 63315E HEWLETT PACKARD 0960-0117 HEWLETT PACKARD 5384A HEWLETT PACKARD 09872-60066 HIAC/ROYCO 530-0002 HIAC/ROYCO 530-0003 HIAC/ROYCO 570-0006 HIGH VACUUM PRODUCTS BL-50 HIGH VACUUM PRODUCTS BL-112 HIGH VACUUM PRODUCTS 11212-0153R HIGH YIELD TECHNOLOGY PM255E-502 HIGH YIELD TECHNOLOGY 70-40/50FL HIGH YIELD TECHNOLOGY MDL70 HINE DESIGN 01270-106 HINE DESIGN 05570-003 HINE DESIGN 810-2140-005A HINE DESIGN 853-4290-001 HINE DESIGN 000-116-01 HINE DESIGN 00107-801 HINE DESIGN 00108-803 HINE DESIGN 00107-806 HINE DESIGN 04290-201 HINE DESIGN 10000-087 HINE DESIGN 10000-401 HINE DESIGN 10000-489 HINE DESIGN 10100-225 HINE DESIGN 07100-815 HINE DESIGN R94-1164 HINE DESIGN 778-2I HITACHI 1-808747-B HITACHI 2-816733-A HITACHI 555-1015 HITACHI 585-4496 HITACHI 2-820852-C HITACHI 2-820987-1 HITACHI J40-9634 HITACHI 8MBU-406 HITACHI 545-1148 HITACHI 567-8706 HITACHI 567-8707 HITACHI 2-812733-B HITACHI 2-824669-B HITACHI 3-837902-01 HITACHI 567-0995 HITACHI 545-3750 HITACHI 567-8711 HITACHI 567-0036 HITACHI 567-0994 HITACHI 2-819046-A HITACHI 545-5592 HITACHI 565-0701 HITACHI 565-0705 HITACHI 565-0708 HITACHI 565-0709 HITACHI 565-5010 HITACHI 566-0767 HITACHI 566-5510 HITACHI 566-5554 HITACHI 566-6534 HITACHI 580-5262 HITACHI 588-6502 HITACHI 566-1351 HITACHI DS2003 HITACHI 3-837424-06 HITACHI 2-821453-01 HITACHI 3-836308-01 HITACHI 3-827676-15 HITACHI 3-837424-01 HITACHI 3-835086-01 HITACHI 3-835086-03 HITACHI 3-832777-04 HITACHI 3-837428-09 HITACHI 3-827667-03 HITACHI 533-1101 HITACHI 545-1138 HITACHI 567-1488 HITACHI 304-02193-00A HITACHI 2-821454-D HITACHI 3-821697-B HITACHI 29K00006 HITACHI 29K00008 HITACHI 4-818187-A HITACHI 3-825095-B/E HITACHI 4-818188-01 HITACHI 2-828807-A HITACHI 2-824669-C HITACHI 2-814363-C HITACHI 3-824791-C HITACHI 4-808625-B HITACHI 2-816006-A HITACHI 2-816006-B HITACHI 2-818813-1 HITACHI 2-828763-1 HITACHI 1-810974-N HITACHI 3-828116-E HITACHI 1-807875-L HITACHI 2-820613-1 HITACHI 1-807882-A HITACHI 3-820005-A HITACHI 3-821491-B HITACHI 4-814081-A HITACHI 1-809004-E HITACHI 3-837672-1/R HITACHI 2-813253-4 HITACHI 3-821401-A HITACHI 3-845059-A HITACHI 2-824470-3 HITACHI 2-812829-D HITACHI 2-822959-A HITACHI 2-818153-B HITACHI 3-835488-2 HITACHI 3-841859-1 HITACHI 3-842097-A HITACHI 3-842099-A HITACHI 2-824108-A HITACHI 2-824206-A HITACHI 3-821688-A HITACHI 3-836046-A HITACHI 2-824344-A HITACHI 3-834150-A HITACHI 3-817928-E HITACHI 1-814278-B HITACHI 4-811820-A HITACHI 3-840114-2 HITACHI 3-848367-A HITACHI 3-825020-A HITACHI 3-824115-A HITACHI 1-817472-A HITACHI 2-811786-B HITACHI 2-827312-A HITACHI 1-807487-B HITACHI 1-809778-C HITACHI 2-812623-A HITACHI 3-833535-1 HITACHI 1-809004-C HITACHI 1-810974-27 HITACHI 2-812828-A HITACHI 2-814562-A HITACHI 2-812623-B HITACHI 2-817301-A HITACHI 580-4289 HITACHI 567-3679 HITACHI 589-3565 HITACHI 545-1114 HITACHI 2-822713-A HITACHI 2-184358-B HITACHI 3-821449-D HITACHI 4-815925-A HITACHI 2-821527-1 HITACHI 4-823411-11 HITACHI 3-832863-1 HITACHI 3-821755-2 HITACHI 3-821811-B HITACHI 3-829078-A HITACHI 2-813722-A HITACHI 3-932863-1 HITACHI 545-4454 HITACHI 2-813476-a HITACHI 3-822922-A HITACHI 2-818909-A HITACHI 1-814249-H HITACHI 3-842180-03 HITACHI 3-832776-07 HITACHI 3-833468-01 HITACHI 3-833620-B HITACHI 3-833469-01 HITACHI 3-848726-A HITACHI 1-818163-A HITACHI 2-824497-01 HITACHI 2-826159-A HITACHI 2-826159-E HITACHI 2-818153-A HITACHI 2-814358-B HITACHI 3-823794-B HITACHI 3-824973-E HITACHI 2-812732-A HITACHI 2-818462-A HITACHI 3-819718-A HITACHI 3-834401-01 HITACHI 3-834423-01 HITACHI 3-833717-01 HITACHI 2-821400-02 HITACHI 2-822273-A HITACHI 3-835237-B HITACHI 3-835236-A HITACHI 1-813991-01 HITACHI 2-827591-01 HITACHI 2-825652-A HITACHI 3-838183-01 HITACHI 2-812310-F HITACHI 3-823842-C HITACHI 4-817494-2 HITACHI 3-834876-02 HITACHI 3-850755-A HITACHI 1-824028-G HITACHI 2-810512-A HITACHI S2-84407-B HITACHI S3-90296-01 HITACHI S3-90321-01 HITACHI S3-90626-01 HITACHI 3-823342-A HITACHI 1-813701-02 HITACHI 2-812422-01 HITACHI 2-818859-01 HITACHI 2-822038-A HITACHI 3-833717-90 HITACHI 2-821453-90 HITACHI 1-810715-D HITACHI 2-815801-C HITACHI 2-824470-C HITACHI 2-817303-A HITACHI 900-M308 HITACHI 2-814562-02 HITACHI 2-823753-A HITACHI 2-812306-A HITACHI 3-825202-1 HITACHI 2-821400-90 HITACHI 3-838425-90 HITACHI 3-827677-5 HITACHI 4-814092-B HITACHI K43-9000 HITACHI 271-3162 HITACHI 2-813517-04 HITACHI 567-0410 HITACHI 567-3073 HITACHI 567-3072 HITACHI 567-3071 HITACHI 580-4614 HITACHI EMO-2304 HITACHI 567-2437 HITACHI 2-811904-B HITACHI 2-823219-1 HITACHI 2-815801-F HITACHI 2-814562-05 HITACHI 569-2222 HITACHI 03-822518-A HITACHI 03-823342-A HITACHI 03-848367-A HITACHI 03-842011-01 HITACHI 3-819728-A HITACHI 2-813484-A HITACHI 3-823123-07 HITACHI 3-823123-08 HITACHI 3-823123-11 HITACHI 3-832525-10 HITACHI 3-832776-02 HITACHI 3-836228-01 HITACHI 3-837424-02 HITACHI 2-825846-21 HITACHI 3-827677-04 HITACHI 3-848507-01 HITACHI 1-824061-01 HITACHI 2-823796-01 HITACHI 4-825811-01 HITACHI S3-90624-A HITACHI S3-90625-^A HITACHI 3-814447-B HITACHI 3-814447-M HITACHI 3-851389-A HITACHI 2-819642-N HITACHI 2-811786-A HITACHI S2-82136-^C HITACHI S3-85133-*A HITACHI 4-822360-001 HITACHI S2-82136-*C HITACHI 4-817838-B HITACHI 2-806323-B HITACHI 3-811627-10 HITACHI 3-837426-04 HITACHI 4-821707-01 HITACHI 3-832745-02 HITACHI 3-827667-00 HITACHI 3-827667-17 HITACHI 3-827667-07 HITACHI 3-827667-13 HITACHI 3-827428-05 HITACHI 3-827428-12 HITACHI 3-827667-06 HITACHI 3-827673-06 HITACHI 3-827673-04 HITACHI 3-827668-03 HITACHI 3-827676-03 HITACHI L456108 HITACHI 3-821733-01 HITACHI 3-8276276-06 HITACHI L913053 HITACHI 567-0334 HITACHI 3-829224-02 HITACHI 3-829305-05 HITACHI 3-827674-04 HITACHI S3-82894-02 HITACHI 3-829224-04 HITACHI 3-837424-13 HITACHI 3-829224-10 HITACHI 664-014 HITACHI 3-821577-16 HITACHI 49-0241 HITACHI Z20330AB HITACHI 567-0632 HITACHI 3-827674-16 HITACHI 3-842612-01 HITACHI 3-820976-17 HITACHI 3-827674-21 HITACHI 3-827674-20 HITACHI 3-837428-05 HITACHI 3-837428-12 HITACHI 3-837467-01 HITACHI 3-832745-03 HITACHI 3-820976-20 HITACHI 3-829303-10 HITACHI S4-85383-01 HITACHI 3-837424-10 HITACHI KE4576 HITACHI 3-832746-05 HITACHI 2-818946-12 HITACHI 567-1168 HITACHI 3-827676-16 HITACHI 3-837424-04 HITACHI 567-0845 HITACHI 3-839587-B HITACHI 4-819370-02 HITACHI 4-820145-01 HITACHI 4-819868-01 HITACHI S3-87238-01 HITACHI S4-87243-02 HITACHI NW10PIPE HITACHI S4-86589-02 HITACHI 3-839583-A HITACHI 3-827676-21 HITACHI 3-827676-18 HITACHI 3-835026-01 HITACHI 2-819247-04 HITACHI 3-844213-01 HITACHI 3-815069-05 HITACHI S4-86765-01 HITACHI S4-86765-02 HITACHI 3-848419-A HITACHI 3-848420-A HITACHI S4-86765-03 HITACHI S4-86765-04 HITACHI S4-86765-05 HITACHI 3-821697-01 HITACHI R364G02ASS HITACHI S3-83773-01 HITACHI S3-87237-01 HITACHI 3-834569-01 HITACHI 3-834570-01 HITACHI 567-1510 HITACHI 3-844213-05 HITACHI S2-82136-*B HITACHI 4-819837-01 HITACHI 3-824007-A HITACHI S2-82136-*D HITACHI S3-85130-A HITACHI 3-821414-03 HITACHI 2-824898-B HITACHI S3-87236-01 HITACHI 3-836503-1 HITACHI 3-848421-A HITACHI 4-820328-01 HITACHI 3-812922-05 HITACHI 2-818963-A HITACHI 567-0633 HITACHI 3-832486-01 HITACHI 021E-1140 HITACHI 580-3427 HITACHI 1073890 HITACHI 2-819200-02 HITACHI 3-835024-02 HITACHI 2-819247-01 HITACHI 3-832526-12 HITACHI 2-821894-E HITACHI S3-83369-01 HITACHI 3-837875-01 HITACHI 4-821893-01 HITACHI 3-832776-01 HITACHI S3-87155-*A HITACHI 3-832525-11 HITACHI CM125-PS-120 HITACHI 3-832649-01 HITACHI 3-848422-A HITACHI 2-819200-01 HITACHI 2-819247-02 HITACHI 2-818853-A HITACHI 2-815888-11 HITACHI 3-842095-02 HITACHI 2-823927-01 HITACHI 2-821152-01 HITACHI M100B11CR1BV-S HITACHI 1640A-011 HITACHI 3-832526-09 HITACHI 3-832776-04 HITACHI 3-823123-01 HITACHI 3-842101-02 HITACHI 2-821429-D HITACHI 1-818500 HITACHI 2-821429-C HITACHI 3-840400-02 HITACHI 2-827591 HITACHI 3-838183-02 HITACHI 3-834400-01 HITACHI 3-842088-04 HITACHI 3-838186-02 HITACHI 3-826996-05 HITACHI 2-818962-A HITACHI 2-821302-05 HITACHI 2-822157-01 HITACHI 2-822567-A HITACHI 3-838423-05 HITACHI 3-838425-01 HITACHI 2-820247-01 HITACHI 3-825593-02 HITACHI 54K00037 HITACHI 64K00005(G4IDC5) HITACHI 64K00006(G4ODC5) HITACHI 6818ZZ HITACHI 6824VV HITACHI 6826VV HITACHI M0700-79045-003 HITACHI TH00011 HITACHI NTK-6 HITACHI 3-824295-04 HITACHI DS1225AD HITACHI AB-41-02-5-R3A HITACHI 3-836510-06 HITACHI RGCV01PO1 HITACHI WGGB-40S-01 HITACHI AFMO-40-14E-W HITACHI AMD21-10-8-1 HITACHI AMD31-15-12 HITACHI CS-A110-4E1-83-PSL HITACHI CS-A113-4E2-83-PSL HITACHI H3Y-4DC24-30S HITACHI PVDFTYPE346 HITACHI 6500554 HITACHI 60K00013 HITACHI ABN111 HITACHI 3200282 HITACHI AT-MC12T HITACHI 58K00001 HITACHI 91320-55001 HITACHI 2-815886-01 HITACHI 3-842100-02 HITACHI BELDEN9452 HITACHI GLC100-ST41 HITACHI P0022-B HITACHI 183-1653 HITACHI 231-7754 HITACHI 15K00020 HITACHI AD290A HITACHI ADC-35(PC) HITACHI EC-20HRP HITACHI GLC100-ST41-24V HITACHI GSCN-Q2HBTC100-240 HITACHI P7694 HITACHI 29K00003 HITACHI 2-818225-01 HITACHI 29K00010 HITACHI 567-0942 HITACHI 3-825301-3 HITACHI 3-821529-A HITACHI 2-323784-17 HITACHI 29K00007 HITACHI D4CX02941 HITACHI D4DK23125 HITACHI D4KX85189 HITACHI 2-820290-B HITACHI 3-835085-A HITACHI 3-823139-A HITACHI 2-820859-1 HITACHI 2-826159 HITACHI 567-1321 HITACHI 1-815719-01 HITACHI 3-829146-C HITACHI 4-823411-1 HITACHI 3-832968-F HITACHI 4-815237-A HITACHI 3-821378-A HITACHI 1-807877-C HITACHI 1-807878-C HITACHI 3-822518-A HITACHI 3-827900-A HITACHI 3-824183-03 HITACHI 3-824769-B HITACHI 533-0286 HITACHI 545-3730 HITACHI 566-1939 HITACHI 5456568 HITACHI 567-3433 HITACHI 5670881 HITACHI 5670882 HITACHI K621717 HITACHI 3820976-17 HITACHI 2-818962-*A HITACHI 1-813701-03R HITACHI 1-823764-01 HITACHI 2-824310-^E HITACHI 2-825485-^B HITACHI 2-828670-^A HITACHI 2-829120-^B HITACHI 2-829120-^C HITACHI 2-829120-^D HITACHI 2-829120-^E HITACHI 3-850134-^A HITACHI 3-850135-^A HITACHI S2-84410-^A HITACHI S2-84410-^B HITACHI S3-85139-^A HITACHI S3-90304-^A HITACHI S3-90319-^A HITACHI 3-834515-02 HITACHI 3-850138-^A HITACHI L53-6233 HITACHI 545-1303 HITACHI 545-5591 HITACHI 565-0713 HITACHI 565-0737 HITACHI 565-0738 HITACHI 565-1042 HITACHI 565-1047 HITACHI 565-1454 HITACHI 566-0833 HITACHI 566-2405 HITACHI 566-5506 HITACHI 580-5241 HITACHI 580-5321 HITACHI 580-5414 HITACHI J33-9110 HITACHI J38-6012 HITACHI K43-3004 HITACHI K59-1290 HITACHI K62-1568 HITACHI M54-4065 HITACHI HTE-621 HITACHI E-420379 HITACHI J9A58 HITACHI 9635 J12A37 HITACHI 200VX1 5KW HITACHI NA21-6FB HITACHI 565-1446 HITACHI K59-1190 HITACHI 566-6534R HITACHI 3-836923-01 HITACHI 1-812657-02 HITACHI 912-F1002-30 HITACHI 2-821440-A HITACHI 3-833820-03 HITACHI 1810-222662-11 HITACHI 3-836503-01 HITACHI 2-822037-A HITACHI 3-832983-C HITACHI 3-829146-01 HITACHI 3-835552-01 HITACHI 2-819062-A HITACHI 3-838401-01 HITACHI 2-818853-A HITACHI 2-812310-02 HITACHI 3-829146-02 HITACHI 3-833625-01 HITACHI 3-836509-02 HITACHI 4-819975-01 HITACHI 3-823842-03 HITACHI ES1805-220014-14 HITACHI 2-821894-B HITACHI 757-1063 HITACHI 545-4462 HITACHI 580-5443 HITACHI 3-838425-02 HITACHI 3-838448-A HITACHI ADC1102 HITACHI SFC480CMO-4V4C2    Q, O-RING CHEMRAZ 9221-SC513
67    Q, O-RING CHEMRAZ 9214-SC513
68    Q, O-RING CHEMRAZ 9453-SC513
69    Q, O-RING CHEMRAZ 9330-SC513
70    Q, O-RING CHEMRAZ 9107-SC513
71    Q, O-RING CHEMRAZ 9123-SC513
72    Q, O-RING CHEMRAZ 9110-SC513
73    Q, O-RING CHEMRAZ 9014-SC513 #4835
74    Q, O-RING CHEMRAZ 008 SC513  # 9008-SC513
75    Q, O-RING CHEMRAZ  9014-SC513
76    Q, O-RING CHEMRAZ  9346-SC520
77    Q, Oring Chemraz AS 568-259 SC657 #9259-SC657
AMAT 100001419 | BELLOWS ASSY KIT #100001419
Details

AMAT 3870-01646 | SOLENOID VLV 3870-01646
Details

AMAT 0020-30196 | RF LID, THERMAL STRIP, 0020-30196, AMT-M1A
Details

AMAT UNBRANDED | VALVE SLIT AMAT

SMIT         WAC25-8mm-6mm
GIN 3750-0 15 FU    0010-21616    OPM New    MAGNET ASSY P4
15    0010-20221    OPM New    MAGNET,REM 11 03 TI ASY
16    0010-20221W    OPM New    wMAGNET,REM 11 03 TI ASY
17    0010-22043    OPM New    ASSY PVD MAGNET
18    0010-26441    OPM New    MAGNET ASSY DURA SOURCE 13 AL
19    0010-05940    OPM New    ASSY, RH-3 MAGNET RP
20    0010-20289    OPM New    ASSY, 200MM PLASTIC CASSETTE/HANDLER LEF
21    0010-20224    OPM New    MAGNET -A- 11 3 AL Ass`y
22    0010-21940    OPM New    MAGNET ASSY, G-3 Encapsulated
23    0010-12864    OPM New    MAGNET, SIP-Encore CU, LP-8 8, 8"
24    0010-20819    OPM New    MAGNET ASSY 13"
25    0010-20818    OPM New    MAGNET ASSY A-TYPE 13"
26    0010-20389    OPM New    MAGNET ASSY DURA SOURCE 11 3
27    0010-21465    OPM New    MAGNET ASSY TI DURA SOURCE 11 3
28    0010-20223    OPM New    MAGNET REM 11 3, TIN ASSY
29    0010-20222    OPM New    MAGNET, REM 11 3" TIW
30    0010-04065    OPM New    MAGNET Ass`y, SIP-TTN, REV2, 8"
31    0010-21206    OPM New    MAGNET Ass`y, Dura source 13" JMW1
32    0010-21676    OPM New    MAGNET Ass`y
33    0010-20768    OPM New    G-12, 8" MAGNET Ass`y
34    0010-01198    OPM New    G-3  MAGNET Ass`y
35    0010-21767    OPM New    MAGNET ASSY
36    0010-26451    OPM New    MAGNET ASSY
37    0010-21403    OPM New    Endura Source TTN Minus G Type
38    839-021113-002    OPM New    LAM 300mm INNER ELECTRODE (GBE Type)
39    839-021113-095    OPM New    LAM 300mm INNER ELECTRODE (GBE Type)
40    839-020965-005    OPM New    LAM 300mm OUTER ELECTRODE (GBE Type)
41    839-020965-020    OPM New    LAM 300mm OUTER ELECTRODE (GBE Type)
42    839-020965-095    OPM New    LAM 300mm OUTER ELECTRODE (GBE Type)
43    839-052157-010    OPM New    LAM 300mm INNER ELECTRODE (ABE Type)
44    839052158-020    OPM New    LAM 300mm OUTER ELECTRODE (ABE Type)
45    839-044157-431    OPM New    LAM 300mm INNER MONO ELECTRODE
46    716-069688-005    OPM New    LAM 300mm INNER ELECTRODE (TALON Type)
47    716-069688-022    OPM New    LAM 300mm INNER ELECTRODE (TALON Type)
48    716-082039-001    OPM New    LAM 300mm OUTER ELECTRODE (TALON Type)
49    716-082039-002    OPM New    LAM 300mm OUTER ELECTRODE (TALON Type)
50    839-086924-021    OPM New    ASSY,STUD,SOCKET (LAM 300mm FLEX-45)
51    716-022030-004    OPM New    SLV,QTZ,STEPPED,PIN(1C) (LAM 300mm FLEX-EX)
52    839-086924-025    OPM New    ASSY,STUD,SOCKET (LAM 300mm FLEX-DX)
53    716-012640-012    OPM New    WDO  GAS INJ, FACE SEAL, QR, Quartz Disk(Kiyo)
54    839-044157-031    OPM New    UPPER ELECTRODE INNER FLEX45
55    716-082039-025    OPM New    TOP ELECTRODE OUTER
56    716-069688-041    OPM New    TOP ELECTRODE INNER
57    716-018468-092    OPM New    GND Cover Ring
58    716-087943-812    OPM New    QUARTZ COVER RING INNER
59    716-031257-531    OPM New    TOP EDGE RING
60    716-013402-053    OPM New    Hot Edge Cover Ring
61    716-018468-292    OPM New    GND Cover Ring
62    0010-01231    OPM New    Magnet assy
63    0010-03485    OPM New    300mm Magnet Assy
64    0010-03487    OPM New    MAGNET, TIN/TTN ASSY 300MM PVD
65    0010-23840    OPM New    300mm MAGNET ASSY
66    0010-25739    OPM New    ENDURA II HY-11, DS-TTN
67    0010-03488    OPM New    MAGNET, IMP 300mm PVD
68    713-018296-003    2nd New    INJECTOR SPACER
69    720-092464-008    2nd New    MOUNTING SCREW
70    714-801511-002    2nd New    CHAMBER LINER, NECK 300MM
71    716-008608-001    2nd New    SAPPHIRE WINDOW
72    715-042721-810    2nd New    LINER, SYM, HI FLOW, 2300 (Chamber Liner)
73    714-045744-008    2nd New    LINER, CANTILVR, OUTR LNR (Liner Door)
74    839-045710-807    2nd New    ASSY, SYM DOOR, 2300 (Shutter)
75    714-045709-002    2nd New    BLK, FLOW, SIM CANTILVR, 23 (Liner or Shutter)
76    714-045743-809    2nd New    LINER, FIXED OUTR, ACME, 23 (ACME Liner out 31)
77    0200-18093    2nd New    Side Nozzle
78    0190-13175    2nd New    Centura 5200 EPI - Light Pen
79    0030-70046    2nd New    MACHINING,BRKT CLAMP SOURCE
80    0021-09758    2nd New    MAINFOLD, OUTPUT,DXZ
81    0050-75433    2nd New    ROUGHING LINE,TURBO ADAPTER CVD TIN,TXZ
82    0040-54484    2nd New    EXHAUST TEE, TURBO, 200MM TXZ
83    0040-63457    2nd New    WATER BOX, TXZ 200MM C I P
84    0190-13558    2nd New    FEEDTHRU, VECTRA IMP
85    0010-20317    2nd New    Degas Lamp Assy
86    0240-23355    2nd New    KIT, HTHU HEAT SHIELD, W/C, 6", 8"
87    0010-20754    2nd New    PEDESTAL LIFT ASSY PC II
88    0100-01792    2nd New    5Phase Drive Interface BD
89    0050-26886    2nd New    WELDMENT N2 PURGE INPUT XFER
90    0050-30403    2nd New    WELDMENT N2 PURGE COOLDWN CHM
91    0090-70019    2nd New    SW MAGNETIC CONTACT ASSY
92    0140-05204    2nd New    HARNESS ASSY, W/ ORIENTER CH E LIFT INTE
93    0021-09721    2nd New    BRACKET,HEATER LIFT DXZ
94    0190-09459    2nd New    Optical  cable  (RE_C)
95    0020-10117    2nd New    TEOS SHOWER HEAD 200mm
96    0020-42262    2nd New    OUTPUT MAINFOLD- INNER
97    0040-31980    2nd New    GAS BOX EC WXZ
98    0040-22803    2nd New    BRACKET, LEFT MATCH BOX SUPPORT
99    0040-22802    2nd New    HUB SPACER B101 HEATER
100    0020-20356     2nd New    CAP 8 BLADE, FROG LEG
101    0020-21025    2nd New    CLAMP 8 BLADE TRANSFER FROG LEG
102    0020-29127    2nd New    BRACKET FLOW SWITCH PVD IMP
103    0020-21219    2nd New    BASE CD/PT WAFER 150 MM
104    0020-21089    2nd New    COVER, CHAMBER INTERCONNECT BD
105    0040-76073    2nd New    ARM, WELDMENT, SOURCE, W/B
106    0020-23042    2nd New    ADAPTOR SOURCE 13"
107    0020-22263    2nd New    ADAPTER PVD WATER LINES ON BOARD CRYO
108    0020-23836    2nd New    PLATE ADAPTER CLAMP G-12
109    0020-70822    2nd New    LID BRACKET
110    0020-20913    2nd New    BOX HEATER AC POWER
111    0020-22838    2nd New    LIFT HOOP, PRELEAN
112    0020-21222    2nd New    HOOP CD/PT 150 MM
113    0020-70823    2nd New    ORIENT COVER
114    0040-22203    2nd New    AL BLOCK
115    0040-20703    2nd New    WIDE CHAMBER WALL
116    0010-21803    2nd New    UPPER DC HOUSING W/BUSS BAR
117    0020-20784    2nd New    TC HOLDER
118    0020-22079    2nd New    FINGER SUPPORT, HOOP CD/PT 150
119    0020-22414    2nd New    8 CHUCK, TC
120    0020-22982    2nd New    ADAPTER TIN 8 WAFER CHAMBER 16
CHAMBER LID(ADAPTOR)(G-12)
121    0020-23208    2nd New    LIFT FINGER, 101% COVERAGE,WIDE BODY
122    0020-23286     2nd New    COVER, INSULATOR SOURCE(G-12)
123    0020-23442    2nd New    COVER PLUMBING MOTORIZED LFT
124    0020-23441    2nd New    BRACKET PLUMBING MOTORIZED LFT
125    0020-23621     2nd New    FRAME, SOURCE G12
126    0020-24291    2nd New    MOUNTING PLATE PC 2 RF MATCH
127    0020-25304    2nd New    CAP, HTHU  6 HEATER
128    0020-26899    2nd New    HEAT SHIELD HTHU HEATER LEFT
129    0020-27103    2nd New    LIFTER HOOP(8")
130    0021-20495    2nd New    HEAT SHIELD, RIGHT HALF, HTHU
131    0040-20727    2nd New    TUBE FLANGED HTR RF LOWER ESC/HT HTR/P
132    0020-20656    2nd New    BUSS BAR LOWER 8"
133    0020-20655    2nd New    BUSS BAR UPPER 8"
134    0020-21218    2nd New    BASE CD WAFER 200 MM
135    0020-22387    2nd New    8HOOP WITH TC
136    0020-22570    2nd New    LIFT HOOP 6, SHUTTER CHAMBER
137    0020-22980    2nd New    PLATE CONTACT DC, LEFT TIN 8 WAFER
138    0020-23487    2nd New    BRACKET MOUNTING 2-PHASE DRIVER
139    0020-26691    2nd New    PIN, HTHU 6" HEATER
140    0021-06099    2nd New    COVER MIXING INSERT LID PRODUCER 200MM
141    0021-06499    2nd New    MANIFOLD, TEFLON FEED THRU, LID, CH PRDC
142    0021-26841    2nd New    SHORT MIXING BLOCK INSERT, CHAMBER LID M
143    0040-01618    2nd New    MANIFOLD, INPUT, 200MM PRODUCER
144    0040-47028    2nd New    MANIFOLD, OUT, RH, 200MM, PRODUCER, SACV
145    0040-47029    2nd New    MANIFOLD, OUT, LH, 200MM, PRODUCER, SACV
146    0020-10771    2nd New    PERF PLATE 150MM OX(TEOS)
147    0040-02132    2nd New    LID PLATE BKM1 ENHANCED TXZ 200MM
148    0040-02520    2nd New    GAS BOX, SILANE, 200MM PRODUCER
149    0040-61877    2nd New    LID, PUMPING PLATE, TEOS, GIGAFIL, SACVD
150    0020-29343    2nd New    SHIELD CLAMP, DARKSPACE  727 TALL VECTR
151    0020-27804    2nd New    PEDESTAL, A101 HI-PWR POIS COH-TITIN
152    0020-23041    2nd New    CLAMP, SHD 8" WAFER
153    0020-24914    2nd New    COVER RING  SST  8 101 COVERAGE
154    0020-26973    2nd New    8 TI SHUTTER DISK
155    0020-28937    2nd New    COVER, 8 PEDESTAL ADVANCED 101
156    0020-42082    2nd New    PLATE, PUMPING, 8 FC, WXZ
157    0040-02609     2nd New    LINER, CATHODE, MAGNET, SUPER-E
158    0040-22274    2nd New    ADAPTER, REV 4 3/4 2 VECTRA IMP
159    0020-75988    2nd New    LIFTER, 200MM WAFER ORIENTER, OPTIMA (DPS)
160    0020-33806    2nd New    CHAMBER, UPPER, DPS A3(POLY)
161    0021-38119    2nd New    FACEPLATE, DXZ DCVD CENTER HOLE
162    0021-19495    2nd New    HOOP, 200MM, ASP
163    0021-09761    2nd New    GAS BOX, SIN, DXZ
164    0020-34736    2nd New    MANIFOLD INPUT(DXZ TEOS)
165    0021-09760    2nd New    GAS BOX(DXZ TEOS)
166    0020-26961    2nd New    PLATE PUMPING 200MM SHADOW RING BWCVD
167    0021-01598     2nd New    FACEPLATE, NICKEL TI-XZ
168    0021-06044    2nd New    INSERT, MIXING BLOCK, FLUORINE GENERATOR
169    0021-09718    2nd New    SLIT LINER CHAMBER (SUPER-E)
170    0040-01783    2nd New    ADAPTER GAS LINE DXZ
171    0040-01834    2nd New    MANIFOLD, INPUT, DXZ, FLUORINE GENERATOR
172    0040-47723    2nd New    MANIFOLD, OUTPUT, 1 HOLE, RIGHT, 200MM P
173    0040-47724    2nd New    MANIFOLD, OUTPUT, 1 HOLE, LEFT, 200MM PR
174    0040-53913    2nd New    MNFLD,OUTPUT,MIXING BLOCK,DXZ,FLUORINE G
175    0020-20597    2nd New    10 channel gasbox cover
176    0020-21217    2nd New    COVER, 10 CHANNEL GASBOX
177    0020-21068    2nd New    BRACKET, REAR STEP, RIGHT
178    0021-23198    2nd New    HOOP, LIFT, OPEN, 8 MCA E-CHUCK
179    0020-34431    2nd New    BRACKET, ENDPOINT, DPS MEC(Metal)
180    0020-75144    2nd New    ACTUATOR SAFETY VALVE
181    0020-75145    2nd New    MOUNT, SAFETY VALVE
182    0010-76136    2nd New    ASSY, WAFER LIFT HTHU HEATER
183    0040-20811    2nd New    ADPATER ELBOW WITH CRYO BAFFLE ROUGHING
184    0040-20697    2nd New    ARM SOURCE WELDMENT HIGH  TEMP CHMBR
185    0020-28744    2nd New    COVER PLATE
186    0020-27123    2nd New    PEDESTAL, PCII 8" SNNF
187    0020-76384    2nd New    INTLK TRIP BRACKET, PCII RESN
188    0020-20699    2nd New    CLAMP AR FLEX 8"  GATE VALVE
189    0020-20700    2nd New    CLAMP END AR FLEX 8 GATE VALVE
190    0020-23951    2nd New    BLADE 8" SHUTTER
191    0020-20752    2nd New    FINGER HOOP 200MM CD
192    0020-29409    2nd New    CONDUCTOR,FLEX DC BIAS
193    0040-76528    2nd New    WELDMENT, 8" HOOP, PVD STD CHAMBER
194    0040-21333    2nd New    Sub Panel Standard Serial/Video PCB
195    0020-22821    2nd New    Cover, Wafer Map LED
196    0020-23203    2nd New    Cup, RF Match INTLK
197    0020-29311    2nd New    spacer, source guide pin, vectra imp
198    0021-07979    2nd New    Bracket, Collimator High Unifomity
199    0020-20817    2nd New    COVER, FRONT LEG
200    0040-20165    2nd New    BRACKET VCR CROSS MIXED
201    0040-86545    2nd New    BRACKET WATER FLOW
202    0040-20037    2nd New    UNION, FLEX "T" 8" GATE VALVE
203    0020-70717    2nd New    LEVER, GAS POST, MACHINED
204    0020-13998    2nd New    PLATE, SOURCE ALIGNMENT PIN
205    0020-40703    2nd New    KEY, COUPLING MAIN SHAFT INDEXER
206    0020-20500    2nd New    SHIELD CRYO, ROUND
207    0020-20484    2nd New    HUB END LAMP COVER
208    0020-23642    2nd New    GUIDE RF MATCH MODIFIED
209    0020-75852    2nd New    Load lock Cassette stage(WBLL) Kit
210    0041-02999    2nd New    COVER, H2 SENSOR AND DISPLAY BRACKET, CVD GAS BOX
211    0020-13992    2nd New    CASSET 200MM WBLL CMF CENTURA
212    0021-21704    2nd New    SCREEN RF SHIELD, 6 VIEWPORT IMP, PVD
213    0270-20044    2nd New    TOOL CALIB  ORIENTER 8" SEE
214    0030-40007    2nd New    BRACKET, MAIN, LLC, NON-ENP
215    0020-40977    2nd New    COVER, WIRE, DOOR MECH
216    0020-41091    2nd New    MOUNT SAFETY VALVE
217    0020-23837    2nd New    SPACER 6-12 SOURCE GUIDE PIN
218    0040-20055    2nd New    FEED THRU ADJ AR
219    0020-13999    2nd New    BRKT, SOURCE, ALIGNMENT PIN
220    0020-27668    2nd New    BLADE 8 101 SHUTTER
221    0020-21901    2nd New    2HOLE BRACKET
222    0020-23640    2nd New    LIFT MOUNT BLOCK
223    0020-76383    2nd New    TRIP BRACKET,INTLK,EXT PCII
224    0020-29036    2nd New    FLAG,UPPER MOTORIZED LIFT EXTENSION
225    0020-27205    2nd New    CLAMP RING 8 INCH SNNF HTHU 6 PADS
226    0040-23094    2nd New    PANEL DISK DRIVE SUPT SYSTEM CONTROLLER
227    0020-23760    2nd New    INTERLOCK BRACKET PC 2 RF MATCH
228    0020-24410    2nd New    BRACKET INTERLOCK CATHODE LIFT
229    0020-23759    2nd New    INTERLOCK HOUSING PC 2 RF MATCH
230    0020-23754    2nd New    RF MATCH INTLK BRACKET PREDEAN2
231    0020-24230    2nd New    BASE PRECLEAN 2
232    0020-47952    2nd New    REFLECTOR-ADJ PLATFORM WBLL CMF CENTURA
233    0020-13977    2nd New    L/L Wafer Present&Slid Sensor Cover(Wide)
234    0021-04248    2nd New    HOOP LEFT EXTENDED 8"/6" B101
235    0040-20726    2nd New    SHIELD,HTHU HEATER RF TOP
236    0020-23165    2nd New    BRACKET CONNECTOR, A L L  CASSETTE SENSO
237    0020-40702    2nd New    FLAG,ROTATION
238    0020-40701    2nd New    FLANGE, DRIVER
239    0040-22804    2nd New    BRACKET, RIGHT MATCH BOX SUPPORT
240    0020-20113    2nd New    HUB DC BIAS, SHIELD ASSY
241    0020-40002    2nd New    KEY ACTUATOR
242    0020-40006    2nd New    MOUNT, DOUBLE SENSOR, INDEXER
243    0021-36056    2nd New    TXZ GAS FEED THROU END CAP
244    0020-28703    2nd New    BRACKET, LOADBLOCK PANEL TOP
245    0020-20068    2nd New    SIDE COVER, GAS BOX
246    0020-25477    2nd New    DISK HUB HEATER ESC/HT HEATER
247    0020-22379    2nd New    BRKT MTG SMOKE DETECTOR
248    0020-27812    2nd New    PIN ALIGNMENT G-12 SOURCE FRAME
249    0020-29035    2nd New    FLAG, LOWER MOTOR LIFT EXT
250    0020-24057    2nd New    BRKT VALVE WATER
251    0040-21289    2nd New    ADAPTER 16
252    0020-75994    2nd New    PLATE TOP PLATFORM ENP WBLL CMF CENTURA
253    0020-25694    2nd New    BLOCK MTG HEATER 1 18 DIA SFT MTR LIFT
254    0010-38646    2nd New    TXZ CHAMBER HINGE ASS'Y
255    0020-20523    2nd New    CAP, LAMP FEEDTHRU
256    0021-76742    2nd New    OUTRIGGER SUPPORT BAR SMIF INTERFACE
257    0020-23931    2nd New    PAD THIN POST COVER LIFT
258    0020-23932    2nd New    PAD THIN POST COVER LIFT
259    0020-20588    2nd New    COVER RF GEN PCB
260    0020-25476    2nd New    HUB INSULATOR HEATER ESC/HT
261    0020-70767    2nd New    BUSS BAR 400A, LEFT LINE MAIN
262    0020-70768    2nd New    BUSS BAR 400A, CENTER LINE MAIN
263    0020-70769    2nd New    BUSS BAR 400A, RIGHT LINE MAIN
264    0020-70770    2nd New    BUSS BAR 400A, LEFT LOAD MAIN
265    0020-70772    2nd New    BUSS BAR 400A, RIGHT LOAD MAIN
266    0020-70771    2nd New    BUSS BAR 400A, CENTER LOAD MAIN
267    0020-40018    2nd New    COVER DOOR SWMT
268    0020-70525    2nd New    SCREW LIFT HOOP HAYNES 242 PRODUCER
269    0020-21366    2nd New    SCR SHOULDER DC BIAS TIW
270    0020-23349    2nd New    HUB HEATER INSULATOR
271    0040-13012    2nd New    POST SUPPORT SPRING PLATFORM
272    0020-27333    2nd New    BLANK OFF ADV 101 HEATER BOX
273    0020-25746    2nd New    PAD 8 ZX HEATER DC BIAS
274    0020-26829    2nd New    CONNECTOR HEAT SHIELD HEATER
275    0020-20156    2nd New    PILLOW BLOCK SOURCE BRACKET
276    0020-20945    2nd New    BRKT, SW MAG/H-SENSOR
277    0020-20587    2nd New    INSERT, SLIT VALVE MACHINED
278    0020-13579    2nd New    SHAFT WIDE BODY INDEXER
279    0020-40158    2nd New    L/L GATE DOOR COVER BRACKET
280    0020-23237    2nd New    PCB BOARD SENSOR MOUNTING PANEL
281    0020-26692    2nd New    SPACER, HTHU UPPER, LIFT
282    0040-02938    2nd New    GAS MANIFOLD
283    0020-21832    2nd New    BRACKET RIGHT
284    0020-28315    2nd New    SENSOR BRACKET SHUTTER
285    0020-40779    2nd New    LOAD LOCK DOOR CYLINDER BLOCK
286    0040-22360    2nd New    BRACKET, ISO AMP MODULE
287    0021-10589    2nd New    PLATE INTERNAL, PUMPING CHNL,
288    0040-20031    2nd New    ADAPTOR, ION GAUGE
289    0040-76532    2nd New    COVER ANALOG SYNC PWA, FAST WAFER MAPPIN
290    0020-18506    2nd New    INSULATOR, BIAS RF MATCH ADAPTOR
291    0020-18371    2nd New    RF FEEDER, BIAS, HDP-CVD
292    0020-20524    2nd New    HUB LEFT, CORNER COVER LAMP
293    0020-33779    2nd New    HOUSING, BUSHING, HOOP LIFT, DPS
294    0020-13963    2nd New    WBLL BRKT, LED BOARD MOUNT, FAST WAFER M
295    0020-22126    2nd New    COVER LIFT PVD
296    0020-33572    2nd New    BOLT CAPTIVE 5/16-18X1 25L
297    0040-75227    2nd New    WB DOOR CYL SW MT BRACKET
298    0020-11625    2nd New    SCREW, PIVOT, BARRIER SLIT VALVE
299    0020-25631    2nd New    COVER CLEAR POS A/B
300    0020-33782    2nd New    HOOP, 8 INCH, WAFER LIFT, DPS
301    0020-33329    2nd New    BLOCK, CLAMP
302    0020-21886    2nd New    FLAG, Z HOME
303    0020-28316    2nd New    INSULATOR SHUTTER SENSOR BRACKET
304    0040-22202    2nd New    SPACER, PILLOW BLOCK, VECTRA IMP
305    0040-20136    2nd New    MANIFOLD, SOURCE SWIVEL WATER
306    0040-22487    2nd New    FINGER EXTENDED LIFT HOOP 8" B101
307    0021-09630    2nd New    SHIELD, GROUND, 200MM CATHODE, DPS
308    0040-45770    2nd New    HOLDER, LASER DIODE
309    3300-02263    2nd New    FTG RLF VALVE VENT APTR CRYOPUMP AL
310    0020-40044    2nd New    CLAMP,BASE 150MM/200MM
311    0020-76522    2nd New    PIN, DOWEL FRAME ALIGNMENT
312    0040-76557    2nd New    PLATE BASE PLATFORM WBLL CMF CENTURA
313    0020-21827    2nd New    TOP DETECTOR HOUSING
314    0020-21828    2nd New    BOTTOM DETECTOR HOUSING
315    0020-21831    2nd New    BRACKET LEFT
316    0015-20035    2nd New    SCREW INSULATOR
317    0020-22910    2nd New    BELLOWS (TC) TYPE
318    0021-20369    2nd New    LIFT SHAFT (TC)
319    0040-22746    2nd New    BLOCK MOUNTING 1 18" DIA SHAFT MOTER LIF
320    0020-22361    2nd New    TC BOX PLATE
321    0020-25342    2nd New    HTHU 6 HOOP
322    0020-26900    2nd New    HEAT SHIELD HTHU HEATER RIGHT
323    0020-24839    2nd New    SPACER, HTHU LOWER LIFT
324    0020-25227    2nd New    ADAPTER, HTHU LIFT
325    0010-21393    2nd New    ASSY, SHUTTER ROTATION, LINKAGE
326    0020-31017    2nd New    BUSHING TERMINAL BWCVD
327    3300-02260    2nd New    FTG TEE 2 75 CONFLAT SST 2 75 X 2 46
328    0021-35922    2nd New    CHAMBER BODY, TXZ MCVD
329    0020-23160    2nd New    BRKT WAFER SENSOR SM312CV2 MONOLITH COVE
330    0020-29342    2nd New    NUT RF FEEDTHRU  250 WIDE VECTRA IMP
331    0010-70271    2nd New    101 WAFER LIFT ASSY
332    0020-27135    2nd New    SPACER, ACTUATOR SHUTTER LINKAGE
333    0020-13975    2nd New    L/L Wafer Present&Slid Sensor Braket_B(Wide)
334    0020-13973    2nd New    L/L Wafer Present&Slid Sensor Braket_A(Wide)
335    0040-21253    2nd New    ENDURA NSK DRIVER BOX
336    0040-22812    2nd New    RF ENCLOSURE CABLE INTERLOCK COVER
337    0020-22839    2nd New    PIN, PRECLEAN LIFT
338    0020-21467    2nd New    ADAPTER SOURCE 11 30"
339    0020-23635    2nd New    LIFT HOOP 6" 101% W/B CH
340    0020-29029    2nd New    BLADE 6" 101 SHUTTER
341    0020-53244    2nd New    CHAMBER SPACER TXZ
342    0020-20688    2nd New    CONNECTOR BRACKET
343    0010-03706    2nd New    ASSEMBLY, DC BIAS HTHU
344    0021-03977    2nd New    COVER, SAFETY INTERLOCK
345    0020-20662    2nd New    HEAT SHIELD 8", DEGAS
346    0050-26291    2nd New    ADAPTER, BYPASS GAS LINE, TXZ
347    0020-20716    2nd New    FINGER HOOP CD/PT 125/150 MM
348    0020-06338    2nd New    DUMMY TARGET, SOURCE
349    0020-22351    2nd New    PANEL, HOUSING POSITION D PVD
350    0040-76554    2nd New    ARM, WLDMT SOURCE HTHU PVD
351    0040-76308    2nd New    WELDMENT 8" HOOP PVD W/B
352    0021-20437    2nd New    DISK 8" B101 TI SHUTTER
353    0040-21245    2nd New    BRACKET MOUNTING CIR CONNECTOR
354    0021-02469    2nd New    INSERT LINER EXHAUST TXZ 200MM
355    0020-13976    2nd New    BLOCK, MOUNTING BOTTOM
356    0020-21028    2nd New    BLADE 8" TRANSFER FROG LEG
357    0020-20654    2nd New    REFLECTOR HEATER,8" DEGAS
358    0020-20658    2nd New    SOCKET RETAINER, 8" DEGAS
359    0020-20659    2nd New    COVER ELECTRICAL, 8" DEGAS
360    0010-21336    2nd New    BAR ASSY, LINKAGE SHUTTER
361    0040-01618     2nd New    MANIFOLD
362    0040-09920    2nd New    GAS FEED THRU TUBE
363    0021-00571    2nd New    INSERT, CHAMBER EXHAUST, EPI 200MM SST
364    0040-02937    2nd New    WATER MANIFOLD
365    0040-05294    2nd New    INPUT MANIFOLD WATER, HP TXZ 200MM CIP,
366    0021-35008     2nd New    PLATE, REFLECTOR, 200MM, MOD II
367    0021-10666    2nd New    BLOCK,GAS/WTR,CHAMBER LID,GIGA-FILL SACV
368    0040-02818    2nd New    BLOCK, MIXING, LID GIGA-FILL SACVD
369    0020-28622    2nd New    ROBOT BLADE 8" HTHU TRANSFER
370    0020-70285    2nd New    BLADE 8 INCH, BUFFER, FROG LEG
371    0020-34775    2nd New    BLOCKER, PERF,SILOX 8",UNIV CH,NON-STEPP
372    17-101596-00    2nd New    FORK RETAINER
373    15-00729-00    2nd New    CLAMP PLATE
374    15-032631-00    2nd New    FLANGE KEYED
375    15-00653-00    2nd New    CLAMP HOUSING
376    1D10-202204-12    2nd New     UPPER ELECTRODE TEL UNITY DRM (AL + Anodizing)
377    1D10-303124-21    2nd New     SHIELD DEPO 85-2 (UNITY-DRM)
378    1D10-202988-11    2nd New     COVER BELLOWS A-4
379    715-018991-208B    2nd New    CATHODE (E) 8" (LAM RAINBOW 4428)
380    714-495015-001    2nd New    REAR CHAMBER LINER (TCP)
381    839-011907-902    2nd New    ELECTRODE, SILICON,HI TEMP,POLISH,8 INCH
382    718-094756-081    2nd New    Elctd,CAP,GROUND RING ESC, 8INCH, NOTCH TYPE
383    716-011427-001    2nd New    DSQ Quartz Window
384    715-330825-006    2nd New    LNR,CHMBR,AL,EXTND (ALLIANCE 9400PTX)
385    716-443086-408    2nd New    EXELAN Hot Edge Ring
386    839-440462-518    2nd New    LAM EXELAN HPT ESC
387    716-140125-100    2nd New    FACING MIDDLE BAFFLE
388    02-111903-00    2nd New    RF FEEDTHROUGH  ASS'Y
389    15-00399-03    2nd New    Screw 8 32 x 0 55 INCH-NI Top Spindle
390    15-108307-00    2nd New    Clamp RF Strap
391    20-00212-00    2nd New    Nut,8-32UNC-2B,Alum
392    15-00309-01    2nd New    Hanger,Nozzle,1 50"(200MM)
393    15-00685-00    2nd New    O-SEAL, FEED THRU, 3/8"
394    15-00229-00    2nd New    Nut Feed Through 1/2-20UNC* 25
395    15-00027-02    2nd New    Guide,Nozzle,Adjuster
396    15-00410-00    2nd New    WINDOW UV FILTER
397    15-00686-00    2nd New    Washer,Feedthru,1/2 ID,Alum
398    15-00457-00    2nd New    HEATER STRAP-SCREW
399    15-114331-00    2nd New    SCREW 1/4-20*0 5L NICKEL
400    15-108174-00    2nd New    CUP SCREW COVER
401    15-00700-00    2nd New    FORK BOTTOM PLATE
402    15-00654-00    2nd New    CLAMP
403    15-00399-04    2nd New    SCREW 1 02 LG TOP SPINDLE
404    15-00399-05    2nd New    SCREW 1 12 LG TOP SPINDLE
405    15-00721-00    2nd New    WASHER #8, NICKEL
406    15-00386-00    2nd New    WASHER FLAT #10, NICKEL
407    0020-18899    2nd New    WASHER, NOZZLE, ULTIMA HDPCVD
408    3700-01823    2nd New    200mm ORING ID  237 CSD  103 CHEMRAZ SC513 80
409    0200-09201    2nd New    200mm PLATE GAS DIST,LOWER QTZ 8"
410    0200-09478    2nd New    200mm PLATE, GAS DIST, UPPER, 200MM ASP PRSP3
411    3700-02743    2nd New    ORING ID 13 984 CSD  139 VITON 75DURO BR
412    3700-02755    2nd New    ORING ID 14 984 CSD  139 VITON 75DURO
413    3700-02756    2nd New    ORING ID 16 955 CSD  139 VITON 75DURO
414    3700-02744    2nd New    ORING ID 12 984 CSD  139 VITON 75DURO BR
415    3700-01426    2nd New    ORING ID 28 0 CSD  210 VITON 75DURO BRN
416    3700-01208    2nd New    ORING ID12 984 CSD 139 AS568A-279 VITON 75 DURO BL
417    3700-01173    2nd New    O RING VIT 16 955IDX 210
418    0020-27309    2nd New    COVER,RING 8" Ti 101 COVERAGE
419    0021-00042    2nd New    FACEPLATE,TEOS,DxZ DCVD
420    0021-03489    2nd New    FACEPLATE,GIGA-FILL SACVD
 (SHOWERHEAD FOR USG CHAMBER )
421    0020-42285    2nd New    BLOCKER PLATE 8" EC WxZ
422    0020-42287    2nd New    SHOWERHEAD FOR WXZ CHAMBER
423    0020-31147    2nd New    INSERT BASE,ALUM,150/200mm,flat
424    0020-23437    2nd New    HEATER MOUNTING BLOCK
425    0020-20430    2nd New    COOLIMATOR 8"
426    0020-27116    2nd New    SCREW, PIN, MODIFIED DC BIAS, DURA TTN SHUTTER
427    0010-03840    2nd New    200mm Producer Ceramic Heater
428    718-094523-281    2nd New    TCP ESC 8INCH, ESC, NOTCH TYPE
429    715-495014-001    2nd New    LIN,CHABER,TRASN,MANF,ADPTR (TCP)
430    715-330984-001    2nd New    GAS INJECTION RING® ALLIANCE 9400 PTX
431    0200-05216    2nd New    CERAMIC ESC COVER ULTIMA
432    715-31522-001    2nd New    PLATE WINDOW TURBO EXIT
433    715-11002-00    2nd New    XLL CHAMBER
434    1810-223289-13    2nd New    EXHAUST SLIT
435    715-011913-005     2nd New    PLATE , LOWER BAFFLE SIN
436    715-037760-001     2nd New    AL ELECTRODE UPPER 8"
437    0021-38791    2nd New    INSERT RING, ALUMINUM LONG, GIGA-FILL
438    0240-32165    2nd New    KIT, ISOLATION VALVE
439    0040-35909    2nd New    WELDMENT,BELLOWS ASSY,LOWER,DXZ
440    0200-39274    2nd New    PUMPING RING CERAMIC GIGA-FILL SACVD
441    0020-36631    2nd New    Cover Plate
442    TEPO LFM    2nd New    TEPO LFM 0 2/MIN
443    TEB LFM    2nd New    TEB LFM 0 5/MIN
444    TEOS LFM    2nd New    TEOS LFM 1 5G/MIN
445    TEOS LFM    2nd New    TEOS LFM 3G/MIN
446    0200-35843    2nd New    PUMPING RING CERAMIC TOP GIGA-FILL SACVD
447    0200-10650    2nd New    COVER CERAMIC PUMPING CHANNEL GIGA FILL
448    3400-01134    2nd New    Hose Assy Flex Braid 1/4IDX23 5"L 1/4VCR-F/F SST
449    3320-01027    2nd New    GSKT 2 75" CFF OFHC Cu
450    3320-02242    2nd New    GSKT 1 33 CFF OFHC Cu
451    4020-01140    2nd New    FLTR CARTRIDGE DI WATER
452    0090-20043    2nd New    ASSY, 4 WAY-SINGLE VALVE
453    0090-20044    2nd New    ASSY, 4-WAY DOUBLE VALVE
454    0090-70015    2nd New    ASSEMBLY 4 WAY DOUBLE FOR SLIT
455    0150-38560    2nd New    CABLE ASSY, 50" RS232 OZONE DELIVERY, PR
456    716-028454-512    2nd New    Disk Quartz
457    0010-03843    2nd New    200mm CxZ Ceramic Heater (Giga fill ALN Heater)
458    718-094523-261    2nd New    CHUCK ASSY,ELCTD,ESC 6" MJR FLTESC (FLAT TYPE)
459    0040-21178    2nd New    PRECLEAN II BELL JAR, QUARTZ
460    3630-01091    2nd New    RETAINER RING, EXTERNAL 3/4
461    3630-01092    2nd New    RETAINER RING, INTERNAL 5/8
462    0090-20033    2nd New    MAGNETIC CONTACT ASSY LID
463    0140-02654    2nd New    H/A, SMIF WB LLA INTRCNCT PHASE 2 FACIL
464    0140-02655    2nd New    H/A, SMIF WB LLB INTRCNCT PHASE 2 FACIL
465    0140-11619    2nd New    HARNESS ASSY, WAFER SLIPPAGE W/B LLK O
466    0140-11849    2nd New    HARNESS ASSY, CHAMBER, TXZ AND AXZ
467    0140-20054    2nd New    HARNESS CABLE
468    0140-20056    2nd New    HARNESS AC 5-PHASE
469    0140-20092    2nd New    HARNESS ASSY, 5-PHASE DRIVER TRANSFER
470    0140-20118    2nd New    HARNESS ASSY, CCD BD/LASER DRIVER INT
471    0140-20139    2nd New    HARNESS ASSY, TURBO ON/OFF CONTROL
472    0140-20244    2nd New    HARNESS EBARA PUMP CONTROL
473    0140-20257    2nd New    HARNESS ASSY , F/A LOAD LOCK
474    0140-20258    2nd New    HARNESS ASSY , F/A LLA INT
475    0140-20259    2nd New    HARNESS ASSY , F/A LLB INT
476    0140-20283    2nd New    HARNESS ASSY , EBARA MONOLITH PUMP
477    0140-20359    2nd New    HARNESS ASSY, PVD CHBR C OR D 24V DC INTERCONNECT
478    0140-20467    2nd New    HARNESS ASSY, RF INTERLOCK
479    0140-20494    2nd New    HARNESS ASSY LLOCK WAFER SENSOR SLIPPAGE
480    0140-20502    2nd New    HARN ASSY AC 2-PHASE DRIVER
481    0140-20504    2nd New    HARN ASSY LIFT UP/DOWN SENSOR
482    0140-20517    2nd New    HARNESS ASSY EXPANSION BD DC POWER(16-SPM01)
483    0140-20537    2nd New    HARNESS RF MATCH C & D PC II
484    0140-20563    2nd New    HARNESS ASSY OVERTEMP DEGAS
485    0140-20652    2nd New    HARNESS SMK DET DI
486    0140-20693    2nd New    HARNESS ASSY NEW MAIN CONNECT SHUTTER
487    0140-20709    2nd New    HARNESS ASSY EXTERNAL C & D PCII INTERC
488    0140-20741    2nd New    HARNESS ASSY SOURCE COVER PVD
489    0140-20781    2nd New    HARNESS ASSY T CASSETTE OUT SENSOR
490    0140-20944    2nd New    HARNESS ASSY WAFER SLIP W/B LLK ON ENDUR
491    0140-21104    2nd New    HARNESS, SMIF INTERFACE, PCB PWR
492    0140-21105    2nd New    HARNESS ASSY SMIF I/F LL DOOR OPEN/CLS
493    0140-21106    2nd New    HARNESS, SMIF I/F, CASS ROTATE IN/OUT
494    0140-21108    2nd New    HARNESS, SMIF I/F, CASSETTE OUT
495    0140-21109    2nd New    HARNESS ASSY, DOOR OPEN INTCNT SMIF-ASYS
496    0140-21406    2nd New    HARNESS ASSY HIGH-EFFCIENCY MATCH /WTR FLOW INTLK
497    0140-21407    2nd New    HARNESS ASSY 2MHZ RF MATCH/WTR FLOW
498    0140-21408    2nd New    HARNESS ASSY PVD W/B CH INTERCONN
499    0140-21981    2nd New    HARNESS ASSY, SMIF INTEGRATED WB LLA
500    0140-21982    2nd New    HARNESS ASSY, SMIF INTEGRATED WB LLB
501    0140-21983    2nd New    HARNESS ASSY, 24V KICKPLATE TO
502    0140-35100    2nd New    HARN ASSY, LOAD LOCKS INTERCONECT (STD M)
503    0140-37846    2nd New    HARNESS ASSY, INTERCONNECT, PVD IMP CH, WB
504    0140-70414    2nd New    HARNESS ASSEMBLY, SMIF EMO INT
505    0140-75000    2nd New    loadlock door sensor harness cable
506    0140-76222    2nd New    WIDEBODY CHAMBER HARNESS CABLE ASSY
507    0140-76360    2nd New    HARNESS,UMBILICAL,WXZ,CENTURA,CMF
508    0140-76362    2nd New    HARNESS ASSY, W/ ORIENTER CH E LIFT INTE
509    0140-76879    2nd New    HARNESS ASSY, SMIF PHASE II AC
510    0150-00918    2nd New    CABLE, RESISTOR JUMP, GAS FEED THRU, COM
511    0150-01409    2nd New    CABLE ASY,COAXIAL 400KHZ
512    0150-01410    2nd New    CABLE ASSY 75' RF COAXIAL 400 KHZ W/CLAM
513    0150-02593    2nd New    CABLE ASSY 75FT CENTURA SMIF RS232 KP TO
514    0150-02594    2nd New    CABLE ASSY 75FT CENTURA SMIF RS232 KP TO
515    0150-02953    2nd New    C/A, INTRLCK TO KICKPLATE JENO
516    0150-02955    2nd New    C/A, INTRLCK TO KICKPLATE JENO
517    0150-04755    2nd New    CABLE ASSY, CHAMBER C INTCNT 75FT
518    0150-06051    2nd New    C/A SMIF 72IN INTERLOCK LLA/LLB KP TO RO
519    0150-10073    2nd New    CABLE,MOTOR DRIVE WXZ
520    0150-10234    2nd New    GAS PANEL APC COM
521    0150-10235    2nd New    CABLE ASSY COMMUNICATION 75FT
522    0150-10454    2nd New    CABLE,LID/COVER INTERLOCK SW,DXZ
523    0150-10490    2nd New    CABLE OPER PANEL 55FT
524    0150-10491    2nd New    ROBOT CNTLR MLTSLT COOLDOWN CHB 55FT
525    0150-10492    2nd New    MAIN FRAME UMBILICAL #1,55FT
526    0150-10493    2nd New    MAIN FRAME UMBILICAL #2, 55FT
527    0150-10495    2nd New    MAIN FRAME UMBILICAL #3, 55FT
528    0150-10496    2nd New    LOAD LOCK UMBILICAL, 55FT
529    0150-10497    2nd New    PNEUMATIC UMBILICAL, 55FT
530    0150-10500    2nd New    CABLE ASSY, CHAMBER E, UMBILIC AL, 55FT EMC COMP
531    0150-10501    2nd New    CABLE ASSY CHAM  UMBIL A-B-C-D 55FT
532    0150-10504    2nd New    C/A, APC GAS PANEL CNTLR, INTC
533    0150-10505    2nd New    ABLE ASSY, EMC, APC, GAS PANEL
534    0150-11835    2nd New    CABLE ASSY, STATUS LAMP ENDURA 75FT PKG
535    0150-13048    2nd New    MOTOR DRIVER INTERFACE PCB CABLE ASSY
536    0150-13078    2nd New    INTERLOCK SWITCH CABLE
537    0150-16166    2nd New    C/A, SMIF-ASYST LPT2200 INTERFACE, LLA 022
538    0150-16167    2nd New    C/A, SMIF-ASYST LPT2200 INTERFACE, LLB 022
539    0150-20003    2nd New    CABLE ASSY, REMOTE DC PWR INT  CA 50FT
540    0150-20061    2nd New    CABLE ASSY  SUPPLY CONTROL
541    0150-20080    2nd New    CABLE ASSY PUMP FRAME DIST
542    0150-20084    2nd New    CABLE ASSY,PNEU 3 WAY VALVE SYS
543    0150-20085    2nd New    CABLE ASSY, PNEUMATIC 4 WAY VALVE SYS
544    0150-20101    2nd New    CABLE ASSY, DISK DRIVE CONTROL CONT
545    0150-20160    2nd New    CABLE ASSEMBLY EMO INTERCONNECT
546    0150-20239    2nd New    CABLE ASSY LLB LED PXR
547    0150-20252    2nd New    CABLE ASSY,ORIENTER RS232
548    0150-20537    2nd New    Harness RF match C&D PCII
549    0150-20555    2nd New    CABLE ASSY,EMO MAIN AC TO SYS AC-75FT
550    0150-20574    2nd New    CABLE ASSY A/B PUMP STD INTF (5M)
551    0150-20575    2nd New    CABLE ASSY MONOLITH PUMP STD INTF
552    0150-20610    2nd New    CABLE ASSY SYS EXP FAIL INVTR DIO
553    0150-20611    2nd New    CABLE ASSY SYS EXP FAIL INVTR SYSBACK
554    0150-20639    2nd New    CABLE ASSY 2-PHASE DRVR INPUT
555    0150-20640    2nd New    CABLE ASSY 2-PHASE DRVR OUTPUT
556    0150-20660    2nd New    CABLE PC II RF MATCH TO CHAMBER
557    0150-20661    2nd New    Cable Assy, Supply Control
558    0150-20716    2nd New    CABLE ASSY FINAL VLV/INTLK DI REMOTE
559    0150-21039    2nd New    Cable ASSY SYS EXP 5EFDIOBLKHD(1m)
560    0150-21223    2nd New    Buffer Robot Interconnect (P2 of 5-phase)
561    0150-21224    2nd New    Cassette Indexer Interconnect (P1 of 5-phase)
562    0150-21229    2nd New    Cable Assu Chamber Cintcnt-50FT
563    0150-21240    2nd New    CONVECTRON INTERCONNECT 1
564    0150-21242    2nd New    ORIENTER UMBILICAL(P4&P6)
565    0150-21243    2nd New    EMO Interconnect (MF to System controller)
566    0150-21249    2nd New    VHP CONTROLLER TWO PHASE INTEGRATION
567    0150-21250    2nd New    VHP CONTROLLER TWO PHASE INTEGRATION
568    0150-21359    2nd New    REMOTE #1, (EMC COMPLIANT)
569    0150-21360    2nd New    REMOTE #2, (EMC COMPLIANT)
570    0150-21361    2nd New    NESLAB INTERCONNECT, (EMC COMPLIANT)
571    0150-21764    2nd New    Stepper X Interconnect (P14)
572    0150-21936    2nd New    CABLE ASSY, ENDURA INTEGRATED SMIF - LLA
573    0150-21939    2nd New    CABLE ASSY,ENDURA INTEGRATED ASYST SM
574    0150-22296    2nd New    CABLE ASSY ENDURA INTEGRATED SMIF 50' RS232
575    0150-22364    2nd New    CABLE ASSY, INTEGRATED SMIF-ARM INTERCONNECT
576    0150-22813    2nd New    CABLE ASSY, SMIF PCB TO KICKPL
577    0150-22814    2nd New    CABLE ASSY, SMIF PCB TO KICKPL
578    0150-35820    2nd New    CABLE LIQUID LEAK
579    0150-36083    2nd New    CABLE ASSY RF CONN
580    0150-36084    2nd New    CABLE ASSY,OVERTEMP SWITCH TxZ,MCVD
581    0150-36131    2nd New    ASSY CABLE, NESLAB CONTROL, 75FT, EMC COM
582    0150-76175    2nd New    EMC COMP  CABLE ASSY OPERATOR PANEL P26
583    0150-76176    2nd New    CABLE ASSY, CHAMBER E, UMBILICAL, COMP 40ft
584    0150-76198    2nd New    EMC COMP  ASSY CABLE SYSTEM VIDEO 25FT
585    0150-76282    2nd New    CABLE ASSY, RF MATCH, WXZ, CENTURA CMF
586    0150-76316    2nd New    CABLE ASSY COAXIAL 75 FT 13 56 MHZ
587    0150-76816    2nd New    CABLE ASSY MF, PNEU DIST TO GAS INTLK, J (16-SPM01)
588    0150-76865    2nd New    C/A SMIF INTRLOCK PCB TO KICKPANEL JENOP
589    0150-76866    2nd New    C/A SMIF INTRLOCK PCB TO KICKPANEL JENOPTIK LLB
590    0190-21303    2nd New    CABLE ASSEMBLY, RF MATCH, PRECLEAN 2
591    1270-01261    2nd New    SWITCH SNAP ACTION SPDT 10 1 A-S
592    0040-09697    2nd New    WELDMENT,BELLOWS ASSY, UPPER,WXZ
593    0040-09700    2nd New    ASSY, BELLOWS, LOWER
594    713-012659-003    2nd New    RTNR,FACE SEAL GAS INJCTR
595    0010-35808    2nd New    ASSY, GAS BOX, EC WXZ
596    0020-42316    2nd New    SCREW PER PLATE VENTED EC LID WXZ
597    0020-42317    2nd New    SCREW BLOCKER VENTED EC LID WXZ
598    3320-01026    2nd New    CFF OFC COPPER GASKET
599    0020-26311    2nd New    Shield, Lower 8" Dura TTN
600    0020-26312    2nd New    Shield, Upper 8" Dura Source TTN
601    0020-26822    2nd New    Shield, 8" HTHU Depo Low Knee
602    3700-01365    2nd New    O RING ID 1 609 CSD  139 BROWN VITON 75
603    3700-01423    2nd New    O RING ID 3 109 CSD 139 VITON V884-75 BR
604    3700-01377    2nd New    ORING ID  145 CSD  070 SILICONE 60 DURO
605    3700-01203    2nd New    O RING ID 296 CSD 139
606    3700-01369    2nd New    ORING ID 14 984 CSD  139 VITON 75 DURO U
607    3700-01639    2nd New    O RING 14 984 0 139 VIT BROWN
608    3700-01503    2nd New    O RING ID 13 984 CSD  139 BROWN VITON 75
609    3700-01408    2nd New    ORING ID  301 CSD  070 VITON 75DURO BLK
610    0190-35200    2nd New    ORING,SLIT VALVE,ID5 984 DIA ,CSD 0 139
611    0150-21666    2nd New    IMP Ti RF Coil Cable
612    0050-20461    2nd New    GASLINE #0 RIGHT 2 STAGE L/L VENT VCR
613    0050-20459    2nd New    GASLINE #0 LEFT 2 STAGE L/L VENT VCR
614    0010-20498    2nd New    ASSY ±15V POWER SUPPLY GEN RACK
615    -    2nd New    DXZ HEATER LIFT ASSY
616    -    2nd New    CXZ HEATER LIFT ASSY
617    16-00123-00    2nd New    BELLOWS WELDMENT
618    0010-76152    2nd New    ASSY, 8" HTHU HEATER
619    0040-02205    2nd New    LID CHAMBER PRODUCER 200MM
620    0040-98357    2nd New    MAINFOLD ALUMINUM, CH 1, PRODUSER SE
621    0150-76863    2nd New    C/A SMIF INTRLOCK PCB TO KICKPANEL ASYST
622     0150-76864    2nd New    C/A SMIF INTRLOCK PCB TO KICK PANEL ASYST
623    0020-22922    2nd New    BRACKET
624    3310-01055    2nd New    GAUGE CONVECTRON TUBE 1/4 FVCR
625     0200-00353    2nd New    WXZ+HeaterSpacer
626    0190-70076    2nd New    BRG BALL 1/8"6  BORE 6 50 O D
 LVP GRE(HP/HP+ HUB RAR 3002)
627    0190-76094    2nd New    BRG BALL 7/8ODX3/8IDX7/32W 1ROW ABEC7 W/V
(HP/VHP+/HP+ ELLBOW UPPER KMC SR6)
628    0190-75095    2nd New    (VHP/VHP+/HP+ ELLBOW LOWER KMC SF4ZZ)
629    3300-02022    2nd New    FTG FLG WELD 90 DEG EL 1 0 OD
630    3300-02155    2nd New    FTGTBG TEE UNION FLANGE NW25KF
631    0020-21043    2nd New    COVER,SWITCH W/CONNECTOR
632    0020-23512    2nd New    MFC AL BLOCK
633    0150-76156    2nd New    HDP, SMC FLOW METER CABLE
634    0010-01280    2nd New    Wide body loadlock door cover
635    0150-76028    2nd New    T/C, DEGAS UNIFIED WIRE HARNESS
636     0050-20673    2nd New    LINE TEE EHHAUST DBL LL CENTURA
637     0050-76146    2nd New    GASLINE W/B LLK VENT MIXED
638    0040-20433    2nd New    FORELINE 316L SPOOL
639    0050-76599    2nd New    GASLINE, VENT#1 CH 1, MIXED
640    0050-76598    2nd New    VENT LINE, CHAMBER 1 UPPER, MIXED
641    0050-76605    2nd New    GAS LINE, CHAMBER 1 (HEATER), MFC 17, MI
642     0050-76658    2nd New    GAS LINE, CHAMBER 1 (HEATER), ZX, UPPER,
643    0050-20071    2nd New    GAS LINE CHAMBER 3 (PROCESS) MFC 2 VCR
644     0050-70100    2nd New    CHAMBER 3 VENT LINE, LOWER
645    0050-26704    2nd New    VENT LINE CHAMBER 3 UPPER
646    0050-20942    2nd New    GAS LINE CH 3 WIDE BODY (HEATER) MFC 1 V
647    0050-20072    2nd New    AS LINE CHAMBER 3 (HEATER) MFC 1 VCR
648    0050-20937    2nd New    GAS LINE CHAM 2 WIDE BODY (HEATER) MFC 1
649    0050-20069    2nd New    GAS LINE CHAMBER 2 (HEATER) MFC 10 VCR
650    0050-70078    2nd New    GAS LINE PRCS CH 4 W/B UPPER
651     0050-20257    2nd New    GAS LINE # 1 CH4, PROCESS, MFC15
652     0050-20237    2nd New    VENT LINE CH 4
653    0050-81472    2nd New    CHAMBER 4, WIDE BODY HEATER, MFC 4
654    0050-24815    2nd New    GAS LINE PRCS CH C W/B UPPER
655     0050-24820    2nd New    GAS LINE PRCS CH C MID
656     0050-25192    2nd New    GAS LINES, PRCS CH C LOWER MFC 11 & 12
657     0050-24931    2nd New    CH#C W/B HEATER MFC 13
658     0050-24930    2nd New    CH#C W/B HEATER MFC 13
659     0050-75783    2nd New    CH#C W/B HEATER MFC 13
660     0050-75807    2nd New    GASLINE PROCESS, UPPER, CH D W/B, MIXED
661     0050-70080    2nd New    GAS LINE #1 MANIFOLD, CH D, PROCESS, MFC
662     0050-20355    2nd New    GAS LINE, MIDDLE POSITION 'D' PVD CHAMB
663     0050-70073    2nd New    VENT LINE, CH D, LOWER
664     0050-25199    2nd New    GAS LINE, VENT CH D W/B MID, MIX
665     0050-70075    2nd New    GAS LINE #3,CH D, HEATER, WB, CHMBR SIDE
666     0050-20352    2nd New    GAS LINE, HEATER MIDDLE POSITION'D' PVD
667     0050-70079    2nd New    GAS LINE #1, CH D, HEATER, MFC 8
668     0050-20130    2nd New    GASLINE COOLDOWN #1
669     0050-20131    2nd New    GASLINE COOLDOWN #2
670     0050-76106    2nd New    GASLINE, COOLDOWN AT CH A, LINE #3
671     0050-76030    2nd New    WB HTR AR GASLINE, MIX
672     0040-39566    2nd New    LIFT PLATE
673     0020-22263    2nd New    ADAPTER PVD WATER LINES ON BOARD CRYO
674     0020-21035    2nd New    BRACKET SOURCE WATER LINE
675    0020-24263    2nd New    6" Shutter Blade
676    15-100263-00    2nd New    ROBOT BLADE 200MM HI PURI
677     15-10899-00    2nd New    SPRING SEAL PLATE
678    0040-99952    2nd New    AMAT 6INCH Polymide ESC (OXIDE)
679    0090-20105    2nd New    SSY SENSOR WAFER SM312C V2
680    0520-01010    2nd New    SEAL vatseal Rectangular
681    0020-24018    2nd New    PIN ANTENNA PC II POLI SI
682    0020-21734    2nd New    PIN, GUIDE
683    3690-02269    2nd New    SCR SET 10-24 X 7/8L HEX SKT SST FLT POINT
684    0020-20522    2nd New    WSHR,INSULATOR LIFTER
685    3870-01249    2nd New    VALVE FINE MTR 1/R VCR STRAIGHT SST
686    0190-20015    2nd New    GAS LINE NO 3 PRECLEAN CHMBR (REACTIVE P
687    0050-24082    2nd New    FEED THROUGH INSULATOR GAS LINE
688    0150-38348    2nd New    HARMONIX CABLE FILTER
689    0100-20064    2nd New    PCB ASSY,SBC I/O BREAKOUT
690    0200-20522    2nd New    WAHR, INSULATOR LIFTER
691    0090-20300    2nd New    LID SENSOR
692    0140-21107    2nd New    HARNESS, SMIF I/F, CASSETTE IN PLACE
693    0100-20143    2nd New    PCB ASSY L LOCK WAFER SENSOR
694    0020-20123    2nd New    HEATER, ISOLATOR CERAMIC
695    0200-18053    2nd New    Lift Pin Guide
696    0010-13624    2nd New    IMP Adapter Assy sith Feedthru
697    0020-23093    2nd New    WASHER INSULATOR FOR PVD LIFTER
698    0020-26254    2nd New    INSULATOR CERAMIC DURASOURCE TTN
699    0050-21187    2nd New    Gasline, Heater Ar, WB Shutter, 5RA
700    0150-20708    2nd New    CABLE ASSY 75' DC SOURCE DML
701    3780-01130    2nd New    SPR GAS 7 88 STROKE120LB
702    3870-01120    2nd New    VALVE PNEU 1-1/4 IN 2-WAY NCNKLPLW/DISCGLOBE SER
703    0150-04642    2nd New    CABLE ASSY, 2-PHASE DRIVER SIGNAL I/F 75
704    0050-21703    2nd New    GASLINE CH 1 LOWER VENT (W/CH C), MIX FT
705    0050-76673    2nd New    CASLINE STD/WB CH C VENT (W/CH1),MIX
706    0050-21776    2nd New    CASLINE STD/WC CH C VENT, MIX FTGS
707    0140-21803    2nd New    HARNESS ASSY, WATER FLOW CH C OR D
708    15-110411-00    2nd New    Window Sapphire
709    17-110412-00    2nd New    Screen ,RF Shield , Etched Short
710    02-141319-00    2nd New    Heater ISO BOX, NEW TYPE
711    C2 Dual Sequal    2nd New    Novellus C2 Dual Sequal RF Clean Kit
712    04-136579-00    2nd New    RF Switch Kit, SEQUEL-X(SPML KIT)
713    22-026373-00    2nd New    Filter male inlet, female outlet(M/F VCR 1/4")
714    27-277312-00    2nd New    Controller over temp type-J
715    15-00043-02    2nd New    PLATE, ADJUSTER CERAMIC GAS
716    17-113692-00    2nd New    Bracket strap side RF Dis
717    34-103697-00    2nd New    Relay SSR, 280AC,12A,3-30VDC
718    10-00095-00    2nd New    Manifold Gas tube
719    16-00098-00    2nd New    Wire, Insulated, Ceramic Bead,Long
720    05-140758-00    2nd New    HVA Gate Valve used (With Heater Jacket)
721    16-033732-00    2nd New    Manifold 4in Vaccum/Bulkhead
722    16-041993-00    2nd New    Special 4in Vaccum W/HYT Port
723    03-10361-00    2nd New    N2/VAC INTERLOCK 72FT
724    03-10794-01    2nd New    R F Interlock 25FT
725    03-033871-01    2nd New    rf GENERATOR 45FT
726    03-114682-03    2nd New    Novellus Cable
727    38-128003-00    2nd New    Novellus Cable
728    20-00221-00    2nd New    WASHER, FLAT #8 ALUM
729    15-119059-00    2nd New    SCRW SKT HEX 1/4-20X 25,NICKL
730    20-00222-00    2nd New    WASHER FLAT, 1/4 ALUN
731    19-00056-00    2nd New    Feedthru Assy, Heater
732    27-10114-00    2nd New    Feedthru Assembly heater
733    15-00399-02    2nd New    Serw HEX 8-32X 96 NICKEL
734    15-053394-02    2nd New    FORK FINGER Minimum contact
735    22-00006-00    2nd New    Filter waferguard
736    03-123072-00    2nd New    Interlock B/D SEQ-X
737    22-00090-00    2nd New    Filter waferguard
738    15-00558-01    2nd New    Sleeve Heater Lead 2 " Ceramic
739    27-034083-01    2nd New    Actulator, Hoist
740    27-00010-01    2nd New    TC,TYPE'J',RIGID,1/8 DIA x 12L OMEGA
741    27-00127-00    2nd New    Thermocouple Type E LG
742    15-033485-00    2nd New    Insert, MESC Port, Inigner
743    02-00205-00    2nd New    TCF feedthrough
744    17-103987-00    2nd New    Strap RF
745    05-164943-00    2nd New    Assy 4-shelf CAST COOL STATION
746    03-00313-04    2nd New    CABLE ASSY,CA102-4
747    22-00656-00    2nd New    O-ring, 7 474 x 0 139, (2-264  Kalrez)
748    27-00117-01    2nd New    CNTRLR,TEMP,'E' TYPE INVENSYS
749    22-00053-00    2nd New    O-ring, 0 676 x 0 070, Viton
750    22-00174-00    2nd New    O-ring, 6 234 x 0 139, Exhaust Box, Viton
751    22-00239-00    2nd New    O-ring, 5 984 x 0 139, Viton
752    22-00345-00    2nd New    O-ring, 3/8 OD Tube QC F
753    22-00021-01    2nd New    O-ring, 1 984 x 0 139, Buna
754    22-00391-00    2nd New    O-ring, 0 864 x 0 070, Viton
755    22-00344-00    2nd New    O-ring, 0 364 x 0 070, Viton
756    22-00361-00    2nd New    O-ring, 31 12 x 0 275, Viton
757    22-00236-00    2nd New    O-ring, 7 475 x 0 275, Viton
758    60-00019-00    2nd New    Seal Assy NW25
759    60-00026-00    2nd New    Seal Assy NW100
760    60-00039-00    2nd New    Seal Assy NW40
761    22-10018-00    2nd New    O-ring, 15 955 x 0 210, Face Plate
762    22-00042-00    2nd New    O-ring, 7 984 x 0 139
763    22-10117-00    2nd New    O-ring, 7 234 x 0 139, Valve Seat
764    22-00608-00    2nd New    O-ring, 1 484 x 0 139
765    15-11606-00    2nd New    Instolator, Gas Distribution, Ceramic
766    27-00179-00    2nd New    Elbow Union 3/8" O-seal
767    0140-20688    2nd New    HARNESS ASSY STORAGE CH LIFT/COVER
768    0040-75329    2nd New    CH, ENP, FAST COOLDOWN
769    0150-22457    2nd New    CABLE, DI/O 8 EXPANDED
770    0040-20195    2nd New    CHAMBER WIDE BODY PVD
771    31-00114-00    2nd New    RELAY,SOLID STATE,90A IDEC CORPORATION
772    03-041149-02    2nd New    HARN ASSY, Laser Transmit, Right MAP
773    03-041150-02    2nd New    HARN ASSY, Laser Reciecer, Right MAP
774    76-268563-00    2nd New    Novellus Cable
775    3320-01170    2nd New    GSKT, SHLD RF 125DIA 2LB/LIN-IN GASKET
776    0150-20197    2nd New    HARNESS ASSY, TC INTERFACE
777    0010-70254    2nd New    ASSY 8" HEATER VCR W/2 TC'S
778    0050-20965    2nd New    GASLINE, PROCESS MANIFOLD 9,10, CH D
779    0050-70092    2nd New    GAS LINE !1 CH D, PCII
780    0050-70093    2nd New    GAS LINE #3 CH D, PCII
781    0050-70096    2nd New    GAS LINE #2 CH D, PCII
782    0050-20552    2nd New    GASLINE CHAMBER D1 NITROGEN VENT
783    0020-24414    2nd New    Upper Interlock Cover PC 2 Cath Intlk
784    0040-20155    2nd New    BELLOWS PRECLEAN
785    0040-20283    2nd New    Bellows PRECLEAN
786    0190-00981    2nd New    SIP Feedthru
787    0150-20955    2nd New    RF RESONATOR TOP INTLK CABLE ASSY
788    -    2nd New    PC II Chamber Assy
789    0020-23195    2nd New    Preclean II External Chamber
790    0010-20705    2nd New    RF Resonator Assy
791    0010-20753    2nd New    WAFER LIFT ASSEMBLY PRECLEAN 2
792    0100-20004    2nd New    wPCB ASSY, CHAMBER INTERCONNECT
793    0020-26288    2nd New    SHIELD LOWER 101 8" DURASOURCE TTN SST
794    0090-76034    2nd New    ASSY, SENSOR, WAFER PRESENT/WAFER SLIDE
795    0050-76427    2nd New    Exhaust TEE, Endura Wide Body LLK, Mixed
796    0200-20161    2nd New    INSULATOR, LAMP CAVITY, LEFT
797    0200-20162    2nd New    Insulators, Lamp Cavity, Right
798    0200-20164    2nd New    Insulators, Lamp Cavity, Right
799    0040-76100    2nd New    PVD WATER COOLED CHAMBER
800    3300-02240    2nd New    Flange Fitting
801    0010-21409    2nd New    Assy thermal Switch PVD Chamber
802    0010-20300    2nd New    WAFER LIFT
803    0190-21305    2nd New    PURCH SPEC 60FT NUDE ION GAUGE CABLE
804    0010-76087    2nd New    WATER VALVE ASSY  CH 2,4,& D
805    0010-76086    2nd New    WATER VALVE ASSY  CH 1,3,& C
806    0240-75018    2nd New    KIT,HTHU COOLING LINES W/VALVE BRASS
807    0020-21664    2nd New    Insulator Source 13"
808    0090-00547    2nd New    Assy, Elec WB Ch Endura 1,2,4,D
809    0010-76137    2nd New    Assy, HTHU Motorized Lift
810    0040-20048    2nd New    Feedthru Lamp
811    0100-01996    2nd New    ASSY, PCB SEI
812    0010-13335    2nd New    Heater Hose, Assy
813    0020-70480    2nd New    BAR TIE-OFF STANDARD CHAMBER
814    0090-20329    2nd New    ASSY, WB ENDURA CH 1,2,4 & D CENTURA A B C
815    0090-20361    2nd New    ASSY, WB CH 3 & C CHAMBER AC
816    3700-01547    2nd New    SEAL DYN W3/16 FLANGED
817    3700-01355    2nd New    SEAL DYNAMIC RADIAL 1 216ID
818    0010-13266    2nd New    ASSY, LINKAGE, LEFT HAND, UP GRADE
819    0010-13267    2nd New    ASSY, LINKAGE, RIGHT HAND, UP GRADE
820    0021-76885    2nd New    BRACKET,INTERFACE,LLB SIDE ,SMIF
821    0021-76886    2nd New    BRACKET,INTERFACE,LLA SIDE ,SMIF
822    0620-01254    2nd New    Network Cable 30Ft
823    0020-40723    2nd New    ADAPTER, LHS/PHS PLATFORM
824    0010-03244    2nd New    ASSY, HEATER, SNNF 200MM HPTXZ IMP  UNIF
825    0020-40700    2nd New    COUPLING, DIRVEN
826    0680-01232    2nd New    CB MAC Therm 1P 240/208V 15A Bolt on
827    0020-20810    2nd New    CLAMP RF CONNECTOR
828    0021-20390    2nd New    BLADE, 8" XFR, HTHU/CVD COMPATIBLE
829    0040-39554    2nd New    GIMBAL ASSY,ORIENTER LIFTER ASSY
830    0200-35004    2nd New    BLADE, ROBOT,8" QUARTZ
831    0240-20280    2nd New    KIT BEARING HEATER
832    0040-20194    2nd New    BELLOWS 101% TiN COVERAGE (MLAKK105738)
833    3700-01047    2nd New    ORING ID 2 250 CSD  125 VITON75 DURO
834    3700-01506    2nd New    ORING ID 5 734 CSD  139 BROWN VITON
835    0020-25677    2nd New    BRACKET SENSOR FLG MOTOR LIFT EXT
836    0020-22975    2nd New    INSULATOR TIN 8" WAFER
837    0100-20060    2nd New    PCB ASSY, PVD DRIVER
838    0030-20101    2nd New    SOURCE COVER, 13" VECTRA IMP
839    0190-09419    2nd New    Drive Single phase, Phasetronics
840    0020-22202    2nd New    SPACER PILLOW BLOCK
841    3300-02261    2nd New    VIEWPORT 6"CFF 6 0 OD
842    0010-03705    2nd New    ASSEMBLY, ACTUATOR SUPPORT PLATE
843    0190-11721    2nd New    SIGNAL TOWER 8INCH
844    0090-03981    2nd New    SIGNAL TOWER 50P EXTENSION CABLE
845    0150-20600    2nd New    CABLE ASSY BUF XFER MTR LIFT INTCK
846    0140-20508    2nd New    HARNESS ASSY LID UP-DOWN AT PANEL
847    0150-20599    2nd New    CABLE ASSY MTR LID LIFT PWR
848    0150-20601    2nd New    CABLE ASSY LID LIFT MTR CONTROL
849    0150-20602    2nd New    CABLE ASSY BUF XFER LID IN PLACEINTLK
850    0090-20131    2nd New    ELECTRICAL ASSY THERMAL CB
851    0090-20132    2nd New    ELECTRICAL ASSY SELECT SW BUF-XFER
852    1290-01564    2nd New    TERM BLK 10P 2ROW 22-14AWG 150V 20A 3/8CTR 6-32SC
853    0020-23930    2nd New    BEAM SHORT HOIST
854    0020-23929    2nd New    BEAM LONG HOIST
855    0020-24826    2nd New    END PLATE BEAM SHORT -HOIST
856    0020-24827    2nd New    END PLATE BEAM LONG -HOIST
857    0020-24828    2nd New    END PLATE/HOLE BEAM LONG HOIST
858    0020-75873    2nd New    Hoop 8 HTHU Heater
859    0140-20061    2nd New    CABLE
860    0150-04648    2nd New    CABLE
861    0010-13242    2nd New    ASSEMBLY, EXTENDED REACH WRIST
862    0040-20068    2nd New    BELLOWS LIFTER PVD
863    0021-22419    2nd New    BLADE, 8" TRANSFER, HP+, CVD COMPATIBLE
864    0050-20066    2nd New    GAS LINE CHAMBER 1 (PROCESS) MFC 6 VCR
865    0050-76655    2nd New    CH#1 STD HEATER MFC17
866    0050-20236    2nd New    STD HTR BYPASS
867    0050-70077    2nd New    CH#4 STD PROCESS
868    0050-20256    2nd New    CH#4 STD HEATER MFC 4
869    0020-42006    2nd New    TUBE,LIFT,WXZ
870    0050-24750    2nd New    ADAPTER, SHUTTER CHAMBER @ 1,D MIXED
871    3400-01074    2nd New    PUSH-LOK HOSE, 3/8"
872    0020-70779    2nd New    ADAPTER, CHAMBER ORIENTER, E, F & 5
873    0021-04354    2nd New    CONDUCTOR, FLEX HTHU DC BIAS
874    3700-01543    2nd New    ORING SEAL CTR RING ASSY KF-25 W/BRN VIT
875    0020-23277    2nd New    SHIELD LOWER, SST, 8" 101% TIN
876    0020-23278    2nd New    SHIELD UPPER, SST, 8" 101% TIN
877    0020-20126    2nd New    STAND-OFF, CERAMIC DC BIAS
878    3700-01427    2nd New    ORING ID 4 984 CSD  139 VITON 75DURO BRN UHP
879    0020-20651    2nd New    BRACKET GUIDE SOURCE
880    0021-75873    2nd New    Hoop 8 HTHU Heater
881    3870-02267    2nd New    VALVE PLUG 1/4NPT-M X 1/4NPT-F SST
882    0040-00815    2nd New    LAMP BASE, DPS E-DTCU
883    0140-16128    2nd New    H/A SMIF JENOPIK PLC PUTPUT
884    0140-36020    2nd New    CABLE ASSY EMO
885    0140-35820    2nd New    CABLE ASSY LIQUD LEAK
886    3780-01153    2nd New    SPR CPRSN 3 500FL X  720OD X  081WIRE-DIA SST
887    0150-12509    2nd New    CABLE ASSY, 75FT SMK DETECT MNFRM INTCON
888    0140-11169    2nd New    HARNESS ASSY, WAFER SLIPPAGE W/B LLK ON
889    0020-21699    2nd New    Laser Mounting Bracket
890    0020-70821    2nd New    CHAMBER INTERCONNECT COVER, WB
891    0020-20521    2nd New    SPACER, INSULATOR LIFTER
892    0200-26254    2nd New    INSULATOR
893    0040-21243    2nd New    BOX, STD PUMP I/F
894    0010-20138    2nd New    ASSY TC AMP HSNG
895    0040-20025    2nd New    Pressure Adapter Standard
896    0690-01583    2nd New    FLG 1-1/3 OD CF BLANK
897    0040-20056    2nd New    ADAPTOR ELBOW 0 25 VCR TO MINI CF,GAS LI
898    0010-00865    2nd New    Assy, Clamp Bakeout Lamp
899    1010-01254    2nd New    Lamp Quartz 500W 120V T3 Translucent Ired Ni Base
900    3870-01245    2nd New    Valve Pneumatic Bellows 2Way Mini NC-11
901    0020-20485    2nd New    Side Lamp Cover
902    0020-20483    2nd New    Hub Lamp Corner Cover
903    3060-01192    2nd New    Pillow Block Bearing
904    0140-70173    2nd New    HARN ASSY, WB CHMBR INTERCONNECT
905    0050-20642    2nd New    LINE GAS LINE SLOW ROUGH L/L
906    0150-21652    2nd New    CABLE ASSY, RF MATCH/CHMBR INTCONN
907    0090-76037    2nd New    ASSY, LED-WB,FAST WAFERMAPPING
908    0150-21665    2nd New    CABLE ASSY, N(M), R/A TO N(M), STR RG-21
909    0150-20166    2nd New    CABLE ASSY, TURBO PUMP TO CONTROLLER 75F
910    0050-21123    2nd New    GASLINE, CHAMBER 4, MANIFOLD MFC 5 & 6
911    0200-20163    2nd New    INSULATOR, LAMP COVER, LEFT
912    0050-20708    2nd New    GAS LINE VENT CENTURA LLA
913    0050-20751    2nd New    GAS LINE VENT LINE CENTURA LLA
914    0050-20734    2nd New    DIFFERENTIAL PLUMBING LLA
915    0050-20733    2nd New    DIFFERENTIAL PLUMBING LLB
916    0050-20737    2nd New    GAS LINE W/B LLK VENT ENDURA MIXED
917    0050-76147    2nd New    GAS LINE W/B LLK, DIFFERENTIALPUMPING, ENDURA MIXE
918    0040-13011    2nd New    ADAPTER, WIDE BODY INDEXER CMF CENTURA
919    0190-35511    2nd New    ASSY,THERMOCOUPLE,TXZ,MCVD
920    0050-20740    2nd New    GAS LINE DIFFERENTIAL PLUMBING 3 OF 3
921    3300-01939    2nd New    FTG TBG UNION TEE 14200OSI 1/4 VCR-M/M/M SST
922    3400-01383    2nd New    HOSEASSY TFE/SST BRD 3/16IDX48"L FEM JIC LONG-EL
923    3400-01384    2nd New    HOSEASSY TFE/SST BRD 3/16IDX84"L FEM JIC LONG-EL
924    3400-01385    2nd New    SEASSY TFE/SST BRD 3/16IDX84"L FEM JIC ELBOW
925    3400-01386    2nd New    HOSEASSY TFE/SST BRD 3/16IDX48"L FEM JIC ELB
926    0020-24318    2nd New    INTERLOCK MOUNTING BRACKET
927    0020-23204    2nd New    RF MATCH INTERLOCK FOUNDATION
928    0150-20251    2nd New    CABLE ASSY, RF PWR 767 IN
929    0010-21669    2nd New    ASSY, VECTRA IMP-1 SOURCE
930    0010-13629    2nd New    Assy, B101 Motorized Lift
931    0090-00195    2nd New    SW Assy, Water Flow 1/4 NPT SST  5GPM Trip
932    0150-70132    2nd New    Cable Assy Heater AC Pos 1,2,4 Wide Body
933    0020-24265    2nd New    Wafer Lift Stop Plate
934    0190-70059    2nd New    Ion Gauge Nude cable 50ft
935    0040-75245    2nd New    CASSETTE PLATFORM, UNIVERSAL
936    0020-20691    2nd New    PEDESTAL CD/PT WAFER200 MM
937    0020-27455    2nd New    DISK 8" ADVANCED 101 SHUTTER
938    0020-29298    2nd New    BRACKET, LL BOTTOM, FRONT PANEL MOUNT
939    0020-24092    2nd New    CONN HTR SHLD HOT AL
940    0020-25678    2nd New    FLAG LOWER MOTOR LIFT EXT
941    0020-25679    2nd New    FLAG UPPER MOTOR LIFT EXT
942    0090-00550    2nd New    ASSY, ELEC STD, W/C HTHU CH ENDURA 2, 3,
943    0040-23670    2nd New    BRACKET , WATER FLOW
944    0020-20114    2nd New    ISOLATOR, DC BIAS
945    3700-03130    2nd New    SEALSLIT VALVE DOOR ALUM W/ VULCANIZED O
946    0010-22230    2nd New    Wrist Assy, Extended reach
947    3690-04108    2nd New    SCR SHLDR 5/16-18X 375ODX1/2LX7/16L-THD
948    0050-21925    2nd New    GAS LINE#1 CHAMBER C- NITROGEN VENT
949    0050-21926    2nd New    GAS LINE #2 CHAMBER C- NITROGEN VENT
950    0050-20936    2nd New    CH "1" PROCESS LINE MFC15,16
951    0050-76664    2nd New    CH "2" W/C PROCESS MFC19
952    0050-76652    2nd New    CH "2" W/C PROCESS MFC19
953    0050-70191    2nd New    CH "2" W/C VENT
954    0050-21718    2nd New    CH "2" W/C HEATER MFC 20
955    0050-21722    2nd New    GASLINE HEATER BYPASS ZX HEATER
956    0050-20667    2nd New    LL DUAL GAS LINE VALVE
957    0100-20097    2nd New    PCB ASSY, WATER LEAK DETECTOR
958    0020-22363    2nd New    SHAFT, LIFTER, TC
959    0020-20783    2nd New    SHAFT, LIFTER, TC
960    0021-20797    2nd New    MAINFOLD
961    3400-01073    2nd New    HOSEGAS/LIQ  500ID X  780OD 200PSI SYNTH
962    0021-20494    2nd New    HEAT SHIELD, LEFT HALF, HTHU
963    0240-13258    2nd New    ASSY, SLIT VALVE DOOR MOUNTING
964    0020-22879    2nd New    ENCLOSURE, SHUTTER
965    0050-25198    2nd New    GAS LINE, VENT CH D W/B UPPER W/ OD CH F
966    0040-20571    2nd New    SHORT 90 DEGREE ELBOW, MIX
967    0050-20133    2nd New    GASLINE COOLDOWN #4
968    0050-20662    2nd New    GASLINE DUAL VALVE LLC
969    0040-20257    2nd New    ASSY LIFT HOOP 8" WIDE BODY CHAMBER
970    0010-04542    2nd New    HEATER, ASSY, 200MM FC WXZPLUS OSCR
971    0010-05257    2nd New    OUTSOURCED DXZ HTR ASSY, 8", FORGED ALUM
972    0010-21789    2nd New    Assembly, DC Bias
973    0010-21867    2nd New    COOLDOWN MANIFOLD 150 MM
974    0200-09072    2nd New    PLATE, PUMPING, 6" FC, WXZ
975    0200-09716    2nd New    PIN, LIFT, HEATER, WxZ
976    0020-01271    2nd New    PLATE, PUMPING 200MM WXZ+
977    0040-31981    2nd New    ASSY, LIFT RING 8" WXZ
978    3700-01415    2nd New    SILICON O-RING ID9 225X0 210CSD
979    0020-76992    2nd New    COVER DC, UPPER, FLAME RETARDANT
980    0020-29034    2nd New    BRACKET,SENSOR,FLAG MOTOR LIFT EXT
981    0190-70070    2nd New    BRG BALL 312 ID 688 PDKAYDON STEEL BALLBEARING
982    0190-70075    2nd New    HP/VHP PIVOT
983    0150-76165    2nd New    C/A EMC COMP, HEAT EXCHANGER, 25FT CSI
984    0140-35110    2nd New    HARN ASSY, LID CNTRFNDR WAFR DTCT (STD M
985    0140-75070    2nd New    HARN  ASSY, DRIVER ENCODER HOME SENSORS
986    0140-35103    2nd New    HARN ASSY, TRSFR CHMBR SLIT VALVES (STD
987    0140-70233    2nd New    HARN, MAINFRAME PRESSURE
988    0140-36057    2nd New    HARNESS ASSY  TRANSFER CHAMBER AND OPTIO
989    0140-10252    2nd New    HARNESS ASSEMBLY, MULTI-WAFER STATION
990    0140-35385    2nd New    HA ASSY,EXTEND WATER FLOW SW,WXZ
991    0140-09498    2nd New    HARNESS ASSY RF COVER
992    050-09884    2nd New    CABLE, ASSY LID INTERLOCK WXZ
993    0150-20641    2nd New    CABLE ASSY TC CHAMBER TRAY INTERFACE
994    0150-20011    2nd New    MONITOR CABLE
995    0150-75197    2nd New    CABLE FLAT, CENTURA SCSI/MSM INTERCONNEC
996    0140-76295    2nd New    HARNESS ASSY, BULKHEAD TO DRIVERS A-D
997    0040-20054    2nd New    INSULATOR AR, MIX
998    0050-30395    2nd New    WELDM'T FORELINE/EXHAUST PORT, WXZ
999    0240-75770    2nd New    KIT, WB PRESSURE GUAGE ADPTR, MIX
1000    0050-24751    2nd New    ADAPTER, SHUTTER CHAMBER @ 2,3,4,CMIXED
1001    0050-70251    2nd New    VENT LINE CHAMBER 4, CAJON ONLY
1002    0050-70141    2nd New    GAS LINE PRCS CH 4 W/B UPPERCAJON
1003    3300-02130    2nd New    FTG BLWS SECTION FLEX NW40 X NW40 3 94
1004    0050-43286    2nd New    VACUUM LINE ELBOW
1005    0050-76638    2nd New    GL PURGE, A4, CLEAN, 5200 CMF
1006    0050-75449    2nd New    GL PURGE, B4, CLEAN, 5200 CMF
1007    0050-76642    2nd New    SL PURGE, A4&B4, CLEAN, 5200 CMF
1008    0050-28356    2nd New    WELDMENT, REGULATOR SPOOL, 5RA
1009    0050-76121    2nd New    CHA 4 PROCESS GASLINE WATER COOLED
1010    0050-76606    2nd New    GAS LINE, CHAMBER 1 (HEATER), WB,UPPER, MIXED
1011    0010-38027    2nd New    ASSY,BWCVD THROTTLE VALVE 100,125 & 150M
1012    3700-01929    2nd New    ORING ID 2 987 CSD  103 KALREZ-4079 75DU
1013    1400-01046    2nd New    SNSR SMOKE DETECTO AMPLIFIER
1014    3700-01530    2nd New    SEAL CTR RING ASSY NW100 VITON & 72-MES 
1015    0040-32200    2nd New    FEED,CATHODE SUPPLY,DPS
1016    3790-01219    2nd New    STDF F/F 3/8RND 6-32 X 1/2L CERAMIC
1017    0200-09950    2nd New    ISOLATOR EC WXZ
1018    0010-35754    2nd New    ASSEMBLY,COOLING CASSETTE CONF
1019    0150-21778    2nd New    CABLE ASSY MF, PNEU DIST TO GAS INTLK, J
1020    0150-20719    2nd New    CABLE ASSY GAS BOX INTERLOCK
1021    0150-05412    2nd New    CABLE ASSY, HEATER 200MM HP TXZ
1022    0150-76286    2nd New    CABLE ASSY, HEATER AXZ/WXZ,COMMON
1023    0140-18063    2nd New    HARN ASSY, SOURCE CONDITIONING
1024    0190-05576    2nd New    CABLE ASSY, 75 FT N (M) R/A TO N (M) ST
1025    0140-20051    2nd New    HARNESS ASSY, 5-PHASE MOTOR BUFFER
1026    0140-20091    2nd New    HARNESS ASSY, 5-PHASE DRIVER BUFFER
1027    0140-20055    2nd New    HARNESS STEPPER 5-PHASE
1028    0150-01294    2nd New    CABLE ASSY, GAS DISTR  BD  TO IGL/PNEU
1029    0090-00740    2nd New    ASSY, LAMP TOWER W/ 4 LIGHT 24V R-C-G-B
1030    3870-01310    2nd New    VALVE PURGE PUSHBUTTON
1031    3870-03170    2nd New    VALVE PURGE PUSHBUTTON
1032    0150-35737    2nd New    CABLE, ASSY, RF GEN PWR OUT, DELTA, 75FT
1033    0020-60201    2nd New    AMAT 0020-60201 DEP RING,8" SNNF,ESC,9MM,AL W/ MASK,S/B,
1034    0020-24231    2nd New    HOOP BELLOWS ADAPTER PRECLEAN 2
1035    3320-02240    2nd New    Flange fitting
1036    0021-15587    2nd New    BLADE, SHUTTER, 8" B-101
1037    0040-37732    2nd New    CHUCK, ASP, 200MM, TOP MOUNT TYPE
1038    0020-24065    2nd New    POST FLAG MOUNT MOTO LIFT
1039    0020-70272    2nd New    PIVOT,ONE PIECE RIGHT
1040    0020-70271    2nd New    PIVOT,ONE PIECE LEFT
1041    3610-01048    2nd New    PUL TMG 3MMP 9MMW 32T 25"BORE ALDBL FL
1042    0020-05605    2nd New    CHF LIFT HOOP NEED NARROW TYPE
1043    0020-25224    2nd New    Finger, HTHU 8" Heater
1044    0010-20772    2nd New    ASSY, DRIVE SCREW, MOTO LIFT
1045    0021-02468    2nd New    LINER EXHAUST TXZ 200MM
1046    0021-36081    2nd New    CLAMP,PERF PLATE TxZ
1047    0021-36164    2nd New    RF ISOLATOR CLAMP TxZ
1048    0020-33330    2nd New    ISOLATOR, CLAMP
1049    0020-33328    2nd New    CLAMP, FLANGE
1050    0010-21868    2nd New    COOLDOWN MANIFOLD 200 MM
1051    0020-13959    2nd New    CAP, 8" HTHU HEATER
1052    0020-20514    2nd New    CLR CLAMP HTR ISOLATOT
1053    0020-23350    2nd New    SHIELD RF HEATER TOP MTRZD LFT
1054    0020-23476    2nd New    PLATE STOP LOWER MOTORIZED LIFT
1055    0020-23478    2nd New    SHIELD HEATER MOTORIZED LIFT
1056    0020-23473    2nd New    CLAMP HEATER MOTORIZED LIFT
1057    0020-31425    2nd New    MIXER GAS BOX LID BWCVD
1058    0200-09969    2nd New    WINDOW, SIDE, UV COATED, SAPPHIRE, DXZ
1059    0200-01798    2nd New    PIN, LIFT, TXZ HEATER, SINGLE TAPER, CER
1060    0200-20210    2nd New    INSERT, DOUBLE RF CONNECTOR, VECTRA IMP
1061    0200-00710    2nd New    ISOLATOR, CERAMIC, WXZ EC
1062    0242-45140    2nd New    H2 SENSOR(UPGRADE MODEL)
1063    0200-09450    2nd New    Tube Gas Feed OD6 35mm BWCVD (Quartz tube)
1064    0200-35428    2nd New    RIB, INSERT (3 ")
1065    0200-35427    2nd New    RIB, Insert (5 ")
1066    0200-00847    2nd New    RING SHADOW, NCSR 2 0 MM EE WXZ+ 200MM
1067    0200-00622    2nd New    RING OUTER, 200MM WXZ+ NCSR
1068    0200-00624    2nd New    RING PURGE 200MM WXZ+ NCSR
1069    0200-00354    2nd New    RING PURGE WXZ+ FC NOTCH
1070    0200-00621    2nd New    RING SHADOW, 200MM WXZ+ NCSR 1 5MM EE
1071    913417-001    2nd New    Monoblock Injector Assy
1072    0010-05014    2nd New    ASSY SMIF KICK PANEL
1073    1270-01191    2nd New    SWITCH,SPST
1074    0090-20020    2nd New    SENSOR ASSY, HALL EFFECT
1075    0020-31607    2nd New    COVER RF SHIELD INTERLOCK CVD ABS
1076    0021-01317    2nd New    OUTER SHIELD, TXZ 200MM
1077    0040-23000    2nd New    Turbo Adaptor
1078    0010-20021    2nd New    SLIT VALVE ASSY
1079    0010-70441    2nd New    ASSEMBLY, BASIC MOTORIZED LIFT
1080    6030-01186    2nd New    BALL BUSHING BEARING
1081    3700-01887    2nd New    ORING ID 13 820CSD  139 VITON 75DURO BLK
1082    3700-01505    2nd New    ORING ID 1 734 CSD  139 VITON 75 DURO BRN
1083    3700-01033    2nd New    O RING VIT  299ID X  103
1084    3700-01614    2nd New    ORING ID  234 CSD  139 KALREZ 4079 75DUR
1085    3700-02440    2nd New    ORING ID 1 112 CSD  103 VITON 75DURO BLK UHP
1086    3700-02411    2nd New    ORING ID 11 484 CSD  139 VITON 75 DURO BLK UHP
1087    3700-02443    2nd New    ORING ID  299 CSD  103 VITON 75DURO BLK
1088    3700-02432    2nd New    ORING ID 1 850 CSD 0 210 Viton 75 DURO BLK UHP
1089    3700-01527    2nd New    ORING ID 5 734 CSD 0 139 CHemRAZ SC513 80DURO
1090    3700-01012    2nd New    ORING ID 0 864 CSD 0 070 Viton 75 DURO
1091    3700-01509    2nd New    ORING ID 7 234 CSD  139 VITON 75DURO BRN(CH #F)
1092    3700-01504    2nd New    ORING ID 7 484 CSD  139 VITON 75 DURO BRN(CH#E)
1093    3700-01389    2nd New    ORING ID 8 234 CSD  139 VITON 75DURO BLK (Process Ch)
1094    3700-01122    2nd New    ORING ID 4 109 CSD  139VITON75 DURO
1095    3700-01054    2nd New    ORING ID 6 484 CSD  139 VITON75 DURO(Robot Top)
1096    3700-01234    2nd New    ORING ID 2 859 CSD  139 VITON 75 DURO BLK(viewport)
1097    3700-01267    2nd New    ORING ID 0 359 CSD 0 139 VITON 75DURO Feed End Block
1098    3700-02441    2nd New    ORING ID  799 CSD  103 VITON 75DURO BLK UHP
1099    3700-02438    2nd New    ORING ID 2 050 CSD  103 VITON 75DURO BLK UHP (3700-01888)
1100    3700-02435    2nd New    ORING ID0 734 CSD 139 AS210
1101    3700-01081    2nd New    ORING ID 2 050 CSD  103 Viton 70 Duro (view port)
1102    3700-01072    2nd New    ORING ID 5 734 X CSD  139 VITON 75DURO B
1103    3700-02044    2nd New    ORING ID  674 CSD  103 VITON 75DURO BRN
1104    3700-01387    2nd New    ORING ID 7 487 CSD  103 SILICONE
1105    3700-01514    2nd New    ORING ID  799 CSD  103 VITON 75DURO BRN
1106    3690-01639    2nd New    O RING 14 984 0 139 VIT BROWN
1107    3700-01325    2nd New    ORING ID  796 CSD  139 VITON 75DURO BLK
1108    3700-02473    2nd New    ORING ID 1 609 CSD  139 VITON 75 DURO BLK
1109    3700-01615    2nd New    O RING,12 975LB  210 CSD BROWN VITON
1110    3700-01020    2nd New    ORING ID 3 484 CSD  139 VITON 75DURO BLK
1111    3700-01395    2nd New    ORING ID 12 984 CSD  139 VITON 75DURO BRN
1112    3700-01584    2nd New    ORING ID 16 955 CSD  139 VITON 75DURO BLK
1113    3700-01073    2nd New    ORING ID 5 984 CSD  139 VITON DURO 75 BRN
1114    0040-03349    2nd New    COOLING WATER BOX 200MM TXZ
1115    0040-31998    2nd New    WELDMENT, BELLOWS ASSY, UPPER, DXZ
1116    3320-01060    2nd New    GSKT SPIRA SHIELD 097DIA SST
1117    3300-02863    2nd New    FTG TBG EL 90DEG 3/8T-FLARE 9/16-18SAE
1118    3300-02081    2nd New    FTG TBG ADPTR 3/8T 1/4MNPTX9/16-18 37DEG JIC BRS
1119    0190-21067    2nd New    LOAD LOCK DIFFSUER
1120    0020-21152    2nd New    SHAFT LIFT PRECLEANER
1121    0020-26588    2nd New    SHIELD 8" PCII @C & D
1122    0020-35454    2nd New    COVER, COOLDOWN/CENTERFINDER CHAMBER
1123    0020-34828    2nd New    ENCODER,HOME SENSOR,P-CHUCK
1124    0020-20117    2nd New    CONDUCTOR,FLEX DC BIAS
1125    0150-01412    2nd New    Cable Assy, 2 Mhz Coaxial, 75 ft, Reacti
1126    0190-03283    2nd New    PURCHASE SPEC  75' NUDE ION GAUGE CABLE
1127    0090-03547    2nd New    Elec Assy Swich Lake Monitor Water Flow Meter
1128    0190-21446    2nd New    PURCH SPEC 60FT STABIL ION GAUGE CABLE
1129    0020-79093    2nd New    GIMBAL FLEXURE Application: MIRRA
1130    0150-76821    2nd New    CABLE, HEATER AC, HTESC, POS 1,2,3,4,C &
1131    0150-22690    2nd New    CABLE ASSY, HEATER BOX AC
1132    0100-01383    2nd New    PCB ASSEMBLY, MONITOR/KB/SERIAL/LIGHT P
1133    0040-51824    2nd New    Out,Right Chamer Lid,PMD PROD
1134    0040-51823    2nd New    MANIFOLD OUT, LEFT CHAMBER LID, PMD PROD
1135    0040-88150    2nd New    OUTPUT MANIFOLD, LEFT, SINGLE HOLE, PRODUCER
1136    0050-08618    2nd New    ADAPTER, BARATRON W/B SHUTTER @ 2 & 4 MI
1137    0050-09058    2nd New    UBE WELDMNT TURBO PURGE
1138    0050-20941    2nd New    GAS LINE CHAM 3 WIDE BODY (PROCESS) MFC
1139    0050-61457    2nd New    GASLINE LOWER, HEATER BYPASS, WB MIXED F
1140    0050-75360    2nd New    GASLINE MIX BYPASS UPPER
1141    0050-76602    2nd New    GAS LINE, CHAMBER 2 (PROCESS), MFC 18 &
1142    0050-76603    2nd New    GAS LINE, CHAMBER 2 (PROCESS), SB, UPPER
1143    0050-83629    2nd New    Elbow Vent Line
1144    0090-76035    2nd New    ASSY, SENSOR, WAFER SLIDE RECEIVER
1145    0020-21462    2nd New    INSULATOR SOURCE 11 30"
1146    0020-21865    2nd New    STANDOFF CRYO PLATE  5"L
1147    0020-22799    2nd New    Pedestal CD/PT Wafer 150mm
1148    0020-24434    2nd New    8" PC II JMF PROCESS EXT TI PEDESTAL
1149    0020-25256    2nd New    8" 101 SHUTTER DISC
1150    0020-25478    2nd New    TUBEFLANGED HEATER LIFTER BEARING
1151    0020-25897    2nd New    Tube Insulator 3 60"
1152    0020-26577    2nd New    Tube Insulator 1 60"
1153    0020-29344    2nd New    SHIELD 8" 101  190" THK AL 3 SPRTS VECTR
1154    0020-29348    2nd New    CUP OUTER FEEDTHRU SUPPORT SST RECESSED
1155    0020-29974    2nd New    CLAMP FEEDTHRU COIL SUPPORT 1 365"L VECT
1156    0150-09583    2nd New    CABLE COAXIAL DELTA
1157    0150-10328    2nd New    CBL I/O PWR  DIST
1158    0190-30355    2nd New    LIGHT PEN COMPOSITE 5V 20MA
1159    0100-20012    2nd New    ISOLATION AMPLIFIER BD
1160    0100-20037    2nd New    INTERLOCK SELECT BD
1161    0100-20038    2nd New    RELAY CONTROL BD
1162    0100-76038    2nd New    WAFER MAP LED BD
1163    PK-ST8W-001    2nd New    8" TC Wafer
1164    16-033931-00    2nd New    SHOWER HEAD PINNED 200MM WELDMENT
1165    853-347146-008    2nd New    LAM TCP9600SE / TCP 9600 DSQ Paddle Heater  8"
1166    715-011622-120    2nd New    LIFTERWFR4-PINFLE
1167    715-140125-002    2nd New    Q, BAFFLE, LOWER
1168    715-140126-004    2nd New    Q, BAFFLE, MIDDLE
1169    716-330355-001    2nd New    WINDOW, QUARTZ, HTD-EP
1170    3320-01032    2nd New    GSKT SHLD RF  172DIA 30LB/LIN-IN STD-FOS
1171    0090-20152    2nd New    ASSY OVER TEMP SW DEFAS CHAMBER
1172    1290-01182    2nd New    TERM BLK 2P 2DROW 22-16AWG 300V 15A 3/8CTR #6SCR
1173    0100-09042    2nd New    Opto Sensor
1174    0150-20638    2nd New    Cable Assy Ignition Network
1175    0150-37264    2nd New    CABLE, JUMPER, DPA, CENTURA
1176    3300-01245    2nd New    FTG PIPE TEE 1/2FP BRS UNION
1177    3300-01454    2nd New    FTG TUBE CONN MALE BRS 1/4T TO 3/
1178    3300-02424    2nd New    FTG CPLG RDCR 1/2 FPTX 3/8 FPT
1179    3300-03436    2nd New    FTG TBG ADPTR NW25 X 1/4MVCR 1 4"LG SS
1180    3320-02241    2nd New    Cu Gasket 6"
1181    3350-01008    2nd New    Quartz Disc
1182    3400-01126    2nd New    HOSE FLEX BLWS MED-WALL KF40 X KF40 X30"
1183    3700-01036    2nd New    ORING ID  487 CSD 103 VITON 75DURO BLK
1184    3700-01370    2nd New    O RING ID 5 359 CSD  139 VITON 75DURO BLK
1185    3700-01403    2nd New    SEAL DYNAMIC RADIAL  474 ID 3/16" CS 304 SS
1186    3700-01440    2nd New    ORING ID  799 CSD  103 KALREZ 4079 75DUR
1187    3700-02359    2nd New    ORING ID 7 225 CSD 210 VITON 75DURO BRN
1188    3700-02442    2nd New    ORING ID  424 CSD 103 VITON 75DURO BLK
1189    0030-20020    2nd New    COVER SOURCE 13 G-12
1190    0050-25245    2nd New    GASLINE, PRCS DUMP CH2 TXZ
1191    0050-25218    2nd New    GAS LINE, H2/N2/HE MANIFOLD CVD TIN SCGB
1192    0050-75243    2nd New    CARRIER BARATRON CVD TIN GASBOX
1193    0020-24228    2nd New    RF CONNECTOR INSULATOR PRECLEAN 2
1194    0010-21264    2nd New    ASSEMBLY SHUTTER LINKAGE
1195     0190-76094    2nd New    BRG BALL 7/8ODX3/8IDX7/32W 1ROW ABEC7 W/
1196     0190-75095    2nd New    VHP/VHP+/HP+ ELLBOW LOWER KMC SF4ZZ
1197     0040-20031    2nd New    ADAPTOR, ION GAUGE
1198    0020-53882    2nd New    HP Robot Blade
1199    HA-FF23BG1    2nd New    MANUAL PORT AC SERVER MOTOR
1200    3700-01712    2nd New    ORING ID  101 CSD  070 CHEMRAZ SC513 80 DURO WHT
1201    3700-01177    2nd New    ORING ID  101 CSD  070 VITON 75DURO BLK
1202    0150-20020    2nd New    CABLE ASSY, CHMBR D INTERCONNECT, 25'
1203    3700-01008    2nd New    ORING ID  239 CSD  070 VITON 75DURO BLK
1204    3700-01217    2nd New    ORING ID  237 CSD  103 VITON 75DURO BLK
1205    3700-01119    2nd New    ORING ID  737 CSD  103 VITON 75 DURO BLK
1206    3700-01309    2nd New    ORING ID  987 CSD  103 KALREZ 4079 75DURO BLK
1207    3700-01608    2nd New    ORING ID 3 987 CSD  103 VITON 75DURO BLK
1208    3700-01682    2nd New    ORING ID 2 359 CSD  139 CHEMRAZ SC513 80
1209    1D10-101475-11    2nd New    TAPER BAFFLE PLATE TEL UNITY DRM (AL + Anodizing)
1210    0190-36175    2nd New    PCB G P I/O Distribution
1211    716-331142-007    2nd New    Edge Ring
1212    839-011907-913    2nd New    ELECTRODE, ELASTOMER BONDED, 4520XL 4520I
1213    718-043893-801    2nd New    ASSY ESC 8" ELECTD NOTCH(ELECTRODE, ESC 9400)
1214    718-094756-061    2nd New    HAA ESC CHUCK -TCP9600 (Chuck, Cap, Electrode ESC)
1215    839-443215-514    2nd New    HPT UPPER ELECTRODE
1216    839-440462-308    2nd New    ASSY,ELCTD,ESC,200mm,SEMI
1217    716-140139-311    2nd New    R-HOT EDGE-SI-SGL XTAL-8
1218    853-347146-006    2nd New    PADDLE HEATED DSQ LRC 9600
1219    716-028454-012    2nd New    Window Quartz TCP Top LAM 9400
1220    716-330045-381    2nd New    9600 PTX 8" NOTCH NO LANDING (SEE CY)
1221    716-330121-001    2nd New    WINDOW,RF,SHAPED CERAMIC FIXED
1222    716-330504-081    2nd New    Ring, Focus, 8" ESC, Guard
1223    0200-20285    2nd New    PEDSTAL COVER UPPER
1224    0200-20331    2nd New    Cover Ring
1225    0200-00689    2nd New    LID LINER TXZ
1226    0200-35611    2nd New    SCREW,LID LIN
1227    0200-01009    2nd New    NOZZLE 4-HOLES 60 DEG, BAFFLE, ULTIMA CERAMIC
1228    0200-10144    2nd New    ISOLATOR, PUMPING PLATE,  DXZ
1229    0200-18093    2nd New    NOZZLE, ALL CERAMIC 2 55L, 1 5% HDPCVD,
1230    0090-03467    2nd New    ASSEMBLY, ELECTRICAL, SYNERGY V452 SBC W
1231    0040-37504    2nd New    SLIT VALVE DOOR, CENTURA PDD E38 BOND
1232    0010-09292    2nd New    ASSY, TC AMP HOUSING GENERIC, REVISION C
1233    3700-02984    2nd New    HE FLANGE CENTER ORING
1234    0020-75731    2nd New    WATER,MANIFOLD,3-PTFE,HDP CVD
1235    3700-02945    2nd New    RPS TOP BLOCK O-RING
1236    3700-03466    2nd New    VTEX GATE VALVE ORING
1237    0020-24236    2nd New    Pin, Anbenna, Gold Coating (Endura)
1238    0015-09334    2nd New    SCREW 10-32 X 1 25 CAPTIVE
1239    0020-09450    2nd New    Tube Gas Feed OD 6 35MM BWCVD
1240    0020-13958    2nd New    FINGER, 8" HTHU HEATER
1241    0020-13974    2nd New    BLOCK, MOUNTING, BOTTOM SENSOR LLKA, WBL
1242    0020-21675    2nd New    ARM LIFTER DEGAS/ORIENTER
1243    0020-05750    2nd New    PLUG, W/B LOADLOCK, ENDURA INTERFACE
1244    0150-21231    2nd New    Cable Assy Chamber 4 INTCNT-50FT
1245    0010-21246    2nd New    ASSEMBLY, NON-NTM ADVANCED 101 - 8" (8" A101 Heater)
1246    0020-21707    2nd New    ASSEMBLY, NON-NTM ADVANCED 101 - 8"
1247    0020-20439    2nd New    VIEWPORT, COVER BUFFER/WAFER ORIENTER
1248    0020-21708    2nd New    CHUCK ORIENTER 8"
1249    716-011009-001    2nd New    WINDOW, QUARTZ (Left Side)
1250    0010-02170    2nd New    ASSY, LEFT HAND, PIVOT AND BEARING HP+/V
1251    0010-02171    2nd New    ASSY, RIGHT HAND PIVOT AND BEARING, HP+/
1252    3700-01032    2nd New    ORING ID  862 CSD  103 VITON 75 DURO BLK
1253    3700-01040    2nd New    ORING ID 1 109 CSD  139 VITON 75DURO BLK
1254    3700-01049    2nd New    ORING ID 3 237 CSD  103 VITON 75DURO BLK
1255    3700-01056    2nd New    ORING ID 7 734 CSD  139 VITON 75DURO BLK
1256    3700-01057    2nd New    ORING ID 7 984 CSD  139 VITON 75DURO BLK
1257    3700-01094    2nd New    ORING ID  362 CSD  103 VITON 75DURO BLK
1258    3700-01095    2nd New    ORING ID  674 CSD  103 VITON 75DURO BLK
1259    3700-01126    2nd New    ORING ID 5 987 CSD  103 VITON75 DURO
1260    3700-01168    2nd New    ORING ID 2 484 CSD  139 VITON 75DURO BLK
1261    3700-01211    2nd New    ORING ID 7 484 CSD  139 VITON 75 DURO BLK
1262    3700-01213    2nd New    ORING ID 6 487 CSD  103 VITON 75 DURO BLK
1263    3700-01285    2nd New    ORING ID 2 734 CSD  139 SILICONE 70 DURO
1264    3700-01287    2nd New    ORING ID  987 CSD  103 SILICONE 70 DURO
1265    3700-01318    2nd New    ORING ID 10 975 CSD  210 VITON 75 DURO BLK
1266    3700-01326    2nd New    ORING ID 5 234 CSD  139 VITON 75DURO BLK
1267    3700-01371    2nd New    ORING ID 4 359 CSD  139 VITON 75 DURO BLK
1268    3700-01375    2nd New    ORING ID 3 239 CSD  070 SILICONE 60DURO
1269    3700-01416    2nd New    ORING ID 13 975 CSD  210 VITON 75 DURO BLK
1270    3700-01429    2nd New    ORING ID 8 734 CSD  139 VITON 75 DURO BLK
1271    3700-01464    2nd New    ORING ID  674 CSD  103 KALREZ 4079 75DURO BLK
1272    3700-01471    2nd New    ORING ID  799 CSD  103 SILICONE 70DURO
1273    3700-01474    2nd New    ORING ID 10 475 CSD  210 VITON 75 DURO
1274    3700-01578    2nd New    ORING ID  484 CS  139 KALREZ 4079 75DURO BLK
1275    3700-01593    2nd New    ORING ID 1 734 CSD  139 SILICONE 70DURO
1276    3700-01595    2nd New    ORING ID 15 955 CSD  139 SILICONE 70DURO
1277    3700-01597    2nd New    ORING ID 2 112 CSD  103 SILICONE 70DURO
1278    3700-01603    2nd New    ORING ID 8 975 CSD  210 SILICONE
1279    3700-01619    2nd New    ORING ID 1 734 CSD  139 KALREZ 4079 75DURO BLK
1280    3700-01620    2nd New    ORING ID  424 CSD  103  625 OD SILICON
1281    3700-01625    2nd New    ORING ID  796 CSD  139 SILICONE DURO 70
1282    3700-01643    2nd New    ORING ID 8 475 CSD  275 SILICONE 70DURO
1283    3700-01796    2nd New    ORING ID  424 CSD  103 KALREZ 4079 75DURO BLK
1284    3700-01797    2nd New    ORING ID  987 CSD  103 KALREZ 4079 75DURO BLK
1285    3700-01800    2nd New    ORING ID 8 475 CSD  275 KALREZ 4079 75DURO BLK
1286    3700-01805    2nd New    ORING ID  796 CS  139 KALREZ 4079 75DURO BLK
1287    3700-01863    2nd New    ORING ID 1 237 CSD  103 VITON 75DURO BLK
1288    3700-01959    2nd New    ORING ID 11 975 CSD  210 VITON 75DURO BLK
1289    3700-02001    2nd New    ORING ID 2 734 CSD  139 KALREZ 4079 75DURO BLK
1290    3700-02032    2nd New    ORING ID 8 975 CSD  210 KALREZ 4079 75DURO BLK
1291    3700-02033    2nd New    ORING ID 15 955 CSD  139 KALREZ 4079 75DURO BLK
1292    3700-02034    2nd New    ORING ID 2 112 CSD  103 KALREZ 4079 75DURO BLK
1293    3700-02158    2nd New    ORING ID  546 CSD  139 KALREZ 4079 75 DURO BLK
1294    3700-02161    2nd New    ORING ID 10 475 CSD  210 KALREZ 4079 75 DURO BLK
1295    3700-02162    2nd New    ORING ID 11 975 CSD  210 KALREZ 4079 75 DURO BLK
1296    3700-02163    2nd New    ORING ID 13 975 CSD  210 KALREZ 4079 75 DURO BLK
1297    3700-02184    2nd New    ORING ID 1 109 CSD  139 KALREZ 4079 75 DURO BLK
1298    3700-02225    2nd New    ORING ID 8 475 CSD  210 VITON 75 DURO BLACK
1299    3700-02408    2nd New    ORING ID 2 984 CSD  139 VITON DURO 75 BLK
1300    0150-10549    2nd New    Cable, Thermocouple Line
1301    0010-75207    2nd New    Assy Orienter Laser
1302    0010-00957    2nd New     Assy  Laser Orienter
1303    0010-20293    2nd New    ASSY DIFFUSER
1304    3700-01401    2nd New    O RING ID 4 989  070W VITON 75 DURO
1305    0040-20124    2nd New    FLANGE WELDMENT, KF25X1/4VCR
1306    0050-20080    2nd New    OBS: VENT LINE LOADLOCK CHAMBER N2
1307    0050-20081    2nd New    VENT LINE LOADLOCK CHAMBER N2
1308    0050-20421    2nd New    VENT LINE N2 LOADLOCK B
1309    0050-20423    2nd New    VENT LINE N2 LOADLOCK B
1310    0050-20424    2nd New    VENT LINE N2 LOADLOCK A
1311    0050-70025    2nd New    LOADLOCK, ORIENTER DIFFERENT PUMPING LINE
1312    0690-01038    2nd New    CLAMP HINGED NW25 WING-NUT & SCR-CLOSURE AL
1313    0690-01555    2nd New    CLAMP BULKHEAD NW 25 6 BOLTS  ALUM
1314    3300-01944    2nd New    FTG TBG CONN 3/8T 1/4MP BRS
1315    3300-02304    2nd New    FTG FLANGE BLANK-OFF NW25 1 57OD X  20THK SST
1316    3400-01067    2nd New    HOSEFLEX BLWS THIN-WALL NW25 X NW25 24"LG SST
1317    3870-01325    2nd New    VALVE ADATOR PRESS REL CRYOPUMP/CASS LOADLK
1318    3870-01334    2nd New    VALVE PNEU-ACT RTANG 1"OD NW25 AIR-OPEN/SPR-CLOSE
1319    0090-20012    2nd New    INDEXER BRAKE ASSEMBLY
1320    3080-01050    2nd New    PULLEY BELT
1321    0020-10200    2nd New    ECCENTRIC CAM FOLLOWER
1322    3060-01201    2nd New    BEARING
1323    0020-75162    2nd New    FLECURE UNIT
1324    0020-21961    2nd New    RIGHT ROTATION FLAG
1325    0020-22303    2nd New    LEFT ROTATION FLAG
1326    0020-21958    2nd New    DRIVEN FLANGE
1327    0020-75142    2nd New    MOUNT CONNECTOR
1328    0020-75101    2nd New    NUT PLATE DOOR SWITCH
1329    0030-70084    2nd New    HOLDDOWN RING
1330    0020-21838    2nd New    DETECTOR COVER LEFT
1331    0020-21839    2nd New    DETECTOR COVER RIGHT
1332    0020-21829    2nd New    DETECTOR YOKE
1333    0020-21926    2nd New    HOUSING DETECTOR SHIM
1334    3780-01143    2nd New    SPRING
1335    0020-21830    2nd New    SPRINGS HOLDER
1336    0020-23033    2nd New    SAFETY BAR
1337    0020-23034    2nd New    FRONT PANEL DOOR BRACKET GUIDE
1338    3780-01118    2nd New    SPRING
1339    0020-24969    2nd New    WAFER COVER
1340    0020-75035    2nd New    LL DOOR SPRING RETAINER
1341    16-271392-00    2nd New    Novellus C3 Vector Shower Head
1342    3310-01071    2nd New    GAUGE TC 1-1000 MTORR METALTUBE 1/8" IPSMALE W/ME
1343    0150-76208    2nd New    EMC COMP , CABLE ASSY,MAIN FRAME UMBILIC
1344    0150-76209    2nd New    EMC COMP , CABLE ASSY,MAIN FRAME UMBILIC
1345    0150-76211    2nd New    EMC COMP , CABLE ASSY,PNEUMATICS UMBILIC
1346    0150-76210    2nd New    EMC COMP , CABLE ASSY,LOAD LOCK UMBILICA
1347    0010-70252    2nd New    Assy 6" Heater VCR W/2TC'S *MSG* STD
1348    1D05-300052-13    2nd New    TEL Unity Ring Quartz Insulator A7
1349    0010-93145    2nd New    ASSEMBLY, POWER SUPPLY 24V
1350    0010-93146    2nd New    ASSY, POWER SUPPLY 15V
1351    0040-22811    2nd New    FINGER EXTENDED LIFT HOOP 6"
1352    0010-13626    2nd New    200MM B101 AL HEATER
1353    -    2nd New    PIVOT (GEAR TYPE)
1354    3300-02286    2nd New    FTG QDISC STEM 1/2T X 1/2FNPT X 2 96L 3 0CC SST
1355    3300-05600    2nd New    FTG QDISC STEM 3/8T X 3/8 A-LOK 3/4HEX SST
1356    0040-76258    2nd New    WELDMENT, 8 HOOP, PVD STD CHAMBER
1357    0020-20726    2nd New    COLLIMATOR BRACKET
1358    3700-03275    2nd New    MSA-028-111 single port microseal assy, 1 125" substrate MFC seal
1359    3700-03273    2nd New    MSA-028-211 two port microseal assy, 1 125" substrate MFC seal
1360    3700-03274    2nd New    MSA-028-311 three port microseal assy, 1 125" substrate MFC seal
1361    0200-04988    2nd New    NOZZLE ALN,1 76L X  14DIA,-N (CERAMIC)
1362    0010-13625    2nd New    6" Advanced B101 150mm
1363    0200-03264    2nd New    OUTER RING 150MM NCSR
1364    0200-03280    2nd New    SHADOW RING 150MM JMF NCSR 3 0MM EE
1365    0200-03323    2nd New    PURGE RING 150MM JMF NCSR 3 0MM EE
1366    0040-36095    2nd New    BELLOWS HEATER LIFT
1367    0010-21578    2nd New     ASSY SHUTTER ENCLOSURE W/ SENSOR MODS
1368    0010-76675    2nd New    Manifold, PVD Water Valve, Brass
1369    0240-22035    2nd New    2PHASE DRIVER UD2115A
1370    3400-01183    2nd New    HOSE FLEX HELIUM SST 3/4″ ID X 5'L FELB X FSTR
1371    0190-35525    2nd New    CxZ, Heater TC
1372    1270-00991    2nd New    SW FLOW ALARM WATER 1/2NPTF  05-5 0GPM AL
1373    0100-18043     2nd New    ASSY REMOTE SERIPLEX I/O HDP-CVD ULTIMA BD
1374    0200-10172    2nd New    OBS PLATE, COVER, 6" HEATER, DXZ, ALUM  NITRIDE
1375    0200-10284    2nd New    PIN,LIFT,HEATER,DXZ
1376    0200-10169    2nd New    PLATE, EDGE COVER, DXZ GECO
1377    0200-10143    2nd New    OBS, INSERT, RING, CHAMBER, CERAMICGECO
1378    0200-09974    2nd New    SHIELD, L-SUPPORT,PUMPING INSERT GECO
1379    0200-10164    2nd New    SHIELD, PUMPING INSERT, SIN, DXZ GECO
1380    0021-35087    2nd New    PLATE,BLOCKER,N2/HE CARRIER GAS,DXZ/UNIVERSAL CH
1381    0200-10163    2nd New    ISOLATOR, SIN, ENHANCED, PUMPING LID, DXZ
1382    0100-09286    2nd New    SERIPLEX 2-SLOT BACK PLANE
1383    0620-00499    2nd New    CABLE COAX 98FT 7-16(M)R/A TO SQS(M)
W/RG-217/U, TOP RF MATC
1384    0620-00498    2nd New    CABLE COAX 98FT 7-16(M)R/A TO SQS(M)
W/RG-217/U, SIDE RF MAT
1385    0020-18362-M    2nd New    UNITED LIFT PIN
1386    SS-6BHT-72    2nd New    L=185㎝, 3/8" Tube end type teflon hose
1387    SS-6BHT-60    2nd New    L=155㎝, 3/8" Tube end type teflon hose
1388    SS-601-PC    2nd New    Port Connector
1389    0020-05810    2nd New    B101 8" COPPER GASKET
1390    716-331157-004    2nd New    Quartz Disk (Lam)
1391     716-331088-001    2nd New    Edge Ring (Lam)
1392    839-802923-006    2nd New    HLDR, QTZ WIN, FCTR, SCRN
1393    0190-13092    2nd New    HP+/VHP+ PIVOT
1394    0190-75039    2nd New    HP+/VHP/VHP+ ELBOW UPPER
1395    0190-00763    2nd New    HP+/VHP/VHP+ ELBOW LOWER
1396    15-00309-00    2nd New    PRACKET NOZZLE SUPPORT
1397    0020-35900    2nd New    HANDLE PUMPING PLATE
1398    15-00680-00    2nd New    SLEEVE- TC CERAMIC
1399    16-00092-00    2nd New    FEEDTHRU STRAP (SHORT LINE)
1400    27-00079-00    2nd New    THERMOCOUPLE TYPE J 1/16"
1401    15-00699-02    2nd New    FORK FINGER 200MM
1402    4020-01061    2nd New    SA LIQUID GAS LINE FILTER
1403    22-10068-00    2nd New    KIMURA O-RING K23X AS383
1404    0021-01571    2nd New    FACE PLATE-GIGA-FILL SACVD-USG CENT
1405    914252-004    2nd New    CONVEYOR BELT (WJ)
1406    22-00324-00    2nd New    SEAL- LIP(EXT)
1407    912131-001    2nd New    ORIFICE SPRING-TORO-APL
1408    905493-001    2nd New    SINGLE ORIFICE BOARD
1409    15-00475-00    2nd New    INSULATOR GAS FEECLT
1410    0020-01371    2nd New    BLOCKER PLATE(CENTRA) W-PLAG
1411    15-122086-00    2nd New    COVER ESC 200MM JEIDA CERAMIC
1412    0040-46975    2nd New    GAS INPUT MANIFOLD L
1413    0040-32204    2nd New    WELDMENT BELLOWS THROTTLE 5 PHASE DPS
1414    0021-09551    2nd New    HOUSING,BUSHING,THROTTLE,5 PHASE,DPS
1415    0190-76095    2nd New    BRG BALL 68MMODX40MMIDX15MMW 1ROW 1SHLD
1416    0100-09287    2nd New    I/O Matrix Board
1417    0100-20007     2nd New    PCB ASSY, PNEUMATIC PNEUMATIC
1418    0100-76018    2nd New    PNEU 4-WAY INTERCONNECT,PCB
1419    0020-27885    2nd New    Shim Magnet Assembly,  50mm SST (PVD)
1420    0020-27886    2nd New    Shim, Magnet Assembly,  75mm, SST (PVD)
1421    0020-27884    2nd New    Shim, Magnet Assy,  25mm, SST (PVD)
1422    0021-12556    2nd New    GUIDE PIN, VACUUM ASSY VHP ROBOT
1423    0020-23587    2nd New    SPACER, MOD SHEILD
1424    0020-06048    2nd New    BUSHING, SHAFT
1425    0020-06047    2nd New    BEARING, SHAFT (DC)
1426    0200-00218    2nd New    COVER TOP QUARTZ 8INCH PCII
1427    0020-06270    2nd New    SHIELD, INNER, S-IMP REV 1 3
1428    0020-15016    2nd New    SHIELD, UPPER, GROUNDED, AL ARC-SPRAYED
1429    0020-25279    2nd New    CLAMP RING 8INCH AL SNNF 6 FEET
1430    0200-03425    2nd New    CYLINDER, 80SR, WAFER SUPPORT, 200MM RTP
1431    0200-36118    2nd New    RTP EDGE RING
1432    0200-01006    2nd New     DOME 14 ID ASTRON TOP ULTIMA 1 5
1433    0200-35081    2nd New    RING PREHEAT GRAPHITE BETA COATING
1434    0020-31064    2nd New    HOLDER, PIN, HDP-CVD
1435    0200-00207    2nd New    TIP SUSCEPTOR SHAFT OUTSIDE
1436    0200-00412    2nd New    SHAFT,SUSCEPTOR,W/RMVBL PINS,NO CEN,EPI
1437    0200-35007    2nd New    DOME,UPPER,RP
1438    0200-05207    2nd New    DOME, LOWER QTZ, W/BALL
1439    0200-35017    2nd New    CHAMBER LINER,QTZ UPPER
1440    0200-35023    2nd New    CHAMBER LINER,QTZ,LOWER
1441    0200-35424    2nd New    WAFER LIFT SHAFT, 8"
1442    0200-35019    2nd New    INSERT, QTZ INJECT
1443    0021-01568    2nd New    Scr,4-40* 38L,Blocker,Giga-Fill
1444    E19000940    2nd New    VARIAN: VIISION® Drive Shaft
1445    0150-21692    2nd New    CABLE ASSY  SQ RIGHT ANGLE
1446    0150-36954    2nd New    CABLE, LIFT HEATER, DXZ, CENT
1447    839-031766-001    2nd New    BELLOWS LIFTER
1448    716-331088-404    2nd New    R, EDGE, 8, ES, NCH, TOP, BSR, FL
1449    15-296010-00    2nd New    WINDOW IMPROVED VIEWPORT, PLASTIC
1450    15-100641-00    2nd New    WINDOW INNER, VIEWPORT
1451    22-110615-00    2nd New    O-RING 2-249, VITON 747
1452    0020-18377    2nd New    FLANGE,DUAL HE FEED, HDP-CVD
1453    0020-33538    2nd New    FACE-PLATE-BPSG
1454    0040-32148    2nd New    FORGED HEATER, DxZ
1455    0190-35765    2nd New    SERIPLEX MUX I/O PCB(7), (208H-239H) CH#C,D
1456    0190-35651    2nd New    SERIPLEX MUX I/O PCB(6), (176H-207H) CH#A,B
1457    0040-20292    2nd New    Short Lamp Feedthru
1458    0100-76251     2nd New    ASSY, DIFFERENTIAL ENCODER/RECEIVER
1459    0040-21710    2nd New    BASE PLATE
1460    19-024227-02    2nd New    HBLK, 200mm, 4 HOLE
1461    19-024227-01    2nd New    HBLK, 200mm, SLOT, 20 HOLE
1462    0200-04294    2nd New    BAFFLE, ALN, 2 47ODX1 14L, 8X 100CLN, 8X
1463    0190-00958    2nd New    BRG,4PT CONT,8 625OD X 8ID X  3125W W/CA
1464    0021-30906    2nd New    CLAMP, BLADE 300MM DBR
1465    0150-76186    2nd New    EMC COMP ,CABLE ASSY,PUMP UMBILICAL
1466    0140-20255    2nd New    HARNESS ASSEMBLY STEC MFC PANEL 1 SYS
1467    0040-54098    2nd New    MANIFOLD, INPUT, LID ASSEMBLY, PMD PRODU
1468    3700-02137    2nd New    ORING ID  924 CSD  103 CHEMRAZ SC513 80
1469    3700-02206    2nd New    ORING ID 1 174 CSD  103 CHEMRAZ SC513 80
1470    0190-21713    2nd New    SWITCH, HALF ATM
1471    0190-75083    2nd New    TC, PROBE K-TYPE QUICK-DISCONNECT INTEGR
1472    0020-18899    2nd New    WASHER, NOZZLE, ULTIMA HDPCVD
1473    16-033932-00    2nd New    SHOWER HEAD
1474    0020-84596    2nd New    FACEPLATE, 300MM SILANE, FLOW TESTED
1475    0041-25016    2nd New    Face Plate  70 THK No Center Hole 300mm
1476    0200-01903    2nd New    Insulator 300 mm Endura Preclean Quartz
1477    716-801568-002    2nd New    Window Quartz
1478    716-012640-011    2nd New    Star Quartz Window 12" Quartz  300mm STAR
1479    713-034964-001    2nd New    THICK WASHER 300mm FLEX
1480    839-039317-003    2nd New    CAP 300mm FLEX
1481    713-037072-003    2nd New    HANGER, 4R-3PC  300mm FLEX
1482    713-034965-001    2nd New    THIN WASHER  300mm FLEX
1483    15-250878-00    2nd New    MOER Screw
1484    3700-01035    2nd New    O-RING ID  424 CSD  103 VITON 75DURO AS568-111 VITON BLOCK
1485    3700-02183    2nd New    ORING ID 546 CSD 139 AS568A-207 VITON BLK
1486    3700-01245    2nd New    O-RING ID 364 CSD 070 AS568A-012 VITON 75 DURO BLK
1487    839-052158-020    2nd New    ABE AL OUTER
1488    734-073584-121    2nd New    O-RING,KALREZ 9100,1 049
1489    734-007524-284    2nd New    O-RING,CHEMRAZ,AS568-284
1490    734-061280-014    2nd New    ORING ID  489 CSD  070 VITON
1491    734-007524-275    2nd New    O-RING,CHEMRAZ,AS568-275
1492    734-007524-274    2nd New    O-RING,CHEMRAZ,AS568-274
1493    734-061280-275    2nd New    O-RING,VITON,10 484ID X
1494    734-061280-274    2nd New    O-RING,VITON,9 984 ID
1495    734-061280-329    2nd New    O-RING, VITON
1496    734-007524-122    2nd New    O-RING,CHEMRAZ,SSE38,1 1
1497    0010-36734    2nd New    300mm Producer Ceramic Heater
1498    0020-20666    2nd New    Themal Insulator Lifter
1499    716-012639-117    2nd New    QTZ INJ,DUAL,IEP W/ SMOOTHER SURFACE FINISH,LAM2300
1500    716-026652-902    2nd New    RING, EDGE, BOT
1501    0200-04654    2nd New    PUMPING Liner, Top SiH4 (Producer SE)
1502    0200-02408    2nd New    PUMPING RING, CERAMIC C-CHANNEL, SIDE 2
1503    0200-02407    2nd New    PUMPING RING, CERAMIC C-CHANNEL, SIDE 1
1504    0021-27233    2nd New    MIXING TUBE, MIXING BLOCK, CHAMBER LID
1505    0200-07974    2nd New    WEIGHT LIFT PIN 300MM HEATER
1506    0040-76820    2nd New    FACEPLATE, 1 5D 12MIL  70THK
1507    0040-95475    2nd New    FACEPLATE,TEOS HIGH DEP RATE,FACEPLATE
1508    716-031052-002    2nd New    WDO, QTZ, HTD, ENDPT
1509    0200-01197    2nd New    LIFT PIN ADJSTBL FAST LIFT ALUMINA NON C
1510    0040-32053    2nd New    WELDMENT, BELLOWS, WAFER LIFT
1511    716-331142-201    2nd New    RING,EDGE TOP WIDE
1512    0021-17770    2nd New    COVER RING,AL,300MM SST (SIP)
1513    0020-02344    2nd New    SHIELD, LOWER, 300MM SIP
1514    0020-08299    2nd New    CLAMP, INNER SHIELD,300MM SIP
1515    0200-08301    2nd New    DEPOSITION RING,BESC (SIP)
1516    0021-25014    2nd New    DISK, SHUTTER, CENTER PIN
1517    0020-02348    2nd New    CLAMP, LOWER SHIELD,300MM SIP
1518    0020-54777    2nd New    SHIELD INNER, AL ,300MM SIP
1519    0020-23549    2nd New    SHIELD UPPER,AL,SIP
1520    0021-21234    2nd New    UPPER SHIELD,AL,CH
1521    0021-22064    2nd New    COVER RING,METAL,CH
1522    0021-22065    2nd New    LOWER SHIELD,AL,CH
1523    0040-07291    2nd New    DEPOSITION RING,METAL,CH
1524    0021-26609    2nd New    SHUTTER DISK,AL,CH
1525    0020-19258    2nd New    PEDESTAL TITANIUM 300MM PCII
1526    0040-86514    2nd New    SHIELD, LOWER 300MM PCXT/RPC+
1527    0021-19342    2nd New    SHIELD INNER 300MM PCII/RPC+
Rechargeable SUP Pump Electric, Vogvigo 16 PSI High Pressure Pump, 12V Electric Air Pump with Built-in 6000mAh Battery, 7 Nozzles & 3 LED Lights, Dual Stage Auto-Off Function, for Paddle Board, Boat
Rechargeable SUP Pump Electric, Vogvigo 16 PSI High Pressure Pump, 12V Electric Air Pump with Built-in 6000mAh Battery, 7 Nozzles & 3 LED Lights, Dual Stage Auto-Off Function, for Paddle Board, Boat
 119 90  
AIRBANK Rechargeable SUP Pump, 7500 mAh Battery Paddle Board Pump Whale Shark Pro, Dual Stage Smart Inflator & Deflator, Auto-Off AC/DC Charging, Air Pump for Stand Up Paddle Boards(PRO)
AIRBANK Rechargeable SUP Pump, 7500 mAh Battery Paddle Board Pump Whale Shark Pro, Dual Stage Smart Inflator & Deflator, Auto-Off AC/DC Charging, Air Pump for Stand Up Paddle Boards(PRO)
 134   
Coleman 12 Volt Quick Pump - Red, 20 5 x 0 x 12 5 cm
Coleman 12 Volt Quick Pump - Red, 20 5 x 0 x 12 5 cm
 15   
Multi Purpose Mini Pump
Multi Purpose Mini Pump
 21   
SUP Pump, Chefic 110W/ 12V SUP Electric Air Pump 20 PSI High Pressure, 2-in-1 Inflate & Deflate Sup Inflator Dual Stage, Air Pump Electric with LCD, Auto-off Function for Inflatable Boat, Paddle Board
SUP Pump, Chefic 110W/ 12V SUP Electric Air Pump 20 PSI High Pressure, 2-in-1 Inflate & Deflate Sup Inflator Dual Stage, Air Pump Electric with LCD, Auto-off Function for Inflatable Boat, Paddle Board
 7   
Redwood Leisure 240v Electric Air Pump
Redwood Leisure 240v Electric Air Pump
 9 88  
Air Pump, AGPtEK Electric Air Pump 20PSI Digital Electric Air Pump, Intelligent Dual Stage & Auto-Off Function for Easy Storage, Great for Paddle Boards, Inflatable Boats and Kayaks
Air Pump, AGPtEK Electric Air Pump 20PSI Digital Electric Air Pump, Intelligent Dual Stage & Auto-Off Function for Easy Storage, Great for Paddle Boards, Inflatable Boats and Kayaks
 64   
Sevylor Electric 12V Pump, Blue
Sevylor Electric 12V Pump, Blue
 69 73  
FunWater SUP Electric Air Pump - Rechargeable, Portable, Paddle Board 16PSI with Built-in Battery, Air Pump Compressor for Inflatable Stand up Paddle Board Pool Boat with 6 Nozzles
FunWater SUP Electric Air Pump - Rechargeable, Portable, Paddle Board 16PSI with Built-in Battery, Air Pump Compressor for Inflatable Stand up Paddle Board Pool Boat with 6 Nozzles
 119 95  
Applied Materials SCU-H1303C TURBO PUMP CONTROLLER AMAT
Applied Materials SCU-H1303C TURBO PUMP CONTROLLER AMAT
 3,925 67   29d 0h
 
Amat 0021-03739 Pumping Channel, Anodized, 3 Piece , New
Amat 0021-03739 Pumping Channel, Anodized, 3 Piece , New
 1,046 85   14d 19h
 
Amat 0680-01152 Cb Mag Ip 120Vac 5A  Lg Dly 1/4-2, 405293
Amat 0680-01152 Cb Mag Ip 120Vac 5A Lg Dly 1/4-2, 405293
 43 62   28d 15h
 
AMAT 0040-75457 Gas Feed Thru Tube, 452794
AMAT 0040-75457 Gas Feed Thru Tube, 452794
 1,526 65   5d 16h
 
AMAT 0040-95475 Face Plate, TEOS, 102561
AMAT 0040-95475 Face Plate, TEOS, 102561
 654 28   19d 11h
 
127-0501// Amat Applied 0010-38838 Ass, Ac Distribution, 120 Vac, Used
127-0501// Amat Applied 0010-38838 Ass, Ac Distribution, 120 Vac, Used
 2,180 93   27d 19h
 
127-0501// Amat Applied 0010-10436 Assy,Ac Distribution,120 Vac,R Used
127-0501// Amat Applied 0010-10436 Assy,Ac Distribution,120 Vac,R Used
 1,744 74   27d 19h
 
Amat 0200-03403 Ceramic Pumping Ring, 300Mm , New
Amat 0200-03403 Ceramic Pumping Ring, 300Mm , New
 1,744 74   27d 23h
 
AMAT 1140-00407, Power Supply DC 24V 8 4A 200W 85-264/120-370VAC  417726
AMAT 1140-00407, Power Supply DC 24V 8 4A 200W 85-264/120-370VAC  417726
 82 88   23d 12h
 
321-0301// Amat Applied 3780-01107 Spr Ext 1/2 Fl  093Od New
321-0301// Amat Applied 3780-01107 Spr Ext 1/2 Fl  093Od New
 8 72   27d 22h
 
AMAT 0140-02787 Harness Assembly, 120VAC AC-Outlet Chamber T 414635
AMAT 0140-02787 Harness Assembly, 120VAC AC-Outlet Chamber T 414635
 56 70   7d 11h
 
Amat 0035-00193 Shield 1-Piece Hp Pvd 300Mm , New
Amat 0035-00193 Shield 1-Piece Hp Pvd 300Mm , New
 2,966 06   26d 22h
 
Airpax UPL111-1-66-202 Switch, CB MAG 3P, 120 VAC, 2A, AMAT 0680-01018, 410802
Airpax UPL111-1-66-202 Switch, CB MAG 3P, 120 VAC, 2A, AMAT 0680-01018, 410802
 130 86   29d 17h
 
AMAT 0140-02787, Cable, Harness Assembly, 120VAC AC - Outlet Chamber T  414255
AMAT 0140-02787, Cable, Harness Assembly, 120VAC AC - Outlet Chamber T  414255
 56 70   27d 11h
 
AMAT 1340-01004 Timer On-Delay  24VAC/DC, 120VAC, 419228
AMAT 1340-01004 Timer On-Delay  24VAC/DC, 120VAC, 419228
 43 62   21d 11h
 
AMAT Applied Materials 0200-03405 PUMPING LINER TOP PRODUCER SE APF
AMAT Applied Materials 0200-03405 PUMPING LINER TOP PRODUCER SE APF
 1,647 04   1d 5h
 
AMAT 0190-53729 Vacuum Pump Valve, S102024-131984-28XL, 452921
AMAT 0190-53729 Vacuum Pump Valve, S102024-131984-28XL, 452921
 1,308 56   5d 13h
 
10 AMAT 3320-01182 GSKT VCR 1/2 SST Contoured RTNR Blank, 50-8V-2LPB-R, 102211
10 AMAT 3320-01182 GSKT VCR 1/2 SST Contoured RTNR Blank, 50-8V-2LPB-R, 102211
 87 24   1d 12h
 
AMAT 1400-01397, Sensor Head Thrubeam IR 4m, Keyence PS-201C, PS-201CR  419309
AMAT 1400-01397, Sensor Head Thrubeam IR 4m, Keyence PS-201C, PS-201CR  419309
 305 33   24d 14h
 
AMAT 0140-09235 Rev  C, Cable, Harness Assembly, 15 Volt PS to Dist  329003
AMAT 0140-09235 Rev  C, Cable, Harness Assembly, 15 Volt PS to Dist  329003
 52 34   12h 34m
 
AMAT Shower Head, Perf Plate, Endura HP PVD, 102123
AMAT Shower Head, Perf Plate, Endura HP PVD, 102123
 741 52   25d 13h
 
2 AMAT 3300-02507, FTG Pipe 1/4-18 NPT, 9/16 HEX  416801
2 AMAT 3300-02507, FTG Pipe 1/4-18 NPT, 9/16 HEX  416801
 34 89   14h 32m
 
AMAT In-Situ Particle Monitor, 453012
AMAT In-Situ Particle Monitor, 453012
 8,287 53   27d 10h
 
5 AMAT 3700-01325 O-Ring, 75 Duro Black, ID  796, CSD  139, 452131
5 AMAT 3700-01325 O-Ring, 75 Duro Black, ID  796, CSD  139, 452131
 26 17   3d 16h
 
4 AMAT 3880-01215 Washer Flat  375OD X  161ID X  062THK, 453048
4 AMAT 3880-01215 Washer Flat  375OD X  161ID X  062THK, 453048
 34 89   6d 12h
 
103-0601// Amat Applied 0620-02035 Cable High Voltage 6M Ion Pump [New]
103-0601// Amat Applied 0620-02035 Cable High Voltage 6M Ion Pump [New]
 663    5d 2h
 
3 AMAT 0690-90317 Circlip, Anderton D1300-0280, C clamp lock
3 AMAT 0690-90317 Circlip, Anderton D1300-0280, C clamp lock
 6 98   12d 17h
 
AMAT 0100-76046 Gas Panel Interface PCB, 452660
AMAT 0100-76046 Gas Panel Interface PCB, 452660
 305 33   6d 11h
 
7 AMAT 0015-09065 Washer #6 Mounting NI Plate, Sus/hoop to Bellows, 452470
7 AMAT 0015-09065 Washer #6 Mounting NI Plate, Sus/hoop to Bellows, 452470
 43 62   9d 11h
 
AMAT 0200-00410 Uni-Insert Gas Distribution, 88 Holes, Quartz, 452925
AMAT 0200-00410 Uni-Insert Gas Distribution, 88 Holes, Quartz, 452925
 872 37   5d 15h
 
More Other Semiconductor & PCB Manufacturing

PicClick
Search eBay Faster
Alcatel ATH 20/20 Turbo Pump and MKS 415591-G1 Assembly
Alcatel ATH 20/20 Turbo Pump and MKS 415591-G1 Assembly
 828 75  
 
Applied Materials AMAT MCVD Susceptor 0010-10277 6" T1 - Sealed, with Documents
Applied Materials AMAT MCVD Susceptor 0010-10277 6" T1 - Sealed, with Documents
 1,308 56  
 
Applied Materials AMAT Susceptor BWCVD 5000 T1 8" P/N 0010-09962 - Sealed
Applied Materials AMAT Susceptor BWCVD 5000 T1 8" P/N 0010-09962 - Sealed
 1,962 84  
 
Pro-face GP 577R-SC11 Graphic Panel TouchScreen Controller AS-IS
Pro-face GP 577R-SC11 Graphic Panel TouchScreen Controller AS-IS
 130 86  
 
PTFE Fluoro Wafer Dipper 2" x 2 5" O D , Holds 20 Wafers ~ SM2 5WD2
PTFE Fluoro Wafer Dipper 2" x 2 5" O D , Holds 20 Wafers ~ SM2 5WD2
 188 33  
 
Pfeiffer DCU 001 PM 041 816BT Turbo Pump Controller
Pfeiffer DCU 001 PM 041 816BT Turbo Pump Controller
 348 86  
 
Freescale Semiconductor TWR-P1025 Tower System QorIQ e500 MPU Embedded Eval Brd
Freescale Semiconductor TWR-P1025 Tower System QorIQ e500 MPU Embedded Eval Brd
 157 03  
 
Loughborough Sound Images 16 Channel DAC Board
Loughborough Sound Images 16 Channel DAC Board
 218 05 0 Bids   5d 18h
 
H-Square, Vacuum Wand with T694PKAS Tip & vacuum coiled ESD safe gray tubing
H-Square, Vacuum Wand with T694PKAS Tip & vacuum coiled ESD safe gray tubing
 43 62  
 
Jewelry Decor Si Mono Silicon Art Wafer Clear and with IC Microchip Pattern 150g
Jewelry Decor Si Mono Silicon Art Wafer Clear and with IC Microchip Pattern 150g
 20 91  
 
H-Square, Vacuum wand with vacuum coiled ESD safe white tubing, 2ea/pk, used
H-Square, Vacuum wand with vacuum coiled ESD safe white tubing, 2ea/pk, used
 34 89  
 
Omron V700-l21 Cidrw Controller , Used
Omron V700-l21 Cidrw Controller , Used
 87 23  
 
H-Square, vacuum wand, brand new,  2 ea/pk
H-Square, vacuum wand, brand new, 2 ea/pk
 34 89  
 
5pcs Lot- CG75MS, MFR= Littlefuse, Gas discharge tubes, 75VDC, 20AAC, SMD
5pcs Lot- CG75MS, MFR= Littlefuse, Gas discharge tubes, 75VDC, 20AAC, SMD
 15 70  
 
EBARA PRECISION - Topring Shim 0 05mm - C-3203-190-0001 (UK)
EBARA PRECISION - Topring Shim 0 05mm - C-3203-190-0001 (UK)
 295   
 
MKS Instruments™ Pressure Transducer Baratron 3000 PSI (UK) 870B33PCB4GF4
MKS Instruments™ Pressure Transducer Baratron 3000 PSI (UK) 870B33PCB4GF4
 299   
 
M17/PHCU Probebox IV Eco Physics PROBE BOX only
M17/PHCU Probebox IV Eco Physics PROBE BOX only
 174 47  
 
H-Square, NOPPF1, Vacuum Wand Handle Press-fit PEEK, brand new
H-Square, NOPPF1, Vacuum Wand Handle Press-fit PEEK, brand new
 74 15  
 
Kahnetics, KDS301, Vacuum pick-up wand, brand new
Kahnetics, KDS301, Vacuum pick-up wand, brand new
 87 24  
 
H-Square, 1 white poly, 9 ea black press pit, 2 ea Wand Holder HSU, brand new
H-Square, 1 white poly, 9 ea black press pit, 2 ea Wand Holder HSU, brand new
 78 51  
 
H-Square, MC6B, metal Cassette, 6 inch, brand new
H-Square, MC6B, metal Cassette, 6 inch, brand new
 157 03  
 
Z1894 Laser General Scanning
Z1894 Laser General Scanning
 34 02  
 
various safety glasses for fab, 10 ea/pk
various safety glasses for fab, 10 ea/pk
 34 89  
 
H-Square, T75010P, Tip Wand Poly, 5 ea/pk, brand new
H-Square, T75010P, Tip Wand Poly, 5 ea/pk, brand new
 43 62  
 
H-Square, NOSAPF2, Vacuum Vacuum Wand, AS, brand new
H-Square, NOSAPF2, Vacuum Vacuum Wand, AS, brand new
 30 53  
 
H-Square, NOSAPF2, Vacuum Vacuum Wand, AS, brand new
H-Square, NOSAPF2, Vacuum Vacuum Wand, AS, brand new
 30 53  
 
Metal flame cassette 11 5” x 11”, used
Metal flame cassette 11 5” x 11”, used
 174 47  
 
Nut, Quick Lock for vacuum wand, 10 ea/pk, brand new
Nut, Quick Lock for vacuum wand, 10 ea/pk, brand new
 13 09  
 
EBARA PRECISION - Top Cup (J) - C-4110-686-0001 (UK)
EBARA PRECISION - Top Cup (J) - C-4110-686-0001 (UK)
 25   
 
Dual Leak Detect Kit - SS 54 (UK) 920100-004
Dual Leak Detect Kit - SS 54 (UK) 920100-004
 275   
 
Assy Blade Holder Lower - 4003-0541 01REV C (UK) Wafer / Semiconductor / Robot
Assy Blade Holder Lower - 4003-0541 01REV C (UK) Wafer / Semiconductor / Robot
 75   
 
ULTRATECH Assy Vacuum Hoses & Fitting (Male) 18-25-01760 Revision B  - (UK)
ULTRATECH Assy Vacuum Hoses & Fitting (Male) 18-25-01760 Revision B - (UK)
 125   
 
Seren IPS R600 RF Generator 600W Front Face Control Panel PCB R-600 Micro Fab
Seren IPS R600 RF Generator 600W Front Face Control Panel PCB R-600 Micro Fab
 435 31  
 
Seren IPS R600 RF Generator 600W Main Board PCB R-600 w/heatsink PARTS/REPAIR
Seren IPS R600 RF Generator 600W Main Board PCB R-600 w/heatsink PARTS/REPAIR
 435 31  
 
1/8 NPT male with 1/16 female for vacuum wand, , 5ea/pk, brand new
1/8 NPT male with 1/16 female for vacuum wand, , 5ea/pk, brand new
 8 72  
 
Agilent Clock Board P/N E6978-68519 NEW in box, HP Hewlett Packard Keysight
Agilent Clock Board P/N E6978-68519 NEW in box, HP Hewlett Packard Keysight
 3,227 78  
 
Amat 0010-09750 Cvd Rf Match, P5000 Rf Match Iv (adaptor 0020-09357)
Amat 0010-09750 Cvd Rf Match, P5000 Rf Match Iv (adaptor 0020-09357)
 3,925 67  
 
H-Square, T3PK1, Tip, 300 mm, brand new
H-Square, T3PK1, Tip, 300 mm, brand new
 130 86  
 
Crossing Automation Inc™ Pressure Sensor Process Board  (UK) 3200-4482-02
Crossing Automation Inc™ Pressure Sensor Process Board (UK) 3200-4482-02
 195   
 
EBARA PRECISION - Ring Unclamp SPD - C-3812-143-0001 (UK)
EBARA PRECISION - Ring Unclamp SPD - C-3812-143-0001 (UK)
 45   
 
National Instruments 2M Cable Type CL2 30 AWG CSA Type CMH
National Instruments 2M Cable Type CL2 30 AWG CSA Type CMH
 43 62  
 
Phenomenal Aire Series C6 0 Cold Plasma Generator - USED
Phenomenal Aire Series C6 0 Cold Plasma Generator - USED
 174 47  
 
441-0403// Oriental Motor 6rk60rgk-am Reversible Motor [used/fast]
441-0403// Oriental Motor 6rk60rgk-am Reversible Motor [used/fast]
 157 03  
 
Barb fitting for vacuum wand, 1/8 NPT, brand new, 10 ea/pk
Barb fitting for vacuum wand, 1/8 NPT, brand new, 10 ea/pk
 26 17  
 
Brooks SLA7950S Digital MFC Mass Flow Controller 1/4" VCR Device Net CO2 25 SLPM
Brooks SLA7950S Digital MFC Mass Flow Controller 1/4" VCR Device Net CO2 25 SLPM
 218 08  
 
KLA-Tencor SVM 107286271 200mm P Boron  1-0-0  0-100 ohm-cm 725+/-25 um *new
KLA-Tencor SVM 107286271 200mm P Boron 1-0-0 0-100 ohm-cm 725+/-25 um *new
 872 37  
 
WIN Semiconductor WH884B-R7V52 WH884-040-10 Test Wafer 4 Mil 8 inch *working
WIN Semiconductor WH884B-R7V52 WH884-040-10 Test Wafer 4 Mil 8 inch *working
 436 19  
 
Ct5015-000012-11/sensor,pressure 225a-24596/mks Tokyo Electron Tel
Ct5015-000012-11/sensor,pressure 225a-24596/mks Tokyo Electron Tel
 358 16  
 
148-0101  Amat Applied 0150-35210 Harness Assy Chambr A-b- C-d [used]
148-0101 Amat Applied 0150-35210 Harness Assy Chambr A-b- C-d [used]
 1,657 51  
 
Voltage Acquisition Output Module Voltage Data Acquisition Module 16DA 0-10V
Voltage Acquisition Output Module Voltage Data Acquisition Module 16DA 0-10V
 71 88  
 
Voltage Acquisition Output Module WSM01-3 Data Acquisition Module Module
Voltage Acquisition Output Module WSM01-3 Data Acquisition Module Module
 70 30  
 
HOKUYO DMG-HB1 sensor, USED
HOKUYO DMG-HB1 sensor, USED
 261 71  
 
HOKUYO DMS-HB1-V sensor, USED
HOKUYO DMS-HB1-V sensor, USED
 261 71  
 
SPAN Pressure Transducer, SPT-105, 23-1161, 1/4" Male VCR, Flying Leads
SPAN Pressure Transducer, SPT-105, 23-1161, 1/4" Male VCR, Flying Leads
 218 09  
 
1d10-204338-13 / Upper Electrode Tel Drm / Tokyo Electron Tel
1d10-204338-13 / Upper Electrode Tel Drm / Tokyo Electron Tel
 6,831 16  
 
GO Element GO-PT102 Point Level Sensor Controller, USED
GO Element GO-PT102 Point Level Sensor Controller, USED
 157 03  
 
Fuji Electric SC-4 Magnetic Contactor SC4
Fuji Electric SC-4 Magnetic Contactor SC4
 96 77  
 
0140-09558 / Harn Assy  20-slot Vme W-encoders  H-sys / Applied Materials Amat
0140-09558 / Harn Assy 20-slot Vme W-encoders H-sys / Applied Materials Amat
 2,470 40  
 
A19607-001 Rev A / 910 Laser Ppm 910-100 / Uti Inventory Management Soluti
A19607-001 Rev A / 910 Laser Ppm 910-100 / Uti Inventory Management Soluti
 7,459 27  
 
TRUMPF RT 2048 P RF Power Grid Tube SEE NOTES
TRUMPF RT 2048 P RF Power Grid Tube SEE NOTES
 436 18  
 
Granville-Phillips 350 Vacuum Gauge Controller 350504-G-T2, 422538
Granville-Phillips 350 Vacuum Gauge Controller 350504-G-T2, 422538
 3,053 30  
 
Praxair Ultrapurge 100 System Controller UP100
Praxair Ultrapurge 100 System Controller UP100
 170 11  
 
Bmt Messtechnik Ozone Monitor Bmt 932
Bmt Messtechnik Ozone Monitor Bmt 932
 610 57  
 
409-0302// Yamaha G776420 Ra1 Ydm6420 6420-a1 Board [used/fast]
409-0302// Yamaha G776420 Ra1 Ydm6420 6420-a1 Board [used/fast]
 104 68  
 
D123201 Valve, Pneumatic Solenoid DC24V
D123201 Valve, Pneumatic Solenoid DC24V
 34 55  
 
324-0201// Amat Applied 0040-76083 Adapter,male Quick Disconnect,3/4" Stub New
324-0201// Amat Applied 0040-76083 Adapter,male Quick Disconnect,3/4" Stub New
 296 61  
 
715-042721-885-a / Liner Sym  Hi Flow 2300 Src  Rev A / Lam Research Corporation
715-042721-885-a / Liner Sym Hi Flow 2300 Src Rev A / Lam Research Corporation
 3,272 06  
 
7310-3009-01g / Liner Quartz 8 Inch Rtp Quartzfab /  Metron Technology
7310-3009-01g / Liner Quartz 8 Inch Rtp Quartzfab / Metron Technology
 3,581 58  
 
Amat / 0100-35279 Loadlock Beeper Board Assy
Amat / 0100-35279 Loadlock Beeper Board Assy
 78 51  
 
E40002123 / End Effector Special Single Peek / Varian
E40002123 / End Effector Special Single Peek / Varian
 3,577 21  
 
American Tool & Manufacturing Wafer Spinning Roundness Jig 571941-XA
American Tool & Manufacturing Wafer Spinning Roundness Jig 571941-XA
 114 50  54 04  
 
A16963 / Assy Measurement Processor / Rudolph Research Corp
A16963 / Assy Measurement Processor / Rudolph Research Corp
 5,103 86  
 
T164798 ESD Systems Eliminator Overhead Ionizer 43445
T164798 ESD Systems Eliminator Overhead Ionizer 43445
 104 68  
 
Ds051-000487-1 / Toray Oxygen Analyser Lc750l(d)/pc-120 / Toray
Ds051-000487-1 / Toray Oxygen Analyser Lc750l(d)/pc-120 / Toray
 2,813 89  
 
PRODRIVE Used 4022 437 31664,PN:6001-0200-1705,PADC 50/8 5 SEM-I-796=9G11
PRODRIVE Used 4022 437 31664,PN:6001-0200-1705,PADC 50/8 5 SEM-I-796=9G11
 436 10  
 
aslap impregnated laps   1200 Mesh Size 6 DL
aslap impregnated laps  1200 Mesh Size 6 DL
 174 47  
 
Stainless Steel Bellows Vacuum Linear Motion Control Lift Instrument 7"
Stainless Steel Bellows Vacuum Linear Motion Control Lift Instrument 7"
 36 63  
 
Lot Of 2 Festo 1302994 Vboh-32-g14
Lot Of 2 Festo 1302994 Vboh-32-g14
 41 87  
 
Applied Materials Amat P2 Daughter Board
Applied Materials Amat P2 Daughter Board
 244 26  
 
Oxford Microanalysis 1184060 Opal Link Assembly C 7311 Used Working
Oxford Microanalysis 1184060 Opal Link Assembly C 7311 Used Working
 3,058 69  1,529 35  
 
Anelva PSC-211 DC Controller Used Working
Anelva PSC-211 DC Controller Used Working
 395 28  
 
MAH-01AAA21-E Motor, SHMAH-01AAA21-E / AC Servo Motor / Yaskawa
MAH-01AAA21-E Motor, SHMAH-01AAA21-E / AC Servo Motor / Yaskawa
 78 51  
 
Applied Materials VAT PM-7 CONTROLLER FRONT PANEL AMAT
Applied Materials VAT PM-7 CONTROLLER FRONT PANEL AMAT
 348 95  
 
Kawasaki Semiconductor Wafer Handler Robot Blade 50480-1081  3NS511C Arm
Kawasaki Semiconductor Wafer Handler Robot Blade 50480-1081 3NS511C Arm
 436 18  
 
Lam Heater Element
Lam Heater Element
 1,743 87  
 
Tel 1d81-000141-21 Tyb41e-2/tmp Board 1d08-000141-21
Tel 1d81-000141-21 Tyb41e-2/tmp Board 1d08-000141-21
 157 03  
 
Festo MEH-3-24V DC w/ MEH-3/2-4 0-S 150886 PNEUMATIC SOLENOID VALVE
Festo MEH-3-24V DC w/ MEH-3/2-4 0-S 150886 PNEUMATIC SOLENOID VALVE
 88 98  
 
111-0702// Amat Applied 0020-21217 Bracket,rearstep, Left [used]
111-0702// Amat Applied 0020-21217 Bracket,rearstep, Left [used]
 146 56  
 
WGMS02RRU Inline Filter
WGMS02RRU Inline Filter
 57 58  
 
mei MOTION ENGINEERING, Inc PCB P/N 1007-0034-3 ASSY P/N A040-0001 REV 5 252179
mei MOTION ENGINEERING, Inc PCB P/N 1007-0034-3 ASSY P/N A040-0001 REV 5 252179
 313 18  
 
APTech AP1510SM 2PW MV4MV4 4 08 APCI2 Pressure Regulator w/ Gauge, 452688
APTech AP1510SM 2PW MV4MV4 4 08 APCI2 Pressure Regulator w/ Gauge, 452688
 104 68  
 
COHERENT 0175-100-51 VERDI 8W & VERDI 8W / Free Shipping
COHERENT 0175-100-51 VERDI 8W & VERDI 8W / Free Shipping
 4,797 17  
 
WDI Used COATFocu, 850200 Rev A Integrated Controller for ATF-4SY SEM-I-244=2M24
WDI Used COATFocu, 850200 Rev A Integrated Controller for ATF-4SY SEM-I-244=2M24
 104 58  
 
Yaskawa XU-ACP330-A12 Wafer Prealigner - GOOD CONDITION
Yaskawa XU-ACP330-A12 Wafer Prealigner - GOOD CONDITION
 3,925 67  
 
T2DC1-11287-10416 Unrecognized Name / This Part Only by Part Number
T2DC1-11287-10416 Unrecognized Name / This Part Only by Part Number
 453 63  
 
317-0301// Amat Applied 0020-35780 Tube Robot 5200 [used]
317-0301// Amat Applied 0020-35780 Tube Robot 5200 [used]
 1,657 51  
 
[Used] TEL Tokyo Electron Limted  1381-644-380-11 8749 CPU BRD2    FREES HIPPING
[Used] TEL Tokyo Electron Limted 1381-644-380-11 8749 CPU BRD2 FREES HIPPING
 235 54  
 
Masterflex® - PharMed® BPT Tubing - 1 42mm Diameter 100ft Length  (UK) 95809-34
Masterflex® - PharMed® BPT Tubing - 1 42mm Diameter 100ft Length (UK) 95809-34
 125   
 
BBF Technologies™ Teflon Tubing SLICED - 50ft Length (UK) It-06676-6R Kit
BBF Technologies™ Teflon Tubing SLICED - 50ft Length (UK) It-06676-6R Kit
 125   
 
Applied Materials AMAT 0190-00530, Water Flow Switch
Applied Materials AMAT 0190-00530, Water Flow Switch
 196 28  
 
Vat 597897/01251/003 Tm + Brown Viton V0884-75 398197/979/15 Valve Side
Vat 597897/01251/003 Tm + Brown Viton V0884-75 398197/979/15 Valve Side
 436 19  
 
[Used] TEL Tokyo Electron Limted 8749 CPU BOARD With ToshibaChips  FREESHIPPING
[Used] TEL Tokyo Electron Limted 8749 CPU BOARD With ToshibaChips FREESHIPPING
 235 54  
 
Seren IPS MC2
Seren IPS MC2
 1,221 32  
 
Chamber Driver Pvd Dual Zone Htesc
Chamber Driver Pvd Dual Zone Htesc
 1,743 87  
 
LAM Research Type 770-07527-001 Used Gate Drive Cylinder Humphrey CN534B
LAM Research Type 770-07527-001 Used Gate Drive Cylinder Humphrey CN534B
 109 05  
 
323-0201// Amat Applied 3700-01418 O Ring Id 4 875csd  139 2-249  New
323-0201// Amat Applied 3700-01418 O Ring Id 4 875csd  139 2-249 New
 20 94  
 
Allen Bradley Power Supply 96150403a
Allen Bradley Power Supply 96150403a
 152 67  
 
Applied Materials 0010-76453 ENDURA VHP CONTROLLER MODULE AMAT
Applied Materials 0010-76453 ENDURA VHP CONTROLLER MODULE AMAT
 14,394 14  
 
Edwards Vacuum  - TPU Noz Clean S/R Actuator Kit -  Y04210005 (UK) ATLAS TPU
Edwards Vacuum - TPU Noz Clean S/R Actuator Kit - Y04210005 (UK) ATLAS TPU
 145   
 
Ebara Precision™ POR Flange Stopper BLB-1 No 4 (UK) C-3532=234-0011
Ebara Precision™ POR Flange Stopper BLB-1 No 4 (UK) C-3532=234-0011
 195   
 
ENTEGRIS MYKROLIS CHEMLOCK - PFA Head 3/4" NPTF I/0 -1/4" Vents - YY56HA20A (UK)
ENTEGRIS MYKROLIS CHEMLOCK - PFA Head 3/4" NPTF I/0 -1/4" Vents - YY56HA20A (UK)
 395   
 
Applied Materials 0010-04926 HV MODULE AMAT
Applied Materials 0010-04926 HV MODULE AMAT
 6,804 50  
 
[Used] TEL TOKYO ELECTRON LEAK POINTER MONITOR BOARD 650268-11 FREE SHIPPING
[Used] TEL TOKYO ELECTRON LEAK POINTER MONITOR BOARD 650268-11 FREE SHIPPING
 235 54  
 
H-Square, NOSASPF2, Vacuum Vacuum Wand, AS, brand new
H-Square, NOSASPF2, Vacuum Vacuum Wand, AS, brand new
 30 53  
 
Brooks SLA7950S Digital MFC Mass Flow Controller 1/4" VCR Device Net HE 25 SLPM
Brooks SLA7950S Digital MFC Mass Flow Controller 1/4" VCR Device Net HE 25 SLPM
 218 08  
 
Materion 101119284 Sputtering Target 16x6 Balzers AKQ515 Used
Materion 101119284 Sputtering Target 16x6 Balzers AKQ515 Used
 157 02  
 
[Used] TEL TOKYO ELECTRON BOARD CONN ALM #01 1  With Connector FREE SHIPPING
[Used] TEL TOKYO ELECTRON BOARD CONN ALM #01 1 With Connector FREE SHIPPING
 157 03  
 
[Used] TEL TOKYO ELECTRON BOARD CONN ALM #01 1981-609835  FREE SHIPPING
[Used] TEL TOKYO ELECTRON BOARD CONN ALM #01 1981-609835 FREE SHIPPING
 157 03  
 
Lam Research AUTOETCH 490/590 ENTRANCE LIFTER ASSY, 853-4106-1
Lam Research AUTOETCH 490/590 ENTRANCE LIFTER ASSY, 853-4106-1
 828 75  663   
 
Planar Magnetron Sputtering Magnet Sierra Applied Sciences Patent #5,262,028  #1
Planar Magnetron Sputtering Magnet Sierra Applied Sciences Patent #5,262,028  #1
 2,025 06  
 
Hamamatsu H9759-02
Hamamatsu H9759-02
 261 62  
 
VAT Adaptive Pressure Controller PM6 650PM-24CG-AEW1/0196, used
VAT Adaptive Pressure Controller PM6 650PM-24CG-AEW1/0196, used
 540 87  
 
MicroXAM-100 Surface Mapping Microscope With Phase Shift Tech - Read Listing
MicroXAM-100 Surface Mapping Microscope With Phase Shift Tech - Read Listing
 785 13  
 
TURK™ Capacitative Barrel Proximity Sensor (UK) BCF10-S30-VP4X  (SEMICONDUCTOR)
TURK™ Capacitative Barrel Proximity Sensor (UK) BCF10-S30-VP4X (SEMICONDUCTOR)
 1   
 
AMETEC - Transducer -14 7 / 235 3 PSIG - 100SA0250SM41N2 (UK)
AMETEC - Transducer -14 7 / 235 3 PSIG - 100SA0250SM41N2 (UK)
 125   
 
Edwards Vacuum  - TPU / TCS Ionisation Retro Kit  - Y04210062 (UK) ATLAS TPU
Edwards Vacuum - TPU / TCS Ionisation Retro Kit - Y04210062 (UK) ATLAS TPU
 125   
 
SMC - N2 Clean Air Filter (UK) SMC SFD100-C06B
SMC - N2 Clean Air Filter (UK) SMC SFD100-C06B
 125   
 
ESPI Metals Tin Zn Sputtering Target  375"x4 75"x14 875" Purity 5N
ESPI Metals Tin Zn Sputtering Target  375"x4 75"x14 875" Purity 5N
 157 03  
 
Fsi 290077-400 Assy Pcb  Eprom 900486-008 A03ap9
Fsi 290077-400 Assy Pcb  Eprom 900486-008 A03ap9
 157 03  
 
[Used] TEL TOKYO ELECTRON  LIMITED M/C KEY I/F  BOARD  #2 FREE SHIPPING
[Used] TEL TOKYO ELECTRON LIMITED M/C KEY I/F BOARD #2 FREE SHIPPING
 157 03  
 
USHIO PB-0929 NO 960112 STAGE 3AXLE / Free Expedited Shipping
USHIO PB-0929 NO 960112 STAGE 3AXLE / Free Expedited Shipping
 348 08  
 
[Used]TEL TOKYO ELECTRON DIVIDE MONITOR BOARD#2 1981-607609-12 FREE SHIPPING
[Used]TEL TOKYO ELECTRON DIVIDE MONITOR BOARD#2 1981-607609-12 FREE SHIPPING
 218 09  
 
MKS Instruments PR4000B-S2V2 Digital Power Supply
MKS Instruments PR4000B-S2V2 Digital Power Supply
 1,657 46  
 
GREENE TWEED - CHEMRAZ Perfluoroelastomer O-Ring - 5641-1778-SC657 (UK)
GREENE TWEED - CHEMRAZ Perfluoroelastomer O-Ring - 5641-1778-SC657 (UK)
 95   
 
Parker® Pargrip™ Check Valve PTFE 1/4 Inch (UK) CV-1-2244
Parker® Pargrip™ Check Valve PTFE 1/4 Inch (UK) CV-1-2244
 125   
 
P/N100902 BROOKS AUTOMATION Used ATM  Wafer PREALIGNER With Cable
P/N100902 BROOKS AUTOMATION Used ATM Wafer PREALIGNER With Cable
 959 57  
 
Lam Research  Blade Robot End Effector 853-244447-300 Rev A
Lam Research Blade Robot End Effector 853-244447-300 Rev A
 2,617 12  
 
End Point Detector Dual Channel Epd302 / Tokyo Electron
End Point Detector Dual Channel Epd302 / Tokyo Electron
 1,046 85  
 
C05-0298-A Board, C05-0298-A / Environnement S A
C05-0298-A Board, C05-0298-A / Environnement S A
 157 03  
 
ASML for parts 4022 436 88954,4022 436 87951 SEM-I-803=9G21
ASML for parts 4022 436 88954,4022 436 87951 SEM-I-803=9G21
 174 39  
 
Teledyne Db-20 / Db20 Pressure 5 1 Microns
Teledyne Db-20 / Db20 Pressure 5 1 Microns
 479 80  
 
Eaton   Beam Shutter Interface    0567-0240-0001
Eaton Beam Shutter Interface 0567-0240-0001
 218 09  
 
Assy  Pcb Serial I O - 2
Assy Pcb Serial I O - 2
 558 32  
 
Pcb Bay Pneumatic
Pcb Bay Pneumatic
 261 71  
 
Brooks Automation 108378 BrooksNet DN Module
Brooks Automation 108378 BrooksNet DN Module
 51 30  
 
1-130-022 / Pump Control Card Pcb Model 300a Idi 300 / Idi Integrated Designs
1-130-022 / Pump Control Card Pcb Model 300a Idi 300 / Idi Integrated Designs
 786 91  
 
Control Engineering Co Input Terminator Board ASSY P/N 0048684/Blank P/N 0048685
Control Engineering Co Input Terminator Board ASSY P/N 0048684/Blank P/N 0048685
 94 21  
 
Ls Plc Master K7m-dr60s
Ls Plc Master K7m-dr60s
 104 68  
 
7802-d-5157(lot Of 2) / Temp  Preamp, Cntrlr 670090, Scg Ref / Applied Mat  Amat
7802-d-5157(lot Of 2) / Temp  Preamp, Cntrlr 670090, Scg Ref / Applied Mat  Amat
 576 36  
 
Lam Research ALLIANCE Chamber Pneumatic Manifold Assembly, 853-37055-001
Lam Research ALLIANCE Chamber Pneumatic Manifold Assembly, 853-37055-001
 261 71  
 
Amat 0190-77526 Servomotor, Pc Sweep/wafer Exchange, Used
Amat 0190-77526 Servomotor, Pc Sweep/wafer Exchange, Used
 785 13  
 
[Used] TEL TOKYO ELECTRON Limited STP IF&ELV BOARD 381-639388-9 FREE SHIPPING
[Used] TEL TOKYO ELECTRON Limited STP IF&ELV BOARD 381-639388-9 FREE SHIPPING
 392 57  
 
Conductus iMC-303 iMAG Multichannel SQUID Controller
Conductus iMC-303 iMAG Multichannel SQUID Controller
 86 36  
 
316-0403  Amat Applied 0021-22485 Blade, 8 Buffer Hp+, Hthu, Rcf Compatib [used]
316-0403 Amat Applied 0021-22485 Blade, 8 Buffer Hp+, Hthu, Rcf Compatib [used]
 697 90  
 
Fusion Systems PCB, System Interface, 61971 Rev  C
Fusion Systems PCB, System Interface, 61971 Rev  C
 130 86  
 
SHI Control Systems CMC550702AA LINE RECEIVER CARD  / Free Expedited Shipping
SHI Control Systems CMC550702AA LINE RECEIVER CARD / Free Expedited Shipping
 200 65  
 
AUGUST TECHNOLOGY CORP  SERVO AMPLIFIER  PN 200222 Rev C AN 701223
AUGUST TECHNOLOGY CORP  SERVO AMPLIFIER PN 200222 Rev C AN 701223
 234 67  
 
AK-3843 Board, AK-3843 / Rev 4A / SanRex
AK-3843 Board, AK-3843 / Rev 4A / SanRex
 453 63  
 
ASM 232620014 11 REV  E 63262006  REV  E / Free Expedited Shipping
ASM 232620014 11 REV  E 63262006  REV  E / Free Expedited Shipping
 260 84  
 
Anelva H11-10417 Rack-Mount Process Controller + Cables
Anelva H11-10417 Rack-Mount Process Controller + Cables
 435 31  304 72  
 
AUGUST TECHNOLOGY AXI LT TWR RLY PN 708669 Rev A AN 708670 Rev A
AUGUST TECHNOLOGY AXI LT TWR RLY PN 708669 Rev A AN 708670 Rev A
 164 88  
 
AVAL DATA CORP  AVME-344A PHOTO ISO,I/O BOARD / Free Expedited Shipping
AVAL DATA CORP  AVME-344A PHOTO ISO,I/O BOARD / Free Expedited Shipping
 156 15  
 
BROOKS AUTOMATION 002-7696-01 / Free Expedited Shipping
BROOKS AUTOMATION 002-7696-01 / Free Expedited Shipping
 145 51  
 
Quartz Focus Ring
Quartz Focus Ring
 279 16  
 
Yaskawa Electric Ac Servo Motor 200v Sgmgv-13a3e6s
Yaskawa Electric Ac Servo Motor 200v Sgmgv-13a3e6s
 523 42  
 
SHI Control Systems 646751-100AA 646751-800ACG01  / Free Expedited Shipping
SHI Control Systems 646751-100AA 646751-800ACG01 / Free Expedited Shipping
 138 71  
 
BECKHOFF BK5220 / Free Expedited Shipping
BECKHOFF BK5220 / Free Expedited Shipping
 147 43  
 
Applied Engineering Ultratech Stepper 04-15-01721 G
Applied Engineering Ultratech Stepper 04-15-01721 G
 130 86  
 
ETO ABX-X299 REV  H  /  Free Expedited Shipping
ETO ABX-X299 REV  H / Free Expedited Shipping
 124 57  
 
PRODRIVE Used 4022 437 31663,PN:6001-0200-1704,PADC 50/8 5 SEM-I-797=9G11
PRODRIVE Used 4022 437 31663,PN:6001-0200-1704,PADC 50/8 5 SEM-I-797=9G11
 436 10  
 
HAL-01-385 Board,
HAL-01-385 Board,
 453 63  
 
T230-24-2 Controller, T230-24-2 / 230V AC 50Hz / 24V DC / 3A / Aminach
T230-24-2 Controller, T230-24-2 / 230V AC 50Hz / 24V DC / 3A / Aminach
 453 63  
 
Charles Supper Company Micro - Goniometric Arc Model 342 - Micropositioner
Charles Supper Company Micro - Goniometric Arc Model 342 - Micropositioner
 152 67  
 
Ulvac DTC-21K Single Phase Pump
Ulvac DTC-21K Single Phase Pump
 174 43  
 
Tel P-12xl Interface Board / 3381-000070-13 / 3308-000070-13
Tel P-12xl Interface Board / 3381-000070-13 / 3308-000070-13
 436 19  
 
Applied Materials Ultratech Stepper 04-15-01721
Applied Materials Ultratech Stepper 04-15-01721
 130 86  
 
Tel 3m81-040785-11(3m08-040785-11) Sw300b Universal Rly
Tel 3m81-040785-11(3m08-040785-11) Sw300b Universal Rly
 125 62  
 
Perkin Elmer 8" RF Backing plate
Perkin Elmer 8" RF Backing plate
 697 90  
 
Tel P-8 P-12xl / Pcb Pst-std 3281-000146-13 / 3208-000146-11
Tel P-8 P-12xl / Pcb Pst-std 3281-000146-13 / 3208-000146-11
 348 95  
 
IFM SD6000 Compressed air meter Power on tested
IFM SD6000 Compressed air meter Power on tested
 174 39  
 
AUGUST TECHNOLOGY CORP P/N 706765 Board
AUGUST TECHNOLOGY CORP P/N 706765 Board
 174 39  
 
Wafer probe by Technoprobe 6 inch diameter
Wafer probe by Technoprobe 6 inch diameter
 239 90  
 
AMAT P/N 3420-01073 Insulating Blanket, Heat Shield, Stock #912
AMAT P/N 3420-01073 Insulating Blanket, Heat Shield, Stock #912
 78 51  
 
Bruce Systems 7355X Control Unit
Bruce Systems 7355X Control Unit
 741 52  
 
Inrad Harmonic Generator N-1040 Kd*p Phg 532  Uv &815-540 Gimbal Mount
Inrad Harmonic Generator N-1040 Kd*p Phg 532  Uv &815-540 Gimbal Mount
 218 09  
 
Astex DC7CPRUG Directional Coupler, AMAT 0190-09272, ASP, 424086
Astex DC7CPRUG Directional Coupler, AMAT 0190-09272, ASP, 424086
 3,402 25  
 
Fuji Nb Basic Unit Nb2u36r-14
Fuji Nb Basic Unit Nb2u36r-14
 174 47  
 
Novellus Concept One Liquid Injection Systems Illustrated Parts Guide 1993
Novellus Concept One Liquid Injection Systems Illustrated Parts Guide 1993
 20 92  
 
Vat Pn 95238-pagq-adh2 Control Gate Isolation Valve System 3"/dn 80 Iso-f
Vat Pn 95238-pagq-adh2 Control Gate Isolation Valve System 3"/dn 80 Iso-f
 1,308 56  
 
Pfeiffer TMH1600PC Turbopump
Pfeiffer TMH1600PC Turbopump
 13,085 58  
 
Lab or Semiconductor Assembly Fixture 351-5094-040
Lab or Semiconductor Assembly Fixture 351-5094-040
 48 13  
 
313-m8h1-s / Chemical Pump (idi 4-500-016) / Idi Integrated Designs Inc
313-m8h1-s / Chemical Pump (idi 4-500-016) / Idi Integrated Designs Inc
 3,053 30  
 
SMC Filter Element 2 μm PP HEPO II FG HYDRAULIC FILTER 9 68" (UK) EJ102S-002NX0
SMC Filter Element 2 μm PP HEPO II FG HYDRAULIC FILTER 9 68" (UK) EJ102S-002NX0
 12   
 
Edwards Vacuum  - XS AIR PRESS TRANS OPT UPGRADE -  Y12202009 (UK)
Edwards Vacuum - XS AIR PRESS TRANS OPT UPGRADE - Y12202009 (UK)
 55   
 
SUNX™ S-Link PLC Input Cable (UK) SL-L2000F - ( Panasonic )
SUNX™ S-Link PLC Input Cable (UK) SL-L2000F - ( Panasonic )
 125   
 
Festo™ 5/3 Way Pneumatic Solenoid Valve (UK) MYH-5 / 3G-2,3-L-LE - 34305
Festo™ 5/3 Way Pneumatic Solenoid Valve (UK) MYH-5 / 3G-2,3-L-LE - 34305
 85   
 
Smc Sfb104-m5 -  Ultratech - Sf Clean Gas Filter 57-20-03306  (uk)
Smc Sfb104-m5 - Ultratech - Sf Clean Gas Filter 57-20-03306 (uk)
 95   
 
PALL - Pre-Wet in-Line 10" Pneumatic Filter 0 01um - FLHF100E-09M3F-PW  (UK)
PALL - Pre-Wet in-Line 10" Pneumatic Filter 0 01um - FLHF100E-09M3F-PW (UK)
 95   
 
60ev Pneu Pcb W/manifold
60ev Pneu Pcb W/manifold
 868 01  
 
Bhk-a-v-0 Busch Pump Control
Bhk-a-v-0 Busch Pump Control
 305 33  
 
ENI LPG-6AT 450KHz RF Generator - For Parts or Repair
ENI LPG-6AT 450KHz RF Generator - For Parts or Repair
 436 14  
 
[Used] TEL SSMC-8B381-639514-2  & TEL SMC-C85A 381-639006-4 (SET)FREE SHIPPING
[Used] TEL SSMC-8B381-639514-2 & TEL SMC-C85A 381-639006-4 (SET)FREE SHIPPING
 1,395 80  
 
317-0301// Amat Applied 0020-35780 (#1) Tube Robot 5200 [asis]
317-0301// Amat Applied 0020-35780 (#1) Tube Robot 5200 [asis]
 1,308 56  
 
Emoteq Motor Gearbox HS01001-A01-HGE Used Working
Emoteq Motor Gearbox HS01001-A01-HGE Used Working
 263 56  
 
LAM Grounding Ring, DFM, 715-330984-300, Refurbished Cleanpart Sealed
LAM Grounding Ring, DFM, 715-330984-300, Refurbished Cleanpart Sealed
 27 79  
 
Fei Company Charge Neutralization Controller21089-b+vacuum Interlock P/n20852
Fei Company Charge Neutralization Controller21089-b+vacuum Interlock P/n20852
 1,570 18  
 
[Used] TEL TOKYO ELECTRON LIMITED tVME - UIO/BS 381-642277-3 FREE SHIPPING
[Used] TEL TOKYO ELECTRON LIMITED tVME - UIO/BS 381-642277-3 FREE SHIPPING
 758 96  
 
Saint Gobain™ ASTI PURE Pump Rebuild Kit with Muffler (UK) MECPFD2
Saint Gobain™ ASTI PURE Pump Rebuild Kit with Muffler (UK) MECPFD2
 14   
 
EBARA PRECISION  Solenoid Valve - C-2230-100-0001(UK)
EBARA PRECISION Solenoid Valve - C-2230-100-0001(UK)
 125   
 
CKD™ Pneumatic Cylinder - Up Down (UK) SSD-LF-40-40
CKD™ Pneumatic Cylinder - Up Down (UK) SSD-LF-40-40
 95   
 
FEI Model 4035 273 43772/B
FEI Model 4035 273 43772/B
 1,308 55  
 
Wasco Uhp169-31w3a/1657 Vacuum Sensor 750 Torr
Wasco Uhp169-31w3a/1657 Vacuum Sensor 750 Torr
 83 75  
 
Pms Particle Measuring Systems Liquil
Pms Particle Measuring Systems Liquil
 379 47  
 
Amat 0090-00963 Cable Assy Press Transducer -14 7-15, Zo, Working
Amat 0090-00963 Cable Assy Press Transducer -14 7-15, Zo, Working
 287 88  
 
FEI COMPANY SEM P/N 4022 192 9316, 4022 262 1583 SCDR3 Board
FEI COMPANY SEM P/N 4022 192 9316, 4022 262 1583 SCDR3 Board
 610 57  
 
Varian 1103147-01 1103145 1103146 Afc And Gun Pulse Controle
Varian 1103147-01 1103145 1103146 Afc And Gun Pulse Controle
 173 60  
 
Gasonics   Control Board  CPC  38378-4  SONALERT Mallory SBM2
Gasonics   Control Board  CPC  38378-4  SONALERT Mallory SBM2
 130 86  
 
[Used] TEL TOKYO ELECTRON tVME-UIO/BS 381-642277-4 FREE SHIPPING
[Used] TEL TOKYO ELECTRON tVME-UIO/BS 381-642277-4 FREE SHIPPING
 758 96  
 
[Used] TEL TOKYO ELECTRON Limted tVME-68KSF  381-640841-2  #4 FREE SHIPPING
[Used] TEL TOKYO ELECTRON Limted tVME-68KSF 381-640841-2 #4 FREE SHIPPING
 1,090 46  
 
Neslab Flow Thru Chiller Cooler Ftc-350a Bom 450103000000
Neslab Flow Thru Chiller Cooler Ftc-350a Bom 450103000000
 239 90  
 
AMAT Applied Materials Dual Helium Gas Line #1 HP-CVD Ultima 0050-18943
AMAT Applied Materials Dual Helium Gas Line #1 HP-CVD Ultima 0050-18943
 1,046 85  
 
SIEMENS SITOP Power 10 6EP1 334-2AA00 Power Supply
SIEMENS SITOP Power 10 6EP1 334-2AA00 Power Supply
 31 37  
 
Applied Digital Ocelot
Applied Digital Ocelot
 87 24  56 70  
 
Electro-Graph PLANSEE - Insert Liner QUAD 2 Aperture - 11635980 - EPN 48379 (UK)
Electro-Graph PLANSEE - Insert Liner QUAD 2 Aperture - 11635980 - EPN 48379 (UK)
 75   
 
Edwards Vacuum  - Rear Panel - Dry Pump IH1800  HEAC - A53331729 (UK)
Edwards Vacuum - Rear Panel - Dry Pump IH1800 HEAC - A53331729 (UK)
 95   
 
EBARA PRECISION - Holder Roll Sponge Core - C-3558-240-0001 (UK)
EBARA PRECISION - Holder Roll Sponge Core - C-3558-240-0001 (UK)
 95   
 
Brooks Automation 002-8064-02 Assy Motor Cable Single Axis
Brooks Automation 002-8064-02 Assy Motor Cable Single Axis
 146 56  
 
Susceptor Lift Assembly
Susceptor Lift Assembly
 2,180 06  
 
LAM Research: RFRB, VLV, SLIT, HTD, VITON 796-094060R001
LAM Research: RFRB, VLV, SLIT, HTD, VITON 796-094060R001
 697 90  
 
Aera FC-7800C MFC Mass Flow Controller  100 SCCM  N2/CL2
Aera FC-7800C MFC Mass Flow Controller 100 SCCM N2/CL2
 126 49  101 20  
 
1030 nm 45 degree dielectric turning mirrors intended for use w/ ultrafast laser
1030 nm 45 degree dielectric turning mirrors intended for use w/ ultrafast laser
 83 75  
 
73-2843-05A0 Board, 73-2843-05A0 / 05B9335 / Cisco
73-2843-05A0 Board, 73-2843-05A0 / 05B9335 / Cisco
 174 47  
 
VAT  Valve Solenoid Kit 5/2 Way - U30009123 (UK)
VAT Valve Solenoid Kit 5/2 Way - U30009123 (UK)
 75   
 
KURI TEC® POLYAIR®  PVC Air/Water Hose Schrader Couplings 3/8" x 15ft (UK) K1136
KURI TEC® POLYAIR® PVC Air/Water Hose Schrader Couplings 3/8" x 15ft (UK) K1136
 75   
 
YASKAWA UGRMEM-02MA2XF MINERTIA MOTOR black 100W/0 13HP electrical distribution
YASKAWA UGRMEM-02MA2XF MINERTIA MOTOR black 100W/0 13HP electrical distribution
 436 19  
 
SA200 Controller, SA200 / Digital Temperatuer Controller / RKC
SA200 Controller, SA200 / Digital Temperatuer Controller / RKC
 65 95  
 
OSI Video Linewidth System VLS-1 Maintenance Manual 1987 Edition
OSI Video Linewidth System VLS-1 Maintenance Manual 1987 Edition
 48 13  
 
USHIO GP-PIO-A 940314 9705032/U00, DLCU 930921 / Free Expedited Shipping
USHIO GP-PIO-A 940314 9705032/U00, DLCU 930921 / Free Expedited Shipping
 295 73  
 
BRUKER BSMS ECL03 SCB13R-16bit
BRUKER BSMS ECL03 SCB13R-16bit
 2,425 19  
 
Tegal 99-173-003 RFG-3 PCB - Lot of 2
Tegal 99-173-003 RFG-3 PCB - Lot of 2
 125 62  
 
VARIAN 887020-07 REV-D controller PCB
VARIAN 887020-07 REV-D controller PCB
 174 47  
 
433-0302// Toshiba Vlbsv-za04030-g Vrsf-lb-15c-sbb Bs Servo Motor [used]
433-0302// Toshiba Vlbsv-za04030-g Vrsf-lb-15c-sbb Bs Servo Motor [used]
 436 19  
 
Novellus 03-10737-01, Cable
Novellus 03-10737-01, Cable
 104 68  
 
Applied Engineering Ultratech Stepper 04-15-01721 G
Applied Engineering Ultratech Stepper 04-15-01721 G
 130 86  
 
347-0402// Amat Applied 0020-04079 Feedthru, Helium [used]
347-0402// Amat Applied 0020-04079 Feedthru, Helium [used]
 104 68  
 
Applied materials mainfold for 8330
Applied materials mainfold for 8330
 348 08  
 
AMAT Chamber Interconnect Board Assy, 0100-20004  Rev J
AMAT Chamber Interconnect Board Assy, 0100-20004 Rev J
 697 90  
 
11-002-013 Valve, 11-002-013 / 400psig,28bar,175f max
11-002-013 Valve, 11-002-013 / 400psig,28bar,175f max
 78 51  
 
USHIO 9706092/U00 / Free Expedited Shipping
USHIO 9706092/U00 / Free Expedited Shipping
 313 18  
 
Fujikin Kna1w000 Manual Diaphragm Shut-off Valve, M-m
Fujikin Kna1w000 Manual Diaphragm Shut-off Valve, M-m
 78 51  
 
320-0303// Amat Applied 0100-09076 Pcb Assy Brake Inter [used]
320-0303// Amat Applied 0100-09076 Pcb Assy Brake Inter [used]
 125 62  
 
BROOKS AUTOMATION 002-7391-07 Wafer Aligner Prealigner Robot
BROOKS AUTOMATION 002-7391-07 Wafer Aligner Prealigner Robot
 610 57  
 
TEL Tokyo Electron 2981-600374-11 FDD Extension Board PCB ACT12 Used Working
TEL Tokyo Electron 2981-600374-11 FDD Extension Board PCB ACT12 Used Working
 356 08  
 
Lin Engineering 8718S-17-01R0 Servo Motor, 9701-3854-01, 451993
Lin Engineering 8718S-17-01R0 Servo Motor, 9701-3854-01, 451993
 431 82  
 
[Used] TEL TOKYO ELECTRON Limted tVME-68KSV 381-639472-2  #2 FREE SHIPPING
[Used] TEL TOKYO ELECTRON Limted tVME-68KSV 381-639472-2 #2 FREE SHIPPING
 1,090 46  
 
[Used] TEL TOKYO ELECTRON Limited tVME-68KSF 381-640841-3 FREE SHIPPING
[Used] TEL TOKYO ELECTRON Limited tVME-68KSF 381-640841-3 FREE SHIPPING
 1,046 85  
 
Mitsubishi Hf-mp43 Ac Servo Motor , S/n Bz1919019 121
Mitsubishi Hf-mp43 Ac Servo Motor , S/n Bz1919019 121
 104 68  
 
SPC, Used / USG-301H-3AS / ULTRASONIC GENERATOR
SPC, Used / USG-301H-3AS / ULTRASONIC GENERATOR
 230 31  
 
GGB INDUSTRIES INC  PS-2 Picoprobe Power Supply
GGB INDUSTRIES INC  PS-2 Picoprobe Power Supply
 73 28  
 
GE/Fanuc Genius Bus Interface Base 44A737864-G01 USED
GE/Fanuc Genius Bus Interface Base 44A737864-G01 USED
 199 89  
 
322-0403// Amat Applied 0020-09604 Housing [used]
322-0403// Amat Applied 0020-09604 Housing [used]
 136 09  
 
PLASMART Matching Box Used PFDUAL-6D71A-1 1 5kW 370 kHz / 13 56  SEM-I-1078=9A48
PLASMART Matching Box Used PFDUAL-6D71A-1 1 5kW 370 kHz / 13 56 SEM-I-1078=9A48
 1,046 76  
 
AMAT Robot Alignment Tool Fixture, Deg Leg, 450397
AMAT Robot Alignment Tool Fixture, Deg Leg, 450397
 104 68  
 
Veeco instruments Microetch 601 Cathode assembly Ion Beam Milling Vacuum System
Veeco instruments Microetch 601 Cathode assembly Ion Beam Milling Vacuum System
 2,180 93  
 
Applied Materials AMAT 00210771G 43111 9423, 8" for 8300 Etcher
Applied Materials AMAT 00210771G 43111 9423, 8" for 8300 Etcher
 174 47  
 
ASM 232720100 11 REV  B 6327201  REV  C / Free Expedited Shipping
ASM 232720100 11 REV  B 6327201  REV  C / Free Expedited Shipping
 252 12  
 
Lam Research 715-330889-004 Rev E2 Ground Chamber Ring Lam 9600
Lam Research 715-330889-004 Rev E2 Ground Chamber Ring Lam 9600
 529 69  
 
10440c / Pcb Board / Oztek Corp
10440c / Pcb Board / Oztek Corp
 197   
 
Berkeley Process Controls MWT-8-MNET Machineworks Machine controller
Berkeley Process Controls MWT-8-MNET Machineworks Machine controller
 828 75  
 
USHIO UIT-101 UNI METER  /  Free Expedited Shipping
USHIO UIT-101 UNI METER / Free Expedited Shipping
 423 10  
 
Ckd Gnab-x1180 Compact Air Operated Valve
Ckd Gnab-x1180 Compact Air Operated Valve
 157 03  
 
SEREN HR5001  13 56MHz  5000 Watts
SEREN HR5001 13 56MHz 5000 Watts
 3,315 01  
 
Varian Rf Match Controller , P/n : 0066625600 For Varian Implanter   Refurbished
Varian Rf Match Controller , P/n : 0066625600 For Varian Implanter   Refurbished
 1,744 74  
 
Credence Systems Co TT1N30R2-1 PROGRAMMING PENDANT
Credence Systems Co TT1N30R2-1 PROGRAMMING PENDANT
 112 54  
 
BECKHOFF EL1088 (9) /  Free Expedited Shipping
BECKHOFF EL1088 (9) / Free Expedited Shipping
 260 84  
 
BECKHOFF KL2622 (10) /  Free Expedited Shipping
BECKHOFF KL2622 (10) / Free Expedited Shipping
 304 46  
 
BECKHOFF ES3064 (3) / ES3162 (6) /  Free Expedited Shipping
BECKHOFF ES3064 (3) / ES3162 (6) / Free Expedited Shipping
 304 46  
 
125-0402// Amat Applied 0021-09004 Shield, Protective, Mxp, Sgd Used
125-0402// Amat Applied 0021-09004 Shield, Protective, Mxp, Sgd Used
 174 47  
 
AMAT 0200-00218 Cover Top Quartz 8", PT-0643-0012, 424243
AMAT 0200-00218 Cover Top Quartz 8", PT-0643-0012, 424243
 257 35  
 
Applied materials mainfold for 8330 Lot / 0040-00319 / 0040-00317
Applied materials mainfold for 8330 Lot / 0040-00319 / 0040-00317
 348 95  
 
999-9999/ Amat Applied 0010-20317 (delivery 28 Days) 8" Lamp Module
999-9999/ Amat Applied 0010-20317 (delivery 28 Days) 8" Lamp Module
 4,361 86  
 
Unbranded (Varian compat) 5 25" 5-1/4" Conflat Flange Blank
Unbranded (Varian compat) 5 25" 5-1/4" Conflat Flange Blank
 43 62  
 
SSAC 3-phase Voltage monitor WVM611AL free ship
SSAC 3-phase Voltage monitor WVM611AL free ship
 161 39  
 
174-0201// Np Power Plasma Npr-509l (#1) Reactor [asis]
174-0201// Np Power Plasma Npr-509l (#1) Reactor [asis]
 872 37  
 
Biltz Schwingungstechnik Festo Power Supply 115v 50/60 Hz 1310068
Biltz Schwingungstechnik Festo Power Supply 115v 50/60 Hz 1310068
 872 37  
 
Fanuc A16B-2203-0370/13E PSU w/ A20b-8001-0830/02B and DEVICENET PRO EE-4062-101
Fanuc A16B-2203-0370/13E PSU w/ A20b-8001-0830/02B and DEVICENET PRO EE-4062-101
 261 71  
 
AE Advanced Energy NAVIGATOR 3155162-036 B P/N 1006721  / Free Shipping
AE Advanced Energy NAVIGATOR 3155162-036 B P/N 1006721 / Free Shipping
 2,092 82  
 
*PARTS/REPAIR* Dage BT24-LC200 Wafer Bond Parts DD4043-A-S, 003436
*PARTS/REPAIR* Dage BT24-LC200 Wafer Bond Parts DD4043-A-S, 003436
 218 09  
 
OERLIKON LEYBOLD MAG DRIVE iS 400001431 INTEGRATED TURBO CONTROLLER
OERLIKON LEYBOLD MAG DRIVE iS 400001431 INTEGRATED TURBO CONTROLLER
 1,570 27  
 
BECKHOFF KL3454 (11) /  Free Expedited Shipping
BECKHOFF KL3454 (11) / Free Expedited Shipping
 330 63  
 
GE/Fanuc Input Module IC670MDL240J
GE/Fanuc Input Module IC670MDL240J
 103 73  
 
853-004106-001 Lam Research Entrance Lifter Assembly
853-004106-001 Lam Research Entrance Lifter Assembly
 872 37  
 
Greene Tweed™ Mse Seal Assy (uk) Mse20-503380 - Semiconductor
Greene Tweed™ Mse Seal Assy (uk) Mse20-503380 - Semiconductor
 4   
 
PERLAST K13X KIMURA O-Ring HP Elastomer 75 - K13X-304 17-5 33 (UK)
PERLAST K13X KIMURA O-Ring HP Elastomer 75 - K13X-304 17-5 33 (UK)
 95   
 
DUPONT Kalrez UltraPure JIS G420 O-Ring Compound 8900 - T13120236200041 - (UK)
DUPONT Kalrez UltraPure JIS G420 O-Ring Compound 8900 - T13120236200041 - (UK)
 95   
 
Molybdenum Aperture 2mm dia x 0 6mm Hole 200 Micron (UK) 3699917
Molybdenum Aperture 2mm dia x 0 6mm Hole 200 Micron (UK) 3699917
 65   
 
Edwards Vacuum  - Cable Assy XLR 5-Way - 5 Metre - D37370592 (UK)
Edwards Vacuum - Cable Assy XLR 5-Way - 5 Metre - D37370592 (UK)
 65   
 
325-0102// Amat Applied 3030-04976 Mfc 8160 200sccm Hbr 1/4vcr Mtl Nc [asis]
325-0102// Amat Applied 3030-04976 Mfc 8160 200sccm Hbr 1/4vcr Mtl Nc [asis]
 261 71  
 
Edwards Vacuum - Repair Kit 25mm 3 Way Valve - A28709501 (UK) ATLAS TPU
Edwards Vacuum - Repair Kit 25mm 3 Way Valve - A28709501 (UK) ATLAS TPU
 75   
 
HITACHI HIGH TECHNOLOGIES Air Filter (MIST) 553-1101 (UK)
HITACHI HIGH TECHNOLOGIES Air Filter (MIST) 553-1101 (UK)
 75   
 
Packaging Aids Corp - Pneumatic Air Cylinder 4" for PVG/PI Pac-Vac (UK) 2P64360
Packaging Aids Corp - Pneumatic Air Cylinder 4" for PVG/PI Pac-Vac (UK) 2P64360
 75   
 
Philips 5322 694 15234 XR TUBE INTERFACE CARD
Philips 5322 694 15234 XR TUBE INTERFACE CARD
 549 59  494 63  
 
Advanced Energy 3155043-001A RFX 2 System Remote Controller 416327
Advanced Energy 3155043-001A RFX 2 System Remote Controller 416327
 436 19  
 
Semitool 500R0409-01 50 Wafer Carrierless Rotor Assembly 200mm Refurbished
Semitool 500R0409-01 50 Wafer Carrierless Rotor Assembly 200mm Refurbished
 1,668 07  
 
Novellus Systems General Information Customer Support Plan 73-10049-01 1995
Novellus Systems General Information Customer Support Plan 73-10049-01 1995
 27 20  
 
Hugle 411 Ionizer control with Ionizer Bars Hugle 410
Hugle 411 Ionizer control with Ionizer Bars Hugle 410
 259 97  
 
AE Advanced Energy paramount 1513 3156310-020 / Free Expedited Shipping
AE Advanced Energy paramount 1513 3156310-020 / Free Expedited Shipping
 6,978 10  
 
FEI Company PBF) PRA100M3 AC DC Power Supply Card 4022 430 06921
FEI Company PBF) PRA100M3 AC DC Power Supply Card 4022 430 06921
 872 28  
 
Automatic Valve Solenoid Piloted Spool Valv L20 3/8 Port 5/3 Way (UK) L2004CBWDW
Automatic Valve Solenoid Piloted Spool Valv L20 3/8 Port 5/3 Way (UK) L2004CBWDW
 6   
 
Festo™ 5/2 Way Pneumatic Solenoid Valve - Spring Return (UK) MZH-5/2-1 5-L-LED
Festo™ 5/2 Way Pneumatic Solenoid Valve - Spring Return (UK) MZH-5/2-1 5-L-LED
 6   
 
EBARA PRECISION - Toothed Belt - C-1158-038-0001 (UK)
EBARA PRECISION - Toothed Belt - C-1158-038-0001 (UK)
 65   
 
Edwards Vacuum  - INTEL WRU Flex Gas Hose Kit - Y11201061 (UK)
Edwards Vacuum - INTEL WRU Flex Gas Hose Kit - Y11201061 (UK)
 65   
 
General Scanning Inc / GSI E00-7010003
General Scanning Inc / GSI E00-7010003
 261 71  
 
442-0202// Orienatal Motor A6479-9015ktge Motor [used]
442-0202// Orienatal Motor A6479-9015ktge Motor [used]
 104 68  
 
BHK-A-V0 Circuit Board
BHK-A-V0 Circuit Board
 73 27  
 
GE/Fanuc Input Module IC670MDL240K
GE/Fanuc Input Module IC670MDL240K
 102 78  
 
GE Analog Input Module IC200ALG230H
GE Analog Input Module IC200ALG230H
 142 76  
 
GE/Fanuc Isolated Relay IC670MDL331J
GE/Fanuc Isolated Relay IC670MDL331J
 55 18  
 
Festo™ VUVG Series 5/2 Bistable Solenoid Valve (UK) VUVG-L14-B52-T-G18-1P3
Festo™ VUVG Series 5/2 Bistable Solenoid Valve (UK) VUVG-L14-B52-T-G18-1P3
 6   
 
PERLAST K13X KIMURA O-Ring HP Elastomer 75 - K13X-304 17-5 33 (UK)
PERLAST K13X KIMURA O-Ring HP Elastomer 75 - K13X-304 17-5 33 (UK)
 65   
 
GE/Fanuc Isolated Input Module IC670MDL233J
GE/Fanuc Isolated Input Module IC670MDL233J
 71 35  
 
Varian Control Board #jk9658 G
Varian Control Board #jk9658 G
 261 71  
 
SKC Inc, Serial No  302101, CAT No  712 Accuflow Digital Calibrator
SKC Inc, Serial No  302101, CAT No  712 Accuflow Digital Calibrator
 45   
 
GE/Fanuc Unloaded In/Out Barrier Style Terminal Base IC670CHS001E [Lot of 2]
GE/Fanuc Unloaded In/Out Barrier Style Terminal Base IC670CHS001E [Lot of 2]
 33 27  
 
AERA Model CA26DSUB Mass FLOW CONTROLLER Adapter, Lot of 2
AERA Model CA26DSUB Mass FLOW CONTROLLER Adapter, Lot of 2
 47 66  
 
[USED] TEL TOKYO ELECTRON  AC SERBO MOTOR CONTROL BOARD 381-639178-2  FreeShip
[USED] TEL TOKYO ELECTRON AC SERBO MOTOR CONTROL BOARD 381-639178-2 FreeShip
 654 28  
 
[Used] TEL  TOKYO ELECTRON LIMITED TVB 1203 -I  /EEC 381-648286-12 FREE SHIPPING
[Used] TEL TOKYO ELECTRON LIMITED TVB 1203 -I /EEC 381-648286-12 FREE SHIPPING
 1,046 85  
 
Teradyne 897-758 AD758 Test Head Performance Load Board Printed Circuit Board
Teradyne 897-758 AD758 Test Head Performance Load Board Printed Circuit Board
 436 18  
 
Opal 70512360100 SDT Assembly AMAT Applied Materials SEMVision cX Used Working
Opal 70512360100 SDT Assembly AMAT Applied Materials SEMVision cX Used Working
 441 54  
 
405-0301// Saehan 4s56q-03076s Motor [used]
405-0301// Saehan 4s56q-03076s Motor [used]
 104 68  
 
424-0401// Ae 3152052-000c Mdx-052 Remote [used]
424-0401// Ae 3152052-000c Mdx-052 Remote [used]
 959 61  
 
Mininet Model 310 Minienvironment Particle Measuring - Monitoring Systems
Mininet Model 310 Minienvironment Particle Measuring - Monitoring Systems
 261 71  
 
KOKUSAI CVM-6A POWER CONTROLLER -30 Day Warranty ??
KOKUSAI CVM-6A POWER CONTROLLER -30 Day Warranty ??
 218 09  
 
GasTech 57-0010 Remote Amplifier Board PCB 24-0314 Used Working
GasTech 57-0010 Remote Amplifier Board PCB 24-0314 Used Working
 267 98  
 
Electronic Solid State PMC/Beta Vibration switch 440S 440SR-M13
Electronic Solid State PMC/Beta Vibration switch 440S 440SR-M13
 157 03  
 
Lam research VIOP PHASE II 810-099175-103 REV A
Lam research VIOP PHASE II 810-099175-103 REV A
 1,220 45  
 
Chamber Slit Door 300MM
Chamber Slit Door 300MM
 872 37  
 
323-0101// Amat Applied 3300-02311 Ftg Tbg Elbow 1/8t X 10-32unf 1-touch New
323-0101// Amat Applied 3300-02311 Ftg Tbg Elbow 1/8t X 10-32unf 1-touch New
 20 94  
 
Varian Neuron 16 Channel I/O Discrete Board 04-719368-01
Varian Neuron 16 Channel I/O Discrete Board 04-719368-01
 130 85  
 
Lot of 3: FLUOROWARE ENTEGRIS ES-1C-MD-XX ELECTRONIC ESPY SENSING MODULE
Lot of 3: FLUOROWARE ENTEGRIS ES-1C-MD-XX ELECTRONIC ESPY SENSING MODULE
 30 53  
 
Lot of 4 6x2 Minco Thermofoil Flexible Heaters HSK27451 w/ Adhesive Back
Lot of 4 6x2 Minco Thermofoil Flexible Heaters HSK27451 w/ Adhesive Back
 41 87  
 
2534) [used] Horiba Ql-sg01-065-1a
2534) [used] Horiba Ql-sg01-065-1a
 436 19  
 
Amat 0010-76467 Assy Heat Exchanger Modified Amat-0 208v , Used
Amat 0010-76467 Assy Heat Exchanger Modified Amat-0 208v , Used
 6,804 50  
 
AFX Instrumentation RB5L Multi-Channel Ozone Controller InUSA Used Working
AFX Instrumentation RB5L Multi-Channel Ozone Controller InUSA Used Working
 403 11  
 
Varian 03-925041-01 Ecd Pwa Assy
Varian 03-925041-01 Ecd Pwa Assy
 741 52  
 
321-0501// Amat Applied 0010-30065 Assembly, Hot Filter, 356nm [used]
321-0501// Amat Applied 0010-30065 Assembly, Hot Filter, 356nm [used]
 1,308 56  
 
Bit 3 Computer Corp 82002057  Rev P  /  600162-201 Rev E  Board  82002053 Rev 2
Bit 3 Computer Corp 82002057 Rev P / 600162-201 Rev E Board 82002053 Rev 2
 195 41  
 
Amat 0140-02143 Harness Assy Enhanced Rotation Rpm Fault
Amat 0140-02143 Harness Assy Enhanced Rotation Rpm Fault
 196 28  
 
Sanritz Automation CompactPCI SC2120-3 PROCESSOR BOARD
Sanritz Automation CompactPCI SC2120-3 PROCESSOR BOARD
 610 57  
 
SANKYO controller Used SC3150 MAT:4022 636 32221 dent/scratched SEM-G-276=9B47
SANKYO controller Used SC3150 MAT:4022 636 32221 dent/scratched SEM-G-276=9B47
 1,046 76  
 
Smc Xld-40 High Vacuum Valve
Smc Xld-40 High Vacuum Valve
 318 42  
 
Novellus 38-10043-00, Cable, C08460-2098
Novellus 38-10043-00, Cable, C08460-2098
 261 71  
 
Unit Mfc 8100, N2 30l
Unit Mfc 8100, N2 30l
 152 67  
 
AMAT Applied Materials 9091-01393 Loop Controller PXP41W Used Working
AMAT Applied Materials 9091-01393 Loop Controller PXP41W Used Working
 355 19  
 
Advanced Energy AE-4855015 RF Cable, AE, 423657
Advanced Energy AE-4855015 RF Cable, AE, 423657
 479 80  
 
Tokyo Electron  Drm(polya Mide Esc) Electrode Bottom
Tokyo Electron Drm(polya Mide Esc) Electrode Bottom
 3,663 96  
 
Signatone-CPS 293018-001 Encoder Adapter P80156, World Encoders 5R12-256G/5-3
Signatone-CPS 293018-001 Encoder Adapter P80156, World Encoders 5R12-256G/5-3
 95 21  
 
Teradyne Z18XX Shorting Plate 640 Nodes 043-169-00
Teradyne Z18XX Shorting Plate 640 Nodes 043-169-00
 654 28  
 
Tokyo Electron (tel)  Controller Vl-800-02
Tokyo Electron (tel) Controller Vl-800-02
 2,180 92  
 
Applied materials mainfold for 8330 / 0040-00318
Applied materials mainfold for 8330 / 0040-00318
 523 42  
 
AE Advanced Energy NAVIGATOR 3155126-011 C  / Free international Shipping
AE Advanced Energy NAVIGATOR 3155126-011 C / Free international Shipping
 1,743 87  
 
Kitz Sct Diaphragm Valve Pctfe-seat Scv S20y 10k-1/2"
Kitz Sct Diaphragm Valve Pctfe-seat Scv S20y 10k-1/2"
 57 58  
 
Oxford Plasmalab System 100 Dry Etcher ECR
Oxford Plasmalab System 100 Dry Etcher ECR
 13,085 58  
 
Digital Dynamics IOC ANALLOG BOARD 004433-16725
Digital Dynamics IOC ANALLOG BOARD 004433-16725
 436 18  
 
MDC DCM-SC-12 Vacuum Controller
MDC DCM-SC-12 Vacuum Controller
 43 61  
 
Varian 04215-1 TC Gauge Controller
Varian 04215-1 TC Gauge Controller
 174 47  
 
Eurotherm 3508, Process Controller, working
Eurotherm 3508, Process Controller, working
 218 09  
 
OmniProbe AutoProbe Motion Controller rev 4 1B PN: ASSY-0001 45 40
OmniProbe AutoProbe Motion Controller rev 4 1B PN: ASSY-0001 45 40
 872 36  
 
AKT Used ME2 MVP2007 REV C POWER SUPPLY SEM-I-961=9D48
AKT Used ME2 MVP2007 REV C POWER SUPPLY SEM-I-961=9D48
 436 10  
 
409-0202// Teltec 244636-001 Rev E 244635-001 Rev B Board [used]
409-0202// Teltec 244636-001 Rev E 244635-001 Rev B Board [used]
 174 47  
 
123-0302// Amat Applied 0020-70436 Plate, Cam Slit Valve [used]
123-0302// Amat Applied 0020-70436 Plate, Cam Slit Valve [used]
 62 81  
 
Ae Mecury-1013 Rf Match,  3150272-013
Ae Mecury-1013 Rf Match, 3150272-013
 2,617 12  
 
Amat 0041-01104 Mount Block, Centura Acp Slit Valve Actuator
Amat 0041-01104 Mount Block, Centura Acp Slit Valve Actuator
 523 42  
 
Leybold-heraeus Lh Sskoff Vk 200 W/ Leybold-heraeus 158 71 B1 Vr 200 15871
Leybold-heraeus Lh Sskoff Vk 200 W/ Leybold-heraeus 158 71 B1 Vr 200 15871
 436 19  
 
National Instruments GPIB-1014D VME GPIB Module Advantest T6671E
National Instruments GPIB-1014D VME GPIB Module Advantest T6671E
 305 32  
 
Kyosan Electric Mfg  Co  Model HPK10Z Power Supply
Kyosan Electric Mfg  Co  Model HPK10Z Power Supply
 348 94  
 
TEL - Tokyo Electron Ltd  BX80-070378-13 PCB BOARD
TEL - Tokyo Electron Ltd  BX80-070378-13 PCB BOARD
 392 57  
 
ENTEGRIS PVDF Locking Ring for CHEMGARD PFA HSAS  (UK) MYKROLIS / CHEMLOCK
ENTEGRIS PVDF Locking Ring for CHEMGARD PFA HSAS (UK) MYKROLIS / CHEMLOCK
 65   
 
DUPONT Kalrez UltraPure AS568 O-Ring 273 Compound 8900 - T15020569500007 - (UK)
DUPONT Kalrez UltraPure AS568 O-Ring 273 Compound 8900 - T15020569500007 - (UK)
 75   
 
DUPONT Kalrez UltraPure AS568 O-Ring 274 Compound 8900 - T15010275000013 - (UK)
DUPONT Kalrez UltraPure AS568 O-Ring 274 Compound 8900 - T15010275000013 - (UK)
 75   
 
Edwards Vacuum  - Speed Controller Inline 6MM -  YA28705013 (UK0
Edwards Vacuum - Speed Controller Inline 6MM - YA28705013 (UK0
 25   
 
EBARA PRECISION - Plunger Caster - C-3534-018-0001 (UK)
EBARA PRECISION - Plunger Caster - C-3534-018-0001 (UK)
 3   
 
MICROE MS THETA Read Head Encoder - 195-R3664-01 - (UK)
MICROE MS THETA Read Head Encoder - 195-R3664-01 - (UK)
 7   
 
Entegris 6500-T2-D00-L-0P2-U1 INTEGRATED FLOW CONTROLLER, WORKING
Entegris 6500-T2-D00-L-0P2-U1 INTEGRATED FLOW CONTROLLER, WORKING
 1,308 56  
 
Watlow 965a-3cc0-00gr Temperature Controller Amat
Watlow 965a-3cc0-00gr Temperature Controller Amat
 610 66  
 
Pfeiffer Tsf 012 Mod-nr Pmz01105 Vacuum Emmeliusstr *pzb*
Pfeiffer Tsf 012 Mod-nr Pmz01105 Vacuum Emmeliusstr *pzb*
 122 74  111 70  
 
Amat 3200-01071 Pcb Assy, Half Rack 1/8 (used To Bottom Rack)
Amat 3200-01071 Pcb Assy, Half Rack 1/8 (used To Bottom Rack)
 2,529 88  
 
MDC AV-100-P Pneumatic Angle Valve, 452706
MDC AV-100-P Pneumatic Angle Valve, 452706
 170 11  
 
Tokyo Electron 3m87-041723-14 Valve Assy Trap In
Tokyo Electron 3m87-041723-14 Valve Assy Trap In
 1,308 56  
 
Set of 2 AMAT 0020-09680B BRKT HANDLES, from 8300
Set of 2 AMAT 0020-09680B BRKT HANDLES, from 8300
 52 34  
 
La Cie Limited 540MB Maverick 1994 GZJ-QDRIVEII
La Cie Limited 540MB Maverick 1994 GZJ-QDRIVEII
 25 30  
 
0200-89005 / Insert, Chamber Inlet, 5 Zone / Applied Materials Amat
0200-89005 / Insert, Chamber Inlet, 5 Zone / Applied Materials Amat
 436 19 0 Bids 4d 15h
 
63105 Module Only / CHROMA ATE INC
63105 Module Only / CHROMA ATE INC
 392 57  
 
Edwards Vacuum  - Cable Assy XLR 5-Way - 3 Metre - D37370591 (UK)
Edwards Vacuum - Cable Assy XLR 5-Way - 3 Metre - D37370591 (UK)
 45   
 
NETMERCURY Fibre Assembly 200 UM - TQ852533 - NM0005-2381 (UK)
NETMERCURY Fibre Assembly 200 UM - TQ852533 - NM0005-2381 (UK)
 45   
 
402-0101// Rorze Rd-353 5p Pulse Motor Driver [used/fast]
402-0101// Rorze Rd-353 5p Pulse Motor Driver [used/fast]
 104 68  
 
130-0303// Hybricon 025-032 Board Used
130-0303// Hybricon 025-032 Board Used
 218 09  
 
*Parts/Repair* Wallac Interface Board DIC 1055 3760 D + *Fast Shipping*
*Parts/Repair* Wallac Interface Board DIC 1055 3760 D + *Fast Shipping*
 47 98  
 
Ultratech Stepper 03-20-01989 5 Axis Focus Driver FOCUS PCB Card 4700 Used
Ultratech Stepper 03-20-01989 5 Axis Focus Driver FOCUS PCB Card 4700 Used
 354 34  
 
Applied Materials AMAT 0100-09123, Wafer Sensor Board
Applied Materials AMAT 0100-09123, Wafer Sensor Board
 78 51  
 
ATMI SM4BKAFG-050812 Photoresist Dispenser TEL Tokyo Electron Lithius Used
ATMI SM4BKAFG-050812 Photoresist Dispenser TEL Tokyo Electron Lithius Used
 136 23  
 
TEL Tokyo Electron 5010-406593-11 TRS Plate CWH Lithius Used Working
TEL Tokyo Electron 5010-406593-11 TRS Plate CWH Lithius Used Working
 137 97  
 
TEL Tokyo Electron 3281-000132-12 PCB Power Distribution2 Board P-8 Used Working
TEL Tokyo Electron 3281-000132-12 PCB Power Distribution2 Board P-8 Used Working
 264 49  
 
Hivertec,inc HPCI-MCAT520M Board
Hivertec,inc HPCI-MCAT520M Board
 218 01  
 
UP-KJA3 Board, From 50817-1220R05 / Hand Held Controller / Kawasaki
UP-KJA3 Board, From 50817-1220R05 / Hand Held Controller / Kawasaki
 453 63  
 
8399) [used] Mks 290c-04
8399) [used] Mks 290c-04
 462 40  
 
Nitto CPU-I/F CPU Interface Logic Board PCB Used Working
Nitto CPU-I/F CPU Interface Logic Board PCB Used Working
 160 35  
 
Dual Remote Plasma Source (RPS) Supporter Coupler, P/N: 0040-53452 - NEW by AMAT
Dual Remote Plasma Source (RPS) Supporter Coupler, P/N: 0040-53452 - NEW by AMAT
 218 05  
 
Edwards Vacuum  - Pipe Assembly 'P' - WRU - Y11601123 (UK)
Edwards Vacuum - Pipe Assembly 'P' - WRU - Y11601123 (UK)
 5   
 
Air Products Diverter Disk for Spray Post Head Assembly (UK) PFE-01-008412
Air Products Diverter Disk for Spray Post Head Assembly (UK) PFE-01-008412
 4   
 
Entegris™ O-Ring - Breather Filter Cartridge Kit of 50 (UK) 01-006101S
Entegris™ O-Ring - Breather Filter Cartridge Kit of 50 (UK) 01-006101S
 1   
 
MKS ASTeX AX3130 Rev B
MKS ASTeX AX3130 Rev B
 1,308 47  
 
Amat/hytron 22032672-000 Kinetics
Amat/hytron 22032672-000 Kinetics
 14 65  10 98  
 
Regal FS-30S Flow Sensor Lot of 8 Used Working
Regal FS-30S Flow Sensor Lot of 8 Used Working
 704 11  
 
329-0301// Ushio Xs-75201af 4s065-505 Controller [asis]
329-0301// Ushio Xs-75201af 4s065-505 Controller [asis]
 1,744 74  
 
BECKHOFF KL1418 / KL1498 / KL1501 (6) / KL2488 (2)  / Free Shipping
BECKHOFF KL1418 / KL1498 / KL1501 (6) / KL2488 (2) / Free Shipping
 374 25  
 
Ohkura R OUT HMSU2483 A01 / Free Expedited Shipping
Ohkura R OUT HMSU2483 A01 / Free Expedited Shipping
 348 08  
 
Entegris™ Flaretek® Tee Adapter SpaceSaver Leg 3 - 3/8" PFA (UK) UT6-6-6TFN-3
Entegris™ Flaretek® Tee Adapter SpaceSaver Leg 3 - 3/8" PFA (UK) UT6-6-6TFN-3
 4   
 
Entegris™ Flaretek® Elbow Adapter, “SpaceSaver” 3/8" to 3/8" PFA (UK) E6-6TFN-3
Entegris™ Flaretek® Elbow Adapter, “SpaceSaver” 3/8" to 3/8" PFA (UK) E6-6TFN-3
 4   
 
Ohkura W/H HMSU282OA 01 / Free Expedited Shipping
Ohkura W/H HMSU282OA 01 / Free Expedited Shipping
 348 08  
 
Preowned Pearl Kogyo RP-300-2MX-C / ZDK-916E Tuner Controller Fast Shipping!
Preowned Pearl Kogyo RP-300-2MX-C / ZDK-916E Tuner Controller Fast Shipping!
 697 90  
 
Varian Semiconductor VSEA Chamber Heater Assembly Untested As-Is
Varian Semiconductor VSEA Chamber Heater Assembly Untested As-Is
 261 79  
 
Ohkura CAO HMSU2482A 0101 / Free Expedited Shipping
Ohkura CAO HMSU2482A 0101 / Free Expedited Shipping
 392 57  
 
Leybold Inficon Transpector 100 Amu H100m
Leybold Inficon Transpector 100 Amu H100m
 1,308 55  
 
Aspect Systems Inc, Recipe Module
Aspect Systems Inc, Recipe Module
 77 73  
 
Nanomotion Ab5 Driver Box
Nanomotion Ab5 Driver Box
 51 30  
 
Tokyo Electron 3m81-021529-15 Throttle Valve Control Board
Tokyo Electron 3m81-021529-15 Throttle Valve Control Board
 523 42  
 
Amat 0520-00066 Valve Vat 329055
Amat 0520-00066 Valve Vat 329055
 3,402 25  
 
Applied Materials - Endura Wafer Lift P/n 10-20300
Applied Materials - Endura Wafer Lift P/n 10-20300
 1,744 74  
 
Kokusai Electric Alpha Resistivity Test System Vr-120s
Kokusai Electric Alpha Resistivity Test System Vr-120s
 4,797 96  
 
Amat 0021-79310 Adapter – Flexible Coupling W/ 0190-77116 0021-77866
Amat 0021-79310 Adapter – Flexible Coupling W/ 0190-77116 0021-77866
 1,483 03  
 
Applied Materials AMAT 1410-01331 Watlow Heater Jacket
Applied Materials AMAT 1410-01331 Watlow Heater Jacket
 117 77  
 
Omron E8Y-AR2Y-5, Pressure Sensor With Mounting Braket -30 Day Warranty ??
Omron E8Y-AR2Y-5, Pressure Sensor With Mounting Braket -30 Day Warranty ??
 90 73  
 
AE Advanced Energy 3156023-000 K Power Amplifier Verteq Working Surplus
AE Advanced Energy 3156023-000 K Power Amplifier Verteq Working Surplus
 616 92  
 
Swagelok Ss-dlv51 Diaphragm Valve 1/4" F-f Vcr
Swagelok Ss-dlv51 Diaphragm Valve 1/4" F-f Vcr
 104 68  
 
Brooks TT1ENR2-1-TVS-BROOKS-ES Robot Teach Pendant Controller LCD Display
Brooks TT1ENR2-1-TVS-BROOKS-ES Robot Teach Pendant Controller LCD Display
 163 39  
 
Nikon Type MBV-20000-A8 Used Upper Exchange Arm For Nikon Handler
Nikon Type MBV-20000-A8 Used Upper Exchange Arm For Nikon Handler
 239 90  
 
428-0201// Dunkeromtoren Gr53x30 Plg52h Re30-2-500 Motor [used]
428-0201// Dunkeromtoren Gr53x30 Plg52h Re30-2-500 Motor [used]
 104 68  
 
Plate-hot;ct5085-431396-13
Plate-hot;ct5085-431396-13
 5,024 86  
 
Amat 0010-25446 Exhaust Assembly Enclosure Reflexion
Amat 0010-25446 Exhaust Assembly Enclosure Reflexion
 2,180 93  
 
Novellus 33-263345-00 Dc Servo Motor T730-042e59
Novellus 33-263345-00 Dc Servo Motor T730-042e59
 1,046 85  
 
M E C  Tech MEC30512-1268TI Process Plate Assembly Copper Refurbished
M E C  Tech MEC30512-1268TI Process Plate Assembly Copper Refurbished
 878 61  
 
Adtec Plasma Technology AMVG-3000-KF Automatic Impedance Matching UNIT  3000W
Adtec Plasma Technology AMVG-3000-KF Automatic Impedance Matching UNIT 3000W
 2,180 92  
 
Applied Materials AMAT Position Encoder Buffer Assy 0100-00015 Rev J
Applied Materials AMAT Position Encoder Buffer Assy 0100-00015 Rev J
 218 09  
 
TEL Tokyo Electron 2981-600408-12 PRA Base Board 2908-600408-11 ACT12 Used
TEL Tokyo Electron 2981-600408-12 PRA Base Board 2908-600408-11 ACT12 Used
 441 58  
 
Novx Digital Workstation Monitor Series 6000 Used Working
Novx Digital Workstation Monitor Series 6000 Used Working
 440 65  
 
010-0202// ADVANCED 0190-19022W 3156110-005 A APEX 1513 [Warranty 1 month]
010-0202// ADVANCED 0190-19022W 3156110-005 A APEX 1513 [Warranty 1 month]
 3,053 30  
 
AMAT 0140-09513 Harness Assy Gate Valve Pad Heater, 451906
AMAT 0140-09513 Harness Assy Gate Valve Pad Heater, 451906
 257 35  
 
Unit Mfc 8100, O2 1slm
Unit Mfc 8100, O2 1slm
 152 67  
 
ASML Used 4022 656 51973 SEM-I-753=9G21
ASML Used 4022 656 51973 SEM-I-753=9G21
 261 62  
 
Jai Industrial Camera  Dc 12v Cvma-m300
Jai Industrial Camera Dc 12v Cvma-m300
 157 03  
 
010-0102// Pearl Kogyo Rp-1000-13m (t02) Generator [asis]
010-0102// Pearl Kogyo Rp-1000-13m (t02) Generator [asis]
 2,617 12  
 
Ion Systems Controller 5024e / AeroBar Ionizer Emitter 5285e free ship
Ion Systems Controller 5024e / AeroBar Ionizer Emitter 5285e free ship
 274 80  
 
Aera Hitachi Rv-200 Valve  -30 Day Warranty ??
Aera Hitachi Rv-200 Valve -30 Day Warranty ??
 431 82  
 
setra 2671025LD2DG1HD  /  Free Expedited Shipping
setra 2671025LD2DG1HD / Free Expedited Shipping
 156 15  
 
166-0101// Mks Ax7690nov-01 (#1) 27-366349-00 Revolution [asis]
166-0101// Mks Ax7690nov-01 (#1) 27-366349-00 Revolution [asis]
 13,085 58  
 
[Used] KYOSAN, COMET / MBK30TE11, CV05C-1000W/5 // AUTO MATCHING BOX
[Used] KYOSAN, COMET / MBK30TE11, CV05C-1000W/5 // AUTO MATCHING BOX
 2,179 19  
 
Lam Auto Etch Electrode Temperature Assy  RTD
Lam Auto Etch Electrode Temperature Assy  RTD
 392 57  
 
AMAT/Applied Materials PMT100-3 Detector Module 0090-A9871 Assembly PMT-100
AMAT/Applied Materials PMT100-3 Detector Module 0090-A9871 Assembly PMT-100
 158 06  
 
AMAT Applied Materials Ion Gauge Assy 0100-00010 Rev H#
AMAT Applied Materials Ion Gauge Assy 0100-00010 Rev H#
 208 50  
 
Desco 60501 Jr C/e Chargebuster High Output Ionizer Sn: 605011712014
Desco 60501 Jr C/e Chargebuster High Output Ionizer Sn: 605011712014
 512 95  
 
Applied Research Technology 500-3002-102 Circuit Board From SGX Night Bass
Applied Research Technology 500-3002-102 Circuit Board From SGX Night Bass
 148 29  
 
Roxburgh EMC RES5-F15 IEC Filter, 102132
Roxburgh EMC RES5-F15 IEC Filter, 102132
 20 94  
 
Asml 4022 481 43787 Used 4022 451 8773 1 Sem-i-453=2m24
Asml 4022 481 43787 Used 4022 451 8773 1 Sem-i-453=2m24
 174 39  
 
Asml Used 4022 451 8773 1, 4022 635 56585 Sem-i-461=2m24
Asml Used 4022 451 8773 1, 4022 635 56585 Sem-i-461=2m24
 174 39  
 
1d81-000129 / Pcb, Unity Ii Control Tyb222-1sw / Tokyo Electron Tel
1d81-000129 / Pcb, Unity Ii Control Tyb222-1sw / Tokyo Electron Tel
 305 33  
 
010-0202// ADVANCED 0920-00114 (#1) 3156110-007 A APEX 1513 [Warranty 1 month]
010-0202// ADVANCED 0920-00114 (#1) 3156110-007 A APEX 1513 [Warranty 1 month]
 3,053 30  
 
Daymarc 91-9972-01 Used Control Board Assembly 91-D9973
Daymarc 91-9972-01 Used Control Board Assembly 91-D9973
 100 32  
 
Amat 0040-77090 Manifold
Amat 0040-77090 Manifold
 392 57  
 
141-0502// Amat Applied 0150-01280 Cable Assy, Limit Switch A Used
141-0502// Amat Applied 0150-01280 Cable Assy, Limit Switch A Used
 62 81  
 
Advanced Energy applied RF match 0010-07156, 004 BT3-12538-2-1
Advanced Energy applied RF match 0010-07156, 004 BT3-12538-2-1
 2,617 12  
 
010-0102// Pearl Kogyo Rp-1000-13m Generator [asis]
010-0102// Pearl Kogyo Rp-1000-13m Generator [asis]
 3,489 49  
 
AMAT 0021-23836 PLATE, LEVELING, 200MM HEATER, HP+ TXZ W/ ID-U1025E x4
AMAT 0021-23836 PLATE, LEVELING, 200MM HEATER, HP+ TXZ W/ ID-U1025E x4
 2,180 93  
 
MKS Serial Gateway AS01366-10 Rev  01
MKS Serial Gateway AS01366-10 Rev  01
 174 39  
 
Lam Research Spectrometer 685-069171-002 Rev C 1010161-10-22-0004
Lam Research Spectrometer 685-069171-002 Rev C 1010161-10-22-0004
 348 95  
 
421-0202// Sanyo Denki 103h5505-80e1 Denki Motor [used/fast]
421-0202// Sanyo Denki 103h5505-80e1 Denki Motor [used/fast]
 174 47  
 
Applied Materials 0150-76198 Cable SYSTEM VIDEO 25
Applied Materials 0150-76198 Cable SYSTEM VIDEO 25
 218 09  
 
Entegris™ Flaretek® Elbow Adapter, “SpaceSaver” 3/8" to 1/2" PFA (UK) E6-8TFN-3
Entegris™ Flaretek® Elbow Adapter, “SpaceSaver” 3/8" to 1/2" PFA (UK) E6-8TFN-3
 4   
 
EBARA - Top Ring Cleaner Brush - C-4110-592-0001 (UK)
EBARA - Top Ring Cleaner Brush - C-4110-592-0001 (UK)
 3   
 
Erhardt+Leimer Web Guide System DRS 2275 DR2275 w/  FX4531 Edge Sensor
Erhardt+Leimer Web Guide System DRS 2275 DR2275 w/ FX4531 Edge Sensor
 1,526 65  
 
Amat 0190-77116 Flexible Disk Mirra Cmp
Amat 0190-77116 Flexible Disk Mirra Cmp
 436 19  
 
VG Quadrupoles Model 100D with Cable
VG Quadrupoles Model 100D with Cable
 165 75  119 34  
 
VG Quadrupoles with Cable
VG Quadrupoles with Cable
 165 75  119 34  
 
010-0202// ADVANCED 3156110-005 A APEX 1513 GENERATOR [Warranty 1 month]
010-0202// ADVANCED 3156110-005 A APEX 1513 GENERATOR [Warranty 1 month]
 3,053 30  
 
010-0202// Advanced 3156110-005 Apex 1513 Generator [asis]
010-0202// Advanced 3156110-005 Apex 1513 Generator [asis]
 3,053 30  
 
SMC NP420-DN2A MANIFOLD W/ VQ1101-5 x16 , USED AS IS
SMC NP420-DN2A MANIFOLD W/ VQ1101-5 x16 , USED AS IS
 785 13  
 
420-0102// Tamagawa Ts3212n32 Step Motor [used/fast]
420-0102// Tamagawa Ts3212n32 Step Motor [used/fast]
 104 68  
 
Brooks 002-7391-08, Pre-Alinger Robot
Brooks 002-7391-08, Pre-Alinger Robot
 1,308 56  
 
Applied Materials Gas Box Dxz 0020-34446
Applied Materials Gas Box Dxz 0020-34446
 523 41  
 
Applied PERSONALITY BOARD ASSY 0660-01634 REV  A / Free Expedited Shipping
Applied PERSONALITY BOARD ASSY 0660-01634 REV  A / Free Expedited Shipping
 435 31  
 
LAM Research 880-82-000 MRC PCB Motion Monitor 603 Rev  D for 9600
LAM Research 880-82-000 MRC PCB Motion Monitor 603 Rev  D for 9600
 368 48  
 
TEL Tokyo Electron U1600B10 Connector Interface Board PCB Used Working
TEL Tokyo Electron U1600B10 Connector Interface Board PCB Used Working
 180 73  
 
SUMITOMO Used X120D20104 SEM-I-1042=9B41
SUMITOMO Used X120D20104 SEM-I-1042=9B41
 1,744 66  
 
SUMITOMO Used X120D20402 SEM-I-1043=9B41
SUMITOMO Used X120D20402 SEM-I-1043=9B41
 1,744 66  
 
SUMITOMO Used X120D20404T-01 X159DRV SEM-I-1044=9B41
SUMITOMO Used X120D20404T-01 X159DRV SEM-I-1044=9B41
 1,744 66  
 
AMAT 0200-18081 Cover, Low Profile, HDP-CVD Ultima, Ring 200mm, SNNF, 424164
AMAT 0200-18081 Cover, Low Profile, HDP-CVD Ultima, Ring 200mm, SNNF, 424164
 392 57  
 
130-0401// Amat Applied 0100-09084 Board Used
130-0401// Amat Applied 0100-09084 Board Used
 218 09  
 
ASML Used 4022 481 05767 SEM-I-758=9G21
ASML Used 4022 481 05767 SEM-I-758=9G21
 156 92  
 
ASML Used 4022 456 35831 Vacuum Sensor SEM-I-802=9G21
ASML Used 4022 456 35831 Vacuum Sensor SEM-I-802=9G21
 156 92  
 
FEI COMPANY SEM P/N 4022 192 9270 Board
FEI COMPANY SEM P/N 4022 192 9270 Board
 261 62  
 
416-0401// Yaskawa Cacr-sr01ab2er Servopack [used/fast]
416-0401// Yaskawa Cacr-sr01ab2er Servopack [used/fast]
 1,134 08  
 
Tegal 99-287-001D REV C SST PCB
Tegal 99-287-001D REV C SST PCB
 125 62  
 
180-0301// Ilx Fpm-8210 Lightwave Fiber Optic Power Meter [used]
180-0301// Ilx Fpm-8210 Lightwave Fiber Optic Power Meter [used]
 1,308 56  
 
Electroglas 242840 Multifunction Joystick Controller Used Working
Electroglas 242840 Multifunction Joystick Controller Used Working
 176 37  
 
AMAT Applied Materials 0020-10136C hinge for Precision Etcher 8300 System
AMAT Applied Materials 0020-10136C hinge for Precision Etcher 8300 System
 218 09  
 
010-0202// ADVANCED 0920-00114 (#2) 3156110-007 H APEX 1513 [Warranty 1 month]
010-0202// ADVANCED 0920-00114 (#2) 3156110-007 H APEX 1513 [Warranty 1 month]
 3,053 30  
 
010-0202// ADVANCED 0190-19022 (#2) 3156110-005 B APEX 1513 [Warranty 1 month]
010-0202// ADVANCED 0190-19022 (#2) 3156110-005 B APEX 1513 [Warranty 1 month]
 3,053 30  
 
010-0202// ADVANCED 0190-19022 (#4) 3156110-005 D APEX 1513 [Warranty 1 month]
010-0202// ADVANCED 0190-19022 (#4) 3156110-005 D APEX 1513 [Warranty 1 month]
 3,053 30  
 
010-0202// ADVANCED 3156110-005 APEX 1513 GENERATOR [Warranty 1 month]
010-0202// ADVANCED 3156110-005 APEX 1513 GENERATOR [Warranty 1 month]
 3,053 30  
 
Aera Fc-7800c Flow Controller, 100 Sccm Ar
Aera Fc-7800c Flow Controller, 100 Sccm Ar
 152 67  
 
Amat 3920-00515 Gripper Magnum Zaytran M030827l
Amat 3920-00515 Gripper Magnum Zaytran M030827l
 785 13  
 
Verteq 1071924-1K SRD Spin Rinse Dryer Motor Controller Assembly
Verteq 1071924-1K SRD Spin Rinse Dryer Motor Controller Assembly
 159 11  
 
TEL Tokyo Electron ES1D10-100854C15 Baffle Spoke Plate Unity II Refurbished
TEL Tokyo Electron ES1D10-100854C15 Baffle Spoke Plate Unity II Refurbished
 703 29  
 
FEI COMPANY SEM P/N 4022 192 71661 Board, Free shipping
FEI COMPANY SEM P/N 4022 192 71661 Board, Free shipping
 226 73  
 
FEI COMPANY SEM P/N 4022 192 71332 Board, Free shipping
FEI COMPANY SEM P/N 4022 192 71332 Board, Free shipping
 226 73  
 
TEL Tokyo Electron 1D81-000128 Interface Relay Board PCB Unity II Used Working
TEL Tokyo Electron 1D81-000128 Interface Relay Board PCB Unity II Used Working
 262 75  
 
Amat 0010-10328m C-esc C5200  Mxp+oxide
Amat 0010-10328m C-esc C5200 Mxp+oxide
 8,722 85  
 
Fujikin N c Gas Valve 0 34~0 49mpa
Fujikin N c Gas Valve 0 34~0 49mpa
 104 68  
 
320-0303// Amat Applied 0010-09292 0100-09032 0100-09033 Pcb Assy, Tc Used
320-0303// Amat Applied 0010-09292 0100-09032 0100-09033 Pcb Assy, Tc Used
 697 90  
 
SBS Technologies CPU Board 85421146 Rev A, Free shipping
SBS Technologies CPU Board 85421146 Rev A, Free shipping
 191 83  
 
426-0501// Fusion Acu/pcu/mcu 250711 Touch Screen [used/fast]
426-0501// Fusion Acu/pcu/mcu 250711 Touch Screen [used/fast]
 872 37  
 
130-0402// AMAT APPLIED 0100-00080 wPCB ASSY, SBC I/O BREAKOUT, USED
130-0402// AMAT APPLIED 0100-00080 wPCB ASSY, SBC I/O BREAKOUT, USED
 436 19  
 
Applied Materials AMAT 0020-39087, 0040-77771, 0090-35133  200mm DPS ESC Assy
Applied Materials AMAT 0020-39087, 0040-77771, 0090-35133 200mm DPS ESC Assy
 1,919 22  
 
AMAT Applied Materials AC SENSE PCB, P/N 03-81821-00 REV C
AMAT Applied Materials AC SENSE PCB, P/N 03-81821-00 REV C
 261 71  
 
Profort PVME-501 IAE-21949  / Free Expedited Shipping
Profort PVME-501 IAE-21949 / Free Expedited Shipping
 548 72  
 
ESI-650E for ESI 9850 system  /  Free Expedited Shipping
ESI-650E for ESI 9850 system / Free Expedited Shipping
 479 80  
 
ESI CONCURRENT 751 6012-04 REV  A  /  Free Expedited Shipping
ESI CONCURRENT 751 6012-04 REV  A / Free Expedited Shipping
 514 70  
 
AMAT/Applied Materials PMT-100 Detector Module 0090-A0693 Assembly PMT100
AMAT/Applied Materials PMT-100 Detector Module 0090-A0693 Assembly PMT100
 160 16  
 
AMAT 0500-00166 Fukunishi Electrical Co  Ltd  VME Controller RAE-01663
AMAT 0500-00166 Fukunishi Electrical Co  Ltd  VME Controller RAE-01663
 3,053 30  
 
346-0302// Amat Applied 0021-20535 Adapter, Cassette Nest Smif Used
346-0302// Amat Applied 0021-20535 Adapter, Cassette Nest Smif Used
 191 92  
 
MKS Serial Gateway AS01366-10 Rev  01
MKS Serial Gateway AS01366-10 Rev  01
 164 88  
 
166-0301// Netzsch Cc200 Thermische Cooliing Controller [asis]
166-0301// Netzsch Cc200 Thermische Cooliing Controller [asis]
 872 37  
 
Amat 0021-24220 Retainer, Bearing Housing, Brush Mount A , Used
Amat 0021-24220 Retainer, Bearing Housing, Brush Mount A , Used
 157 03  
 
Kyosan Electric HPK 15ZI-US DC POWER SUPPLY 15ZI-USM
Kyosan Electric HPK 15ZI-US DC POWER SUPPLY 15ZI-USM
 2,791 59  
 
GE/Fanuc Genius Bus Interface Unit IC670GBI102F
GE/Fanuc Genius Bus Interface Unit IC670GBI102F
 380 77  
 
AMAT 0190-01486 Multi-Channel Temperature Controller 15A 120VAC
AMAT 0190-01486 Multi-Channel Temperature Controller 15A 120VAC
 436 19  
 
ASML 75mm glass Used SERV 486 38673 SEM-I-516=2M24
ASML 75mm glass Used SERV 486 38673 SEM-I-516=2M24
 104 58  
 
Semi-Gas High Purity Gas Panel, CGA 580 w/ Pigtail previously used for N2O
Semi-Gas High Purity Gas Panel, CGA 580 w/ Pigtail previously used for N2O
 392 57  
 
Philips 5322 694 15307  FF SUPPLY CARD
Philips 5322 694 15307 FF SUPPLY CARD
 218 09  
 
Motorola Vme 340b Board 7801c Fab Rev A
Motorola Vme 340b Board 7801c Fab Rev A
 174 39  
 
3152012-043 Z / Mdx-10 Slave (ae) Amat 1140-01086 / Advanced Energy
3152012-043 Z / Mdx-10 Slave (ae) Amat 1140-01086 / Advanced Energy
 655 14  
 
Tokyo Electron Electrode  bottom  P/c(85p-esc-nw2-up) 1885-023137-17
Tokyo Electron Electrode  bottom  P/c(85p-esc-nw2-up) 1885-023137-17
 1,483 03  
 
Matrix Integrated Systems Transport Controller P/n 1000-0003
Matrix Integrated Systems Transport Controller P/n 1000-0003
 283 52  226 82  
 
Oerlikon 102104213 End Effector Unaxis 300mm Used Working
Oerlikon 102104213 End Effector Unaxis 300mm Used Working
 529 61  
 
TEL Tokyo Electron 2985-461034-W1 Cup Base Assembly 2985-461032-W1 ACT12 Used
TEL Tokyo Electron 2985-461034-W1 Cup Base Assembly 2985-461032-W1 ACT12 Used
 882 06  
 
Cesium iodide CsI weight:<100g  purity: 99 9% size:-20mesh Cerac B02
Cesium iodide CsI weight:<100g purity: 99 9% size:-20mesh Cerac B02
 125 62  
 
SCHOTT Instruments Single pH Metal Electrode Pt 1200
SCHOTT Instruments Single pH Metal Electrode Pt 1200
 156 92  
 
Comtrol 5302265 REV C PC Board
Comtrol 5302265 REV C PC Board
 104 58  
 
Gasonics A95-122-01 Load Lock Door Assembly Rev  G Aura 2000-LL Used Working
Gasonics A95-122-01 Load Lock Door Assembly Rev  G Aura 2000-LL Used Working
 267 92  
 
AMAT Applied Materials 8100/8300 RF MATCH PCB 0100-01000 REV B
AMAT Applied Materials 8100/8300 RF MATCH PCB 0100-01000 REV B
 231 18  184 94  
 
Unit Mfc 8100, N2 20l
Unit Mfc 8100, N2 20l
 152 67  
 
Np Nprhc-504l New Power Plasma, Used
Np Nprhc-504l New Power Plasma, Used
 157 03  
 
347-0403// Amat Applied 0040-09033 Applied Matrials Components Used
347-0403// Amat Applied 0040-09033 Applied Matrials Components Used
 174 47  
 
Tel Tokyo Electron Limited Sw300b/rf 3m81-025137-21 +sw300b/ Ai02
Tel Tokyo Electron Limited Sw300b/rf 3m81-025137-21 +sw300b/ Ai02
 252 90  
 
Festo Electric CPV10-GE-MP-6 Terminal Valve, USED
Festo Electric CPV10-GE-MP-6 Terminal Valve, USED
 157 03  
 
Inr-244-436 / Controller,sk-2000 By Smc / Dns Dai Nippon Screen
Inr-244-436 / Controller,sk-2000 By Smc / Dns Dai Nippon Screen
 2,399 02  
 
Ditek DTG-X-120 Surge Protection Box Used Working
Ditek DTG-X-120 Surge Protection Box Used Working
 178 96  
 
Tokyo Electron Msd3aza1y03 Act12 X Driver Panasonic
Tokyo Electron Msd3aza1y03 Act12 X Driver Panasonic
 1,046 85  
 
OMRON 3G8B3-M0020 0287281-6D / Free Expedited Shipping
OMRON 3G8B3-M0020 0287281-6D / Free Expedited Shipping
 522 55  
 
321-0201// Amat Applied 0190-20148 Pneumatic Manifold Main Chamber Used
321-0201// Amat Applied 0190-20148 Pneumatic Manifold Main Chamber Used
 1,570 27  
 
AMAT Applied Materials DI SINK PCB, P/N 678667
AMAT Applied Materials DI SINK PCB, P/N 678667
 143 94  
 
Performance Technologies PT-SBS 915 BOARD
Performance Technologies PT-SBS 915 BOARD
 174 39  
 
Komatsu 7859-01-2610 Board Ks-2309
Komatsu 7859-01-2610 Board Ks-2309
 174 39  
 
Omron E8Y-AR2Y-5, Pressure Sensor Missing Front Membrane
Omron E8Y-AR2Y-5, Pressure Sensor Missing Front Membrane
 42 75  
 
Amat Inoi-7134-21 Smc 4port Dnet Upa Module Reflexion
Amat Inoi-7134-21 Smc 4port Dnet Upa Module Reflexion
 3,315 01  
 
130-0402// AMAT APPLIED 0100-09028 wPCB ASSY,LOADER INTERCO USED
130-0402// AMAT APPLIED 0100-09028 wPCB ASSY,LOADER INTERCO USED
 348 95  
 
Inficon Cygnus 2 Board 781-132-g1u Rev G
Inficon Cygnus 2 Board 781-132-g1u Rev G
 305 24  
 
Inficon Cygnus 2 Board 781-132-g1u Rev F
Inficon Cygnus 2 Board 781-132-g1u Rev F
 305 24  
 
Samwontech TLC99OC Temperature Multi Loop Controller, USED
Samwontech TLC99OC Temperature Multi Loop Controller, USED
 157 03  
 
Nikon 4S015-096 Interface PCB Card NK-C303-40 NSR-S204B Step-and-Repeat Used
Nikon 4S015-096 Interface PCB Card NK-C303-40 NSR-S204B Step-and-Repeat Used
 1,577 40  
 
IF IMAGE FOCUS IF-DRL6735-W OP2 LED ring illuminator 2UNIT
IF IMAGE FOCUS IF-DRL6735-W OP2 LED ring illuminator 2UNIT
 156 92  
 
Matrix Quartz Chamber Inner Diameter 6 5" Weight 1 3 lbs
Matrix Quartz Chamber Inner Diameter 6 5" Weight 1 3 lbs
 143 94  
 
SCI Solid State Controls Ametek 809212801-90 Power Inverter PCB 07-311624-00
SCI Solid State Controls Ametek 809212801-90 Power Inverter PCB 07-311624-00
 218 08  
 
ADVANCED ENERGY 3155126-012 C AE RF Match Navigator , USED
ADVANCED ENERGY 3155126-012 C AE RF Match Navigator , USED
 2,399 02  
 
Pfeiffer Vacuum PM063265 Oil Fluid Reservoir
Pfeiffer Vacuum PM063265 Oil Fluid Reservoir
 157 03  
 
AMAT 0200-08347 Robot Blade, 450269
AMAT 0200-08347 Robot Blade, 450269
 1,308 56  
 
324-0203// Amat Applied 0020-79313 Gear, Rotation [used]
324-0203// Amat Applied 0020-79313 Gear, Rotation [used]
 191 92  
 
Heater tape cable flexible 750°F(400°С)Max, 2 kW, fiberglass/silicone insulation
Heater tape cable flexible 750°F(400°С)Max, 2 kW, fiberglass/silicone insulation
 104 58  
 
Entegris™ Flaretek® Straight Adapter 3/8" to 1/2" MNPT PFA (UK) C8-6FN-3
Entegris™ Flaretek® Straight Adapter 3/8" to 1/2" MNPT PFA (UK) C8-6FN-3
 4   
 
TEL Tokyo Electron 3D81-000031-V3 Interface Board PCB E67920 TYB62A-1/VCONT Used
TEL Tokyo Electron 3D81-000031-V3 Interface Board PCB E67920 TYB62A-1/VCONT Used
 135 37  
 
SEREN R601 13 56MHz 600Watts 9600610040  / Free international Shipping
SEREN R601 13 56MHz 600Watts 9600610040 / Free international Shipping
 2,966 06  
 
Opal 70512360100 SDT Transformer Assembly Applied Materials SEMVision cX
Opal 70512360100 SDT Transformer Assembly Applied Materials SEMVision cX
 139 57  
 
414-0301// Nsk Ela-b030ef1-02 Driver [used/fast]
414-0301// Nsk Ela-b030ef1-02 Driver [used/fast]
 872 37  
 
Jenoptik 013501-099-17 L Interface Board PCB Used Working
Jenoptik 013501-099-17 L Interface Board PCB Used Working
 160 36  
 
SVG Silicon Valley Group 879-8010-002 Interface PCB Lot of 2 Used Working
SVG Silicon Valley Group 879-8010-002 Interface PCB Lot of 2 Used Working
 157 11  
 
AVAL DATA CORP AVME-344A PHPTO ISO, I/O board
AVAL DATA CORP AVME-344A PHPTO ISO, I/O board
 156 94  
 
OC Oerlikon 102080772 CHUCK CONTR  1xgas without RF 4500520417H004
OC Oerlikon 102080772 CHUCK CONTR  1xgas without RF 4500520417H004
 1,744 74  
 
Universal Instruments PCA VRM-MC PCB 50814202
Universal Instruments PCA VRM-MC PCB 50814202
 261 62  
 
123-0301// Amat Applied 0010-70029 Slit Valve Kalrez O-ring [asis]
123-0301// Amat Applied 0010-70029 Slit Valve Kalrez O-ring [asis]
 837 48  
 
Tokyo Weld Twa-v90bc3
Tokyo Weld Twa-v90bc3
 174 39  
 
LAM RESEARCH ESC 300mm ELECTROSTATIC CHUCK 839-800327-390
LAM RESEARCH ESC 300mm ELECTROSTATIC CHUCK 839-800327-390
 436 18  
 
Amat 0010-19315 Shaft Assembly Sealed Bearings Roller Assembly
Amat 0010-19315 Shaft Assembly Sealed Bearings Roller Assembly
 697 90  
 
Amat 0040-09221 Chamber 200mm Sacvd , Used
Amat 0040-09221 Chamber 200mm Sacvd , Used
 3,053 30  
 
Aera FC-7800C MFC Mass Flow Controller 100 SCCM BCL3
Aera FC-7800C MFC Mass Flow Controller 100 SCCM BCL3
 126 49  101 20  
 
HP CT245-25472 Bearing PiP Rear Assembly
HP CT245-25472 Bearing PiP Rear Assembly
 125 62  
 
Pressure Transmitter, Pc-304sfk, Pureron Japan
Pressure Transmitter, Pc-304sfk, Pureron Japan
 52 34  
 
Applied materials mainfold for 8330
Applied materials mainfold for 8330
 785 13  
 
Lam Research 839-205836-101 Electrostatic Chuck
Lam Research 839-205836-101 Electrostatic Chuck
 6,019 37  
 
323-0203// Amat Applied 0090-20298 Fan Assy, Back Cover, Rf Match, Vectra I Used
323-0203// Amat Applied 0090-20298 Fan Assy, Back Cover, Rf Match, Vectra I Used
 261 71  
 
Gsi Cbeqc-ml3 229 07  Rev c Layer8 Board
Gsi Cbeqc-ml3 229 07  Rev c Layer8 Board
 261 62  
 
Philips 4022 332 76161/86161 module
Philips 4022 332 76161/86161 module
 47 11  
 
Credence DMA-W Controller 678-1553-0034020B
Credence DMA-W Controller 678-1553-0034020B
 156 92  
 
Mitsubishi Eli100k-gs Rayon Ring Light Unit
Mitsubishi Eli100k-gs Rayon Ring Light Unit
 261 71  
 
Metal Front from Mactronix AML-300 Wafer Inspection Station
Metal Front from Mactronix AML-300 Wafer Inspection Station
 27 80  
 
Marteq 1076701 1 1 Matching Transformer with Transducer Lot of 2 Rev  F Used
Marteq 1076701 1 1 Matching Transformer with Transducer Lot of 2 Rev  F Used
 136 22  
 
Particle Measuring System 310 MiniNet Minienviornment Used Working
Particle Measuring System 310 MiniNet Minienviornment Used Working
 396 20  
 
Amat 0010-19001 300mm Input Ldm
Amat 0010-19001 300mm Input Ldm
 3,315 01  
 
Lam Research 834-036619-018 RG214/U Coaxial Electrical Cable 5 4 meters 17 feet
Lam Research 834-036619-018 RG214/U Coaxial Electrical Cable 5 4 meters 17 feet
 100 80  
 
Lam Research 834-036619-021 RG214/U Coaxial Electrical Cable 6 6 meters 21 feet
Lam Research 834-036619-021 RG214/U Coaxial Electrical Cable 6 6 meters 21 feet
 100 80  
 
Amat 0010-35633 300mm Hdpcvd Dome Temp Controller , Used
Amat 0010-35633 300mm Hdpcvd Dome Temp Controller , Used
 6,019 37  
 
Amat 0040-38295 Weldment, Adapter, Gate-lid W/ Vat 17236-ue24-aah1
Amat 0040-38295 Weldment, Adapter, Gate-lid W/ Vat 17236-ue24-aah1
 3,053 30  
 
MKS BARATRON PRESSURE SWITCH 51A52TCA1BA150 500Torr/150Torr
MKS BARATRON PRESSURE SWITCH 51A52TCA1BA150 500Torr/150Torr
 157 03  
 
Tokyo Electron 1110-316736-12 Stopper Half Moon 111031673612
Tokyo Electron 1110-316736-12 Stopper Half Moon 111031673612
 130 86  
 
Tokyo Electron 1110-311394-13 Shaft Porcelain DS1110-311394-13 111031139413
Tokyo Electron 1110-311394-13 Shaft Porcelain DS1110-311394-13 111031139413
 130 86  
 
Tencor Instruments 228443 Surfscan SWIFT/Station Plus KLA-Tencor
Tencor Instruments 228443 Surfscan SWIFT/Station Plus KLA-Tencor
 529 69  
 
Applied Materials AMAT P5000 Flow Assembly, 0010-01548
Applied Materials AMAT P5000 Flow Assembly, 0010-01548
 7,807 73  
 
Dell Dhp Optiplex Desktop Computer, Used
Dell Dhp Optiplex Desktop Computer, Used
 174 47  
 
322-0401// Amat Applied 0242-09036 0010-30064 0010-10973 Kit, H o t  Pack, Used
322-0401// Amat Applied 0242-09036 0010-30064 0010-10973 Kit, H o t  Pack, Used
 4,361 86  
 
Kenwood PSR-600 Controller Used Working
Kenwood PSR-600 Controller Used Working
 349 93  
 
Force Computer Centellis Rtb-e1 Transition Card With 1 10baset Port, 3  Debug   
Force Computer Centellis Rtb-e1 Transition Card With 1 10baset Port, 3 Debug   
 239 90  
 
KIKUSHI PMC250-0 25A / Free International Shipping
KIKUSHI PMC250-0 25A / Free International Shipping
 348 08  
 
NEC FC-9801X Model 2  FACTORY COMPUTER
NEC FC-9801X Model 2 FACTORY COMPUTER
 2,180 06  
 
5881) [used] P/w Bd 717192 Rev ac
5881) [used] P/w Bd 717192 Rev ac
 260 85  
 
UMG Technologies PLT-15750 Board Support 2 Leg 2 8 Tab
UMG Technologies PLT-15750 Board Support 2 Leg 2 8 Tab
 174 47  157 02  
 
Sankyo Da2z111 Ac Servo Driver 100w
Sankyo Da2z111 Ac Servo Driver 100w
 348 95  
 
Teradyne 420-431-01 Universal Manipulator Maintenance Support Kit Semiconductor
Teradyne 420-431-01 Universal Manipulator Maintenance Support Kit Semiconductor
 31 39  
 
Verteq STQD600-C2-E0 Megasonic Frequency Generator Power Supply
Verteq STQD600-C2-E0 Megasonic Frequency Generator Power Supply
 65 94  
 
NB8 - BTI Bruce Technologies INPUT Module 9760132 - USED
NB8 - BTI Bruce Technologies INPUT Module 9760132 - USED
 196 28  
 
Lumberg Automation 0930DSL108 DeviceNet 07hex Distribution Box 8 Port,  USED
Lumberg Automation 0930DSL108 DeviceNet 07hex Distribution Box 8 Port, USED
 43 62  
 
Lam Research 3pc Consumable Kit for LAM Rainbow 4400 Ceramic
Lam Research 3pc Consumable Kit for LAM Rainbow 4400 Ceramic
 1,967 20  
 
Kla, Ait, Psfdriver, Board, 0033799-000, 556661
Kla, Ait, Psfdriver, Board, 0033799-000, 556661
 1,046 85  
 
Used Omron Powli Industrial UPS BU75SW w/ warranty Free Shipping
Used Omron Powli Industrial UPS BU75SW w/ warranty Free Shipping
 1,046 84  
 
Lam Research I/o Board 810-001314-002
Lam Research I/o Board 810-001314-002
 436 18  
 
Amat 0090-77094 Obsolete, Replaced By 0090-04257, Used
Amat 0090-77094 Obsolete, Replaced By 0090-04257, Used
 2,180 93  
 
Amat 0100-00642 Enh Rotation Rpm Fault Detector
Amat 0100-00642 Enh Rotation Rpm Fault Detector
 1,177 70  
 
Amat 0040-47028 & 0040-01618 & 0040-00796, Working
Amat 0040-47028 & 0040-01618 & 0040-00796, Working
 4,361 86  
 
[1unit] Aera Pi-98 Fcpi981c4vxdiaa Ar 500sccm
[1unit] Aera Pi-98 Fcpi981c4vxdiaa Ar 500sccm
 125 61  
 
Semitool 32081-501 Paragon-r Interconnect Board, Rev  C Assy
Semitool 32081-501 Paragon-r Interconnect Board, Rev  C Assy
 1,613 89  
 
Applied Materials 0100-09084 Monitor Lightpen Interconnect Board
Applied Materials 0100-09084 Monitor Lightpen Interconnect Board
 158 75  
 
AD-TEC ADTEC  AX-600 III AX-600III-A-EI2 600W 13 56MHz / Free Shipping
AD-TEC ADTEC AX-600 III AX-600III-A-EI2 600W 13 56MHz / Free Shipping
 2,355 40  
 
429-0102// Electro Craft E19-3 0644-06-011 Motor [used/fast]
429-0102// Electro Craft E19-3 0644-06-011 Motor [used/fast]
 174 47  
 
145-0601// Amat Applied 0150-20028 Cable Assy, Cassette Indexer I Used
145-0601// Amat Applied 0150-20028 Cable Assy, Cassette Indexer I Used
 157 03  
 
AMAT Applied Materials CABLE ASSY, CNTLR TO 03G, P/N 0150-36554, NOS
AMAT Applied Materials CABLE ASSY, CNTLR TO 03G, P/N 0150-36554, NOS
 305 33  244 26  
 
Novellus 15-00569-00 Aluminum Bracket 150056900 (Pack Of 4)
Novellus 15-00569-00 Aluminum Bracket 150056900 (Pack Of 4)
 17 45  
 
Tokyo Electron 1110-312874-12 Stopper Half Moon DS1110-312874-12 111031287412
Tokyo Electron 1110-312874-12 Stopper Half Moon DS1110-312874-12 111031287412
 130 86  
 
TEL Tokyo Electron 2L81-050095-11 Controller PCB Board/Card TAB22N-1/LOW2-R
TEL Tokyo Electron 2L81-050095-11 Controller PCB Board/Card TAB22N-1/LOW2-R
 52 31  
 
Horiba STEC IV-2410AV-02H Injection Valve, AMAT 0190-36237, 451858
Horiba STEC IV-2410AV-02H Injection Valve, AMAT 0190-36237, 451858
 392 57  
 
Mydax M1004d Power Interface Board Pcb Chiller
Mydax M1004d Power Interface Board Pcb Chiller
 218 07  
 
AMAT 5200 CVD HDP-CVD RPSC Applicator #4
AMAT 5200 CVD HDP-CVD RPSC Applicator #4
 872 33  
 
Tokyo Electron 2l80-050211-16 Ecc2 Controller Cppc Mcrack-1
Tokyo Electron 2l80-050211-16 Ecc2 Controller Cppc Mcrack-1
 8,636 48  
 
SensArray 1530A-8-0499 200mm Process Probe Instrumented Wafer 8" Refurbished
SensArray 1530A-8-0499 200mm Process Probe Instrumented Wafer 8" Refurbished
 1,404 68  
 
Amat 0010-09341, Wafer Lift Precision 5000
Amat 0010-09341, Wafer Lift Precision 5000
 1,657 51  
 
113-0701// Mattson Rfs3019 Rf Match Asis
113-0701// Mattson Rfs3019 Rf Match Asis
 8,723 72  
 
Mactronix H2O Company 125mm Flat Finder Reseller Lot of 4 Used Working
Mactronix H2O Company 125mm Flat Finder Reseller Lot of 4 Used Working
 873 35  
 
Amat 4060-01156 Manf Assy Slit Valve Pneu 8-station
Amat 4060-01156 Manf Assy Slit Valve Pneu 8-station
 1,090 46  
 
ACT12 Chamber Assy Part / ATC12 / 020-F18 / Semiconductor Part ( Part was Cleane
ACT12 Chamber Assy Part / ATC12 / 020-F18 / Semiconductor Part ( Part was Cleane
 1,657 51  
 
Board No 40911201-2  Assy No  97911231 Rev C
Board No 40911201-2 Assy No  97911231 Rev C
 99 45  
 
Applied materials mainfold for 8330 #2
Applied materials mainfold for 8330 #2
 610 66  
 
Applied materials 0030-00005
Applied materials 0030-00005
 523 42  
 
0040-05943 / Adapter, Short Hi Cond 300mm Pvd / Amat
0040-05943 / Adapter, Short Hi Cond 300mm Pvd / Amat
 1,832 83  
 
Aera TC FC-PAR7820C-6V MFC (H2 / 60 SLM) 1/2" VCR
Aera TC FC-PAR7820C-6V MFC (H2 / 60 SLM) 1/2" VCR
 218 01  
 
Amat 0195-04792 Lcwe/pneumatic Box Assembly/300mm Reflexion Lk , Used
Amat 0195-04792 Lcwe/pneumatic Box Assembly/300mm Reflexion Lk , Used
 9,596 09  
 
Balzers Bms 101 Freeze Etching Unit Control & Bcm 101 Commutator Unit
Balzers Bms 101 Freeze Etching Unit Control & Bcm 101 Commutator Unit
 126 49  
 
Amat 0020-79641 Nova Mu Integration 75″ 153-10100-01
Amat 0020-79641 Nova Mu Integration 75″ 153-10100-01
 1,570 27  
 
TEL Tokyo Electron Interface Rollers and Interface Bracket ACT12 Used Working
TEL Tokyo Electron Interface Rollers and Interface Bracket ACT12 Used Working
 1,316 55  
 
Varian 0066444300 Insert Transfer Plate Rev E Unopened Package
Varian 0066444300 Insert Transfer Plate Rev E Unopened Package
 22 67  
 
Ingersoll-rand Electric Screwdriver, Es60t, 115v Ac, 50/60hz
Ingersoll-rand Electric Screwdriver, Es60t, 115v Ac, 50/60hz
 104 68  
 
135-0101// Amat Applied 0010-13034 0020-01999 0020-31587 0020-75640 [asis]
135-0101// Amat Applied 0010-13034 0020-01999 0020-31587 0020-75640 [asis]
 17,447 44  
 
Applied Materials AMAT NW 40 Adaptor, 0040-90187
Applied Materials AMAT NW 40 Adaptor, 0040-90187
 151 79  
 
Fujikin N c 5way Valve 0 34~0 6mpa
Fujikin N c 5way Valve 0 34~0 6mpa
 244 26  
 
Aera Pi-98 Fcpi981c4vxdiaa N2 3000sccm
Aera Pi-98 Fcpi981c4vxdiaa N2 3000sccm
 125 61  
 
Aera Pi-98 Fcpi981c4vxdiaa Cif3 3000sccm
Aera Pi-98 Fcpi981c4vxdiaa Cif3 3000sccm
 125 61  
 
Aera Pi-98 Fcpi981c4vxdiaa N2 10000sccm
Aera Pi-98 Fcpi981c4vxdiaa N2 10000sccm
 125 61  
 
GAST K309E Service Rebuild Kit For Model MOA includes 2 Diaphragm (UK)
GAST K309E Service Rebuild Kit For Model MOA includes 2 Diaphragm (UK)
 3   
 
Fullam Sputter Coater 18900-792-001  (gw33)
Fullam Sputter Coater 18900-792-001 (gw33)
 872 37  
 
Bm30295000  /  Servo Controller Assembly /  Brooks Automation Inc
Bm30295000 / Servo Controller Assembly / Brooks Automation Inc
 2,183 25  
 
LAM Research 300mm Electrode Upper Ring S31A-GD-009-A
LAM Research 300mm Electrode Upper Ring S31A-GD-009-A
 218 09  
 
H-square 5" Wafer Aligner
H-square 5" Wafer Aligner
 174 47  
 
National Instruments NI cRIO NI 9425 Module ONLY
National Instruments NI cRIO NI 9425 Module ONLY
 435 31  
 
HP Interphase H05526-008-A00 Gigabit PCI HBA Fibre Channel Adapter Card
HP Interphase H05526-008-A00 Gigabit PCI HBA Fibre Channel Adapter Card
 84 78  
 
Mattson 1011281 Thermal Controller *used working
Mattson 1011281 Thermal Controller *used working
 436 19  305 33  
 
324-0401// Amat Applied 0190-15840 4-port Upa, Dnet Only, 300mm Lk Reflexio Used
324-0401// Amat Applied 0190-15840 4-port Upa, Dnet Only, 300mm Lk Reflexio Used
 2,180 93  
 
Nikon 4S018-445-? Processor Board PCB Card EPDRV2-X2A NSR-S202A Used Working
Nikon 4S018-445-? Processor Board PCB Card EPDRV2-X2A NSR-S202A Used Working
 1,667 26  
 
Philips  4022 332 85435 for spectrometer PW 2400
Philips 4022 332 85435 for spectrometer PW 2400
 305 33  
 
Fit-Line Flarelink UES1212 UES1212TN PVDF 90 Degree Elbow
Fit-Line Flarelink UES1212 UES1212TN PVDF 90 Degree Elbow
 41 87  
 
Amat 0190-15759 Servomotor, Pc Sweep/we, Lk Polisher
Amat 0190-15759 Servomotor, Pc Sweep/we, Lk Polisher
 872 37  
 
Advanced Energy Rf Match 3155091-002a
Advanced Energy Rf Match 3155091-002a
 1,744 74  
 
353-0301// Amat Applied 0010-38438 Assy, C-chuck, 200mm, Cip 99 Asis
353-0301// Amat Applied 0010-38438 Assy, C-chuck, 200mm, Cip 99 Asis
 1,744 74  
 
8743) [used] Sucon Stm-100/mf
8743) [used] Sucon Stm-100/mf
 178 85  
 
Aera Fm-865 Mass Flow Controller  1 Slm Ph3
Aera Fm-865 Mass Flow Controller  1 Slm Ph3
 82 88  
 
Genus 2299-02 Digital Isolator Board
Genus 2299-02 Digital Isolator Board
 135 02  
 
Tdi Power Supply Sps 5718 K&s Maxum Ultra Power System Tdi P/n 140621 Rev G
Tdi Power Supply Sps 5718 K&s Maxum Ultra Power System Tdi P/n 140621 Rev G
 3,315   
 
Planar Magnetron Sputtering Magnet Sierra Applied Sciences Patent #5,262,026  #2
Planar Magnetron Sputtering Magnet Sierra Applied Sciences Patent #5,262,026  #2
 2,025 06  
 
AMAT 0051-05543 Gas Weldment Valve Assy, 0051-06925, Fujikin, Ham-Let, 453268
AMAT 0051-05543 Gas Weldment Valve Assy, 0051-06925, Fujikin, Ham-Let, 453268
 1,701 13  
 
MAXON DC MOTOR 2260 889-54 216-200 & 2260 815-50 216-208 for  philips PW2400
MAXON DC MOTOR 2260 889-54 216-200 & 2260 815-50 216-208 for philips PW2400
 261 71  
 
1156) [USED] ULVAC GI-M / minor damage
1156) [USED] ULVAC GI-M / minor damage
 183 25  
 
Tokyo Electron TEL 2L08-00001-13 2L81-000001-V1 TAB111-1/IO1 Type 1 Ver 0104
Tokyo Electron TEL 2L08-00001-13 2L81-000001-V1 TAB111-1/IO1 Type 1 Ver 0104
 150 74  
 
Komatsu 120-03-1160 Cooling & Heating Unit W/ Gr-63-ul
Komatsu 120-03-1160 Cooling & Heating Unit W/ Gr-63-ul
 2,529 88  
 
MTI Fotonic Sensor Model KD-100
MTI Fotonic Sensor Model KD-100
 83 74  
 
Amat 0010-17339 Vacuum Generator Cup Mirra Cmp
Amat 0010-17339 Vacuum Generator Cup Mirra Cmp
 3,402 25  
 
413-0401// Nsk Esa-j2006a23-31 Driver [used/fast]
413-0401// Nsk Esa-j2006a23-31 Driver [used/fast]
 872 37  
 
Amat 0020-10135 Manifold Water Pl , Used
Amat 0020-10135 Manifold Water Pl , Used
 157 03  
 
Asml 5da800 duvl 50047i Scanner Chuck 8" N-195 7 Continuous Type , Refurbished
Asml 5da800 duvl 50047i Scanner Chuck 8" N-195 7 Continuous Type , Refurbished
 2,355 40  
 
Fujikin FCS-4WS-798-F300#B Mass Flow Controller 250kPaG
Fujikin FCS-4WS-798-F300#B Mass Flow Controller 250kPaG
 191 92  
 
Gems FS-380 Flow Switch  0 25GPM, USED
Gems FS-380 Flow Switch 0 25GPM, USED
 52 34  
 
Applied Materials Baffle Assy 8" Sapphire
Applied Materials Baffle Assy 8" Sapphire
 785 13  
 
AMAT 0010-76001 Precision 5000 Assy Storage Elevator Wafer 0020-09464 0020-09297
AMAT 0010-76001 Precision 5000 Assy Storage Elevator Wafer 0020-09464 0020-09297
 2,180 93  
 
Varian 102208001 Power Supply  Rev 4
Varian 102208001 Power Supply  Rev 4
 593 21  
 
Novellus Systems 16-383780-00 C3 Showerhead Revision D Small Dent Used Working
Novellus Systems 16-383780-00 C3 Showerhead Revision D Small Dent Used Working
 1,316 53  
 
ION Systems Model:4210 free ship
ION Systems Model:4210 free ship
 130 86  
 
796-046752-001/mag Power, Adixen Turbo Molecular Pump Control Unit/lam Research
796-046752-001/mag Power, Adixen Turbo Molecular Pump Control Unit/lam Research
 3,927 44  
 
Tokyo Electron Tel Flow Meter Keiso P-710-uo-gt-r1-h2o-2l Lot Of 2
Tokyo Electron Tel Flow Meter Keiso P-710-uo-gt-r1-h2o-2l Lot Of 2
 196 28  
 
Precision Robotics C1 993 30302 Rev  C Slit Exel PCB Board CBR-1 Card PLC Module
Precision Robotics C1 993 30302 Rev  C Slit Exel PCB Board CBR-1 Card PLC Module
 182 32  
 
Entegris 704-28 Valve, 2w Stack, 3/8or,1/2ft , Used
Entegris 704-28 Valve, 2w Stack, 3/8or,1/2ft , Used
 436 19  
 
Applied Materials (AMAT) 0040-03897 REV 003 LID ASSEMBLY, 200MM TICL4 TIN
Applied Materials (AMAT) 0040-03897 REV 003 LID ASSEMBLY, 200MM TICL4 TIN
 5,234 23  
 
TEL Tokyo Electron 2981-600652-W1 CSS #01 Board PCB 2908-600652-11 Used Working
TEL Tokyo Electron 2981-600652-W1 CSS #01 Board PCB 2908-600652-11 Used Working
 351 72  
 
130-0701// Amat Applied 0010-70058 (#3) Stor Elev Assy, 29 Posn Used
130-0701// Amat Applied 0010-70058 (#3) Stor Elev Assy, 29 Posn Used
 1,308 56  
 
KLA-Tencor 003928-000 SP1 Interface Assembly Used Working
KLA-Tencor 003928-000 SP1 Interface Assembly Used Working
 1,659 36  
 
AMAT Synergy V440 SBC SV21 SBC PCB 0190-20048 Fixes the exception 2 buss errors
AMAT Synergy V440 SBC SV21 SBC PCB 0190-20048 Fixes the exception 2 buss errors
 1,744 74  
 
Amat 0020-79059 Collar-right E-chain Mount E-chain Kit
Amat 0020-79059 Collar-right E-chain Mount E-chain Kit
 348 95  
 
Gasonics 90-2607 PCB Controller Board Rev E Aura 2000-LL Used Working
Gasonics 90-2607 PCB Controller Board Rev E Aura 2000-LL Used Working
 283 64  
 
Tokyo Electron 1110-311302-11 Main Shaft SUS303 DS1110-311302-11 3-9/16 in OAL
Tokyo Electron 1110-311302-11 Main Shaft SUS303 DS1110-311302-11 3-9/16 in OAL
 30 53  
 
High Current Vacuum Feedthru Kf-40 Flange P5686
High Current Vacuum Feedthru Kf-40 Flange P5686
 174 47  
 
177-0203// 54015604 Amat Nupro 930 316l Vesp 125 Psi Max Valve [used]
177-0203// 54015604 Amat Nupro 930 316l Vesp 125 Psi Max Valve [used]
 261 71  
 
177-0404// Amat Applied 0051-01471 Applied Matrials Components [used]
177-0404// Amat Applied 0051-01471 Applied Matrials Components [used]
 261 71  
 
Amat 3970-00030 Gear Box W/ Mount, Pulley, Flange
Amat 3970-00030 Gear Box W/ Mount, Pulley, Flange
 3,053 30  
 
Lam Research AUTOETCH 490/590 GAS MANIFOLD, NUPRO GAS MANIFOLD
Lam Research AUTOETCH 490/590 GAS MANIFOLD, NUPRO GAS MANIFOLD
 479 80  
 
Amat 0190-37537 Scr Power Controller 380vac
Amat 0190-37537 Scr Power Controller 380vac
 4,187 39  
 
Amat 0200-20161 Insulators, Lamp Cavity, Left
Amat 0200-20161 Insulators, Lamp Cavity, Left
 218 09  
 
Pct Systems Qurtz Tank Ttf14 360
Pct Systems Qurtz Tank Ttf14 360
 1,570 26  
 
Amat 0200-09448 Plate Qtz Gas Dist Lower 150mm
Amat 0200-09448 Plate Qtz Gas Dist Lower 150mm
 567 04  
 
Plasmatherm Lower Electrode Insulator for SLR & 790 RIE & PECVD systems
Plasmatherm Lower Electrode Insulator for SLR & 790 RIE & PECVD systems
 300 97  
 
STEC SV-P1000 MFC Mass Flow Controller SV-P1203 , USED
STEC SV-P1000 MFC Mass Flow Controller SV-P1203 , USED
 136 09  
 
Novellus 02-305108-00 Rev B, 79-305936-00
Novellus 02-305108-00 Rev B, 79-305936-00
 1,744 74  
 
Turbo-V 550 C U  Varian 9699544 Turbomolecular Pump Controller Turbo 50-60HZ
Turbo-V 550 C U  Varian 9699544 Turbomolecular Pump Controller Turbo 50-60HZ
 872 37  
 
Schaffner Fn351h-16-29  Filter 3-phase Emc Hi Power, 16a, Used
Schaffner Fn351h-16-29 Filter 3-phase Emc Hi Power, 16a, Used
 83 75  
 
Deublin 971-600 Rotary Union Assembly, AMAT Applied Materials 0190-01973
Deublin 971-600 Rotary Union Assembly, AMAT Applied Materials 0190-01973
 1,308 56  
 
3242) [used] Ohkura Hmsu2390a
3242) [used] Ohkura Hmsu2390a
 261 72  
 
Amat 0200-20162 Insulators, Lamp Cavity, Right
Amat 0200-20162 Insulators, Lamp Cavity, Right
 157 03  
 
Applied Materials AMAT VAT 15028-FA24-1002, 0190-02238
Applied Materials AMAT VAT 15028-FA24-1002, 0190-02238
 4,798 05  
 
Hitachi BBET-11 Backplane Interconnect PCB Board Used
Hitachi BBET-11 Backplane Interconnect PCB Board Used
 235 54  
 
ASML Used 4022 637 96341, 4022 636 35221, 4022 636 35191 SEM-I-818=9G21
ASML Used 4022 637 96341, 4022 636 35221, 4022 636 35191 SEM-I-818=9G21
 348 86  
 
Used PDCH-4511S RS-HZN001 SEM-I-1063=P1
Used PDCH-4511S RS-HZN001 SEM-I-1063=P1
 3,489 40  
 
AMAT Applied Materials Analog Signal Conditioner 0100-00049
AMAT Applied Materials Analog Signal Conditioner 0100-00049
 453 63  
 
Applied Materials AMAT 0010-36476 Edge Ring 200mm/8" TxZ Assembly JMF (Refurb)
Applied Materials AMAT 0010-36476 Edge Ring 200mm/8" TxZ Assembly JMF (Refurb)
0010-00344 PEDESTAL ASSY|125MM AL OEM NEW
0010-00387 Pedestal sub assy:125mm w/elastomer OEM NEW
0010-00865 BAKEOUT LAMP CLAMP ASSEMBLY OEM NEW
0010-00957 ASSY| ORIENTER LASER OPTICS OEM NEW
0010-01152 ASSY|PNEUMATIC| AIR CYLINDER OEM NEW
0010-01198 MAGNET| AL| ALPS+| 8inch OEM NEW
0010-01231 MAGNET| ALPS-TI| LP - 5 1| 8inch OEM NEW
0010-01286 200mm MCA Heater USED
0010-01296 ASSEMBLY|PVD LIFTER ORIENTER GIMBAL OEM NEW
0010-01388 ESC CONTROL BOX OEM NEW
0010-01418 OUTSOURCED ASSY| HEATER LIFT| PRODUCER USED
0010-01456 MCA E-chuck| 8 OEM NEW
0010-01711 ASSY| HP| UPGRADE ROBOT| ENDURA OEM NEW
0010-01712 ASSY| OUTER MAGNETS AND TUBE| HP ROBOT| ENDURA OEM NEW
0010-01922 BLASTED ELECTRODE AC BOX ASSY OEM NEW
0010-01927 HEATER ASSY| WELD 8inch SNNF BESC BIASED ELECTRODE OEM NEW
0010-02258 ASSY HALOGEN LAMP| LOW TEMP ESC OEM NEW
0010-02260 CVD GAS BOX OEM NEW
0010-02372 HIGH EFFICIENCY RF MATCH BRAS OEM NEW
0010-02562 MAGNET| SIP OEM NEW
0010-02854 COVER TOP SHIPPING OEM NEW
0010-02977 ASSEMBLY|RF MATCH| SUB ZERO BESC OEM NEW
0010-03244 ASSY| PURGE HEATER HP TXZ 200MM OEM NEW
0010-03254 HTESC| 8inch MCA+ OEM NEW
0010-03363 MCA+ ESC WAFER DETECT ASSY OEM NEW
0010-03704 BEZEL ASSY OEM NEW
0010-04065 MAGNET| SIP-TTN| REV2| 8inch OEM NEW
0010-04465 ASSY HEATER| JMF HPTXZ 8inch OEM NEW
0010-04503 DOOR ASSEMBLY| 300MM SWLL USED
0010-04976 ASSY SUSC 200MM T2 BSE OEM NEW
0010-05157 ASSEMBLY HTF/RTP BEZEL NEW(OEM&2ND)
0010-05254 ASSEMBLY| HEATER| 8 OEM NEW
0010-05692 ASSY HEATER| JMF HPTXZ 8inch OEM NEW
0010-08086 ASSY| CONFIGURABLE I/O| 10 IN/ 10 OUT| IRRA 00MM OEM NEW
0010-08185 ASSY ORIENTER LASER OPTIC OEM NEW
0010-09035 ASSY BWCVD THROTTLE VALVE 100| REWORK USED
0010-09416 RF MATCH ETCH OEM NEW
0010-09737 ASSY SUS 150MM 6MM SHADOW RING BWCVD OEM NEW
0010-10304 HP MOTOR| ROBOT OEM NEW
0010-10327 CHUCK-ESC MXPT-OXIDE USED
0010-10973 ASSEMBLY| H O T  DETECTOR MODULE OEM NEW
0010-11208 ASSY OUTSOURCE PIN LIFT BELLOWS 200MM SA NEW(OEM&2ND)
0010-11228 ASSY| MAGNET| LP-3 7 3 300MM USED
0010-11382 Assembly|outer bi-fold door|Centura OEM NEW
0010-11925 MAGNET ASSY OEM NEW
0010-11997 ASSY HTR TXZ 200MM OEM NEW
0010-12516 SLT-ESC| 8inch SSNF OEM NEW
0010-12667 ASSEMBLY| CATHODE BASIC| 200MM EMAXCT OEM NEW
0010-12844 SIDE MAGNET ASSY| HALF| 4X1|FLUX SHAPE| 200MM SIP ENCORE CU OEM NEW
0010-12864 MAGNET| SIP-ENCORE CU| LP-8 8| 8inch OEM NEW
0010-12892 pass throw cass Ass`y3 SLOT OEM NEW
0010-13120 NB LL ASSY OEM NEW
0010-13126 HEATER|8inch B101 OEM NEW
0010-13143 ASSY| UNIVERSAL WBLL CASSETTE PLATFORM 316SST| CMF OEM NEW
0010-13235 HTESC MOTION HP LIFT ASSY OEM NEW
0010-13242 ASSEMBLY| EXTENDED REACH WRIST OEM NEW
0010-13272 ASSY| HP UPGRADE ROBOT DRIVER UPPER/LOWER OEM NEW
0010-13439 MCA 2 TC AMP BOX OEM NEW
0010-13786 Assy Door HARD ANODIZE 300mm OEM NEW
0010-14493 ASSY| LH| PIVOT AND BEARING| 300MM ROBOT WRIST OEM NEW
0010-15287 CVD SLIT V/V ACTUATOR OEM NEW
0010-15563 ASSEMBLY| PROBE| XE RTP CHAMBER|  080 DI NEW(OEM&2ND)
0010-17914 Clean cup assembly OEM NEW
0010-17961 200MM PLASMA CELL ASSY| END PT DET| PRODUCER USED
0010-20048 ASSY PWR SUPL/ELECTRONICS END GEN RACK USED
0010-22868 ASSEMBLY| STD EXHAUST DUCT TPCC/RTP NEW(OEM&2ND)
0010-23726 ASSY WAFER LIFT PIN 200MM DPS CERAMIC ESC OEM NEW
0010-25258 OUTSOURCE SRD MODULE WITHOUT LAMP 200MM CMP OEM NEW
0010-26727 HEATER LIFT ASSY (Producer) NEW(OEM&2ND)
0010-26966 ETCH SLIT V/V ACTUATOR OEM NEW
0010-27119 ASSY SHUTTER ENCLOSURE W/ SENSOR MODS OEM NEW
0010-28658 OUTSOURCED ASSY| CONTROL RACK| PRODUCER NEW(OEM&2ND)
0010-28722 Vectra IMP Match| 2MHZ Revision 3 1 300MM OEM NEW
0010-29107 IDLER ASSY| BRUSH BOX| DESICA CLEANER OEM NEW
0010-29675 HEATER ASSY| MC TP SR W/ALN INSL| PRODUCER 200MM NEW(OEM&2ND)
0010-30143 Assy| Harness Channel| Right DPS OEM NEW
0010-31163 CARTRIDGE ASSY| H O T  ANALYZER| 440 5NM OEM NEW
0010-31985 ASSEMBLY| DC BIAS OEM NEW
0010-35916 Assy| Air Flow Pressure Switch| RTP NEW(OEM&2ND)
0010-36152 ASSY| DOME BLANKOFF| SHIPPING PALTE| DPS OEM NEW
0010-36408 ASSY| RF MATCH| DPS CHAMBER OEM NEW
0010-37266 ASSY|P-CHUCK|200MM|THICK CABLE W/GRND TC OEM NEW
0010-37326 ASSY|MCE SEC  AC RACK| CH  B-D USED
0010-37971 DOOR ASSY CORR RESIST  SLT VLV W/CHEMRAZ OEM NEW
0010-38515 ASSY| HEATER LIFT|NITRIDE550|OPTIMA & CENTURA OEM NEW
0010-39124 ASSY REFERENCE HIEGHT TOOL RTP NEW(OEM&2ND)
0010-39185 Assy|TEOS lower 200mm CH|A/B/C|Producer NEW(OEM&2ND)
0010-39852 ASSY|CATHODE CERAMIC ESC|SUPER-E OEM NEW
0010-40873 PNEUMATIC CONTROLLER ASSEMBLY 0 1MPA| 20 OEM NEW
0010-41442 ASSEMBLY| CYLINDER RODLESS| WBLL OEM NEW
0010-70441 ASSY| BASIC MOTORIZED LIFT OEM NEW
0010-76149 ASSEMBLY| 6 OEM NEW
0010-76538 REAR LEFT HAND DOOR CENTURA NEW(OEM&2ND)
0010-76600 BLADE ASSY 8 THIN METAL BUFFER OEM NEW
0010-76625 FLUORESCENT LIGHT ASSEMBLY OEM NEW
0010-77240 SLURRY B UNIT USED
0015-00387 MOD PURCH PART| FRONT CENTER RAIL| LCF CARDCAGE NEW(OEM&2ND)
0015-00679 HINGE| CHAMBER RACK TO FRAME| PRODUCER S NEW(OEM&2ND)
0020-02480 BRACKET| CHAMBER SET| PRODUCER S NEW(OEM&2ND)
0020-04142 LEXAN RIM| 200 MM OXIDE OEM NEW
0020-04150 BUSHING|WATER BOX OEM NEW
0020-05327 BRACKET OEM NEW
0020-05864 BRACKET| MTG| RP LINES| CH A OR D| RTP| CMF USED
0020-05866 BRACKET| MTG| RP LINES| CH B OR C| RTP| USED
0020-06231 COVER|CATHODE HEX|125MM ALUM OEM NEW
0020-08436 BRACKET| MOUNTING| ACDIST CONDUIT| PROD USED
0020-13579 SHAFT| WIDE BODY INDEXER32*600 OEM NEW
0020-13622 Shim|door hinge|Producer SE|split NEW(OEM&2ND)
0020-18427 BLOCK|GRAPHITE|GND SHLD|HDP-CVD OEM NEW
0020-18686 FIXTURE |MEMBRANE| 300MM TITAN PROFILER OEM NEW
0020-18789 BLANK-OFF GATE-VALVE BON OEM NEW
0020-18799 Bracket| Right Dual Gas Spring OEM NEW
0020-20135 BUSS BAR GND/NEU/RF GEN CAB OEM NEW
0020-20407 COVER| BOX| CIRCUIT BREAKER OEM NEW
0020-20588 COVER RF GEN PCB OEM NEW
0020-20993 PANEL-TOP OEM NEW
0020-21231 COVER| CONN OEM NEW
0020-21708 CHUCK 8 OEM NEW
0020-22510 CLAMP RING AL/TI 8 SNNP REDUCED EDGE OEM NEW
0020-22548 Plate blankoff shutter arm OEM NEW
0020-22975 INSULATOR TIN 8 OEM NEW
0020-23754 RF MATCH INTLK BRACKET PRECLEAN 2 OEM NEW
0020-23760 INTERLOCK BRACKET PC 2 RF MATCH OEM NEW
0020-23951 Blade 8 OEM NEW
0020-24410 BRACKET INTERLOCK CATHODE LIFT OEM NEW
0020-24531 ADAPTOR| SHIELD G12 SST USED
0020-24804 COVER RING SST 8 OEM NEW
0020-25476 HUB INSULATOR HEATER ESC/HT HEATER OEM NEW
0020-25717 PLATE USED
0020-27135 SPACER| ACTUATOR SHUTTER LINKAGE OEM NEW
0020-27205 CLAMP RING 8 OEM NEW
0020-27207 CLAMP RING 6 OEM NEW
0020-28622 ROBOT BLADE 8 OEM NEW
0020-28649 SHIELD MIDDLE K3/P4 TI/TIN SST OEM NEW
0020-28650 SHIELD LOWER 8 ADV 101 K3/P4 SST OEM NEW
0020-28715 PIN| RESTRAINT| HTESC OEM NEW
0020-29015 CLAMP RING  8 OEM NEW
0020-29343 SHIELD CLAMP| DARKSPACE  727 OEM NEW
0020-30628 RING| CLAMP| VESPEL| POLY/WSI| 200MM| NO OEM NEW
0020-31081 PRODUCER TRANSFER CHAMBER CLAMP NEW(OEM&2ND)
0020-31082 Producer CH shipping clamp NEW(OEM&2ND)
0020-31164 RING|FOCUSING| ALUM|150 MM| PRSP 3 OEM NEW
0020-31488 GAS DISTRIBUTION PLATE|13 HOLES OEM NEW
0020-31627 FLANGE|BASE| ESC OEM NEW
0020-33165 RING ADAPTER OEM NEW
0020-37947 PALLET|GAS BOX| 5200 CENTURA OEM NEW
0020-38058 Cylinder| lifter OEM NEW
0020-38328 COVER|HOL  125/150MM LIF OEM NEW
0020-39499 RING|RTNR|SI INSERT RTP NEW(OEM&2ND)
0020-39837 BRACKET|SUPPORT ENDPOINT OEM NEW
0020-40706 Rear cover OEM NEW
0020-41748 LLA OUTER SAFETY COVER| CENTURA AP LID H OEM NEW
0020-42052 HINGE|UPPER| WXZ OEM NEW
0020-42492 BLANKOFF NF3 GAS| 200MM PROD APF| PECVD NEW(OEM&2ND)
0020-43126 BRACKET| IVL| 3WAY DIVERT VALVE| PRODUCER NEW(OEM&2ND)
0020-43229 LATCH BRACKET| CHAMBER RACK| PRODUCER S NEW(OEM&2ND)
0020-43422 SHIPPING BRACKET| SIDE| INDEXER| PRODUCER NEW(OEM&2ND)
0020-46639 HANDLE| RND  50 DIA| OFFSET| 4 OEM NEW
0020-47701 BRACKET|CABLE CARRIER|LFT STDF|200MM SMIF-PRODUCER NEW(OEM&2ND)
0020-62382 PLATE| MTG MOTION CONTROL BOX| PRODUCER S NEW(OEM&2ND)
0020-62383 BRACKET| MOTION CONTROL BOX| PRODUCER S NEW(OEM&2ND)
0020-70525 SCREW LIFT HOOP HAYNES 242 PRODUCER SE NEW(OEM&2ND)
0020-70583 DOOR| LOADLOCK ALUMINUM OEM NEW
0020-70772 Buss Bar 400A| Right Load Main Contactor OEM NEW
0020-75364 CAP BAFFLE SCREEN| SST OEM NEW
0020-75735 WATER MANIFOLD 3 METAL BLADE HDP-CVD OEM NEW
0020-76383 Intlk| trip bracket| Ext PCII OEM NEW
0020-76390 ENCLOSURE| AC| CENTURA CHAMBER FAC OEM NEW
0020-76580 G12 DUMMY TARGET FOR 6 OEM NEW
0020-76599 PANEL-RIGHT MAIN AC FRAME| SEMI-S2 OEM NEW
0020-82679 GUIDE NUT| LID INTERLOCK| 300MM PRODUCER NEW(OEM&2ND)
0020-86469 GASKET TEFLON REFLECTOR PLATE 200 MM XE RTP NEW(OEM&2ND)
0020-98635 WINDOW| SHIPPING| LAMP HOUSING| ATM CHAMBER OEM NEW
0020-AS001 ASP GDP CERAMIC USED
0021-01569 BLOCKER PLATE|HE/N2 GIGA FILL SACVD OEM NEW
0021-01572 BPSG FACEPLATE GIGA FILL SACVD OEM NEW
0021-01631 FLANGE| RF| PRODUCER NEW(OEM&2ND)
0021-01640 RF STRAP| RF INPUT| PRODUCER 200MM NEW(OEM&2ND)
0021-01813 FACEPLATE| TEOS| PRODUCER| 200MM USED
0021-01918 BRACKET| LOCKOUT VALVE| GP 300MM PRODUCER USED
0021-02155 RING| LOWER| ISOLATOR OEM NEW
0021-02156 RING| MIDDLE| ISOLATOR OEM NEW
0021-02292 BLANKOFF| BOTTTOM EXHAUST COVER| PRODUCE USED
0021-02417 ALUM WFR PRECISION OTF CALIBR 200MM| RTP NEW(OEM&2ND)
0021-02420 BRACKET ALIGNMENT RTP NEW(OEM&2ND)
0021-02674 PANEL|LLC|LOWER|SMIF F E |200MM PRODUCER USED
0021-02690 GUSSET|OTF SUPPORT|200MM SMIF-PRODUCER NEW(OEM&2ND)
0021-02691 BRACKET|ROBOT SUPPORT|LEFT|200MM SMIF-PRODUCER NEW(OEM&2ND)
0021-02963 PLATE MOUNTING SENSOR PRODUCER USED
0021-02999 CONNECTOR| BOTTOM| HEATER 200MM PRODUCER NEW(OEM&2ND)
0021-03001 CONNECTOR POWER SOCKET 3MM DIA PRODUCER NEW(OEM&2ND)
0021-03010 BRACKET|CABLE CARRIER|LFT STDF|200MM SMIF-PRODUCER NEW(OEM&2ND)
0021-03121 BRACKET|CABLE CARRIER|RGT STDF|200MM SMIF-PRODUCER NEW(OEM&2ND)
0021-03412 BRACKET| SWITCH| SMIF-FE|200MM SMIF-PROD NEW(OEM&2ND)
0021-03601 PIN LIFT HANDLE BALL REMOVAL TOOL RTP NEW(OEM&2ND)
0021-03637 FACEPLATE| SILANE PRODUCER 200MM USED
0021-03642 SUPPORT ASTRON 200MM SACVD PRODUCER USED
0021-03654 BLOCK GAS SPRING CH 200MM PRODUCER NEW(OEM&2ND)
0021-03882 BRACKET FORELINE SUPPORT| PRODUCER USED
0021-04297 MANIFOLD CLEAN GAS 200MM PRODUCER NEW(OEM&2ND)
0021-04304 BUSHING| DELRIN GAS BOX 200MM PRODUCER NEW(OEM&2ND)
0021-04836 BRACKET| MOUNTING| LCF CARDCAGE OEM NEW
0021-06099 COVER MIXING INSERT LID PRODUCER 200MM NEW(OEM&2ND)
0021-06500 PLATE| MANIFOLD| LID| CHAMBER PRODUCER 200MM SACVD NEW(OEM&2ND)
0021-06952 PERF PLATE| 200MM SINERGY OEM NEW
0021-06955 COVER| BIOCON| CONNECTION BOX| 200MM-SMIF| PRODUCE NEW(OEM&2ND)
0021-06956 PLATE| BLOWER MOUNTING| 200MM SMIF BIOCON|PRODUCER NEW(OEM&2ND)
0021-06977 HINGE| PLATE 1/2 RABBIT| 200MM PRODUCER NEW(OEM&2ND)
0021-07314 BRACKET| LOWER| WATER MANIFOLD| PRODUCER USED
0021-08290 BAR SIDE PALLET MTG SPCR GAS PNL PROD S USED
0021-08352 THEMAL CHOKE2 OEM NEW
0021-08809 SKIN| VME MOUNTING| PRODUCER S USED
0021-08977 BRACKET RIGHT POWER SUPPLY CNTRL CENTURA NEW(OEM&2ND)
0021-09097 PLATE| LINER ALIGNMENT| VESPEL OEM NEW
0021-09718 LINER|SLIT VALVE OEM NEW
0021-11113 TERMINAL GUARD| RTP LAMP PEDESTAL| CMF USED
0021-11938 RING HEATER ASP-300M OEM NEW
0021-13222 PLATE| BRACKET MTG| DISK DRIVE OEM NEW
0021-13303 BAR| SIDE PALLET MTG SPCR| GAS PANEL| PROD S| SPLI NEW(OEM&2ND)
0021-13430 LINER CATHODE| SCREEN| NON-COOLED| 300MM OEM NEW
0021-14208 PUMPING PLATE 200MM POLYGEN USED
0021-14255 COVER| LEADSCREW| LIFT OEM NEW
0021-14501 Blankoff|exhaust duct|bottom|Prodroducer split frame NEW(OEM&2ND)
0021-15555 DEPOSITION RING|8 OEM NEW
0021-15894 PLATE| COVER| GPLIS| PROD S| SPLIT FRAME NEW(OEM&2ND)
0021-15954 BRKT  1/2 & 1/4 GAS LINE 300 MM PRODUCER NEW(OEM&2ND)
0021-15991 BLANKOFF| WATLOW| PROD S| SPLIT FRAME NEW(OEM&2ND)
0021-16887 COVER| VIEWPORT| TRANSFER CHAMBER LID| PRODUCER SE NEW(OEM&2ND)
0021-17725 SHUTTER DISK| SNNF ESC| 200MM SIP TA(N)| KACHINA 1100 RA TWAS OEM NEW
0021-18166 BRACKET W/OUT VLV SLD ENC SHORT PRODUCER SPLIT NEW(OEM&2ND)
0021-18436 COVER RING|200MM BESC TITANIUM OEM NEW
0021-18668 BRACKET| TOP COVER SUPPORT| GAS PANEL| PROD S| SPL USED
0021-19597 E-MAX Slit Door OEM NEW
0021-19681 CMP COVER PLATE| LIGHTED PROCESS AREA OPTION OEM NEW
0021-20152 CLAMP RING| 8 OEM NEW
0021-20381 CUP INNER COIL SUPPORT KNURLED VECTRA OEM NEW
0021-21443 SHIM| CLAMPING| GAMMA CU| TITANIUM OEM NEW
0021-21444 SHIELD| UPPER| GAMMA CU| MACHINED OEM NEW
0021-21874 SHIELD| RF HEATER TOP| 1 574 OEM NEW
0021-23329 Shield|Chamber|Sip II 200mm OEM NEW
0021-23517 C-CLAMPS|SACVD OEM NEW
0021-25070 SPACER CHAMBER RACK PRODUCER S NEW(OEM&2ND)
0021-28010 SST SEAL CLAMP (UNIVERSAL)| HEAD ASSY| PAD CONDITIONER 200MM OEM NEW
0021-32330 INSULATOR| SLEEVE| RF FEEDTHROUGH| PRODUCER 200MM NEW(OEM&2ND)
0021-35163 PLATE| REFLECTOR| CHMBR BOTTOM NEW(OEM&2ND)
0021-35571 PANEL| FLOOR| BLANK| FRONT| POS C/D OEM NEW
0021-35922 CHAMBER BODY| TXZ MCVD USED
0021-36726 INSULATOR|BULKHEAD|CATHODE WATER|DPS OEM NEW
0021-36727 COVER|BULKHEAD|CATHODE WATER|DPS OEM NEW
0021-36743 CHAMBER|LOWER|DPS POLY OEM NEW
0021-37863 PIN SLOTTED RF CONTACT PRODUCER NEW(OEM&2ND)
0021-38008 HOUSING TC AMP 300MM OEM NEW
0021-39642 BRACKET| PRODUCER USED
0021-39833 BRACKET| SW| SMIF FEND| 200MM PRODUCER NEW(OEM&2ND)
0021-41126 GAS DISTRIBUTION PLATE| 37 HOLES OEM NEW
0021-42166 BRACKET| CH C TMS&O2 UPPER LINE|PRODUCER S NEW(OEM&2ND)
0021-45466 PRODUCER PILOT GUIDE RIGHT NEW(OEM&2ND)
0021-45468 PRODUCER PILOT GUIDE 1(LEFT/RIGHT) 200MM USED
0021-70210 DEPOSITION RING|8 OEM NEW
0021-70375 COVER 6 OEM NEW
0021-77092 MOUNT-DRIVE MOTOR USED
0021-77920 MOUNTING PLATE OEM NEW
0021-78170 GASKET OEM NEW
0030-00267 BEZEL| TTW MONITOR| GUI|PRODUCER USED
0030-20020 COVER SOURCE 13 OEM NEW
0040-00665 SHELF| INDEXER| SMIF FRONT END| PRODUCER NEW(OEM&2ND)
0040-00666 END EFFECTOR| SMIF 200MM PRODUCER NEW(OEM&2ND)
0040-00673 PLATE|BOTTOM CENTERING|200MM SMIF-PRODUC NEW(OEM&2ND)
0040-00674 PLATE| INSERT| INDEXER| 200MM SMIF-PRODU NEW(OEM&2ND)
0040-00816 LAMP BASE DPS E-DTCU OEM NEW
0040-00851 CONNECTOR| TOP| HEATER 200MM PRODUCER NEW(OEM&2ND)
0040-00914 insulator OEM NEW
0040-01256 MANIFOLD INPUT 200MM SACVD PRODUCER NEW(OEM&2ND)
0040-01558 BRACKET| CLAMP SUPPORT| FORELINE| PRODUCER USED
0040-01566 ENCLOSURE| LCF CONTROL CARD| STAND-ALONE OEM NEW
0040-01618 MANIFOLD| INPUT| 200MM PRODUCER USED
0040-01641 BRACKET| MTG CH  A| DPA| PRODUCER| 200MM NEW(OEM&2ND)
0040-01752 CLAMP| FORELINE| BOTTOM| NON-DPA|200MM PRODUCER NEW(OEM&2ND)
0040-01859 FORELINE|REAR FACILITIES|CH B & C| PRODUCER 200MM USED
0040-02071 FORELINE MANIFOLD| LOADLOCK| PRODUCER USED
0040-02261 BLADE SHUTTER 8 OEM NEW
0040-02520 GAS BOX| SILANE| 200MM PRODUCER NEW(OEM&2ND)
0040-03008 BASE RING DISPLAY COVER NEW(OEM&2ND)
0040-03135 BOX| BIOCON CONNECTION| 200MM SMIF| PRODUCER NEW(OEM&2ND)
0040-03149 HANDLE| L SHAPE| LID ASSY OEM NEW
0040-03508 FEEDTHROUGH UPPER SWLL| 300MM OEM NEW
0040-03986 SHIM CLAMP RIGHT PRODUCER USED
0040-03987 SHIM CLAMP LEFT PRODUCER USED
0040-04109 COVER| FLOOR| CH A| SIN| CMF OEM NEW
0040-04136 Cooling Base OEM NEW
0040-04221 LID| LEXAN| SLIT LINER DOOR OEM NEW
0040-04409 COVER SHIPPING SST HEATER 300MM TXZ OEM NEW
0040-04807 2 0 X 2 0 LEG|UPPER FRAME MODULE|EPI 300MM USED
0040-04893 BRACKET| EV MANIFOLD| PRODUCER USED
0040-04969 MAIN PALLET GAS PANEL PRODUCER S USED
0040-04999 USED
0040-05013 BRKT DOOR SENSOR GAS PNL/SLD ENCL PROD S USED
0040-05155 PANEL LOWER LEFT 200MM MAN/TO FE PRODUCER USED
0040-05311 BRACKET CDA HOUSING PROD S USED
0040-05527 ENCLOSURE| KEYBOARD| PRODUCER NEW(OEM&2ND)
0040-05582 BRACKET| LEFT| FINAL LINES| PRODUCER S NEW(OEM&2ND)
0040-05881 COVER RF WATER BOX SUB-ZERO ESC 1 574 OEM NEW
0040-05911 FORELINE| REAR FACILITY| CH A| 200MM PRODUCER S NEW(OEM&2ND)
0040-07423 TOP PANEL| REAR| UGP NEW(OEM&2ND)(OEM&2ND)
0040-07536 ENCLOSURE| CHAMBER RACK| PRODUCER S NEW(OEM&2ND)
0040-07771 ESC ELECTREC OEM NEW
0040-13250 COVER|FRONT DOOR|N B  LLC|COND  PAINT OEM NEW
0040-18158 RING| SYM GAS DIST| ULTIMA HDP-CVD USED
0040-18219 LASED| PEDESTAL| 200MM SNNF SML FLT W/WTM| HDPCVD OEM NEW
0040-20090 KICK PLATE| PAINTED| NO SMIF| NARROW BOD OEM NEW
0040-20292 SHORT FEED| LAMP OEM NEW
0040-20418 Shafted Slit Valve Bellows Assembly OEM NEW
0040-20727 TUBE FLANGED HTR RF LOWER ESC/HT HTR/P OEM NEW
0040-22604 KICK PLATE| SMIF-ASYST|ENDURA INTERFACE OEM NEW
0040-22811 FINGER EXTENDED LIFT HOOP 6 OEM NEW
0040-31942 CHAMBER BODY| ETCH| OXIDE| SIDE GAS FEED OEM NEW
0040-32773 PALLET| SIDE| PROD S| SPLIT FRAME USED
0040-33171 MNFLD| WATER RETURN| FCW| DPS| ASPX| 300 OEM NEW
0040-33200 BASE| AC DISTRIBUTION BOX| PRODUCER S OEM NEW
0040-34511 DRIP TRAY| GPLIS| PROD S| SPLIT USED
0040-34563 BRACKET| UPPER TEOS LINE| CH A/B| PROD S SPLIT FRAME NEW(OEM&2ND)
0040-34564 BRACKET| UPPER TEOS LINE| CH C| PROD S S NEW(OEM&2ND)
0040-34573 COVER| EXHAUST DUCT| PROD S| SPLIT FRAME USED
0040-34816 COVER| RF FILTER PRODUCER NEW(OEM&2ND)
0040-36095 BELLOWS|HEATER LIFT OEM NEW
0040-37698 COVER| GFI CB FOR NESLAB III OEM NEW
0040-38032 BRACKET MAIN SUPPORT PRODUCER USED
0040-38041 MANIFOLD| WATER| SAE 9/16-18 PRODUCER 20 NEW(OEM&2ND)
0040-38576 PLATE| BLANKOFF INTERFACE PRODUCER USED
0040-38625 BELLOWS ASSY COOLER LIFT LL PRODUCER NEW(OEM&2ND)
0040-38661 WELDMENT| HEATER COOLING HUB| PRODUCER USED
0040-38666 RF COVER| RIGHT| PRODUCER 200MM NEW(OEM&2ND)
0040-38783 BRACKET GPLIS/CH GAS LINES 300MM PRODUCE NEW(OEM&2ND)
0040-38943 PLATE ADAPTER MOD MFA MOUNTING PRODUCER NEW(OEM&2ND)
0040-39064 SUPPORT CENTER RPS2 LID 200MM PRODUCER USED
0040-39260 RING| INNER| CUXZ| SST SMALL 201/197MM| OEM NEW
0040-39266 WINDOW|ALUM FRAME|QRTZ LID|WXZ|AXZ OEM NEW
0040-39310 BRACKET| SMART-COMM| 200MM SMIF-PRODUCER NEW(OEM&2ND)
0040-39372 BELLOW WELDMENT| THROTTLE VALVE| DPS OEM NEW
0040-39484 STOP| DRAWER| SMART-COMM| 200MM SMIF-PRODUCER NEW(OEM&2ND)
0040-39636 PANEL| DRESS LOADLOCK| 200MM PRODUCER NEW(OEM&2ND)
0040-39755 FORELINE HORIZONTAL PRODUCER 200MM USED
0040-39756 FORELINE| VERTICAL PRODUCER 200MM USED
0040-39807 BRACKET| CHAMBER EV MANIFOLD PRODUCER NEW(OEM&2ND)
0040-39946 Bellows Finger Lift Hastalloy Producer NEW(OEM&2ND)
0040-39977 BODY 200MM CHAMBER PRODUCER USED
0040-40050 PLATE| FRONT OEM NEW
0040-40441 PANEL| SIDE| AC DIST  BOX| PRODUCER-S NEW(OEM&2ND)
0040-40489 BRACKET| REAR LAMP TOWER| PROD S SPLIT F USED
0040-41595 MOUNTING TRAY| TRANSFORMER| PRODUCER S NEW(OEM&2ND)
0040-41824 ADAPTER| FORELINE| 200MM PRODUCER USED
0040-41968 300mm slit Door liner OEM NEW
0040-42379 CONNECTING PLATE| 200 & 300MM PRODUCER NEW(OEM&2ND)
0040-42380 ADAPTER PLATE| 200 & 300MM PRODUCER NEW(OEM&2ND)
0040-42496 SHIM CLAMP RIGHT PRODUCER USED
0040-42497 SHIM CLAMP LEFT PRODUCER USED
0040-42934 BRACKET| RIGHT| FINAL LINES| PRODUCER S NEW(OEM&2ND)
0040-46370 CHUCK 300MM ESC USED
0040-47677 FACEPLATE| SIN| XG| DXZ DCVD OEM NEW
0040-47723 MANIFOLD| OUTPUT| 1 HOLE| RIGHT| 200MM P NEW(OEM&2ND)
0040-47724 MANIFOLD| OUTPUT| 1 HOLE| LEFT| 200MM PR NEW(OEM&2ND)
0040-50879 GDP| 111R HOLES| NARROW GAP| HART 300MM OEM NEW
0040-51493 WATER MANIFOLD| CHAMBER LINER| 300MM| EM OEM NEW
0040-52370 PEDESTAL RF 300MM| 11 52 OD| AXIOM OEM NEW
0040-53927 GAS BOX CHAMBER LID 200MM PRODUCER USED
0040-53932 BODY 200MM CHAMBER PRODUCER USED
0040-54104 SUPPORT CENTER RPS2 LID 200MM PRODUCER NEW(OEM&2ND)
0040-60523 RF COVER| LEFT| PRDUCER 200MM OEM NEW
0040-60846 LID RIGHT ALUM LOADLOCK PRODUCER USED
0040-60925 PLUG| UNIVERSAL SHIPPING| ALL PRODUCER OEM NEW
0040-61153 CATCH|CHAMBER RACK| PROD S NEW(OEM&2ND)
0040-61326 FORELINE| PUMP| PRODUCER USED
0040-61470 ENCLOSURE| RF FILTER PRODUCER NEW(OEM&2ND)
0040-61536 DRAWER| ROBOT CONTROLLER| 200MM SMIF-PRO OEM NEW
0040-62256 FRAME| INDEXER| SMIF FRONT END PRODUCER NEW(OEM&2ND)
0040-62315 UPPER DOOR SYSTEM AC CONTROLLER ANTI-STA OEM NEW
0040-62374 SMIF SHIPPING BRACKET| FRONT END| 200MM PRODUCER USED
0040-64000 MAINFRAME MISK OEM NEW
0040-64668 BRACKET FRAME POSITIONING SMIF-FE| PRODU NEW(OEM&2ND)
0040-64739 DOOR REAR COMMON OEM NEW
0040-75195 CHASSIS| 2-PHASE DRIVER| MOTOR LIFT OEM NEW
0040-75323 DOOR| LOWER SYSTEM AC CONTROLLER ANTISTA NEW(OEM&2ND)
0040-75824 PANEL|PRIMARY GEN RACK BULKHEAD OEM NEW
0040-75827 MCA COVER| BOTTOM| 300MM ESC OEM NEW
0040-75906 RIGHT ARM VHP+ OEM NEW
0040-75907 LEFT ARM VHP+ OEM NEW
0040-76090 FRONT PANEL| LEFT REAR| CENTURA SKINS NEW(OEM&2ND)
0040-76179 CROSS BAR| TRANSPORT CART OEM NEW
0040-76211 DOOR| INNER FLAT BI-FOLD CENTURA NEW(OEM&2ND)
0040-78071 PANEL| CENTER| REAR SKIN OEM NEW
0040-78072 PANEL| CENTER| SHORT| POLISHER SKIN OEM NEW
0040-79919 LINER| DGDP| CHAMBER| WAFFLE-LESS| 200MM E-MAX OEM NEW
0040-79920 LINER| CATHODE| MAG RING| WAFFLE-LESS| DIRECT-COOLED| 200MM E-MAX OEM NEW
0040-81665 LIFT PIN NAIL HEAD PRODUCER ETCH USED
0040-84677 UPPER REAR PANEL| SMIF FEND| SPLIT NEW(OEM&2ND)
0040-85432 ENCLOSURE ASSY| TORROID MOUNTING GFCI TOP FEED GEN OEM NEW
0040-86511 DUCT| FACILITIES TRANSITION| ETCH CR PLE OEM NEW
0040-86545 BRACKET WATER FLOW OEM NEW
0040-87142 WELDMENT| BARATRON MANIFOLD PRODUCER 200/300MM NEW(OEM&2ND)
0040-87410 ADAPTER| WIDE BODY INDEXER CMF CENTURA OEM NEW
0040-87737 COVER| HIGH RES  HTR  LVLR | SACVD PRODU USED
0040-88973 SILL| LONG SIDE| STANDARD PAD CONDITIONER OEM NEW
0040-89514 Weldment Heater Cooling Hub Producer PECVD SAC NEW(OEM&2ND)
0040-89837 GIMBAL BASE (UNIVERSAL) PAD CONDITIONER 200MM OEM NEW
0041-01102 BRACKET SENSOR LCF RECEIVER SLIT VALVE M NEW(OEM&2ND)
0041-10173 PLATE| FISCO TEMP SENSOR 300 EMAX OEM NEW
0041-10412 PANEL| GFI CIRCUIT BREAKER MOUNTING| EG33AC OEM NEW
0041-12565 DRAWER| MULTIPLEXERS| 200MM SMIF PRODUCE NEW(OEM&2ND)
0041-14145 WELDMENT| HEATER COOLING HUB| PRODUCER| NEW(OEM&2ND)
0045-00126 PUMPING CHANNEL| ANODIZED| PRODUCER 200MM NEW(OEM&2ND)
0045-00198 PUMPING C-CHANNEL| AL OXIDE| PRODUCER 20 NEW(OEM&2ND)
0050-00566 WLDMNT|CH A N2 DIVERT| DXZ SILANE|5200 OEM NEW
0050-04306 WELDMENT| N2P| FUJIKIN| 3/7 PALLET 31 OEM NEW
0050-06117 WLDMT| FORELINE| POSITION C| ULTIMA OEM NEW
0050-06397 WLDMNTRT 5RA P/P RIGHT 0|2 PRODUCER GP NEW(OEM&2ND)
0050-08566 WLDMNT 5RA TEOS BYPASS CH  A/B/C| PRODUC NEW(OEM&2ND)
0050-08571 WLDMNT TEOS BYPASS LOWER CH  A/B/C PRODUCER NEW(OEM&2ND)
0050-25994 MANIFOLD WATER SOURCE 13 OEM NEW
0050-26600 HOSE ASSY| DXZ| FLUORINE GENERATOR OEM NEW
0050-27561 HOSE ASSY| FACILITY WATER SUPPLY LOAD LOCK| PROD NEW(OEM&2ND)
0050-27563 LL PUMP WATER RETUF OEM NEW
0050-27564 HOSE ASSY| FACILITY WATER SUPPLY CH  A1| PROD NEW(OEM&2ND)
0050-27567 HOSE ASSY| FACILITY WATER RETURN CH  A1 PROD NEW(OEM&2ND)
0050-27570 HOSE ASSY| FACILITY WATER RETURN RMTCLN-A1| PROD OEM NEW
0050-27579 HOSE ASSY| FACILITY WATER SUPPLY CH  B2| PROD OEM NEW
0050-27583 HOSE ASSY| FACILITY WATER SUPPLY CH  C1| PROD NEW(OEM&2ND)
0050-27584 HOSE ASSY| FACILITY WATER RETURN CH  C1| PROD USED
0050-27585 HOSE ASSY| FACILITY WATER SUPPLY RMTCLN-C1| PROD NEW(OEM&2ND)
0050-27586 HOSE ASSY| FACILITY WATER RETURN RMTCLN-C1 PROD NEW(OEM&2ND)
0050-27621 WELDMENT LOWER 5RA FL GEN GAS LINE 200MM PROD NEW(OEM&2ND)
0050-40511 WELDMENT 5RA FINAL VALVE NF3 2 4 inch PROD NEW(OEM&2ND)
0050-40774 WLDMNT 5RA CH B| NF3| MIDDLE| 200MM PROD NEW(OEM&2ND)
0050-40779 WELDMENT| 5RA| CH C| SILANE| MIDDLE| 200 OEM NEW
0050-41315 HOSE ASSY|FACILITY WATER SUPPLY CH  A2|PROD S NEW(OEM&2ND)
0050-41323 HOSE ASSY|FACILITY WATER SUPPLY CH  B1|PROD S OEM NEW
0050-41325 HOSE ASSY|FACILITY WATER SUPPLY RMTCLN-B1|PROD S OEM NEW
0050-41326 HOSE ASSY|FACILITY WATER RETURN RMTCLN-B1|PROD S OEM NEW
0050-41328 HOSE ASSY|FACILITY WATER SUPPLY CH  C2|PROD S NEW(OEM&2ND)
0050-41329 HOSE ASSY|FACILITY WATER RETURN CH  C2|PROD S NEW(OEM&2ND)
0050-44694 WLDMNT| COMMON| X POS TO MAIN PRODUCER S USED
0050-45063 WLDMNT SEG 6 COMMON PROD SPLIT USED
0050-45073 WLDMNT N2P-NF3 SEG 8 PROD SPLIT USED
0050-45078 WLDMNT X15 AR SEG 8 PROD SPLIT USED
0050-45103 WLDMNT X5 N2 SEG 1 PROD SPLIT USED
0050-45253 WLDMNT X16 NF3 SEG 8 PROD SPLIT USED
0050-45609 WELDMENT| 5RA| SILANE| CH B| UPPER| PROD NEW(OEM&2ND)
0050-50718 WELD  NF3 UPPER| CH B| PRODUCER SPLIT NEW(OEM&2ND)
0050-51789 HOSE ASSY| LONG| RF-GEN SUPPLY| PRODUCER USED
0050-51790 HOSE ASSY| LONG| RF-GEN RETURN| PRODUCER USED
0050-52305 ADAPTER FORELINE| 200MM PRODUCER USED
0050-60329 WELDMENT 5RA CH A| NF3| MIDDLE| 200MM PROD SPLIT NEW(OEM&2ND)
0050-63086 WELDMENT| 5RA| CH A SILANE| MIDDLE| 200MM PRODUCER NEW(OEM&2ND)
0050-76030 Heater Bypass Gasline OEM NEW
0050-83717 GAS LINE| POLKA YOKE IVL| 200MM PRODUCER SPLIT NEW(OEM&2ND)
0050-84434 WELDMENT| 5RA CH A/B| TEOS| UPER 1| PROD S SPLIT FRAME USED
0050-85497 200MM HOSE ASSY| LID RETURN HX NEW(OEM&2ND)
0050-86957 HOSE ASSY CHAMBER SUPPLY| PRODUCER NEW(OEM&2ND)
0050-86991 HOSE ASSY CHAMBER C RETURN HEAT -X| PRODUCER NEW(OEM&2ND)
0050-87162 HOSE ASSY| HTR LIFT 2 RET| PRODUCER SE MARKII NEW(OEM&2ND)
0050-87164 HOSE ASSY| HTR LIFT 1 RET| PRODUCER SE MARKII NEW(OEM&2ND)
0050-87167 HOSE ASSY| HTR LIFT 2 SEND| PRODUCER SE MARKII NEW(OEM&2ND)
0050-87169 HOSE ASSY| HTR LIFT 1 SEND| PRODUCER SE MARKII OEM NEW
0050-88477 HOSE ASSY| CHAMBER RETURN| PRODUCER NEW(OEM&2ND)
0050-98991 HOSE ASSY| FACILITY WATER RETURN| LL-PUMP| PRODUCER OEM NEW
0060-01146 LABEL SET| PRODUCER FINAL INTEGRATION NEW(OEM&2ND)
0090-00084 SENSOR ASSY| DUAL SPILL SENSOR| PRODUCER NEW(OEM&2ND)
0090-00323 GAS PANEL ASSY| SERIPLEX CHAMBER SET B| PRODUCER USED
0090-00569 ASSY OUTSOURCE 5 PHASE DRIVER 200MM PRODUCER NEW(OEM&2ND)
0090-00763 ASSY| CHMBR LIFT RF FILTER| 200MM PRODUCER USED
0090-00765 ASSY| RF FILTER| 200MM PRODUCER USED
0090-01726 BOX-DISTRIBUTION;0090-01726 USED
0090-02346 RF FILTER| 200MM PRODUCER USED
0090-03200 ASSY| BASIC WATLOW| NEW(OEM&2ND) PCB| PRODUCER SE USED
0090-03547 Elec Assembly Switch Lake Monitor Water OEM NEW
0090-03618 ASSY| LT 3-COLOR R-Y-G| LED TYPE OEM NEW
0090-03733 ASSEMBLY| HIGH PRECISION SENSORS AND BRACKET| 200MM NEW(OEM&2ND)
0090-05154 ASSEMBLY| MAXFILTER-II OEM NEW
0090-05235 ASSY| LCF MOTION CONTROLLER| PRODUCER NEW(OEM&2ND)
0090-05327 ASSY  PCB DUAL GAS LEAK DETECTOR WITHOUT DISPLAY OEM NEW
0090-09079 LAMP ASSY|MECURY 16 IN  LEADS OEM NEW
0090-09139 CABLE ASSY| PHOTO HELIX 2| UHP OEM NEW
0090-35017 ASSY|STATUS LIGHTS/SONALERT OEM NEW
0090-35123 MOTOR/BRAKE/ENCODER ASSY STORAGE ELEV  OEM NEW
0090-35695 ESC ASSY  300MM| NOTCH| DPS METAL OEM NEW
0090-36335 ASSEMBLY|ALARM-LIGHT TOWER|BRACKET|RTP USED
0090-39195 ASSY| ELECT| 5 PHASE MOTOR OEM NEW
0100-00241 PCB ASSEMBLY TC AMP INTERLOCK 300XZ OEM NEW
0100-00244 PCB ASSEMBLY PRODUCER WAFER SLIDE DETECT USED
0100-00396 AI/O B/D OEM NEW
0100-00493 Chamber interface B/D OEM NEW
0100-00698 ASSEMBLY| PCB| CHAMBER LIFT RF FILTER OEM NEW
0100-00893 PCBA TC GAUGE OEM NEW
0100-00975 ASSY PCB STEPPER CONTROLLER2 OEM NEW
0100-01293 SAFETY INTERLOCK| GAS PANEL| PRODUCER SE USED
0100-01321 DI/O B/D OEM NEW
0100-01383 PCB ASSEMBLY|MONITOR/KB/SERIAL/LIGHT P NEW(OEM&2ND)
0100-01894 ANALOG OUTPUT OEM NEW
0100-01984 PCB ASSEMBLY| WAFER ORIENTER CONTROLLER BOARD OEM NEW
0100-01995 PCB Assembly| Analog I/O board w/var  WD OEM NEW
0100-02311 PCB ASSEMBLY| SCSI FORCED PERFECT TERMINATOR| IDC50 OEM NEW
0100-09106 PCB Assy Expanded Gas Panel OEM NEW
0100-09162 WPCBA MANOMETER SELECT BD OEM NEW
0100-09222 PCB ASSEMBLY CHAMBER INTERFACE BOARD ASP OEM NEW
0100-13024 ASSY| PCB INTERFACE PROCESSOR DIST  OEM NEW
0100-20000 PCB ASSY| 64 CHANNEL MUX OEM NEW
0100-20003 DIGITAL INPUT OUTPUT PCB OEM NEW
0100-20051 PCB ASSY| TURBO INTERCONNECT OEM NEW
0100-20083 PCB ASSY| CRYO TEMP/AI MUX BOARD OEM NEW
0100-20143 PCB ASSY L LOCK WAFER SENSOR OEM NEW
0100-20146 PCB ASSY| SERIAL/VIDEO INTERCONNECT (3RD MONITOR) OEM NEW
0100-20177 PCB ASSY WATER/SMOKE DET CENT PVD OEM NEW
0100-20230 PCB| RELAY CONTROL DAUGHTER OEM NEW
0100-35049 ASSY| PCB SYS RESET/INTLK SEL OEM NEW
0100-35065 PCB ASSY| SERIAL ISOLATOR OEM NEW
0100-35103 PCB ASSY| MAG GEN INTERFACE ASP OEM NEW
0100-35175 PCB| ASSY RTP CHAMBER INTERFACE USED
0100-35250 PCB ASSY|CHAMBER INTERFACE|DPS CENTURA OEM NEW
0100-35564 PCB Assembly|lamp failure|detector|XE|RTP NEW(OEM&2ND)
0100-76124 DIGITAL INPUT OUTPUT PCB OEM NEW
0140-00528 HARNESS ASSY|COMMON CHAMBER PRODUCER USED
0140-00743 HARNESS ASSY| COMMON CHAMBER| RTP| CMF NEW(OEM&2ND)
0140-00782 CABLE ASSY| RPS2| NF3| OVER PRESSURE OEM NEW
0140-00921 H/A GAS PANEL DISPLAY POWER| RTP CMF USED
0140-01177 HARNESS ASSY| CH  A FACIL FLOW SW ADAPTER| PROD USED
0140-01178 HARNESS ASSY| CH  B FACIL FLOW SW ADAPTER| PROD USED
0140-01179 HARNESS ASSY| CH  C FACIL FLOW SW ADAPTER| PROD NEW(OEM&2ND)
0140-01518 HARNESS ASSY| RPS2/ENDPOINT INTERFACE| PRODUCER S NEW(OEM&2ND)
0140-01521 HARNESS ASSY| 12 MFC| CHAMBER C| PROD S| SPLIT NEW(OEM&2ND)
0140-01525 HARNESS ASSY| COMMON H2O FLOW/AIR SWITCH| PROD S USED
0140-01532 HARN ASSY| G-PLIS| SPILL| CH-A| PRODUCER NEW(OEM&2ND)
0140-01533 HARN ASSY| G-PLIS| SPILL| CH-B| PRODUCER USED
0140-01553 HARNESS ASSY| COMMON CHAMBER PRODUCER S USED
0140-01554 HARN ASSY| LEFT SIDE CH| PRODUCER S USED
0140-01555 HARN ASSY| RIGHT SIDE CH| PRODUCER S USED
0140-01571 HARNESS ASSY| UNDERTEMP SWITCH| TEOS LINE| PRODUCER S USED
0140-01808 HARNESS| POWER MAGNET CORD| MXP/+| 31 OEM NEW
0140-02265 HARNESS ASSY| HEATER DRIVER CONTROL A|PROD S NEW(OEM&2ND)
0140-02726 HARNESS ASSY| LF RF CONTROL| PRODUCER S USED
0140-02924 HARNESS ASSY|BUNDLED|200 MM CHAMBER|PROD S OEM NEW
0140-03880 HARNESS ASSY| CH A| HTR DRIVER W/ISO XFRMR| PRODUCER S USED
0140-03881 HARNESS ASSY| CH B| HTR DRIVER W/ISO XFRMR| PRODUC USED
0140-03882 HARNESS ASSY| CH C| HTR DRIVER W/ISO XFRMR| PRODUCER S USED
0140-04054 HARNESS ASSY| 12 MFC| CHAMBER A| PROD S| NEW(OEM&2ND)
0140-04055 HARNESS ASSY| 12 MFC| CHAMBER B| PROD S| NEW(OEM&2ND)
0140-04067 HARNESS ASSY| GAS PANEL EXH/DOOR SW INTLK| PROD S| SPLIT NEW(OEM&2ND)
0140-04072 HARNESS ASSY| DOOR SWITCH| PALLET C| PROD S| SPLIT NEW(OEM&2ND)
0140-04078 HARNESS ASSY| INTLK CNT MODULE| CH B| PROD S| SPLI USED
0140-04099 ROBOT X| CNTRL-MNFRM BKHD| 50 FT OEM NEW
0140-04600 HARNESS| PUMP INTF|POWER|75FT OEM NEW
0140-05014 PAD COND 1 PWR| CNTRL-MNFRM OEM NEW
0140-05015 PAD COND 2 PWR| CNTRL-MNFRM OEM NEW
0140-05082 HARNESS CONTBULKHD HEADSWEEP-MAINFBKHD HEAD SWEEP| PWR OEM NEW
0140-05086 CABLE POWER| PLATEN 1 CNTRL-50FT OEM NEW
0140-05350 CHMBR B HARNESS ASSY| AC DIST| PRODUCER USED
0140-08564 PNEUMATIC HARNESS| PRODUCER SPLIT FRAME NEW(OEM&2ND)
0140-09463 HARNESS| NDIR TO CHAMBER HARNESS| PROD S USED
0140-09596 HARNESS ASSY| CHAMBER ILLUMINATION NEW(OEM&2ND)
0140-11205 HARNESS| BOTTOM| COMMON H2O FLOW/AIR SW| PROD S SPLIT NEW(OEM&2ND)
0140-12255 Harness assy| VME bus DC pwr| Producer NEW(OEM&2ND)
0140-13377 HARNESS ASSY| LCF INTERFACE| PRODUCER USED
0140-13826 HARN ASSY BOTTOM ROBOT/SLIT VALVE SNSR| SPLIT FRAME PROD S NEW(OEM&2ND)
0140-14453 CABLE ASSY RF FILTER BOX FAN PRODUCER NEW(OEM&2ND)
0140-18155 HARNESS ASSY| REMOTE SERIPLEX POWER FEED OEM NEW
0140-18701 CABLE ASSY| CONTROLLER TO LINKMANAGER| PRODUCER SMIF NEW(OEM&2ND)
0140-20056 HARNESS AC 5-PHASE OEM NEW
0140-20944 HARNESS ASSY WAFER SLIP W/B LLK ON ENDURA OEM NEW
0140-37796 HRNS ASSY CONTROLLER DELIVERY LINE PRODU NEW(OEM&2ND)
0140-38156 HARNESS PLIS TO CIRCUIT BRAKER G-PLIS/EPLIS OEM NEW
0140-77279 PAD COND1 E|CNTRL-MNFR(14-00865) OEM NEW
0140-77280 PAD COND2 E|CNTR-MNFR(JT Baker) OEM NEW
0140-77281 PAD COND3 E|CNTRL-MNFR OEM NEW
0140-77289 HD ROTATION1|CNTR-MNFR(0200-FT273) OEM NEW
0140-77290 HD ROTATION2|CNTRL-MNFR OEM NEW
0140-77291 HD ROTATION3|CNTRL-MNFR (C7-3H3-SU-901) OEM NEW
0140-77292 HD ROTATION4|CNTRL-MNFR(PI16S011) OEM NEW
0140-77293 ROBOT ENC|CNTRL-MNFR(P1146649) OEM NEW
0140-77295 HARNESS LIGHT TOWER CNTR-(CK021156) OEM NEW
0140-78488 CABLE AC-POWER CLEANER(200MM) OEM NEW
0150-00427 CABLE ASSY| COAX| LF TYPE C CONN  PRODUC USED
0150-00462 CABLE|ASSY| FLOPPY DRIVE POWER PRODUCER USED
0150-00490 CABLE|HEATER LIFT|GIGA-FILL SACVD OEM NEW
0150-00623 CABLE ASSY|RF RACK INTEGRATED SYS|RTP CH USED
0150-00778 CABLE ASSY HEAT EXCHANGER 50 FT PRODUCER USED
0150-00779 CABLE ASSY HEAT EXCHANGER 75 FT PRODUCER USED
0150-01268 CABLE ASSY| OPERATOR INTERFACE EVC M/M| 15 FT USED
0150-02349 CABLE| GND IPX PUMP| PRODUCER USED
0150-02353 C/A NULL MODEM 40FT RTC| RTP USED
0150-02738 CABLE ASSY| CHAMBER HEATER 2| PRODUCER S NEW(OEM&2ND)
0150-03531 CABLE ASSY CH C TEOS MIDDLE 300MM PRODUC NEW(OEM&2ND)
0150-04078 CABLE ASSY PRODUCER HEAT EXCHANGER 15KOH USED
0150-04412 GAS PANEL CH B SERIPLEX MEI PROD USED
0150-04413 CABLE ASSY| GAS PANEL| CH C| SERIPLEX| MEI| PROD S| SPLIT NEW(OEM&2ND)
0150-04414 CABLE ASSY| NF3 PRESSURE INTLK| PROD S| NEW(OEM&2ND)
0150-04729 CABLE| PRODUCER ETHERNET ROUTER 12V POWE USED
0150-04962 CABLE ASSY| DC SOURCE| 75 FT 200MM OEM NEW
0150-04996 CABLE ASSY| SPLIT FRAME PRODUCER S| FRONT END SIGNALS USED
0150-04997 CABLE ASSY| SPLIT FRAME PRODUCER S| LOADLOCK AND XFER/LL PUMP SIGNAL| TOP NEW(OEM&2ND)
0150-04998 CABLE ASSY| SPLIT FRAME PRODUCER S| ROBO NEW(OEM&2ND)
0150-05047 CH B TEOS LINE MIDDLE 1 #1 PRODUCER NEW(OEM&2ND)
0150-05101 CABLE| TC| K-TYPE| PRODUCER NEW(OEM&2ND)
0150-05181 CABLE ASSY| HEATER PLUG| BOTTOM MODULE OEM NEW
0150-05522 CABLE| CONT BLKHD-MAINF BLKHD| ENC OEM NEW
0150-05726 HARNESS ASSY| T/C| LINE HTR JACKET|CHC PRODUCER NEW(OEM&2ND)
0150-06051 C/A SMIF ASYST 72IN INTERLOCK LLA/LLB KP OEM NEW
0150-06116 TEOS MIDDLE CH A PRODUCER 300MM NEW(OEM&2ND)
0150-07880 HARNESS ASSY| POWER| LINE HTR JACKET|CHC PRODUCER NEW(OEM&2ND)
0150-10146 CABLE ASSY|CHAMBER XDUCER|UHP OEM NEW
0150-11035 CABLE ASSY| SIGNAL TOWER INTERFACE OEM NEW
0150-11378 CABLE ASSY | MOTION CONTROLLER RS-232| PRODUCER USED
0150-12587 CABLE ASSY CNFG SDS PWR 50FT CMP CNFIG SDS OEM NEW
0150-13163 C/A ROBOT CONTROL| 75 FT  EMC COMP  OEM NEW
0150-13167 75FT UMBILICAL CABLE OEM NEW
0150-13345 ASSY| POWER CABLE| DUAL ZONE HEATER OEM NEW
0150-14249 CABLE ASSY| POWER| LINE HTR JACKET|CHB PRODUCER NEW(OEM&2ND)
0150-14250 HARNESS ASSY| T/C| LINE HTR JACKET|CHB PRODUCER NEW(OEM&2ND)
0150-14461 CABLE ASSEMBLY| NON-RF CONNECTOR| HEATER 2| 200MM SACVD PRODUCER NEW(OEM&2ND)
0150-18375 SLOW SWITCH INTERLOCK 200MM PRODUCR NEW(OEM&2ND)
0150-20143 WIRE| JUMPER 4PIN CONN| GEN RACK EMO OEM NEW
0150-21113 CABLE ASSY| NESLAB SYS 3 INTCNT-100FT OEM NEW
0150-21118 CABLE ASSY M/F PUMP CONTROL INTCNCT--100FT OEM NEW
0150-21419 CABLE ASSY RF GEN DC PWR INTCON CEM 96 OEM NEW
0150-21420 Cable assy Rem 1 intcon 75ft -Cem 96 OEM NEW
0150-21421 Cable assy REM 2 intcon 75ft-CEM 96 OEM NEW
0150-21664 CABLE ASSY| N(M)| R/A TO N(M)| STR RG-217 75FT OEM NEW
0150-21665 CABLE ASSY| N(M)| R/A TO N(M)| STR RG-217 52FT OEM NEW
0150-21992 CABLE ASSY| CRYO 1|2 WTR FLOW INTLK 100F OEM NEW
0150-35740 C/A| EMC COMP | HEAT EXCHANGER| 75FT CSI OEM NEW
0150-35837 C/ASSY|80IN RS232|RTP USED
0150-36622 CBL| HARD DISK PWR| PROD X USED
0150-38560 CABLE ASSY| 50' RS232 OZONE DELIVERY| PRODUCER USED
0150-39229 CABLE ASSY 75 FT REMOTE EMO PRODUCER NEW(OEM&2ND)
0150-39230 CABLE ASSY 100 FT REMOTE EMO PRODUCER NEW(OEM&2ND)
0150-39233 CABLE ASSY 50 FT REMOTE EMO PRODUCER USED
0150-70001 CABLE ASSY GROUND STRAP OEM NEW
0150-75198 CABLE FLAT| CENTURA FDD/MSM INTERCONNECT OEM NEW
0150-76237 CABLE ASSY DRIVER CONTROL| I/O BLKHD TO OEM NEW
0150-76286 CABLE ASSY HEATER AXZ/WX OEM NEW
0150-76318 CABLE| RF COAXIAL| 55FT| 13 56 MHZ OEM NEW
0150-76822 CABLE ASSY AI MUX 3 REMOTE GAS INTERCONN OEM NEW
0150-77360 CABLE|PLATEN ENCODER|50FT|MIRRA200MM(ICT 490489) OEM NEW
0150-97157 CABLE ASSY| LFC ADAPTER| GPLIS2| PRODUC USED
0150-97517 CABLE ASSY 24VAC PWR TO GAS PNL INTLK PROD S NEW(OEM&2ND)
0150-97520 CBL| CH-SET EV MANIFOLD| PROD S USED
0150-97521 CABLE| COM COMP EV MANIFOLD| PROD S USED
0150-97523 CABLE| MAINFRAME DC POWER| PROD S NEW(OEM&2ND)
0150-97524 CABLE| GAS PANEL EV MANIFOLD| MODULE B| PROD S NEW(OEM&2ND)
0150-97525 CABLE| GAS PANEL EV MANIFOLD| MODULE C| PROD S USED
0150-97527 CABLE| GAS PANL SERIPLEX DC PWR| MODULE C| PROD S NEW(OEM&2ND)
0150-97529 CABLE| FRONT EMO| PRODUCER S USED
0150-97530 CABLE| LEFT SIDE EMO| PRODUCER S NEW(OEM&2ND)
0150-97531 CBL ASSY GPLIS DELIVERY LINE HEATER| PROD S USED
0150-97533 CBL ASSY GPLIS DELIVERY LINE HEATER|PROD NEW(OEM&2ND)
0150-97545 CABLE ASSY RPS2 POWER PRODUCER S NEW(OEM&2ND)
0150-97547 CABLE ASSY| CHAMBER HEATER 1| PRODUCER S USED
0150-97550 CABLE| SIDE EMO| PRODUCER S NEW(OEM&2ND)
0150-97553 CABLE ASSY RPS2 INTERFACE| PRODUCER S USED
0150-97562 CH C TEOS LINE MIDDLE 2 PRODUCER S NEW(OEM&2ND)
0190-00218 MOTOR STEP 120VAC 5PH W/CABLE LL PRODUCER USED
0190-00410 LEADSCREW| LIFT OEM NEW
0190-00958 BRG| 4 point contact| 8 625OD x 8ID X  3125W| w/Castrol 296 OEM NEW
0190-00961 BRG| CONT 1 375 OD X 1 0 ID X  157W| BRAY 815 Z OELD OEM NEW
0190-01168 T/C ASSY| PRODUCER| CONSOLIDATED HEATER USED
0190-01403 T/C ASSY HEATER WXZ OEM NEW
0190-01627 LAMP ASSEMBLY CYCLED 520 WATT RADIANCE C OEM NEW
0190-01893 PWRSP 120VAC/30AMPS OEM NEW
0190-03016 HOSE ASSY| 03 RACK/CHILLER HOSE SET| PRODUCER NEW(OEM&2ND)
0190-08815 300MM ENDURA UPS/CVCF AS DISCONNECT BOX OEM NEW
0190-09185 THERMOCOUPLE PRSP OEM NEW
0190-09230 SW 15 OEM NEW
0190-09539 SEAL 500 ZA SLIT VALVE ACTUATOR OEM NEW
0190-09663 ACTUATOR| 5000 ZA SLIT VALVE OEM NEW
0190-09755 TC PROBE| CHUCK ASP OEM NEW
0190-09779 Assy|cover|W/B LLC door|conductive paint OEM NEW
0190-09807 SPEC| CH AC PALLET| RTP SIN TPCC 200MM AC MODULE NEW(OEM&2ND)
0190-10630 Purchase Spec| 75FT UHV Stabil Ion Gauge cable OEM NEW
0190-12609 PURCHASE SPEC | 6-CIRCUIT CHASSIS WITH F OEM NEW
0190-13132 HOSE ASSY FACTY SUPLY/HEATER/LAMP IN CH NEW(OEM&2ND)
0190-13133 HOSE ASSY HEATER/LAMP OUT/FACTY RET CH C NEW(OEM&2ND)
0190-13520 HOSE ASSY|CH BODY SUPPLY|CH C MCVD PH II NEW(OEM&2ND)
0190-13522 HOSE ASSY|CH LID SUPPLY|CH C MCVD PH II NEW(OEM&2ND)
0190-13523 HOSE ASSY|CH LID RETURN|CH C MCVD PH II NEW(OEM&2ND)
0190-14242 PURCHASE SPEC | 75FT STABIL ION GAUGE CABLE OEM NEW
0190-14247 GAUGE PRESS - 1 TO  7MPA/30 OEM NEW
0190-14248 GAUGE PRESS 0-1 1MPA/0-160PSI 2IN OEM NEW
0190-14591 STEEL HEAD 5 OEM NEW
0190-14775 FACILITY CNTRL  PNEUMATICS & INTERLOCKS POLISHER LK OEM NEW
0190-15790 BOX| MAINTENANCE PURGE| HTF CHAMBER OEM NEW
0190-19024 RF MATCH| 13 56 MHZ| 3 KV| 35 A| NAVIGAT OEM NEW
0190-20004 FEED THRU ROTARY ASSY SOURCE BASIC OEM NEW
0190-21446 PURCH SPEC 60FT STABIL ION GAUGE CABLE OEM NEW
0190-22314 NTWRK PORT SERVER EL160 16-CH W/PWRSP 100-250VAC 50/60HZ REALPORT FIRMWARE V1 5 OEM NEW
0190-26727 BRG ANGULAR CONTACT SPECIAL 1x1 375 ODx 1875 W OEM NEW
0190-28658 EyeD HP SPECTROGRAPH| RoHS| SD1024F| 24 OEM NEW
0190-28967 SIGNAL EXTEND CABLE FOR REMOVABLE FLASH MEMORY DRIVE OEM NEW
0190-28969 REMOVABLE FLASH MEMORY DRIVE FOR CENTURA OEM NEW
0190-30039 SPECIFICATION CONTROL SCSI MAX DRIVE 1024MB 3 5 OEM NEW
0190-30233 CONTROL PC| EYED TOWER| 3 4GHZ ROHS OEM NEW
0190-30486 HDP ULTIMA BIAS MATCH| 3155031-043A OEM NEW
0190-31735 THERMORACK CHILLER 1200 ETHYLENE GLYCOL OEM NEW
0190-35208 ASSY| PCB|MCVD CHAMBER OEM NEW
0190-35217 DCVD COOL DOWN CHAMBER INTERFACE OEM NEW
0190-35221 SWITCH 7/16-20 SAI/MS CLOSE DECREASING TORR OEM NEW
0190-35712 THERMOCOUPLE PLASMA CHUCK(HS007TC) OEM NEW
0190-36514 MANIFOLD| HEAT EXCHANGER| PRODUCER USED
0190-70100 POWER SUPPLY (LF-10A) USED
0190-70109 ASSY|PCB ASP CHAMBER INTERFACE OEM NEW
0190-75073 BALL SCREW ASSY| LEFT HAND| SPEC OEM NEW
0190-76028 PLASMA GENERATOR OEM NEW
0195-04829 Flour Optic Temperature Monitor| 300mm eMAX| Dual Zone ESC OEM NEW
0200-00089 Top Quartz APS+F 200MM OEM NEW
0200-00117 RING| SINGLE| 195MM NOTCH| NO FLAT| HT-D USED
0200-00136 RING| FOCUS 195MM JEIDA MAJOR FLT 1 OEM NEW
0200-00203 GDP SINGLE OUTER DONUT OEM NEW
0200-00204 GDP  Single Center disk OEM NEW
0200-00207 TIP|SUSCEPTOR SHAFT|OUTSIDE OEM NEW
0200-00250 RING| CAPTURE C-ESC| SNNF| 200MM| METAL DPS OEM NEW
0200-00289 CYLINDER WAFER SUPPORT 200MM RTP QUARTZ NEW(OEM&2ND)
0200-00303 PIN| LIFT|  120 DIA HOLE| SAPPHIRE| SINERGY OEM NEW
0200-00316 Isolator pumping ring middle 200mm Producer NEW(OEM&2ND)
0200-00317 ISOLATOR PUMPING RING BOTTOM 200MM PRODUCER USED
0200-00354 RING PURGE WXZ+ FC NOTCH OEM NEW
0200-00381 FOCUS RING| CATHODE| PHOTOMASK| DPS OEM NEW
0200-00402 ISOLATOR PUMPING RING TOP 200MM PRODUCER NEW(OEM&2ND)
0200-00446 CERAMIC LINER| CATHODE| PHOTOMASK| DPS OEM NEW
0200-00464 LIFT RING| PIN| 300MM PRODUCER USED
0200-00498 lapture OEM NEW
0200-00557 RING PURGE 300MM WXZ FC SHALLOW USED
0200-00761 CAP GAS DISTRIBUTION PLATE ASP-300MM OEM NEW
0200-00762 Liner Lower ASP-300MM USED
0200-00812 FOCUS RING OEM NEW
0200-00822 DOME QUARTZ|POLY DPS OEM NEW
0200-00957 Cylinder| Wafer Support| SI Coated| 200MM RTP| Quartz USED
0200-00968 ISOLATOR| FSG-TEOS| PRODUCER 200MM USED
0200-00969 PUMPING LINER| FSG-TEOS| PRODUCER 200MM USED
0200-01003 GAS DISTRIBUTION PLATE ASP-300MM OEM NEW
0200-01038 CAPTURE RING| 150MM ROUGHENED| HT DPS OEM NEW
0200-01155 DEPOSITION RING| DEEPER GROOVE| 200MM BESC PVD CU| OEM NEW
0200-01225 Q`TZ LINER RING 300mm OEM NEW
0200-01388 ASP ???????????? 300mm Q`TZ SPACER RING OEM NEW
0200-01393 LINER| COMMON| BD/BLOK| LOWK| 200MM PRODUCER NEW(OEM&2ND)
0200-01612 INJECTOR| GAS| 27 HOLES|  020 DIA| QTZ| OEM NEW
0200-01762 CAPTURE RING USED
0200-01769 INSULTER BASE 300mm ASPX USED
0200-01820 notch insert ring OEM NEW
0200-02006 NM INSERT RING| EMAX| 300MM OEM NEW
0200-02040 INSERT| DOUBLE RF CONN SBS| SIP II 200MM OEM NEW
0200-02352 SINGLE RING 300mm OEM NEW
0200-02500 300mm Ceramic Lid OEM NEW
0200-02518 CERAMIC PIN| LOADLOCK TRAY| 200/300MM PRODUCER NEW(OEM&2ND)
0200-02626 300mm E MAX Lover ring q`tz OEM NEW
0200-02812 DEP RING| 200MM SIP TA(N)| SNNF ESC| 99 8% AL2O3| KACHINA 800RA TWAS OEM NEW
0200-03280 SHADOW RING 150MM JMF NCSR 3 0MM EE OEM NEW
0200-03404 EC| TOP LINER| HARP| SACVD 200MM PRODUCER USED
0200-04084 Ceramic liner|bottom|APF 200mm Producer USED
0200-04085 CERAMIC LINER| MIDDLE| APF 200MM PRODUCER USED
0200-04092 CERAMIC LINER| TOP| APF 200MM PRODUCER NEW(OEM&2ND)
0200-04988 Nozzle|ALN|1 76L x  014 dia|-N-|HDPCVD OEM NEW
0200-05106 DPS2 QUARTZ RING(2PIECE KIT) OEM NEW
0200-09974 SHIELD| L-SUPPORT| PUMPING PLATE USED
0200-10169 Plate Edge Cover DxZ GECO OEM NEW
0200-10296 INSULATOR|BULKHEAD|CATHODE|DPS OEM NEW
0200-10650 COVER|CERAMIC|PUMPING CHANNEL|GIGA-FILL SACVD OEM NEW
0200-18073 SAPPHIRE TUBE(911-02120A) OEM NEW
0200-18074 SHEATH|RPSC|HDP-CVD(911-02164A) OEM NEW
0200-20215 HOUSING| DOUBLE RF CONNECTOR| VECTRA IMP(A018) OEM NEW
0200-20325 PEDESTAL COVER UPPER 6 OEM NEW
0200-20331 COVER RING 8 OEM NEW
0200-20378 PLATE| INSULATION| GAMMA CU OEM NEW
0200-35065 CHAMBER LINER|QTZ| LOWER NEW(OEM&2ND)
0200-35084 DOME| UPPER ATM OEM NEW
0200-35323 RING| CAPTURE| CERAMIC| 195MM OEM NEW
0200-35362 INSERT|SILICON|CH REFLECTOR|RTP CHAMBER NEW(OEM&2ND)
0200-35525 SUSC|TOS|R3 ROTATION|200MM|EPI USED
0200-35702 RING| EDGE| DXZ+ OEM NEW
0200-35706 Liner| Ceramic| Sin DXZ(A022) OEM NEW
0200-35780 QUARTZ PIN| STANDOFF| COOLDOWN OEM NEW
0200-35828 RING| OUTER| 200MM| FLAT| 1 5MM OH| CERA OEM NEW
0200-36006 LINER|SIDE LARGE SINGLE PLATE 300MM ASP USED
0200-36118 BATCH EDGE RING| 200MM WAFER| SILICON CO OEM NEW
0200-36119 RING EDGE  17 200 MM W/FLAT SI BATCH COA NEW(OEM&2ND)
0200-36373 LIFT PIN|  149 DIA TRIANGULAR NEW(OEM&2ND)
0200-36638 BLADE QUARTZ REDUCED CONTACT| 200MM RTP OEM NEW
0200-36649 PLATE| FINGER LIFT RING| 200MM PRODUCER USED
0200-36666 ISOLATOR CERAMIC PRODUCER 200 MM OEM NEW
0200-36689 RING| SINGLE| LOW PROFILE| 200MM SNNF| Q OEM NEW
0200-39142 RING|FOCUS 1 OEM NEW
0200-39152 RING|SINGLE|CERAMIC ESC| 200MM SNNF| POLY DPS OEM NEW
0200-39289 ISOLATOR TEOS PUMPING RING| PRODUCER 200 USED
0200-39361 ISOLATOR SILANE PUMPING RING PRODUCER USED
0227-36658 H O T  PACK ASSY| ANALYZER CARTRIDGE 704NM OEM NEW
0227-41892 RECEIVER CASS SPECIAL OEM NEW
0230-20156 PVD SYSTEM| PROCESS KIT CLEAN MANUAL OEM NEW
0240-75106 KIT| CENTURA CHAMBER THROUGH THE FLOOR VACUMN CONN OEM NEW
0242-07994 DUAL SPRING THROTTLE V/V OEM NEW
0242-19092 ROUGH PUMP C/B OEM NEW
0242-21935 PRODUCER CHAMBER SHIPPING CLAMP KIT NEW(OEM&2ND)
0242-24370 KIT SHIPPING CLAMPS| PRODUCER INDEXER SMIF NEW(OEM&2ND)
0242-33245 RGA VALVE OEM NEW
0242-39150 LOCKOUT KIT 1:2| PRODUCER| PDD NEW(OEM&2ND)
0242-70220 P5000 ROBOT OEM NEW
0242-87049 KIT| EMO CBL|QDP80 PUMPS| PRODUCER NEW(OEM&2ND)
0270-02667 LID COVER RING USED
0270-04033 SUPPORT PLATE SPACER|SHIPPING U-FRAME|ULTIMA 200MM OEM NEW
0270-04034 SUPPORT PLATE|SHIPPING U-FRAME| ULTIMA 200MM OEM NEW
0270-04306 TOOL| FIXTURE-B BPSG REFLECTOR OEM NEW
0270-04307 TOOL| FIXTURE-T BPSG REFLECTOR OEM NEW
0270-09348 TOOL| LIFT-PIN REMOVAL| MOD II| RTP NEW(OEM&2ND)
0270-09350 TOOL| TOP| SUPPORT BASE| WINDOW| RTP NEW(OEM&2ND)
0270-20016 Lift Bellows Wrench OEM NEW
0270-20044 TOOL CALIB  ORIENTER 8 OEM NEW
0270-35098 TOOL| PROBE WRENCH| MOD II OEM NEW
0500-00109 FLOWPOINT ANALOG 4-VALVE CONTROLLER| EPI(53-146300-002) NEW(OEM&2ND)
0620-00618 CABLE ASSY 20M| CONTROL UNIT TO TMS| SEIKO SEIKI OEM NEW
0620-01734 CABLE ASSY 15A 208V L6-15P IEC320-C13 14/3SJT 132 OEM NEW
0620-02694 CABLE ASSY COAX RG-217/U 100FT R/A QDS-U OEM NEW
0620-03040 CABLE SCSI-3| 2-DEVICE TPE 68-PIN FLAT 3FT OEM NEW
0660-00020 CARD QUAD ELECTROMETER BOARD UHV/STAIL OEM NEW
0660-01699 CARDCONVECTRON MODULE 5-CH RFI SUPPR W/EJECTOR OEM NEW
0680-01215 CB MAG THERM 3P 240VAC 30A BOLT-ON QOB TYPE OEM NEW
0680-01420 CB SHUNT TRIP FOR J-FRAME 110-240VAC OEM NEW
0680-01429 CB SHUNT TRIP FOR F-FRAME 208-380VAC OEM NEW
0690-01037 CLAMP HINGED NW50 WING-NUT & SCR-CLOSURE OEM NEW
0720-05100 CONN COAX RF ADPTR C(F) TO QC(M) W/ 250DIA-HOLE OEM NEW
0820-00213 DET TRANSMITTER AMMONIA 0-100PPM LINEAR OUTPUT(7014131-1) OEM NEW
0820-01031 DETCALIBRATION CUP BAYONET STYLE NH3 DETECT OEM NEW
0850-00088 THRU-WALL MOUNT| FLAT PANEL DISPLAY VESA OEM NEW
0920-00013 FLOURINE GENERATOR OEM NEW
1040-00289 METER| THERMOCOUPLE 10-CH| PRESET TYPE-R OEM NEW
1110-00110 NETWK SWITCH| ENET 8 PORT 10/100BASE T OEM NEW
1270-01659 SW PB DPST 10A 125/250V CHEAT-INTLK VSBL-DEFEAT OEM NEW
1270-02544 RTRY LOCKABLE 6P 600VAC 30A OEM NEW
1360-00135 TRANSFORMER OEM NEW
1360-00169 TRANSFORMER UNIT FOR SMIF FABS 81 INCH OEM NEW
1410-00306 Heater jacket| Lower Bypass| Zone 4| Chamber A/B/C| 5| 200MM Producer USED
1410-00592 HEATER JACKET| UPPER| ZONE 1| 4| SACVD PRODUCER SE NEW(OEM&2ND)
1410-00596 HEATER JACKET| LOWER/BYPASS| ZONE 4| 3| SACVD PRODUCER SE NEW(OEM&2ND)
1410-00609 HEATER JACKET| FINAL VALVES/MNFLD BPSG| ZONE 3| 7| SACVD PRODUCER SE NEW(OEM&2ND)
1410-00611 HEATER JACKET| FINAL VALVES/MNFLD BPSG| ZONE 3| 9| SACVD PRODUCER SE NEW(OEM&2ND)
1410-00616 HEATER JACKET| FINAL VALVES/MNFLD BPSG| ZONE 3| 14| SACVD PRODUCER SE NEW(OEM&2ND)
1410-00625 HEATER JACKET| PLIS BPSG| ZONE 5| 9| SACVD PRODUCER SE NEW(OEM&2ND)
1410-00643 HEATER JACKET| PLIS USG| ZONE 5| 6| SACVD PRODUCER SE USED
1410-00659 HEATER JACKET| UPPER ZONE 3| CH A/B/C| 4| 200MM SPLIT IVL PRODUCER NEW(OEM&2ND)
1410-00661 HEATER JACKET| UPPER ZONE 3| CH A/B/C| 6| 200MM SPLIT IVL PRODUCER NEW(OEM&2ND)
1410-00673 HEATER JACKET| UPPER ZONE 4| CH A/B/C| ITEM 5| 200MM SPLIT IVL PRODUCER NEW(OEM&2ND)
1410-00675 HEATER JACKET| UPPER ZONE 4| CH/A/B/C| 7| 200MM SPLIT IVL PRODUCER NEW(OEM&2ND)
1410-00677 HEATER JACKET| UPPER ZONE 4| CH A/B/C| ITEM 9| 200MM SPLIT IVL PRODUCER NEW(OEM&2ND)
1410-00678 Heater jacket| Upper Zone 4| CH/A/B/C NEW(OEM&2ND)
1410-00705 HEATER JACKET| UPPER| ZONE 1| CHAMBER C| 1| 200MM SPLIT IVL PRODUCER NEW(OEM&2ND)
1410-00706 HEATER JACKET| UPPER ZONE 1| CH  C| 2| 200MM SPLIT IVL PRODUCER NEW(OEM&2ND)
1410-00707 HEATER JACKET| UPPER ZONE 1| CH  C| 3| 200MM SPLIT IVL PRODUCER NEW(OEM&2ND)
1410-00708 HEATER JACKET| UPPER ZONE 1| CH C| 4| 200MM SPLIT IVL PRODUCER NEW(OEM&2ND)
1410-00709 HEATER JACKET| UPPER ZONE 1| CH  C| 5| 200MM SPLIT IVL PRODUCER NEW(OEM&2ND)
1410-00710 HEATER JACKET| UPPER ZONE 1| CH  C| 6| 200MM SPLIT IVL PRODUCER NEW(OEM&2ND)
1410-00711 HEATER JACKET| UPPER ZONE 1| CH C| 7| 200MM SPLIT IVL PRODUCER NEW(OEM&2ND)
1410-00712 HEATER JACKET| UPPER| ZONE 2| CHAMBER C| 1| 200MM SPLIT IVL PRODUCER NEW(OEM&2ND)
1410-00715 HEATER JACKET| UPPER ZONE 2| CH  C| 4| 200MM SPLIT IVL PRODUCER(3P 240V 20A) NEW(OEM&2ND)
1410-00716 HEATER JACKET| UPPER ZONE 2| CH  C| 5| 200MM SPLIT IVL PRODUCER NEW(OEM&2ND)
1410-00717 HEATER JACKET| UPPER ZONE 2| CH C| 6| 200MM SPLIT IVL PRODUCER NEW(OEM&2ND)
1410-00718 HEATER JACKET| UPPER ZONE 2| CH  C| 7| 200MM SPLIT IVL PRODUCER NEW(OEM&2ND)
1410-00719 HEATER JACKET| UPPER ZONE 2| CH  C| 8| 200MM SPLIT IVL PRODUCER(W23X1A1G-10) NEW(OEM&2ND)
1410-00720 HEATER JACKET| UPPER ZONE 2| CH  C| 9| 200MM SPLIT IVL PRODUCER(W23X1A1G-7 5) NEW(OEM&2ND)
1410-00761 HEATER JACKET| UPPER ZONE 3| CH A/B/C| ITEM 5| 200 NEW(OEM&2ND)
1410-00801 HTR JKT| SQR ISO VALVE 85C| PRODUCER 200MM(W23X1A1G-15) NEW(OEM&2ND)
1410-00920 HTR JKT 30 MIL B LAYER UPPER ZONE 3 CH  A/B/C 1 200MM SPLIT IVL PRODUCER(3P 240V 20A) NEW(OEM&2ND)
1410-00921 HTR JKT 30 MIL B LAYER UPPER ZONE 3 CH A/B/C 2 200MM SPLIT IVL PRODUCER NEW(OEM&2ND)
1410-00922 HTR JKT 30 MIL B LAYER UPPER ZONE 3 CH A/B/C 3 200MM SPLIT IVL PRODUCER NEW(OEM&2ND)
1410-00923 HTR JKT 30 MIL B LAYER UPPER ZONE 3 CH A/B/C 7 200MM SPLIT IVL PRODUCER NEW(OEM&2ND)
1410-00924 HTR JKT 30 MIL B LAYER UPPER ZONE 3 CH A/B/C 8 200MM SPLIT IVL PRODUCER NEW(OEM&2ND)
1410-00925 HTR JKT 30 MIL B LAYER UPPER ZONE 3 CH A/B/C 9 200MM SPLIT IVL PRODUCER(2P 240V 15A) NEW(OEM&2ND)
1410-00926 HTR JKT 30 MIL B LAYER UPPER ZONE 3 CH A/B/C 10 200MM SPLIT IVL PRODUCER(1P 240V 20A) NEW(OEM&2ND)
1410-00927 HTR JKT 30 MIL B LAYER UPPER ZONE 3 CH A/B/C 11 200MM SPLIT IVL PRODUCER NEW(OEM&2ND)
1410-00928 HTR JKT 30 MIL B LAYER UPPER ZONE 3 CH A/B/C 12 200MM SPLIT IVL PRODUCER(1P 240V 30A) NEW(OEM&2ND)
1410-00929 HTR JKT 30 MIL B LAYER UPPER ZONE 3 CH A/B/C ITEM 13 200MM SPLIT IVL PRODUCER(2P 240V 30A) NEW(OEM&2ND)
1410-00930 HTR JKT 30 MIL B LAYER UPPER ZONE 4 CH A/B/C NEW(OEM&2ND)
1410-00931 HTR JKT 30 MIL B LAYER UPPER ZONE 4 CH A/B/C 3 200MM SPLIT IVL PRODUCER(3P 240V 40A) NEW(OEM&2ND)
1410-00932 HTR JKT 30 MIL B LAYER UPPER ZONE 4 CH  A/B/C 6 200MM SPLIT IVL PRODUCER(1P 240V 15A) NEW(OEM&2ND)
1410-00933 HEATER JACKET| 30 MIL B  LAYER| UPPER ZONE 4| CHAMBER A/B/C| 8| 200MM SPLIT IVL PRODUCER NEW(OEM&2ND)
1410-00934 HTR JKT 30 MIL B LAYER UPPER ZONE 4 CHAMBER A/B/C 12 200MM SPLIT IVL PRODUCER NEW(OEM&2ND)
1410-00972 HEATER JACKET| 30 MIL B LAYER| PLIS BPSG| ZONE 5| 7| SACVD PRODUCER SE NEW(OEM&2ND)
1410-00974 HTR JKT 30 MIL B LAYER PLIS BPSG ZONE 5 11 SACVD PRODUCER SE NEW(OEM&2ND)
1410-01152 HTR BAND SEIKO SEIKI STP301CVB TMS3 110V OEM NEW
1410-01337 HEATER JACKET 30 MIL B LAYER FILTER/VALVE ZONE 3 CHAMBER A/B/C 1 200MM PRODUCER NEW(OEM&2ND)
1410-01338 HEATER JACKET 30 MIL B LAYER FILTER/VALVE ZONE 3 CHAMBER A/B/C 2 200MM PRODUCER NEW(OEM&2ND)
1410-01339 HEATER JACKET 30 MIL B LAYER FILTER/VALVE ZONE 3 CHAMBER A/B/C ITEM 4 200MM PRODUCER(2P 240V 15A) NEW(OEM&2ND)
1410-01340 HEATER JACKET 30 MIL B LAYER FILTER/VALVE ZONE 3 CHAMBER A/B/C 5 200MM PRODUCER NEW(OEM&2ND)
1410-01341 HEATER JACKET 30 MIL B LAYER LOWER/BYPASS ZONE 4 CHAMBER A/B/C ITEM 1 200MM PRODUCER NEW(OEM&2ND)
1410-01342 HEATER JACKET 30 MIL B LAYER LOWER/BYPASS ZONE 4 CHAMBER A/B/C 2 200MM PRODUCER NEW(OEM&2ND)
1410-01361 HEATER JACKET 30 MIL B LAYER LOWER/BYPASS ZONE 4 CHAMBER A/B/C 3 200MM PRODUCER NEW(OEM&2ND)
1410-01362 HEATER JACKET 30 MIL B LAYER LOWER/BYPASS ZONE 4 CHAMBER A/B/C 4 200MM PRODUCER(NQ430L2C) NEW(OEM&2ND)
1410-01363 HEATER JACKET 30 MIL B LAYER LOWER/BYPASS ZONE 4 CHAMBER A/B/C 5 200MM PRODUCER(3130-F120-P7T1-W12QB7-10A) NEW(OEM&2ND)
1410-01364 HEATER JACKET 30 MIL B LAYER LOWER/BYPASS ZONE 4 CHAMBER A/B/C 6 200MM PRODUCER NEW(OEM&2ND)
1410-01365 HEATER JACKET 30 MIL B LAYER LOWER/BYPASS ZONE 4 CHAMBER A/B/C ITEM 7 200MM PRODUCER OEM NEW
1410-01368 HEATER JACKET 30 MIL B LAYER LOWER/BYPASS ZONE 4 CHAMBER A/B/C 10 200MM PRODUCER NEW(OEM&2ND)
1410-01369 HEATER JACKET 30 MIL B LAYER LOWER/BYPASS ZONE 4 CHAMBER A/B/C 11 200MM PRODUCER NEW(OEM&2ND)
1410-01444 HTR JKT 30 MIL B LAYER UPPER ZONE 1 CHAMBER C ITEM 3 200MM SPLIT PRODUCER NEW(OEM&2ND)
1410-01448 HTR JKT 30 MIL B LAYER UPPER ZONE 1 CHAMBER C ITEM 5 200MM SPLIT PRODUCER NEW(OEM&2ND)
1410-01459 HTR JKT 30 MIL B LAYER UPPER ZONE 2 CHAMBER C ITEM 1 200MM SPLIT PRODUCER NEW(OEM&2ND)
1410-01461 HTR JKT 30 MIL B LAYER UPPER ZONE 2 CHAMBER C 2 200MM SPLIT PRODUCER NEW(OEM&2ND)
1410-01463 HTR JKT 30 MIL B LAYER UPPER ZONE 2 CHAMBER C 3 200MM SPLIT PRODUCER NEW(OEM&2ND)
1410-01465 HTR JKT 30 MIL B LAYER UPPER ZONE 2 CHAMBER C ITEM 4 200 MM SPLIT PRODUCER NEW(OEM&2ND)
1410-01467 HTR JKT 30 MIL B LAYER UPPER ZONE 2 CHAMBER C 5 200 MM SPLIT PRODUCER NEW(OEM&2ND)
1410-01472 HTR JKT 30 MIL B LAYER UPPER ZONE 2 CHAMBER C ITEM 6 200 MM SPLIT PRODUCER NEW(OEM&2ND)
1410-01480 HTR JKT 30 MIL B LAYER UPPER ZONE 2 CHAMBER C ITEM 8 200 MM SPLIT PRODUCER NEW(OEM&2ND)
1410-01507 HTR JKT 30 MIL B LAYER LOWER/BYPASS ZONE 4 ITEM 4 SACVD PRODUCER SE NEW(OEM&2ND)
1410-01534 Heater Jacket 30 MIL B Layer PLIS USG Zone 5 Item 8 SACVD Producer NEW(OEM&2ND)
1410-01535 HTR JKT 30 MIL B LAYER UPPER ZONE 4 CHAMBER A/B/C 1 200MM SPLIT IVL PRODUCER NEW(OEM&2ND)
1410-01536 HTR JKT 30 MIL B LAYER UPPER ZONE 4 CH A NEW(OEM&2ND)
1410-01543 HTR JKT 30 MIL B LAYER UPPER ZONE 4 CH/A/B/C 11 200MM SPLIT IVL PRODUCER(TT10-01 w/TPM10) NEW(OEM&2ND)
1410-01758 HEATER JACKET| FILTER/VALVE| ZONE 3| CHAMBER A/B/C| 3| 200MM PRODUCER NEW(OEM&2ND)
3030-01915 MFC 1660 200SCCM HBR 1/4VCR M/K-F NC HOV CDE 10RA OEM NEW
3030-04879 SNSR FLOW INSERTION SST| FCS-G1/4 A4-NA-H1141 OEM NEW
3030-05023 MFC 1660 50SCCM NF3 1/4VCR M/K-F NC HO OEM NEW
3030-06442 MFC 8160 300SCCM N2 1/4VCR MTL NC HOV 9D 10RA OEM NEW
3030-06443 MFC 8160 20SCCM N2 1/4VCR MTL NC HOV 9D 10RA OEM NEW
3030-06444 MFC 8160 200SCCM N2 1/4VCR MTL NC HOV 9D 10RA OEM NEW
3030-06445 MFC 8160 100SCCM N2 1/4VCR MLT NC HOV OEM NEW
3030-12510 MFC4400 100SCCM N2 1/4VCR Mtl NC 9P-D 15 OEM NEW
3030-12516 MFC4400 20SCCM N2 1/4VCR mtl NC 9P-D 15RA w/unibody OEM NEW
3030-13616 MFC 1661C 3SLM SIF4 1/4VCR MTL NC HOV| MLTFLO II OEM NEW
3030-13797 MFC 8161C 10SCCM N2 1/4VCR MTL N/C HOS 9P-D 4RA OEM NEW
3030-14902 AERA MFC TC FC-PA7800C OEM NEW
3030-15593 MASS FLOW CONTROLLER (C4F8 500sccm) OEM NEW
3030-15595 MASS FLOW CONTROLLER (SF6 500sccm) OEM NEW
3300-01346 FTGFLANGE BLANK-OFF NW25 1 57ODX 20THK S OEM NEW
3300-01348 FTG FLANGE BLANK-OFF NW50 2 95OD X  20 OEM NEW
3300-02458 FTG TUBE ELBOW 3/4 OEM NEW
3300-02921 VALVE FLOW CONTROL 1MPA 1/8MNPT X 1/8T M OEM NEW
3300-03453 FTG TBG PLUG 1 OEM NEW
3300-04524 FTGTBG UN 3/4T X 3/4T 2 11L SST OEM NEW
3300-09255 FTG TBG 4-WAY CROSS NW50-NW25 SST OEM NEW
3310-01071 GAUGE TC 1-1000 MTORR METALTUBE 1/8 OEM NEW
3310-01081 ION GAUGE NUDE| 274-043 OEM NEW
3310-01193 GAUGE VAC STABIL ION 2-3(UFC-1660 NF3 200 sccm) OEM NEW
3310-01255 Gauge micro-ion vacuum 2 75 OEM NEW
3350-50000 FINGER CERAMIC TEFLON COATED(200sccm) OEM NEW
3400-01890 PTFE HOSE| CARBON BLACK FILLED| 1/2X1500CM OEM NEW
3420-01068 INSUL PALL FILTER SILICONE RUBBER FOAM(FC-D980C O2 100sccm) NEW(OEM&2ND)
3480-01055 SMC SHOCK ABSORBER OEM NEW
3500-01013 NUT HEX FULL 4-40 SST PSVT OEM NEW
3510-01016 ORF RSTR FLOW 500SCCM N2 1/4VCR-M/M UNION OEM NEW
3510-01055 ORF RSTR FLOW 50SCCM N2 @35PSI 10RA 1/4VCR OEM NEW
3620-01389 CPRSR HIGH PERF MODEL 9600 LOW VLTGE 200-230VAC USED
3620-02332 KYPD REMOTE W/CABLE FOR ONBOARD CRYO PUMP OEM NEW
3690-01092 SCRCAP SKT HD 10-32 X 3/8L HEX SKT SST OEM NEW
3690-02139 SCR MACH BTN HD 10-32 X 3/8L HEX SKT SST OEM NEW
3690-03269 BRG LEADSCR&NUT ASSY  5DX 1LEADX4TRVLX8 15L 50U OEM NEW
3690-04088 SCR CAP SKT HD 10-32X1/2L HEX SKT SST W/ OEM NEW
3700-02758 SEALCO-SEAL ISO 100 POLYMER/NITRILE OEM NEW
3700-02920 SEAL DOVETAIL 5 609ID X  139CSD CHEMRAZ OEM NEW
3700-03336 SEAL DOVETAIL 12 961ID X  139CSD CHEMRAZ SC513 80DURO WHT OEM NEW
3800-01117 RGLTR FLOW 1 OLFM W/PRES OEM NEW
3870-01245 VALVE PNEU BLWS 2 WAY MINI NC-11(SS-BNV51-C) OEM NEW
3870-01603 VALVE PNEU DIAPH 140PSIG 1/4VCR NC 1/8FIT UJR-F/F OEM NEW
3870-01616 ISO VALVE OEM NEW
0010-35054    PCB CHAMBER INTERFACE BOARD    AMAT     
0010-36202    ASSEMBLY, WAFER PIN    AMAT     
0015-20022    TOOL SPANNER WRENCH MOD FOR SMC AIR CYL    AMAT     
0015-35035    FAN, HEAT SINK PIN FANS    AMAT     
0020-04079    FEEDTHRU, HELIUM    AMAT     
0020-04181    FLANGE, INSULATING, ROUND RPLCS    AMAT     
0020-09031    INSULATING WASHER    AMAT     
0020-09053    FLAG STD CATHODE    AMAT     
0020-09367    SHAFT    AMAT     
0020-13753    COUPLING, DRIVEN    AMAT     
0020-13954    PLATE, WAFER TRAY MOUNT, 15 SLOT ELEVATOR    AMAT     
0020-18294    200MM AMAT HDP CARTHODE BACKSIDE SCREW    AMAT     
0020-18295    200MM AMAT HDP CARTHODE BACKSIDE SCREW CAP    AMAT     
0020-18377    200MM AMAT HDP HELIUM TUBE    AMAT     
0020-18378    200MM AMAT HDP HELIUM TUBE    AMAT     
0020-18379    200MM AMAT HDP HELIUM TUBE    AMAT     
0020-20340    DOOR TRANSFER SLIT VALVE    AMAT     
0020-22413    LOWER COVER, SLIT VALVE BELLOWS    AMAT     
0020-30086    150MM AMAT P5000 SHOWER HEAD    AMAT     
0020-30580    150MM AMAT P5000 BLOCKER PLATE    AMAT     
0020-31064    AMAT-HDP LIFT PIN HOLDER    AMAT     
0020-31205    PLATE BLOCKER 4,5&6    AMAT     
0020-31476    CYLINDER, LIFT 150MM POLY EXT     AMAT     
0020-31626    FLANGE, INUSLATING, ESC    AMAT     
0020-31774    RING, CYLINDER, HOT INNER    AMAT     
0020-34445    200MM AMAT DxZ BLOCKER PLATE (TEOS BASE)    AMAT     
0020-34775    200MM AMAT PRODUCER BLOCKER PLATE (SILANE BASE)    AMAT     
0020-34775    200MM AMAT DxZ BLOCKER PLATE (SILANE BASE)    AMAT     
0020-36014    CLIP, SPG CLIP HEAT SINK    AMAT     
0020-38967    CARRIER MXP POLY ESC    AMAT     
0020-40002    KEY ACTUATOR    AMAT     
0020-40006    MOUNT, DOUBLE SENSOR, INDEXER    AMAT     
0020-40137    LOCK SHIPPING DOOR, LLC AMAT    AMAT     
0020-40701    FLANGE, DRIVER AMAT    AMAT     
0020-40702    FLAG, ROTATION    AMAT     
0020-40703    KEY, COUPLING    AMAT     
0020-40710    BRACKET, CASSETTE PRESENT, LLC    AMAT     
0020-40711    BRACKET, WAFER DETECTOR    AMAT     
0020-42082    200MM AMAT WxZ PUMPING PLATE    AMAT     
0020-42285    200MM AMAT WxZ BLOCKER PLATE    AMAT     
0020-42287    200MM AMAT WxZ SHOWER HEAD    AMAT     
0020-42317    SCREW FOR 200MM AMAT WxZ BLOCKER PLATE    AMAT     
0020-42318    SCREW FOR 200MM AMAT WxZ SHOWER HEAD    AMAT     
0020-48972    300MM AMAT PRODUCER FACE PLATE (BLOCK)    AMAT     
0020-49785    300MM AMAT PRODUCER FACE PLATE (6X BLOCK & APFe)    AMAT     
0020-70271    PIVOT ONE PIECE LEFT    AMAT     
0020-76086    PIN WRIST ROBOT DRIVE    AMAT     
0020-84596    300MM AMAT PRODUCER FACE PLATE (NITRIDE)    AMAT     
0021-00041    200MM AMAT DxZ SHOWER HEAD (SILANE BASE)    AMAT     
0021-00042    200MM AMAT DxZ SHOWER HEAD (TEOS BASE)    AMAT     
0021-01813    200MM AMAT PRODUCER FACE PLATE (TEOS BASE)    AMAT     
0021-03637    200MM AMAT PRODUCER FACE PLATE (SILANE BASE)    AMAT     
0021-09006    INSULATOR THERMAL MXP DSGD (MXP P-5000)    AMAT     
0021-09914    200MM AMAT DxZ BLOCKER PLATE (SACVD BASE)    AMAT     
0021-12790    300MM AMAT PRODUCER FACE PLATE (NITRIDE)    AMAT     
0021-34445    200MM AMAT PRODUCER FACE PLATE (TEOS BASE)    AMAT     
0021-35087    200MM AMAT DxZ BLOCKER PLATE (BPSG BASE)    AMAT     
0021-36130    FLANGE, BASE, ETCH CHAMBERS    AMAT     
0021-37085    PIN, INTERLOCK DOME DPS    AMAT     
0021-38119    200MM AMAT DxZ SHOWER HEAD (BPSG BASE)    AMAT     
0021-39905    ADAPTOR, TOP, CHAMBER, MARK II    AMAT     
0030-09036    LIP SEAL SMALL CHEMRAZ    AMAT     
0030-09052    GREENE TWEED O-RING LARGE SEAL    AMAT     
0040-02798    DUPLEX CLAMP, HP ROBOT WRIST    AMAT     
0040-03439    300MM AMAT PRODUCER FACE PLATE (S-SA)    AMAT     
0040-03485    200MM AMAT HDP WATER MANIFOLD    AMAT     
0040-09004    BELLOWS WELDMENT    AMAT     
0040-20418    BELLOWS, SLIT VALVE ASSY    AMAT     
0040-37451    CASSETTE STAGE    AMAT     
0040-87766    300MM AMAT PRODUCER FACE PLATE (HarpPMD)    AMAT     
0100-00241    PCB ASSEMBLY TC AMP INTERLOCK 300XZ    AMAT     
0100-09042    PCB ASSY, OPTO SWITCH    AMAT     
0100-09137    APPLIED MATERIALS ENCODER INTERFACE BD ASSY (USED)    AMAT     
0100-09145    AMAT RS232 VIDEO INTERCONNECT BOARD PCB P5000 (USED)    AMAT     
0100-09172    PCB ASSY, ENDPOINT EIGHT CHANNEL EMMISSION/LASER    AMAT     
0100-09381    CHAMBER INTERCONNECT ASP, POS A-C, CENTURA    AMAT     
0100-20068    PCB ASSY CCD, Used    AMAT     
0100-20069    WAFER ORIENTER PC BOARD    AMAT     
0140-09173    EXTENSION SENSOR CABLE    AMAT     
0150-39041    RF SINGNAL CABLE    AMAT     
0150-70017    CABLE ASSY ENCODER FLATFLEX, 6 POS    AMAT     
0190-13175    PEN, LIGHT PEN SST 5V 20MA W/PHONE    AMAT     
0190-35018    BEARING, CERAMIC BALL, ANGULAR    AMAT     
0190-35019    BEARING, 4 PT  CONTACT, KAYDON    AMAT     
0190-70060    MAGNET DRIVER ASSY    AMAT     
0190-70070    BRG BALL  312 ID  688 OD FLGD SHLD SST LVPGREASE (KAYDON)    AMAT     
0190-70075    BEARING BALL OS3Y4 KAYDON 52968001    AMAT     
0190-70076    BRG BALL 1/8" 6  BORE 6 50 O D  LVP GREASE (KAYDON)    AMAT     
0190-70102    VGA VIDEO CONTROLLER    AMAT     
0190-76004    TAPERED BEARING    AMAT     
0190-76027    BSHG BALL  50ID X  875OD X 1 25LG    AMAT     
0190-76050    PCB VIDEO CONTROLLER VGA    AMAT     
0190-76185    VALVE, TWO STAGE INLINE, NW40    AMAT     
0200-00137    FOCUS RING 195MM SEMI NOTCH DPS    AMAT     
0200-00148    TUBE, PLASMA, 25MM, APPLICATOR ASP    AMAT     
0200-00354    200MM AMAT WxZ PURGE RING    AMAT     
0200-00576    WEIGHT PIN, 300MM    AMAT     
0200-09025    TUBE QTZ GAS FEED 6MM X 6 38MM    AMAT     
0200-09147    150MM AMAT P5000 FINGER (WSIX)    AMAT     
0200-09441    WINDOW SLIT ASP    AMAT     
0200-09602    PIPE INSULATING QTZ W/ FLANGE    AMAT     
0200-09716    200MM AMAT WxZ LIFT PIN    AMAT     
0200-10284    200MM AMAT DxZ LIFT PIN    AMAT     
0200-30335    Dxz HOUSING    AMAT     
0200-36373    LIFT PIN, 149 DIA  TRIANGULAR    AMAT     
0200-36649    FINGER LIFT RING    AMAT     
0200-39133    WINDOW, ENDPOINT, INNER DPS MEC    AMAT     
0200-39135    WINDOW, MONOMETER PORT, DPS MEC    AMAT     
1010-01088    LAMP 1000W, 120V    AMAT     
1010-01116    LAMP 120V 800W    AMAT     
1010-11075    LAMP UV ASSY SERIES H 270VRMS    AMAT     
1270-00631    VACUUM SWITCH    AMAT     
1270-01842    SW VAC 75 TORR DECR 1/4VCR 24"LEAD    AMAT     
1350-01005    R (SRF) MANOMETER 1 TORR VCO MKS AMAT    AMAT     
1350-01083    MKS 852B61PCA2GC    AMAT     
1350-01083    MKS 852B61PCA2GC (USED)    AMAT     
3020-01042    ACTUATOR SLIT VALVE ACTUATOR    AMAT     
3060-01177    BRG BALL FLG 1/4 ID 1/20 OD 1/8W SST 440C NO SLD    AMAT     
3080-01048    BELT TMG  080P 1/4W 70 GRV NEOPRENE    AMAT     
3080-01054    BELT TIMING 3MM 6MMW, 89T    AMAT     
3300-02921    VALVE FLOW CONTROL 1MPA 1/8MNPT X 1/8T M, AS2201F-N01-01S    AMAT     
3310-01071    GAUGE TC 1-1000 MTORR METALTUBE (DV-6-VCR) (CENTURA)    AMAT     
3480-01055    DMPR SHOCK ABSORBER (RBQC1604)    AMAT     
3550-01057    PIN, DOWEL, 3/16*1/2" 303 SST    AMAT     
3690-01159    SCR FLT HD 8-32 x 3/8 PHH VENTED SST 82DEG    AMAT     
3690-01458    SCR CAP SKT HD 8-32 x 3/8L VENT HEX SKT SST 18-8    AMAT     
3690-02421    SCR CAP FLT HD 6-32 x 3/8L PHH SST 82 DEG    AMAT     
3700-01008    AS-010 6 07*1 78 VITON70    AMAT     
3700-01080    AS-018 18 77*1 78 VITON70    AMAT     
3700-01091    ORING ID 2 0 CSD  210 VITON 75DURO BL    AMAT     
3700-01221    SEAL LINEAR RADIAL  50 SFT DIA 3/32W TU    AMAT     
3700-01224    AS-232 69 44*3 53 VITON70    AMAT     
3700-01225    AS-226 50 4*3 53 VITON70    AMAT     
3700-01547    SEAL DYN W3/16 FLANGED    AMAT     
3700-01994    AS-113 13 95*2 62    AMAT     
3700-03163
3700-01355    SEAL ASSY 1 218ID 1 436OD  254 x  218CS    AMAT     
3780-01085    SPR CPRSN 5 500FL x 1 937OD x  148WR-D    AMAT     
3860-01165    TUBE (HIGH TEMP, VACUUM)    AMAT     
3870-01212    PNEU TEE VALVE 40KF    AMAT     
3870-01445    VALVE, ASSY RAPID EXHAUST 1/8 NPT    AMAT     
3870-04338    VALVE ASSY SLIT DBL ACT ACTUATOR    AMAT     
3870-24062    PARKER VERIFLO 45700048 STAINLESS DIAPHRAGM VALVE AMAT 3870-    AMAT     
3880-01024    WSHR LKG SPLIT #8  293OD x  174ID x  040THK SST    AMAT     
3870-01734 VALVE PNEU DIAPH 145PSI NC 1/4VCR-FX1/8-27NPT 10RA OEM NEW
3870-01811 VALVE BLOCK DIAPH 3WAY 1/4VCR-F/F/M NC/NC SST 10RA(AS568A-359) OEM NEW
3870-02708 VALVE IDA KF-25 1/2VCR-M/F 316L SST| 93-6001 MKS OEM NEW
3870-04338 PRODUCER SLIT VALVE NEW(OEM&2ND)
4020-00164 FLTR IN-LN GAS 3000 PSIG 1/4-GSKT SEAL MALE-VCR(AS568A-138) OEM NEW
4020-01094 FLTR IN-LN GAS 4000PSIG 1/4-GSKT SEAL M OEM NEW
4020-01136 FLTR IN-LINE GAS 3000PSI 1/4VCR-MM 30SLM SST 7RA OEM NEW
4020-01140 FLTR CARTRIDGE DI WATER OEM NEW
4060-00486 MANF ASSY VQ1000 PL W/NP420-DN1 SI UNIT OEM NEW
5010-01015 ADHS SLFLKG THD CLRVBRTI OEM NEW
5010-01048 SLDR ALLOY-SB5 FLUX 282 CORE 66 DIA  02(AS568A-381) OEM NEW
BE01468F GRAPHITE| BACK PARA(BE01468F) USED
N/A ASSY| LMEP2 SMART COMM| BOSCH(9700-8533-02) NEW(OEM&2ND)
0010-03667 Rev002 Pivot USED
 
Omron Power Controller G3px-260ehn 60a
Omron Power Controller G3px-260ehn 60a
 205 01  
 
Philips 4022 332 8550 line input  card
Philips 4022 332 8550 line input card
 157 03  
 
Ushio HB-25103BY-C Lamp Power Supply Control Unit with Interface Cable Used
Ushio HB-25103BY-C Lamp Power Supply Control Unit with Interface Cable Used
 1,314 82  
 
Amat 0010-77264 Assy, Int, Isrm Module Mirra Cmp
Amat 0010-77264 Assy, Int, Isrm Module Mirra Cmp
 2,355 40  
 
Tokyo Electron  825 Clean Track Serial No 32119, Used
Tokyo Electron 825 Clean Track Serial No 32119, Used
 3,053 30  
 
Dynamics Research Corp  PC 40010R3
Dynamics Research Corp  PC 40010R3
 370 76  
 
Amat 0190-07312 Amplifier, Megasonic, 200 Mm System, Nrtl Compliant , Used
Amat 0190-07312 Amplifier, Megasonic, 200 Mm System, Nrtl Compliant , Used
 3,925 67  
 
KLA-Tencor 710-678715-00 SAT Backplane Assembly PCB Used Working
KLA-Tencor 710-678715-00 SAT Backplane Assembly PCB Used Working
 354 31  
 
Muegge Magnetron Head MH3000S-222BB Output 2000W
Muegge Magnetron Head MH3000S-222BB Output 2000W
 697 88  
 
Varian 08147001 Switching Transformer Assy - New
Varian 08147001 Switching Transformer Assy - New
 157 02  
 
Smc Cq2b16-30d Cylider, Used
Smc Cq2b16-30d Cylider, Used
 62 81  
 
Nikon 4S018-831 Backplane Interface Board PCB LIUBPB2X2 NSR-S204B System Used
Nikon 4S018-831 Backplane Interface Board PCB LIUBPB2X2 NSR-S204B System Used
 1,667 26  
 
AMAT Applied Materials 0130-00525 Chamber I/O Display Rev  003 Used Working
AMAT Applied Materials 0130-00525 Chamber I/O Display Rev  003 Used Working
 443 27  
 
Amat 0020-79252 Rear Housing
Amat 0020-79252 Rear Housing
 348 95  
 
Rorze 31rsc141-b01 Industrial Pc , Used
Rorze 31rsc141-b01 Industrial Pc , Used
 3,315 01  
 
ENTEGRIS 6500-T5-F03-D30-K-P1-U1 Integrated Flow controller 0-2 5 l/min
ENTEGRIS 6500-T5-F03-D30-K-P1-U1 Integrated Flow controller 0-2 5 l/min
 2,180 93  
 
ENTEGRIS 6500-T8-F06-D30-A-P1-U1 INTEGRATED FLOW CONTOLLER 0-20 l/min
ENTEGRIS 6500-T8-F06-D30-A-P1-U1 INTEGRATED FLOW CONTOLLER 0-20 l/min
 1,919 22  
 
AMAT 0200-18024 Silicon, Top Barrier Dome HDPCVD, 417248
AMAT 0200-18024 Silicon, Top Barrier Dome HDPCVD, 417248
 3,271 39  
 
UNIT UFC-1200A Mass Flow Controller MFC lot of 2  200 SCCM CF4 He
UNIT UFC-1200A Mass Flow Controller MFC lot of 2 200 SCCM CF4 He
 436 19  86 36  
 
Granite Microsystems ZNUGR-22375 Compact Computer ASM Epsilon 3000 Used Working
Granite Microsystems ZNUGR-22375 Compact Computer ASM Epsilon 3000 Used Working
 531 41  
 
Regal Flow Sensor FS-10 Lot of 10 Used Working
Regal Flow Sensor FS-10 Lot of 10 Used Working
 878 58  
 
Elmo Motion Control G-DCWHI5/100EE
Elmo Motion Control G-DCWHI5/100EE
 348 95  
 
Teknologue PU4560A LED TESTER Module
Teknologue PU4560A LED TESTER Module
 872 28  
 
Tokyo Electron Model 3200 Display Panel Controller
Tokyo Electron Model 3200 Display Panel Controller
 3,053 30  
 
SHINDENGEN M090064RM Stepper motor, USED
SHINDENGEN M090064RM Stepper motor, USED
 104 68  
 
SHAWMUT - 250 Amp Fuse - Very Fast Acting (UK) A13X250-4
SHAWMUT - 250 Amp Fuse - Very Fast Acting (UK) A13X250-4
 38   
 
TSET™ - New Antenna Dowel E  606-272-P-  Cleanroom Packaging - 4/Bag (UK)  69079
TSET™ - New Antenna Dowel E 606-272-P- Cleanroom Packaging - 4/Bag (UK) 69079
 45   
 
LAM 839-702281-001 Valve Manifold Assy, 714-702245-001, Aptech, HPS, 452543
LAM 839-702281-001 Valve Manifold Assy, 714-702245-001, Aptech, HPS, 452543
 654 28  
 
15-00401-00 / Shaft, Spindle / Novellus
15-00401-00 / Shaft, Spindle / Novellus
 742 19  
 
scientific optical assembly sy3121 sy-3166-ibm sy-3470 ibm [3*MM-6]
scientific optical assembly sy3121 sy-3166-ibm sy-3470 ibm [3*MM-6]
 218 09  
 
ENTEGRIS 6500-T3-F03-D12-K-P1-U1 INTEGRATED FLOW CONTROLLER 3/8 0-500 mLpm
ENTEGRIS 6500-T3-F03-D12-K-P1-U1 INTEGRATED FLOW CONTROLLER 3/8 0-500 mLpm
 1,657 51  
 
Amat 0190-31158 Gt Side B 300mm Inoi-7134-32
Amat 0190-31158 Gt Side B 300mm Inoi-7134-32
 2,791 59  
 
Adcs 969 Low Level Monitor
Adcs 969 Low Level Monitor
 104 68  
 
Novellus Systems 16-132590-00N SHWRHD Pinned 300mm WLDMNT Vector OEM Refurbished
Novellus Systems 16-132590-00N SHWRHD Pinned 300mm WLDMNT Vector OEM Refurbished
 4,366 34  2,183 17  
 
Shimadzu Ei-303m Tmp Power Unit 262-81456-02 , Used
Shimadzu Ei-303m Tmp Power Unit 262-81456-02 , Used
 2,180 93  
 
Xynetic Electroglas RMHM2 Controller 115V 440W
Xynetic Electroglas RMHM2 Controller 115V 440W
 1,291 11  
 
VMIC 332-002536-000 B 5V Optically Coupled Digital I/O PCB Card VMIVME 2536 Used
VMIC 332-002536-000 B 5V Optically Coupled Digital I/O PCB Card VMIVME 2536 Used
 882 13  
 
Tylan Mass Flow Controller Fc-260, 2 Slm He
Tylan Mass Flow Controller Fc-260, 2 Slm He
 52 33  
 
Philips Analytical Digital Extension PCB
Philips Analytical Digital Extension PCB
 152 67  
 
Unit Instruments URS-100 MFC Flow Controller
Unit Instruments URS-100 MFC Flow Controller
 392 57  
 
FSI International 290104-400 Pneumatic Chemfill Interface PCB Edwards Used
FSI International 290104-400 Pneumatic Chemfill Interface PCB Edwards Used
 615 17  
 
Amat backplane 300mm linear platfrom Applied Materials 0100-02292 0110-02336
Amat backplane 300mm linear platfrom Applied Materials 0100-02292 0110-02336
 348 95  
 
Advanced Energy Mdx 10 Master  3152012-041ab
Advanced Energy Mdx 10 Master 3152012-041ab
 3,053 29  
 
Assy,arm,right,indexer Type 2 02-256139-01
Assy,arm,right,indexer Type 2 02-256139-01
 3,489 49  
 
Asyst Model 5 Pre-Aligner Software Manual - Current to Software Rev  2 2
Asyst Model 5 Pre-Aligner Software Manual - Current to Software Rev  2 2
 125 62  
 
KOKUSAI CX1302 / Free Expedited Shipping
KOKUSAI CX1302 / Free Expedited Shipping
 435 31  
 
Advantest KH3-91524 01525658 Temperature & Smoke Sensor Assembly T6671E
Advantest KH3-91524 01525658 Temperature & Smoke Sensor Assembly T6671E
 174 47  
 
Meiden ZN77A uPIBOC-I Industrial Computer Motherboard Main Board Backplane PCB
Meiden ZN77A uPIBOC-I Industrial Computer Motherboard Main Board Backplane PCB
 50 24  
 
Novellus PCB Assy 03-053424-00 DC/DC Converter REV B
Novellus PCB Assy 03-053424-00 DC/DC Converter REV B
 135 22  
 
CI SYSTEM NTM DeLTA DUAL "R", CAT  NO A730-100-0530  / Free Expedited Shipping
CI SYSTEM NTM DeLTA DUAL "R", CAT  NO A730-100-0530 / Free Expedited Shipping
 2,616 24  
 
ADVANCED ENERGY RF 3Z39-000011-V1, 3155301-029 C, 13,56MHz, 3kW
ADVANCED ENERGY RF 3Z39-000011-V1, 3155301-029 C, 13,56MHz, 3kW
 2,093 69  
 
Applied Precision 21-000319-002 I/O Interface Board PCB 20-000319-000 Used
Applied Precision 21-000319-002 I/O Interface Board PCB 20-000319-000 Used
 704 16  
 
146-0401// Amat Applied 0150-76461 (broken) Cable, 55 Ft Rf Coaxial 13 56 Asis
146-0401// Amat Applied 0150-76461 (broken) Cable, 55 Ft Rf Coaxial 13 56 Asis
 157 03  
 
Applied Precision 21-000315-000 Switching Board PCB Card Used Working
Applied Precision 21-000315-000 Switching Board PCB Card Used Working
 704 16  
 
Plasmatherm ICP Electrode Insulator for SLR & 790 ICP systems
Plasmatherm ICP Electrode Insulator for SLR & 790 ICP systems
 300 97  
 
Amat 0020-46851 Clamp Drive, 6-port Spindle,200mm Mirra , Used
Amat 0020-46851 Clamp Drive, 6-port Spindle,200mm Mirra , Used
 392 57  
 
TEL TOKYO Electron 3D86-050940-V1 AC POWER BOX CHIL 3PH CABLE
TEL TOKYO Electron 3D86-050940-V1 AC POWER BOX CHIL 3PH CABLE
 523 42  
 
AMAT 0050-15-4 Weldment Right And Left Angle 10980200
AMAT 0050-15-4 Weldment Right And Left Angle 10980200
 34 02  25 52  
 
TEL Tokyo Electron 2985-544803-11 HHP-L LH Cover CT2985-544803-11 Cu Refurbished
TEL Tokyo Electron 2985-544803-11 HHP-L LH Cover CT2985-544803-11 Cu Refurbished
 876 02  
 
1203) [used] Keyence Sj-m070g
1203) [used] Keyence Sj-m070g
 174 48  
 
Lambda LTX Trillium 30818 RS-232 Modification Module Power Supply
Lambda LTX Trillium 30818 RS-232 Modification Module Power Supply
 113 40  
 
KLA Instruments 710-658161-20 Image Sensor Assembly 073-655201-00 Used Working
KLA Instruments 710-658161-20 Image Sensor Assembly 073-655201-00 Used Working
 1,746 64  
 
318-0202// Amat Applied 0020-30347 Cylinder, External, Used
318-0202// Amat Applied 0020-30347 Cylinder, External, Used
 348 95  
 
318-0103// Jtbs-57-e634 Amat Turck Devicenet [used]
318-0103// Jtbs-57-e634 Amat Turck Devicenet [used]
 261 71  
 
Amat 0021-79552 Under Cover
Amat 0021-79552 Under Cover
 157 03  
 
Amat 0020-79900 Ddf3 O-brg Clp
Amat 0020-79900 Ddf3 O-brg Clp
 157 03  
 
Lot of 2 AMAT Applied Materials Digital I/O Card 0100-11002 Rev F
Lot of 2 AMAT Applied Materials Digital I/O Card 0100-11002 Rev F
 741 52  
 
AMAT Applied Materials 0020-80664 Electrode 0190-90758 0020-80667 Used Working
AMAT Applied Materials 0020-80664 Electrode 0190-90758 0020-80667 Used Working
 698 89  
 
AMAT Applied Materials 0100-90899 Operator Prompt PCB 0120-92833 Used Working
AMAT Applied Materials 0100-90899 Operator Prompt PCB 0120-92833 Used Working
 612 57  
 
Tokyo Electron Tel Pcb Board Tab113-1 Io1-lf/2l08-050032-11, New
Tokyo Electron Tel Pcb Board Tab113-1 Io1-lf/2l08-050032-11, New
 305 33  
 
Amat 0190-01401 Manifold, Gp Pneum, 300mm Ultima / Ev Manifold (0040-04102)
Amat 0190-01401 Manifold, Gp Pneum, 300mm Ultima / Ev Manifold (0040-04102)
 750 24  
 
7563) [used] Motor-sig Pcb
7563) [used] Motor-sig Pcb
 261 72  
 
AGILENT Z4179F FG Used ASML 4022 480 55601 SEM-I-496=8F21
AGILENT Z4179F FG Used ASML 4022 480 55601 SEM-I-496=8F21
 348 86  
 
Shimadzu Tmp Power Source Ei-281
Shimadzu Tmp Power Source Ei-281
 785 13  
 
TEL Tokyo Electron PS1 RF Pump Box TYB62F-1/PUMP T-3044SS Etcher Used
TEL Tokyo Electron PS1 RF Pump Box TYB62F-1/PUMP T-3044SS Etcher Used
 875 98  
 
Mattson 255-16059-00 300-13714-00 Circuit Board Mattson Aspen *working
Mattson 255-16059-00 300-13714-00 Circuit Board Mattson Aspen *working
 436 19  305 33  
 
Amat 0020-79005 Shaft W/ 0020-79002 Arm X3
Amat 0020-79005 Shaft W/ 0020-79002 Arm X3
 1,134 08  
 
Air Products 809-609012 Front Panel Display Processor AP10211 Used Working
Air Products 809-609012 Front Panel Display Processor AP10211 Used Working
 444 15  
 
FEI COMPANY OBCM P/N 4022 264 1304 Board
FEI COMPANY OBCM P/N 4022 264 1304 Board
 436 10  
 
000-0000// Amat Applied 0040-20652 Applied Matrials Components [asis]
000-0000// Amat Applied 0040-20652 Applied Matrials Components [asis]
 3,053 30  
 
ENTEGRIS 6500-T2-F03-D12-K-P1-U1 INTEGRATED FLOW CONTROLLER 3/8 0-250 mLpm
ENTEGRIS 6500-T2-F03-D12-K-P1-U1 INTEGRATED FLOW CONTROLLER 3/8 0-250 mLpm
 1,657 51  
 
Ke Kokusai Electric Cx1209 Cassette Loader T2dd1-15628-001 Cx1209p Control Panel
Ke Kokusai Electric Cx1209 Cassette Loader T2dd1-15628-001 Cx1209p Control Panel
 82 88  
 
TEL Tokyo Electron 3208-000089-12 Bridge Interconnect 2 PCB P-8 Prober Used
TEL Tokyo Electron 3208-000089-12 Bridge Interconnect 2 PCB P-8 Prober Used
 174 55  
 
Amat 0010-25974 Gear Assembly W/ Belt Tensioner (missing Pulley)
Amat 0010-25974 Gear Assembly W/ Belt Tensioner (missing Pulley)
 4,274 62  
 
E15000350 / Pcb Cable Orientor Stage Assy Encoder Adapter E16001620  / Varian
E15000350 / Pcb Cable Orientor Stage Assy Encoder Adapter E16001620 / Varian
 218 67  
 
343-0302// Amat Applied 1400-00433 Ntlr Module, Liquid Leak Detection, [used]
343-0302// Amat Applied 1400-00433 Ntlr Module, Liquid Leak Detection, [used]
 104 68  
 
atago WL3 light source for spectrometer
atago WL3 light source for spectrometer
 436 19  
 
Amat 0010-12589 Seal Cover Assy, Roller Assy, Brush Module, 200/300mm , Used
Amat 0010-12589 Seal Cover Assy, Roller Assy, Brush Module, 200/300mm , Used
 261 71  
 
SMC VXA3 Piloted air valved, vxa3-134m
SMC VXA3 Piloted air valved, vxa3-134m
 32 71  
 
TEL Tokyo Electron 2981-600534-11 CONN BLT/L Board PCB 2908-600534-11 ACT12 Used
TEL Tokyo Electron 2981-600534-11 CONN BLT/L Board PCB 2908-600534-11 ACT12 Used
 530 56  
 
ENTEGRIS 6520-XX-F03-G01-K-P2-U1-R07 INTEGRATED FLOW CONTROLLER 3/8 0-3 5 L/min
ENTEGRIS 6520-XX-F03-G01-K-P2-U1-R07 INTEGRATED FLOW CONTROLLER 3/8 0-3 5 L/min
 1,657 51  
 
sgi  013-1224-001 Rev H logic  carrier  assy 2p R10K 195Mhz
sgi 013-1224-001 Rev H logic carrier assy 2p R10K 195Mhz
 82 88  
 
Applied Materials AMAT 0100-00008 Rev J TC Gauge PWB Assy Used
Applied Materials AMAT 0100-00008 Rev J TC Gauge PWB Assy Used
 348 08  
 
Prometrix S/m Interface 36-0050 D/ 54-0076 J
Prometrix S/m Interface 36-0050 D/ 54-0076 J
 200 64  
 
Entegris 213-102-01 Valve-tool Kit Integra 1/4"
Entegris 213-102-01 Valve-tool Kit Integra 1/4"
 174 47  
 
Mektronix Technology MC-03 3-axis Motion Controller
Mektronix Technology MC-03 3-axis Motion Controller
 1,657 51  
 
Ae Rapid-f Rps Amat Pn: 0190-13025
Ae Rapid-f Rps Amat Pn: 0190-13025
 6,106 60  
 
AMAT Applied Materials 0010-00135 60V Power Supply Working Spare
AMAT Applied Materials 0010-00135 60V Power Supply Working Spare
 2,187 19  
 
Amat 0190-22275 Integrated Cntlr Pcba Serial Interconnect Board
Amat 0190-22275 Integrated Cntlr Pcba Serial Interconnect Board
 200 65  
 
Advantest KH3-93000 01548791 Temperature & Smoke Sensor Assembly T6671E
Advantest KH3-93000 01548791 Temperature & Smoke Sensor Assembly T6671E
 174 47  
 
Kokusai Electric T2DC2-10543 Switching Panel D-Poly-Si
Kokusai Electric T2DC2-10543 Switching Panel D-Poly-Si
 878 64  
 
GE/Fanuc Isolated Relay IC670MDL331K
GE/Fanuc Isolated Relay IC670MDL331K
 271 28  
 
TEL Tokyo Electron PCB Board 3M81-024287 SELL "AS-IS" free ship
TEL Tokyo Electron PCB Board 3M81-024287 SELL "AS-IS" free ship
 143 94  
 
9973) [used] Ohkura Hmsu2389aoi
9973) [used] Ohkura Hmsu2389aoi
 174 48  
 
3401) [used] Ohkura Hmsu2229 Cpu
3401) [used] Ohkura Hmsu2229 Cpu
 174 48  
 
2803) [used] Ohkura Hmsu239ia / Hmsu2112
2803) [used] Ohkura Hmsu239ia / Hmsu2112
 174 48  
 
7074) [used] Ohkura Hmsu2228 Display-if
7074) [used] Ohkura Hmsu2228 Display-if
 174 48  
 
Amat 0040-77367 Mount Plate, Loadcup
Amat 0040-77367 Mount Plate, Loadcup
 436 19  
 
VARIAN E17070280 Shield Exit
VARIAN E17070280 Shield Exit
 104 68  
 
2101) [USED] Rockwell Automation RSMZ-04BA1ANK3
2101) [USED] Rockwell Automation RSMZ-04BA1ANK3
 191 07  
 
Oxford Inca Drycool Cooler Drive Sensor Control Unit 3522 353 11582
Oxford Inca Drycool Cooler Drive Sensor Control Unit 3522 353 11582
 872 35  218 08  
 
Nikon 4S008-115-? Processor Board PCB ALGAF-P/D-X4+ Used Working
Nikon 4S008-115-? Processor Board PCB ALGAF-P/D-X4+ Used Working
 704 96  
 
FMS BKS D 3 125 Nanotec ST5718L2804-KFMS with 30 day warranty
FMS BKS D 3 125 Nanotec ST5718L2804-KFMS with 30 day warranty
 828 75  
 
FEI COMPANY SEM P/N 4022 192 9161 QDCR/SN Board
FEI COMPANY SEM P/N 4022 192 9161 QDCR/SN Board
 348 86  
 
139-0501// Amat Applied 0190-41508-001 3155132-013 Navigator-3013 Advanced Asis
139-0501// Amat Applied 0190-41508-001 3155132-013 Navigator-3013 Advanced Asis
 2,617 12  
 
Samsung Electronics SRCP BMIO2 PCI I/O Interface Board Rockwell TMC
Samsung Electronics SRCP BMIO2 PCI I/O Interface Board Rockwell TMC
 183 63  
 
Amat 0190-27040 Mks Process Sensor W/kf50 Flange, New
Amat 0190-27040 Mks Process Sensor W/kf50 Flange, New
 7,851 35  
 
ENTEGRIS 6500-T2-F02-H04-M-P2-U1 INTEGRATED FLOW CONTROLLER 1/4 0-250 ml/min
ENTEGRIS 6500-T2-F02-H04-M-P2-U1 INTEGRATED FLOW CONTROLLER 1/4 0-250 ml/min
 1,657 51  
 
Setech S267179 Used Pre Align Head Plates MA150 Rounded and Squared Plates
Setech S267179 Used Pre Align Head Plates MA150 Rounded and Squared Plates
 392 57  
 
ASM 02-325956D01 ASSY Transfer Arm 150mm WHC
ASM 02-325956D01 ASSY Transfer Arm 150mm WHC
 1,576 53  
 
ASM Advanced Semiconductor Materials 03-186004D01 Option I/F Board PCB Used
ASM Advanced Semiconductor Materials 03-186004D01 Option I/F Board PCB Used
 397 08  
 
MRC Materials Research Corporation Hard Etch Bump Kit 150mm Refurbished
MRC Materials Research Corporation Hard Etch Bump Kit 150mm Refurbished
 965   
 
HS200 Motor, HS200 / 84v / 3 A/ph / MAE
HS200 Motor, HS200 / 84v / 3 A/ph / MAE
 453 63  
 
AMAT Applied Materials Assy  WSi 8" Gas Box 0010-09520
AMAT Applied Materials Assy  WSi 8" Gas Box 0010-09520
 1,570 27  
 
Flowell™ F-lock30™ Union Elbow Ptfe (uk) 30-6rue4-c  (shimadzu Toc-v N H20 Trap)
Flowell™ F-lock30™ Union Elbow Ptfe (uk) 30-6rue4-c (shimadzu Toc-v N H20 Trap)
 35   
 
EBARA PRECISION Push Fit Union Elbow (UK) C-2105-540-0001 SEMICONDUCTOR
EBARA PRECISION Push Fit Union Elbow (UK) C-2105-540-0001 SEMICONDUCTOR
 15   
 
Semitool Rotor A194-60mb - H-out
Semitool Rotor A194-60mb - H-out
 828 75  
 
LAM RESEARCH Used 853-800087-405 REV A XP POWER  X9-3P3P3P2L-12 SEM-I-912=9A37
LAM RESEARCH Used 853-800087-405 REV A XP POWER X9-3P3P3P2L-12 SEM-I-912=9A37
 697 81  
 
347-0103// Amat Applied 0010-21578 Assy Shutter Enclosure  Used
347-0103// Amat Applied 0010-21578 Assy Shutter Enclosure Used
 1,744 74  
 
AQUARIUS aquarius 3cs Tweezers Made in Switzerland Anti Acid - LOOK
AQUARIUS aquarius 3cs Tweezers Made in Switzerland Anti Acid - LOOK
 20 93  
 
134-0401// Amat Applied 0010-70302 Assy Lift, Preclean I Chamber Used
134-0401// Amat Applied 0010-70302 Assy Lift, Preclean I Chamber Used
 1,744 74  
 
3088) [used] Ohkura Hmsu2388aoi
3088) [used] Ohkura Hmsu2388aoi
 261 72  
 
Berkeley Process Control Terminal Block 5/24VDC Output 117-10030-00
Berkeley Process Control Terminal Block 5/24VDC Output 117-10030-00
 43 62  
 
AMAT 0090-77106 Assembly, RTD Electronic  0020-78912, USED
AMAT 0090-77106 Assembly, RTD Electronic  0020-78912, USED
 4,361 86  
 
Kokusai Electric D2E01309A Processor CPU Board PCB MCPU3 D3E01486 Used Working
Kokusai Electric D2E01309A Processor CPU Board PCB MCPU3 D3E01486 Used Working
 438 10  
 
Matrix 1010-0017 Process Interface Board
Matrix 1010-0017 Process Interface Board
 366 40  
 
3582) [USED] Rockwell Automation RSMZ-02BA1ANK3
3582) [USED] Rockwell Automation RSMZ-02BA1ANK3
 157 04  
 
Veeco Shielding Grids  S o #004969
Veeco Shielding Grids S o #004969
 392 57  
 
Varian 104057001, Pick Mold Assembly  419954
Varian 104057001, Pick Mold Assembly  419954
 305 33  
 
0090-91209 / Source Suppress'n Clamping Box / Applied Materials Amat
0090-91209 / Source Suppress'n Clamping Box / Applied Materials Amat
 1,589 04  
 
TEL Tokyo Electron PCB Board 3M80-001582-16 SELL "AS-IS" free ship
TEL Tokyo Electron PCB Board 3M80-001582-16 SELL "AS-IS" free ship
 161 39  
 
Varian Purge Vent 5 Psi, Model 9699116s003
Varian Purge Vent 5 Psi, Model 9699116s003
 109 05  
 
mbraun asc psp-counter
mbraun asc psp-counter
 348 94  
 
Tokyo Electron 3887-211246-11 Formula Assy, Htr Pwr_ctrl, Used
Tokyo Electron 3887-211246-11 Formula Assy, Htr Pwr_ctrl, Used
 3,053 30  
 
AMAT Applied Materials ISOLATION AMPLIFIER 0100-00156
AMAT Applied Materials ISOLATION AMPLIFIER 0100-00156
 479 80  
 
SA103BAUL100 Breaker, SA103BAUL / 100Amp / Circuit Auto Breaker SA103BAUL / 100A
SA103BAUL100 Breaker, SA103BAUL / 100Amp / Circuit Auto Breaker SA103BAUL / 100A
 115 15  
 
Vat 02110-ba24-aqt1/0012 Rectangular Gate Valve A-480110
Vat 02110-ba24-aqt1/0012 Rectangular Gate Valve A-480110
 1,308 56  
 
Tencor 332305 AC Power Box Assembly LPM Used Working
Tencor 332305 AC Power Box Assembly LPM Used Working
 1,576 49  
 
343-0402// Amat Applied 0020-10464 Nut, Feed Thru New
343-0402// Amat Applied 0020-10464 Nut, Feed Thru New
 10 47  
 
Edwards Turbo Pump Cable 1m Xw3503 For Stpxa2203 Or Stph2703
Edwards Turbo Pump Cable 1m Xw3503 For Stpxa2203 Or Stph2703
 1,308 56  
 
P5000 0100-09010, PLATFORM SYSTEM ELECTRONIC Assy
P5000 0100-09010, PLATFORM SYSTEM ELECTRONIC Assy
 872 37  
 
6" Varian M-coil Heater , P/n : 0068359201 , Manufacturer Refurbibhed
6" Varian M-coil Heater , P/n : 0068359201 , Manufacturer Refurbibhed
 2,180 93  
 
Delta Design 1662669-501 Rev F Dual DC Motor Control PCB Assembly Board
Delta Design 1662669-501 Rev F Dual DC Motor Control PCB Assembly Board
 62 17  
 
2722) [used] Ontec Sn-4302-si03-n
2722) [used] Ontec Sn-4302-si03-n
 213 74  
 
Alcatel 5401 CP Turbo Pump
Alcatel 5401 CP Turbo Pump
 1,875 60  
 
USHIO HB-251B/A Lamp Housing & HB-25103BY Power Supply Used Working
USHIO HB-251B/A Lamp Housing & HB-25103BY Power Supply Used Working
 1,925 48  
 
10F4C1D-3460/1 4 Combivert / KEB
10F4C1D-3460/1 4 Combivert / KEB
 741 52  
 
J&M Used VLP30500RDVX OVERPRESSURE SENSOR 4022 486 50951 SEM-I-766=9G21
J&M Used VLP30500RDVX OVERPRESSURE SENSOR 4022 486 50951 SEM-I-766=9G21
 436 10  
 
Amat 0010-09022 Slit Valve Assy
Amat 0010-09022 Slit Valve Assy
 1,308 56  
 
Varian Extrion Division Power Supply D 70877
Varian Extrion Division Power Supply D 70877
 261 71  
 
*PREOWNED* Yashibi IP-248A IC Switch Control PCB Board 89 6 + Warranty!
*PREOWNED* Yashibi IP-248A IC Switch Control PCB Board 89 6 + Warranty!
 109 05  
 
*PREOWNED* Yashibi IP-252 88,6 Connector Interface PCB Board + Warranty!
*PREOWNED* Yashibi IP-252 88,6 Connector Interface PCB Board + Warranty!
 47 98  
 
Ultratech Stepper 03-20-01989 5 Axis Focus Driver WAS PCB Card Titan Used
Ultratech Stepper 03-20-01989 5 Axis Focus Driver WAS PCB Card Titan Used
 354 34  
 
Used Pfeiffer Balzers PM P01Turbo Vacuum Pump 2x6 ml Typ TPU 170
Used Pfeiffer Balzers PM P01Turbo Vacuum Pump 2x6 ml Typ TPU 170
 610 66  
 
Agilent Verigy HP E6996-63501 Interface I/F Module, Ref  #40472
Agilent Verigy HP E6996-63501 Interface I/F Module, Ref  #40472
 1,941 03  
 
177-0103// Amat Applied 0050-43024 Tee, 300mm Dps Ii Chamber [asis]
177-0103// Amat Applied 0050-43024 Tee, 300mm Dps Ii Chamber [asis]
 261 71  
 
Entegris Ultrapak wafershield containers w/ 6" used wafers LOT OF 40 BOXES 150mm
Entegris Ultrapak wafershield containers w/ 6" used wafers LOT OF 40 BOXES 150mm
 5,037 95  3,663 96  
 
Lam Research 853-012350-002-F-230D Outer Gate Assembly Outer Gate Assy
Lam Research 853-012350-002-F-230D Outer Gate Assembly Outer Gate Assy
 846 20  
 
Amat 0040-09893 Rev Mii-215, Used
Amat 0040-09893 Rev Mii-215, Used
 5,234 23  
 
TEL Tokyo Electron A21110-309640-11 Tube Support Cover New
TEL Tokyo Electron A21110-309640-11 Tube Support Cover New
 272 28  
 
Amat 0190-01094 Modular Interlock Assy, Mcvd Gplis
Amat 0190-01094 Modular Interlock Assy, Mcvd Gplis
 567 04  
 
343-0302// Amat Applied 0090-04065 Sensor Assy, Liquid Leak Detection, [used]
343-0302// Amat Applied 0090-04065 Sensor Assy, Liquid Leak Detection, [used]
 104 68  
 
Ae Navigator-1013  /  3155126-011 B  Rf Match
Ae Navigator-1013 / 3155126-011 B Rf Match
 2,616 24  
 
AMAT 0020-26588 8" Shield PC2 C+D
AMAT 0020-26588 8" Shield PC2 C+D
 109 05  
 
AMAT Applied materials pre-accel vendor interface Module 0120-83077
AMAT Applied materials pre-accel vendor interface Module 0120-83077
 304 46  255 74  
 
AMAT Applied Materials 0010-08322 Top Local RF Match Rev  009 Centura Working
AMAT Applied Materials 0010-08322 Top Local RF Match Rev  009 Centura Working
 2,190 71  
 
Fujikin 316L Pneumatic Solenoid Valve, L# AGB3V000, C# 023718, 1/4" VCR, 452232
Fujikin 316L Pneumatic Solenoid Valve, L# AGB3V000, C# 023718, 1/4" VCR, 452232
 47 11  
 
Sinmou Sakai Led Power Supply Lpap2p-1210ncw Including Illuminators
Sinmou Sakai Led Power Supply Lpap2p-1210ncw Including Illuminators
 218 08  
 
Amat 3870-01302 Valve Manual Oper Bello Meter 1/4vcr Bmg
Amat 3870-01302 Valve Manual Oper Bello Meter 1/4vcr Bmg
 244 26  
 
ENTEGRIS 6500-T0-F02-B06-C-P1-U1 INTEGRATED FLOW CONTROLLER 1/4 0-50 mLpm
ENTEGRIS 6500-T0-F02-B06-C-P1-U1 INTEGRATED FLOW CONTROLLER 1/4 0-50 mLpm
 1,657 51  
 
AE Advanced Energy  DC SECTION POWER PCB LB 1501 2300520-B
AE Advanced Energy DC SECTION POWER PCB LB 1501 2300520-B
 348 95  
 
CONTACT 40 pin Male Connector Model #H-D 40S - 14 Day Right of Return
CONTACT 40 pin Male Connector Model #H-D 40S - 14 Day Right of Return
 74 15  
 
SensArray 1501B-8-0613 Thermocouple Instrumented Wafer Interconnect Panel Used
SensArray 1501B-8-0613 Thermocouple Instrumented Wafer Interconnect Panel Used
 266 20  
 
Amat 0020-79036 Main Plate W/ 0020-78992
Amat 0020-79036 Main Plate W/ 0020-78992
 1,046 85  
 
Lam 839-031197-204  Weldment, Chamber
Lam 839-031197-204 Weldment, Chamber
 71 19  
 
AMAT Applied Materials 0100-00546 Analog I/O AIO PCB Rev  002 Used Working
AMAT Applied Materials 0100-00546 Analog I/O AIO PCB Rev  002 Used Working
 441 52  
 
Carl Zeiss 45 74 92 AF Controller Working Surplus
Carl Zeiss 45 74 92 AF Controller Working Surplus
 965   
 
Tokyo Electron Tel Photoresist Pump From Act 12 Lithius T-t100-2
Tokyo Electron Tel Photoresist Pump From Act 12 Lithius T-t100-2
 423 10  
 
Disco EAUA-349200 64 Bit D-Out Board, PCB, FAPCB 0426, 411949
Disco EAUA-349200 64 Bit D-Out Board, PCB, FAPCB 0426, 411949
 392 57  
 
Amat P/n 0090-a0692 Pmt-100 Detector Assy  P/n 0240-c4860
Amat P/n 0090-a0692 Pmt-100 Detector Assy P/n 0240-c4860
 218 01  
 
OMRON R88D-KT04H AC Servo Driver, USED
OMRON R88D-KT04H AC Servo Driver, USED
 436 19  
 
Alan Sonoscan Sonix Alan  Model 50HP3-050  EIA Code 8947
Alan Sonoscan Sonix Alan Model 50HP3-050 EIA Code 8947
 124 57  
 
3933) [used] Teaching Box Sa-s-t1
3933) [used] Teaching Box Sa-s-t1
 196 29  
 
Fei Grid Fps Grid-1 18098 Rev a
Fei Grid Fps Grid-1 18098 Rev a
 1,046 84  
 
Brooks Automation 146836r Series 8 Controller , Used
Brooks Automation 146836r Series 8 Controller , Used
 5,670 42  
 
1 Pc Hitachi CTE11-01 Interconnect Board PCB M-712E Shallow Trench Etcher System
1 Pc Hitachi CTE11-01 Interconnect Board PCB M-712E Shallow Trench Etcher System
 103 64  
 
TEL Tokyo Electron PCB Board 3M80-001607-15 SELL "AS-IS" free ship
TEL Tokyo Electron PCB Board 3M80-001607-15 SELL "AS-IS" free ship
 161 39  
 
TEL Tokyo Electron PCB Board 3M80-001321-25 SELL "AS-IS" free ship
TEL Tokyo Electron PCB Board 3M80-001321-25 SELL "AS-IS" free ship
 161 39  
 
TEL Tokyo Electron PCB Board 3M80-001582-14 SELL "AS-IS" free ship
TEL Tokyo Electron PCB Board 3M80-001582-14 SELL "AS-IS" free ship
 161 39  
 
TEL Tokyo Electron PCB Board 3M80-001605-13 SELL "AS-IS" free ship
TEL Tokyo Electron PCB Board 3M80-001605-13 SELL "AS-IS" free ship
 161 39  
 
TEL Tokyo Electron PCB Board 3M81-040785-11 SELL "AS-IS" free ship
TEL Tokyo Electron PCB Board 3M81-040785-11 SELL "AS-IS" free ship
 161 39  
 
Millipore CPVP01PLT Filter Cartridge Fluorogard HP ASM 4015529-0002 Lot of 6 New
Millipore CPVP01PLT Filter Cartridge Fluorogard HP ASM 4015529-0002 Lot of 6 New
 272 34  
 
Hitachi High-technologies 2-a13280-*a Electrode Head
Hitachi High-technologies 2-a13280-*a Electrode Head
 2,355 40  
 
Amat 0021-12412 Base, Inner, 4 Roller Assembly, 200 Mm
Amat 0021-12412 Base, Inner, 4 Roller Assembly, 200 Mm
 2,180 93  
 
Fei Board 4022 192 70342
Fei Board 4022 192 70342
 261 62  
 
Ebara Ema-16 Recm-1 Main Amp From Frex300s , Used
Ebara Ema-16 Recm-1 Main Amp From Frex300s , Used
 4,361 86  
 
Tel Tokyo Electron 2x017np-056 Electrode Btm
Tel Tokyo Electron 2x017np-056 Electrode Btm
 1,212 60  
 
AMAT Applied Materials 0041-01066 REV 04 Outlet Manifold Volta CU-CL
AMAT Applied Materials 0041-01066 REV 04 Outlet Manifold Volta CU-CL
 173 60  138 88  
 
ASML Load Port USED 4022 630 5178,4022 472 55247, 4022 667 58681 SEM-I-385=8F12
ASML Load Port USED 4022 630 5178,4022 472 55247, 4022 667 58681 SEM-I-385=8F12
 2,180 84  
 
344-0402// Amat Applied 3320-01033 Gskt Shld Rf  094dia 30lb/lin-in Std-fo New
344-0402// Amat Applied 3320-01033 Gskt Shld Rf  094dia 30lb/lin-in Std-fo New
 10 47  
 
5527) [used] Nagano Keiki Ce10-333
5527) [used] Nagano Keiki Ce10-333
 173 61  
 
318-0103// Amat Applied 0040-61257 0021-18996 Bracket, Cap Dps Ii, 300mm [used]
318-0103// Amat Applied 0040-61257 0021-18996 Bracket, Cap Dps Ii, 300mm [used]
 261 71  
 
Genus 1700012001 Digital Isolator 2299-01 Board
Genus 1700012001 Digital Isolator 2299-01 Board
 104 66  
 
PORTER D2000i SERIES PN: D2000IDC063V LIQUID FLOW CONTROLLER TEOS 2 5ML/MIN
PORTER D2000i SERIES PN: D2000IDC063V LIQUID FLOW CONTROLLER TEOS 2 5ML/MIN
 305 24  
 
006-0202// Amat Applied Frm-30a Es2l39-000017-15 Dhihen Match Asis
006-0202// Amat Applied Frm-30a Es2l39-000017-15 Dhihen Match Asis
 2,617 12  
 
Amat 0270-00739 Install Tool Cathode Assembly, 300mm Hdp, New
Amat 0270-00739 Install Tool Cathode Assembly, 300mm Hdp, New
 2,303 06  
 
Edwards Vacuum B65251000 GVI063P Gate Valve
Edwards Vacuum B65251000 GVI063P Gate Valve
 261 70  
 
Nikon 4s008-174 Psdx24-sub Board
Nikon 4s008-174 Psdx24-sub Board
 260 84  
 
EBARA - Turnover Arm Shaft Seal (MSE Seal) - C-1218-013-0001 (UK)
EBARA - Turnover Arm Shaft Seal (MSE Seal) - C-1218-013-0001 (UK)
 3   
 
^^ Inficon Ag Ll-9496 Balzers Type Peg100 (tf41)
^^ Inficon Ag Ll-9496 Balzers Type Peg100 (tf41)
 104 68  78 51  
 
Cybex 510-067 Video Pc Expander
Cybex 510-067 Video Pc Expander
 392 57  
 
1533) [USED] kurt J Lesker company KJL-902171
1533) [USED] kurt J Lesker company KJL-902171
 340 23  
 
9100050144, CBL ASM: Interface 25 Pin Analog I/O to MC2 DB25M/M 12' / SEREN IPS
9100050144, CBL ASM: Interface 25 Pin Analog I/O to MC2 DB25M/M 12' / SEREN IPS
 174 47  
 
CKD FJ-L10 Mori Seiki Joint, USED
CKD FJ-L10 Mori Seiki Joint, USED
 104 68  
 
264-37254-0200 Sola Component Type Custom Rectifier 86-24-310
264-37254-0200 Sola Component Type Custom Rectifier 86-24-310
 113 40  
 
Cwa-pof-arm St-2513-blu-a Fga-0022a Fiber Optic Temp Sensor Cable
Cwa-pof-arm St-2513-blu-a Fga-0022a Fiber Optic Temp Sensor Cable
 279 16  
 
Amat 0010-25969 Bearing Blocks Rev 4 3 Flow Thru 300mm
Amat 0010-25969 Bearing Blocks Rev 4 3 Flow Thru 300mm
 4,798 05  
 
Disco Hi-Tec LJLL-920009-0 SERVO MOTOR
Disco Hi-Tec LJLL-920009-0 SERVO MOTOR
 218 09  
 
1913) [used] Inficonag Li-9496 Cdg160a-s
1913) [used] Inficonag Li-9496 Cdg160a-s
 104 70  
 
6091) [used] Parker Vix500ie-drive
6091) [used] Parker Vix500ie-drive
 120 40  
 
4402) [used] Kolon 1c693mdl645r
4402) [used] Kolon 1c693mdl645r
 151 80  
 
4925) [used] Fine Suntronix Psf600-24
4925) [used] Fine Suntronix Psf600-24
 157 04  
 
KAIJO MAIN UNIT Teaching Pendant
KAIJO MAIN UNIT Teaching Pendant
 348 86  
 
Kensington Laboratories Robot Controller / GOOD CONDITION
Kensington Laboratories Robot Controller / GOOD CONDITION
 1,744 74  
 
Nanometrics FLX Series Operator Interface Display Panel and Keyboard Working
Nanometrics FLX Series Operator Interface Display Panel and Keyboard Working
 1,315 70  
 
Applied Materials Lamp Driver 0015-09091
Applied Materials Lamp Driver 0015-09091
 523 41  
 
Lam Research Lifter Assembly with Bellow 853-031764-002 REV D
Lam Research Lifter Assembly with Bellow 853-031764-002 REV D
 767 68  575 76  
 
VAT 26324-KA11-1001 Pneumatic Angle Valve Reseller Lot of 6 Used Working
VAT 26324-KA11-1001 Pneumatic Angle Valve Reseller Lot of 6 Used Working
 441 53  
 
Brooks Automation 002-9400-20 SERIES 8 ROBOT CONTROLLER
Brooks Automation 002-9400-20 SERIES 8 ROBOT CONTROLLER
 1,744 74  
 
323-0302// Amat Applied 0010-00201 (#2) D i sensor Assy [asis]
323-0302// Amat Applied 0010-00201 (#2) D i sensor Assy [asis]
 261 71  
 
112-0601// Amat Applied 0040-70149 Weldment Arm Source Used
112-0601// Amat Applied 0040-70149 Weldment Arm Source Used
 1,046 85  
 
Leybold Vakuum Display Two
Leybold Vakuum Display Two
 828 75  
 
139-0301// Amat Applied 0190-41508 3155132-013 Navigator-3013 Advanced Rf Asis
139-0301// Amat Applied 0190-41508 3155132-013 Navigator-3013 Advanced Rf Asis
 2,617 12  
 
177-0404// Amat Applied 0050-83271 Applied Matrials Components [asis]
177-0404// Amat Applied 0050-83271 Applied Matrials Components [asis]
 174 47  
 
DEP RING, PVD PROCESS, 300MM ESC, equivalent to 0200-01080
DEP RING, PVD PROCESS, 300MM ESC, equivalent to 0200-01080
 1,134 08  
 
177-0103// Amat Applied 0021-13741 Plenum Water, Upper Chamber, 300mm [used]
177-0103// Amat Applied 0021-13741 Plenum Water, Upper Chamber, 300mm [used]
 104 68  
 
FEI COMPANY SEM P/N 4022 192 9297 Board
FEI COMPANY SEM P/N 4022 192 9297 Board
 392 48  
 
Applied Materials 0010-C8740
Applied Materials 0010-C8740
 510 34  
 
MITSUBISHI Q80BD-J71LP21-25 Interface board
MITSUBISHI Q80BD-J71LP21-25 Interface board
 104 58  
 
Verity SD1024F-2-S PN 1007467, AMAT PN 0190-28658 w/ Front Cables
Verity SD1024F-2-S PN 1007467, AMAT PN 0190-28658 w/ Front Cables
 3,489 49  
 
STEC SEC-4400MC Mass Flow Controller MFC N2, 200 SCCM, 424300
STEC SEC-4400MC Mass Flow Controller MFC N2, 200 SCCM, 424300
 392 57  
 
Tokyo Electron Tel Vat Pfo Maintanace Kit, P/n: 012-011985-1, New
Tokyo Electron Tel Vat Pfo Maintanace Kit, P/n: 012-011985-1, New
 78 51  
 
Amat 0240-44801 Kit, Wafer Loss Sensor Light Pipe Option
Amat 0240-44801 Kit, Wafer Loss Sensor Light Pipe Option
 959 61  
 
ENTEGRIS 6500-T4-F02-B06-M-P2-U1 Integrated Flow controller 0-1250 ml/min
ENTEGRIS 6500-T4-F02-B06-M-P2-U1 Integrated Flow controller 0-1250 ml/min
 2,180 93  
 
Nec Pc-9801bx/u6 32 Bit Cpu , 3 5 Inch Fdd Version Personal Computer
Nec Pc-9801bx/u6 32 Bit Cpu , 3 5 Inch Fdd Version Personal Computer
 1,308 55  
 
Advanced Energy ID 3501 Ion Drive Commonwealth Scientific ID 3501
Advanced Energy ID 3501 Ion Drive Commonwealth Scientific ID 3501
 2,180 93  
 
AMAT 0010-45743 CMP Reflexion GT Platen 2 Assembly , NEW
AMAT 0010-45743 CMP Reflexion GT Platen 2 Assembly , NEW
 3,053 30  
 
TEL Tokyo Electron 3D81-000100-V1 PCB Board TYB61E-1/PS1 T-3044SS Used
TEL Tokyo Electron 3D81-000100-V1 PCB Board TYB61E-1/PS1 T-3044SS Used
 440 69  
 
3TF4022-4M Contactor, 3TF4022-4M / 22E / Siemens
3TF4022-4M Contactor, 3TF4022-4M / 22E / Siemens
 8 72  
 
Amat 0020-79193 Lower Seal Retainer, Loadcup
Amat 0020-79193 Lower Seal Retainer, Loadcup
 136 09  
 
Fei Board 4022 192 71661
Fei Board 4022 192 71661
 174 39  
 
322-0502// Amat Applied 0200-09657 Panel Rear Cbl Liquid Source [used]
322-0502// Amat Applied 0200-09657 Panel Rear Cbl Liquid Source [used]
 104 68  
 
Branson PCB77400D Printed Circuit Board B5200
Branson PCB77400D Printed Circuit Board B5200
 102 57  
 
Lot of 2 AMAT Applied Materials Stepper Drive PCB CME Cards 0100-00003 Rev C
Lot of 2 AMAT Applied Materials Stepper Drive PCB CME Cards 0100-00003 Rev C
 959 61  
 
Bay Pneumatic BP-530-12 PCB Board, BES-5218, 424088
Bay Pneumatic BP-530-12 PCB Board, BES-5218, 424088
 283 52  
 
VALCOM F34G1-SR1/SMS Digital Color Panel Meter // Power-on tested
VALCOM F34G1-SR1/SMS Digital Color Panel Meter // Power-on tested
 200 56  
 
2770) [used] Stec Sec-4500 Mo-suc
2770) [used] Stec Sec-4500 Mo-suc
 218 10  
 
Amat 0010-25976 Textured Roller Assembly, Brush Module
Amat 0010-25976 Textured Roller Assembly, Brush Module
 1,046 85  
 
Amat 0010-10033 Ceramic Wafer Lift , Used
Amat 0010-10033 Ceramic Wafer Lift , Used
 1,483 03  
 
Accretech Re07210-f002-00 Computer(fes),used
Accretech Re07210-f002-00 Computer(fes),used
 1,744 74  
 
Densan Dve-sh7604 (9271) Vme Board
Densan Dve-sh7604 (9271) Vme Board
 2,442 64  
 
MKS 1160B-05000SV MFC, Mass Flow Controller, N2, 5000 SCCM, 421906
MKS 1160B-05000SV MFC, Mass Flow Controller, N2, 5000 SCCM, 421906
 654 28  
 
Equipe Technologies 2-08-1013 Pre-aligner Interface Assembly Rev B
Equipe Technologies 2-08-1013 Pre-aligner Interface Assembly Rev B
 1,919 22  
 
0010-19312 Gear Assembly Sealed Bearings, Brush Module W/ 0010-05610
0010-19312 Gear Assembly Sealed Bearings, Brush Module W/ 0010-05610
 7,851 35  
 
Tylan FC-260, MFC, Gas : N2, Range : 1 SLPM
Tylan FC-260, MFC, Gas : N2, Range : 1 SLPM
 174 47  
 
(RVSI) Technology 80  5641B 901124/E & 24-B 900861/C attached (4)
(RVSI) Technology 80 5641B 901124/E & 24-B 900861/C attached (4)
 173 60  
 
FUJIKIN PNEUMATIC VALVE OP 0 39~0 59MPa TYPE N C
FUJIKIN PNEUMATIC VALVE OP 0 39~0 59MPa TYPE N C
 125 62  
 
LAM Research 719-040482-545 RF MATCH
LAM Research 719-040482-545 RF MATCH
 1,134 07  
 
Temperature Controller 0190-01905 w/Watlow Anafaze CLS204 Amat Centura Loadlock
Temperature Controller 0190-01905 w/Watlow Anafaze CLS204 Amat Centura Loadlock
 4,274 62  
 
Spectra-Physics Remote Control 2670
Spectra-Physics Remote Control 2670
 174 47  
 
NOVELLUS LOAD ARM, C2 Assy
NOVELLUS LOAD ARM, C2 Assy
 3,925 67  
 
Amat 0090-00962 Cable Assy, Press Transducer -14 7-15, Z, Used
Amat 0090-00962 Cable Assy, Press Transducer -14 7-15, Z, Used
 314 05  
 
Lrc 810-370066-001 Lam Research Pcb Card
Lrc 810-370066-001 Lam Research Pcb Card
 1,483 03  
 
VARIAN E19285040 MANIFOLD VV5Q11-02-DAI00046 W/ VQ1101-5 x2
VARIAN E19285040 MANIFOLD VV5Q11-02-DAI00046 W/ VQ1101-5 x2
 479 80  
 
Brooks Automation 002-6878-02 Interface Board - Rev 2
Brooks Automation 002-6878-02 Interface Board - Rev 2
 191 92  
 
Amat 0090-77160 Diw Movable Shield Front Sw
Amat 0090-77160 Diw Movable Shield Front Sw
 305 33  
 
MEGACERA Used CSHE-4111S,RS-HY010 SEM-I-1054=9A4B
MEGACERA Used CSHE-4111S,RS-HY010 SEM-I-1054=9A4B
 697 81  
 
TEL Tokyo Electron 3D86-005146-V1 RF Cable 2MHz 70 Foot 21 Meter Copper Cu Used
TEL Tokyo Electron 3D86-005146-V1 RF Cable 2MHz 70 Foot 21 Meter Copper Cu Used
 442 42  
 
NEW Applied Materials/AMAT 0041-09961 Support Plate Valve 300mm Producer SE
NEW Applied Materials/AMAT 0041-09961 Support Plate Valve 300mm Producer SE
 157 02  
 
SCP 1100 Quick Dump Rinser Contol Panel 3260671 L
SCP 1100 Quick Dump Rinser Contol Panel 3260671 L
 170 97  
 
Novellus Type 27-459908-00 Brass Gasket Conflat 2 420" OD 2 020" ID (Pack Of 2)
Novellus Type 27-459908-00 Brass Gasket Conflat 2 420" OD 2 020" ID (Pack Of 2)
 14 39  
 
Nikon  Nsr Patlite Lme-fbw
Nikon Nsr Patlite Lme-fbw
 104 68  
 
Applied Materials AMAT Through Beam Wafer, Keyence, 2164587, 0090-A0016
Applied Materials AMAT Through Beam Wafer, Keyence, 2164587, 0090-A0016
 1,068 66  
 
Panasonic ADKB100BPFADH Servo Driver Vertron DD803V Used Working
Panasonic ADKB100BPFADH Servo Driver Vertron DD803V Used Working
 436 29  
 
0190-07964 ,dc24m-z141300110a / Pwrsp 40kw 480vac Eni Master(20kw) Dnet/ Amat
0190-07964 ,dc24m-z141300110a / Pwrsp 40kw 480vac Eni Master(20kw) Dnet/ Amat
 5,235 08  
 
Applied Material 0020-04038 RF Match Box Plate AMAT Chamber Box Plate
Applied Material 0020-04038 RF Match Box Plate AMAT Chamber Box Plate
 146   
 
Amat 0090-77084 Rr Pressure Transducer
Amat 0090-77084 Rr Pressure Transducer
 348 95  
 
20 Count Crystalwise Technology Wafer 4" Sapphire 2 Degree 0 65 mm 9A420A650E001
20 Count Crystalwise Technology Wafer 4" Sapphire 2 Degree 0 65 mm 9A420A650E001
 174 47  
 
Verteq 1081852 Board 8201 I/O Hardened
Verteq 1081852 Board 8201 I/O Hardened
 174 46  
 
330-0401// Amat Applied 0021-09730 Cover, Molded, Pumping Plate, Dxz Used
330-0401// Amat Applied 0021-09730 Cover, Molded, Pumping Plate, Dxz Used
 1,308 56  
 
KOSMA 110-4E1-F11/ Mod 110M 6F-F11 445
KOSMA 110-4E1-F11/ Mod 110M 6F-F11 445
 104 68  
 
Ultratech Stepper 03-20-00954 Stepper Motor MUX Board PCB Card Used Working
Ultratech Stepper 03-20-00954 Stepper Motor MUX Board PCB Card Used Working
 529 69  
 
Applied Materials Dual Filament Hot Ion Gauge W/sensor 0190-48891
Applied Materials Dual Filament Hot Ion Gauge W/sensor 0190-48891
 174 47  
 
MKS 127A-11014 BARATRON PRESSURE TRANSDUCER 0 1 Torr
MKS 127A-11014 BARATRON PRESSURE TRANSDUCER 0 1 Torr
 436 19  
 
Svg Ltn-19330-01
Svg Ltn-19330-01
 609 79  
 
350-0102// Amat Applied 0205-01874 Applied Matrials Components [used]
350-0102// Amat Applied 0205-01874 Applied Matrials Components [used]
 157 03  
 
AMAT Applied Materials CPU PCB, NO EPROM'S, P/N 03-81817-00, NOS
AMAT Applied Materials CPU PCB, NO EPROM'S, P/N 03-81817-00, NOS
 538 25  430 60  
 
Amat 0010-28497 Head Assy, Low Df Pad Conditioner, Reflexion Lk
Amat 0010-28497 Head Assy, Low Df Pad Conditioner, Reflexion Lk
 6,542 79  
 
321-0103// Idc Pt-app001 Idc Liner Motor [asis]
321-0103// Idc Pt-app001 Idc Liner Motor [asis]
 348 95  
 
ASM 1175-057-01 Rev  A0 Susceptor - Runout/Wobble Jig
ASM 1175-057-01 Rev  A0 Susceptor - Runout/Wobble Jig
 102 57  
 
Lam Research 853-001142-001 Automatch Controller
Lam Research 853-001142-001 Automatch Controller
 834 14  
 
EBARA PRECISION - 1/4" Male Connector - C-2210-606-0001 (UK)
EBARA PRECISION - 1/4" Male Connector - C-2210-606-0001 (UK)
 3   
 
HITACHI HIGH TECHNOLOGIES FIELDING - SPM Sensor Retaining Bracket (UK) 554-5082
HITACHI HIGH TECHNOLOGIES FIELDING - SPM Sensor Retaining Bracket (UK) 554-5082
 3   
 
FAS Technologies TR39000F-FE-R Drawings Volume 1 Circa 1998
FAS Technologies TR39000F-FE-R Drawings Volume 1 Circa 1998
 41 85  
 
Hivertec,inc Motion Controller Board HCPCI-MNT720M, Free shipping
Hivertec,inc Motion Controller Board HCPCI-MNT720M, Free shipping
 366 31  
 
135-0101// Amat Applied 0010-26093 0020-01999 0020-04622 0020-62155 [asis]
135-0101// Amat Applied 0010-26093 0020-01999 0020-04622 0020-62155 [asis]
 4,361 86  
 
0040-76957 Splash Guard Drive Side Brush Module 200/300mm
0040-76957 Splash Guard Drive Side Brush Module 200/300mm
 523 42  
 
Lam Research 810-017003-004 Dip, High Frequency TCp 9600 PCB Assy  REV  E2
Lam Research 810-017003-004 Dip, High Frequency TCp 9600 PCB Assy  REV  E2
 1,439 41  
 
Uthe Technology 10g Power Logicon Generator Controller  *30DAY ROR*
Uthe Technology 10g Power Logicon Generator Controller *30DAY ROR*
 261 71  
 
Cable 35-00000302 REV A 08 03
Cable 35-00000302 REV A 08 03
 47 11  
 
Applied Materials AMAT Chemraz O-Ring, 3700-02352
Applied Materials AMAT Chemraz O-Ring, 3700-02352
 458   
 
LT Ultra Precision Bending Unit BU100/K SOW-BLT-IL-004 Mount Rev 1 2 w/ warranty
LT Ultra Precision Bending Unit BU100/K SOW-BLT-IL-004 Mount Rev 1 2 w/ warranty
 2,529 88  
 
Lam Research AUTOETCH 490/590 EXIT LIFTER ASSY  853-4109-1
Lam Research AUTOETCH 490/590 EXIT LIFTER ASSY  853-4109-1
 741 52  593 21  
 
Tokyo Electron E280-000022-13 Digital Graphic Touch Panel Ut3-tln7-a , Used
Tokyo Electron E280-000022-13 Digital Graphic Touch Panel Ut3-tln7-a , Used
 1,483 03  
 
Pneutronics 990-4767-001 Euro-backplane Board 990-004767-001
Pneutronics 990-4767-001 Euro-backplane Board 990-004767-001
 2,180 93  
 
Varian Semiconductor VSEA E11086971 Signal Tower Controller Rev  D Working Spare
Varian Semiconductor VSEA E11086971 Signal Tower Controller Rev  D Working Spare
 1,577 36  
 
mbraun mca psp-counter
mbraun mca psp-counter
 348 94  
 
Asm 02-333409d01 Assy-hyb Cass-pass Thru-vac-semitrn
Asm 02-333409d01 Assy-hyb Cass-pass Thru-vac-semitrn
 878 64  
 
Levitronix BSM-1 4 Pump Motor
Levitronix BSM-1 4 Pump Motor
 567 04  
 
129-0301// AMAT APPLIED 0100-09003 wPCB ASSY, VME 7710 USE USED
129-0301// AMAT APPLIED 0100-09003 wPCB ASSY, VME 7710 USE USED
 1,744 74  
 
320-0302// Amat Applied 0190-24116 Assy  Pcb, Cdn396r, A-i/o, 300mm Endura Used
320-0302// Amat Applied 0190-24116 Assy  Pcb, Cdn396r, A-i/o, 300mm Endura Used
 1,046 85  
 
Asm 04-143748-01 Kit-system Wiring-fei-e3220
Asm 04-143748-01 Kit-system Wiring-fei-e3220
 442 45  
 
Amat 1040-01180 Meter Instr Model Nk (without Load Cell)
Amat 1040-01180 Meter Instr Model Nk (without Load Cell)
 610 66  
 
101927001 /gasbox Interface Controller / Varian
101927001 /gasbox Interface Controller / Varian
 829 47  
 
2-39-67880 / Arm Ceramic 200mm Sk-2000 Ifb / Dns Dai Nippon Screen
2-39-67880 / Arm Ceramic 200mm Sk-2000 Ifb / Dns Dai Nippon Screen
 981 43  
 
MEGACERA Used CSHE-4511F,RF-HZN002 AH-9002 input port damaged SEM-I-1058=9A4B
MEGACERA Used CSHE-4511F,RF-HZN002 AH-9002 input port damaged SEM-I-1058=9A4B
 610 57  
 
Amat 0090-00673 Assembly, Enhanced Rotation Rpm Fault Detector , Used
Amat 0090-00673 Assembly, Enhanced Rotation Rpm Fault Detector , Used
 1,657 51  
 
National Instruments 180665-03 GPIB-SCSI Drive Lot of 9 Used Working
National Instruments 180665-03 GPIB-SCSI Drive Lot of 9 Used Working
 398 78  
 
Amat B12062006-59426 Pneu Manf Ch Y Smc Ex160-snd1a
Amat B12062006-59426 Pneu Manf Ch Y Smc Ex160-snd1a
 479 80  
 
Newport Kensington 4000A Servo Positioning Controller (used working)
Newport Kensington 4000A Servo Positioning Controller (used working)
 1,308 56  915   
 
Bir-030083 / Io Pe Board T5377 / Advantest
Bir-030083 / Io Pe Board T5377 / Advantest
 1,047 56  
 
0010-13625 / Weldment Heater Pedestal  Assy 6 B101 Rev 2 1 / Amat
0010-13625 / Weldment Heater Pedestal Assy 6 B101 Rev 2 1 / Amat
 13,085 81  
 
Ae Navigator-3013  /  3155132-008a  Rf Match
Ae Navigator-3013 / 3155132-008a Rf Match
 3,925 67  
 
MFCDNET2-MB/A0 D2E01502 MFCDNET2-MB/B0 PCB -30 Day Warranty ??
MFCDNET2-MB/A0 D2E01502 MFCDNET2-MB/B0 PCB -30 Day Warranty ??
 1,307 69  
 
Lam Research 853-012550-001-D Wafer Shuttle Assembly Used Working
Lam Research 853-012550-001-D Wafer Shuttle Assembly Used Working
 704 98  
 
Yaskawa Sgm-01u3b4cl Ac Servo Motor W/ Encoder
Yaskawa Sgm-01u3b4cl Ac Servo Motor W/ Encoder
 654 28  
 
9611) [used] Bg9-3502 Bh8-0672-03
9611) [used] Bg9-3502 Bh8-0672-03
 323 64  
 
4123) [used] Glentek Smc9915-201-000-1d-1
4123) [used] Glentek Smc9915-201-000-1d-1
 338 50  
 
790-097959-012 / Astex Sapphire Cps Applicator Fi30049 / Lam Research
790-097959-012 / Astex Sapphire Cps Applicator Fi30049 / Lam Research
 6,543 65  
 
Varian E11292270 Analog / Digital I/O Interface
Varian E11292270 Analog / Digital I/O Interface
 512 95  
 
Arc - Action Research Corporation 150
Arc - Action Research Corporation 150
 218 09  
 
OKANO WORKS, LTD  DMP200N12 MANOMETER  /  Free International Shipping
OKANO WORKS, LTD  DMP200N12 MANOMETER / Free International Shipping
 313 18  
 
AMAT Applied Materials 0041-02329 300mm ESC Ring
AMAT Applied Materials 0041-02329 300mm ESC Ring
 435 31  
 
178-0203// Westinghouse Kt3100t Trip Unit [used]
178-0203// Westinghouse Kt3100t Trip Unit [used]
 174 47  
 
Genus Board 2299-01 Digital Isolator FAB 2290-00
Genus Board 2299-01 Digital Isolator FAB 2290-00
 144 44  
 
130-0301// AMAT APPLIED 0100-00008 w PCB TC GAUGE USED
130-0301// AMAT APPLIED 0100-00008 w PCB TC GAUGE USED
 261 71  
 
Powerwave 7070 30 MCU Master Control Unit
Powerwave 7070 30 MCU Master Control Unit
 51 30  
 
Shimadzu Vacuum Turbopump TMP-1303LMC,EI-D1303M
Shimadzu Vacuum Turbopump TMP-1303LMC,EI-D1303M
 7,414 29  
 
16/4 PCB 030 REV 3 M-NO 770000331 S/N 7C8026 P/N 200030300 Card
16/4 PCB 030 REV 3 M-NO 770000331 S/N 7C8026 P/N 200030300 Card
 31 25  
 
Tescom Regulator 44-2262-A41
Tescom Regulator 44-2262-A41
 157 03  
 
Disco Hi-Tec DUDD3080E01-0 DRIVER 2P STEP
Disco Hi-Tec DUDD3080E01-0 DRIVER 2P STEP
 218 09  
 
134-0401// Pfeiffer Tmh260 Tmh 260 Pm P02 130 Pump Used
134-0401// Pfeiffer Tmh260 Tmh 260 Pm P02 130 Pump Used
 872 37  
 
M and W Systems RPC2/28W-RNB Flowrite Recirculating Cooling System Tested As-Is
M and W Systems RPC2/28W-RNB Flowrite Recirculating Cooling System Tested As-Is
 1,135 06  
 
Rheodyne O3-905260-50 Flow Controller 03-905260-50
Rheodyne O3-905260-50 Flow Controller 03-905260-50
 146 56  
 
NEW Fei Jih 80DS-16-125-R1L B Shaft-Model DS-Series Index Drive Multi-Dwell FJC
NEW Fei Jih 80DS-16-125-R1L B Shaft-Model DS-Series Index Drive Multi-Dwell FJC
 806 94  
 
Kla-Tencor, AIT2, Assy 556700
Kla-Tencor, AIT2, Assy 556700
 1,221 32  
 
326-0402// Amat Applied 0140-09103 Harness Assy Current Transformer Chamber Used
326-0402// Amat Applied 0140-09103 Harness Assy Current Transformer Chamber Used
 83 75  
 
Varian 07429001 Anti Rotate Bearing Part - New
Varian 07429001 Anti Rotate Bearing Part - New
 47 10  
 
M E C  Tech MEC81105-208A Clip Support Spacer Reseller Lot of 21 New
M E C  Tech MEC81105-208A Clip Support Spacer Reseller Lot of 21 New
 621 22  
 
Yaskawa Sgmcs-14c3b11 Ac Servo Motor W/ Sgds-04a12a & Cables
Yaskawa Sgmcs-14c3b11 Ac Servo Motor W/ Sgds-04a12a & Cables
 2,180 93  
 
5-39-00802 / Valve Air As-5260-31ciw / Dns Dai Nippon Screen
5-39-00802 / Valve Air As-5260-31ciw / Dns Dai Nippon Screen
 309 73  
 
March Asher Wafer Processing Pallet Plate (excellent condition)
March Asher Wafer Processing Pallet Plate (excellent condition)
 436 19  
 
Applied Materials 0200-24271, Insert, Ceramic Shadow
Applied Materials 0200-24271, Insert, Ceramic Shadow
 52 34  
 
Kla Tencor, Pcb, Illumination Control, Assy  0040110-000
Kla Tencor, Pcb, Illumination Control, Assy  0040110-000
 1,046 85  
 
Amat 3-roller Assembly Brush Module 300mm Reflexion
Amat 3-roller Assembly Brush Module 300mm Reflexion
 7,415 16  
 
AMAT 0020-22584 Resistivity Meter Dot Two
AMAT 0020-22584 Resistivity Meter Dot Two
 196 28  
 
ASM Advanced Semiconductor Materials 2550210-21 Distribution PCB Used Working
ASM Advanced Semiconductor Materials 2550210-21 Distribution PCB Used Working
 308 97  
 
Lam Research 716-031453-001
Lam Research 716-031453-001
 392 57  
 
Brooks 6256S, MFC, Ar / CF : 1000, 200 SCCM
Brooks 6256S, MFC, Ar / CF : 1000, 200 SCCM
 174 47  
 
miControl driver mcDSA-E6-Modul 1505504 Control mc 3144728
miControl driver mcDSA-E6-Modul 1505504 Control mc 3144728
 101 93  
 
Novellus Type 15-112301-00 Used Dark Space Ring Shield Refurbished Thermal Coat
Novellus Type 15-112301-00 Used Dark Space Ring Shield Refurbished Thermal Coat
 606 30  
 
Verteq 1600-55M SRD Controller 1071649-1C5MM
Verteq 1600-55M SRD Controller 1071649-1C5MM
 704 16  
 
SEMITOOL 33072-01 Remote Chemical Delivery Stacked PBC Raider-M
SEMITOOL 33072-01 Remote Chemical Delivery Stacked PBC Raider-M
 523 34  
 
131-0501// Amat Applied 0240-33168 (#2) 0021-09003 Mxp Chamber, Vc Used
131-0501// Amat Applied 0240-33168 (#2) 0021-09003 Mxp Chamber, Vc Used
 1,308 56  
 
Tencor DATA Processing AIT Assy 285714
Tencor DATA Processing AIT Assy 285714
 3,315 01  
 
Therma Wave 21-008057 Rev A Neos Driver 21110-1AME with 30 day warranty
Therma Wave 21-008057 Rev A Neos Driver 21110-1AME with 30 day warranty
 1,657 51  
 
Ulvac Cryogenics Stc-1c Super Trap Temperature Controller
Ulvac Cryogenics Stc-1c Super Trap Temperature Controller
 436 10  
 
Novellus 16-126664-01 PVD Universal Stage Shield, 15 Lb ; cleaned by Cleanpart
Novellus 16-126664-01 PVD Universal Stage Shield, 15 Lb ; cleaned by Cleanpart
 488 52  
 
417031G1 Liebert Emerson PCB Printed Circuit Board Control Board --SA
417031G1 Liebert Emerson PCB Printed Circuit Board Control Board --SA
 165 93  
 
TEL Tokyo Electron 2985-527776-11 Shutter Assembly 8LHP Used Working
TEL Tokyo Electron 2985-527776-11 Shutter Assembly 8LHP Used Working
 315 08  
 
Thermionics 6343/004 Vacuum Gauge 413042
Thermionics 6343/004 Vacuum Gauge 413042
 174 47  
 
KAIJO VME Board CCS-500 free ship
KAIJO VME Board CCS-500 free ship
 225 94  
 
Applied Materials 72007-24 Hose 3/8 Flexible 18 Inch
Applied Materials 72007-24 Hose 3/8 Flexible 18 Inch
 83 75  
 
Delta OHM Digital Pressure Transmitter HD2004T2B5G HD2004T 2B5G 2 5 BAR
Delta OHM Digital Pressure Transmitter HD2004T2B5G HD2004T 2B5G 2 5 BAR
 73 28  
 
KOLLMORGEN AKM11E-ANMNR-00 3 PM SERVOMOTOR Class F
KOLLMORGEN AKM11E-ANMNR-00 3 PM SERVOMOTOR Class F
 88 98  
 
AMAT 0021-19246 Bracket,Loadlock LCF Blankoff ENP
AMAT 0021-19246 Bracket,Loadlock LCF Blankoff ENP
 148 30  
 
Amat 3700-07008 Oring 5 609x0 139 8575 Kalrez  , New
Amat 3700-07008 Oring 5 609x0 139 8575 Kalrez , New
 261 71  
 
545-8847 / Al-1bl, 100-120v 100w 50-60hz / Hitachi
545-8847 / Al-1bl, 100-120v 100w 50-60hz / Hitachi
 3,053 90  
 
GE/Fanuc Genius Bus Interface Unit IC670GBI102E
GE/Fanuc Genius Bus Interface Unit IC670GBI102E
 471 20  
 
FEI Company 18198 Pattern GEN/DAC Board with 14 day warranty
FEI Company 18198 Pattern GEN/DAC Board with 14 day warranty
 1,308 56  
 
AMAT 1040-00073 FLOW METER 5-75cc/min FUTURESTAR 118-00075
AMAT 1040-00073 FLOW METER 5-75cc/min FUTURESTAR 118-00075
 305 33  
 
Ckd Fluerex Water Flow Sensor Wf3010-10-s1 (s/n 0y0374)
Ckd Fluerex Water Flow Sensor Wf3010-10-s1 (s/n 0y0374)
 125 62  
 
716-402608-00 / Pre-aligner Assy Kla 5200 Overlay/ Kla
716-402608-00 / Pre-aligner Assy Kla 5200 Overlay/ Kla
 1,658 08  
 
Amat Gear Assembly, Brush Rotation, Brush Module
Amat Gear Assembly, Brush Rotation, Brush Module
 3,925 67  
 
Rohde Schwarz ZV-Z23  1085 7247 02 TOSM 3 5 mm Cal Kit
Rohde Schwarz ZV-Z23 1085 7247 02 TOSM 3 5 mm Cal Kit
 828 75  
 
Advantest Control Box Trigger Box Controller H3 H3-65068X02
Advantest Control Box Trigger Box Controller H3 H3-65068X02
 174 47  
 
*PREOWNED* Yarbrough Southwest PLCC-K000-00B-0005 CONTROL FUSE 120 VAC 50/60Hz
*PREOWNED* Yarbrough Southwest PLCC-K000-00B-0005 CONTROL FUSE 120 VAC 50/60Hz
 56 70  
 
Ulvac Mb-ⅱ B d D7-a2, Cn I/f D-ⅳ C Card, Used
Ulvac Mb-ⅱ B d D7-a2, Cn I/f D-ⅳ C Card, Used
 697 90  
 
Vip Voltage Current & Power Multimeter Vip-meter Control  Applications
Vip Voltage Current & Power Multimeter Vip-meter Control Applications
 174 47  
 
104-0201// Amat Applied 0010-19899 Assembly Waist, Fixed Wing Upper [used]
104-0201// Amat Applied 0010-19899 Assembly Waist, Fixed Wing Upper [used]
 8,723 72  
 
FLOWELL™ F-LOCK30™ Reducing Union PTFE (UK) 30-6RU4-C-1 SEMICONDUCTOR
FLOWELL™ F-LOCK30™ Reducing Union PTFE (UK) 30-6RU4-C-1 SEMICONDUCTOR
 15   
 
DUPONT Kalrez UltraPure AS568 O-Ring 376 Compound 8900 -T14120543400002 - (UK)
DUPONT Kalrez UltraPure AS568 O-Ring 376 Compound 8900 -T14120543400002 - (UK)
 35   
 
COMIZOA COMI-LX20X V2 0 (PCI Based Analog Input Board)
COMIZOA COMI-LX20X V2 0 (PCI Based Analog Input Board)
 218 01  
 
PREMIUM Power supply Used MOD:0690 12NC ASML 4022 478 013 240W SEM-I-569=8F21
PREMIUM Power supply Used MOD:0690 12NC ASML 4022 478 013 240W SEM-I-569=8F21
 174 39  
 
Optical Standard Plate
Optical Standard Plate
 130 86  
 
Nec Fc-9801b / Model-2 Industrial Computer 80a With Exchange / Nec
Nec Fc-9801b / Model-2 Industrial Computer 80a With Exchange / Nec
 4,318 27  
 
4690) [USED] FINE SUNTRONIX POWER SUPPLY VSF150-24 [2pcs]
4690) [USED] FINE SUNTRONIX POWER SUPPLY VSF150-24 [2pcs]
 136 10  
 
0010-38280 / Assy Drive Fc Wxz Centura W/kalrez / Applied Materials Amat
0010-38280 / Assy Drive Fc Wxz Centura W/kalrez / Applied Materials Amat
 12,668 67  
 
Asyst 3200-1202-01 PCB ASSY w/ 4002-5679-01 4002-5669-01 & Pittman 9236E466
Asyst 3200-1202-01 PCB ASSY w/ 4002-5679-01 4002-5669-01 & Pittman 9236E466
 279 16  
 
123-0501// Fine Esf1500-24 Suntronix Used
123-0501// Fine Esf1500-24 Suntronix Used
 174 47  
 
AXIOTRON General Mains Unit  /   Free Expedited Shipping
AXIOTRON General Mains Unit / Free Expedited Shipping
 1,221 32  
 
Amat 0100-01312 Chamber I/o Distribution Board 300mm Endura
Amat 0100-01312 Chamber I/o Distribution Board 300mm Endura
 1,743 87  
 
112-25326-14 14014 4201-0342-01 119-25326-02 PCB Ass CPU
112-25326-14 14014 4201-0342-01 119-25326-02 PCB Ass CPU
 173 60  
 
119-25914-01 112-25914-16 9828 3286  121-27030-06 control board
119-25914-01 112-25914-16 9828 3286 121-27030-06 control board
 173 60  
 
112-25326-14 15036 4201-0342-01 119-25326-02 PCB Assy IMS graphics
112-25326-14 15036 4201-0342-01 119-25326-02 PCB Assy IMS graphics
 173 60  
 
TEL Tokyo Electron Board TYB62D-1/PS2  3D81-000037-11 for T-3044SS Etcher
TEL Tokyo Electron Board TYB62D-1/PS2 3D81-000037-11 for T-3044SS Etcher
 418 74  251 24  
 
Amat 0040-87416 Roller Welded, Meg Module 300mm
Amat 0040-87416 Roller Welded, Meg Module 300mm
 1,744 74  
 
Lambda LTX Trillium HAL-02-1187 HAL-02-1216 Backplane Power Supply PCB
Lambda LTX Trillium HAL-02-1187 HAL-02-1216 Backplane Power Supply PCB
 95 95  
 
Amat 0190-17846 Pneumatic Station Np420-dn1
Amat 0190-17846 Pneumatic Station Np420-dn1
 1,308 56  
 
Pentagon Technologies 233-3055-15 Fluted Ring Anelva PVD System New
Pentagon Technologies 233-3055-15 Fluted Ring Anelva PVD System New
 265 29  
 
Assy, Pcb Dual Gas Leak Detector Amat 0090-05327 Equivalent *usa Seller*
Assy, Pcb Dual Gas Leak Detector Amat 0090-05327 Equivalent *usa Seller*
 1,621 30  
 
Ae Navigator-1013  /  3155126-018 A  Rf Match
Ae Navigator-1013 / 3155126-018 A Rf Match
 2,616 24  
 
Amat 0100-09099 Rev e Chamber Interconnect Bd
Amat 0100-09099 Rev e Chamber Interconnect Bd
 217 22  
 
KAIJO VME Board MIO-500 free ship
KAIJO VME Board MIO-500 free ship
 205 01  
 
Horiba Stec SEC-Z313M Option MR  MG-05 GAS N2 Warranty
Horiba Stec SEC-Z313M Option MR  MG-05 GAS N2 Warranty
 129 98  
 
Empak Ultrapak PX9200-02 Wafer Carrier 200mm 25 Place w/ Box
Empak Ultrapak PX9200-02 Wafer Carrier 200mm 25 Place w/ Box
 42 90  
 
Amat 0100-77043 Assy, Dual Wafer Loss Pcb W/ 0020-79103
Amat 0100-77043 Assy, Dual Wafer Loss Pcb W/ 0020-79103
 348 95  
 
Veeco Commonwealth Mark II Ion Source mount with tabs
Veeco Commonwealth Mark II Ion Source mount with tabs
 104 68  
 
[Used] MKS, ASTEX / AX3076 / SMART MATCH
[Used] MKS, ASTEX / AX3076 / SMART MATCH
 3,923 93  
 
007-0201// Mks Fi80133 Astronex Controller [asis]
007-0201// Mks Fi80133 Astronex Controller [asis]
 10,468 46  
 
Parker Bayside GR090-X1X1X-001 Gear Motor
Parker Bayside GR090-X1X1X-001 Gear Motor
 795 60  676 26  
 
ASML Used 4022 437 602, 4022 453 37413 SEM-I-544=6B46
ASML Used 4022 437 602, 4022 453 37413 SEM-I-544=6B46
 523 34  
 
Clarostat P32011, Skan-A-Matic Sensor Assembly, Gasonics 73336-02, 452128
Clarostat P32011, Skan-A-Matic Sensor Assembly, Gasonics 73336-02, 452128
 99 45  
 
AMAT Applied Materials 0010-11491 001 Heater *used working, clean surface*
AMAT Applied Materials 0010-11491 001 Heater *used working, clean surface*
 2,617 12  1,831 98  
 
Amat 0010-27175 Swll A Endura Md Indexer , New
Amat 0010-27175 Swll A Endura Md Indexer , New
 6,542 79  
 
Applied Materials Amat Dps Centura Rasco Chiller Heat Exch Wtc-d182-amj
Applied Materials Amat Dps Centura Rasco Chiller Heat Exch Wtc-d182-amj
 5,234 23  
 
853-049542-171 / Pcb Controller 810-028295-171 Rev b 710-028296-150 / Lam
853-049542-171 / Pcb Controller 810-028295-171 Rev b 710-028296-150 / Lam
 757 81  
 
4432) [used] Twa-6903 No1 Measure-i/o 94
4432) [used] Twa-6903 No1 Measure-i/o 94
 444 92  
 
Amat 0010-23796 Brush Mount Assy, Q c 4 3, Drive, Brush Module 200mm(3920-00490)
Amat 0010-23796 Brush Mount Assy, Q c 4 3, Drive, Brush Module 200mm(3920-00490)
 4,274 62  
 
Amat 0040-80379 Splash Guard Flow Thru Side Brush Module 200/300mm
Amat 0040-80379 Splash Guard Flow Thru Side Brush Module 200/300mm
 523 42  
 
00473696, Ems Power Supply (lambda) Ems
00473696, Ems Power Supply (lambda) Ems
 3,049 79  
 
Matrox Electronics Systems Std-2480-as
Matrox Electronics Systems Std-2480-as
 109 05  
 
Performance Technologies  PT-SBS 915 VME PCB Board
Performance Technologies PT-SBS 915 VME PCB Board
 260 84  
 
FSI International 290104-400 Pneumatic Chemfill Interface Board PCB Rev  D AS-IS
FSI International 290104-400 Pneumatic Chemfill Interface Board PCB Rev  D AS-IS
 439 83  
 
CORECO IMAGING  PCI Frame Grabber Board  OC-BAN0-AC040,  OC-VIPB-PD001
CORECO IMAGING PCI Frame Grabber Board OC-BAN0-AC040, OC-VIPB-PD001
 218 01  
 
COSEL PAA600F-3 AC/DC Power Supply Single-OUT 3V 120A 360W , USED
COSEL PAA600F-3 AC/DC Power Supply Single-OUT 3V 120A 360W , USED
 218 09  
 
QualiTau P/N 77N11003A 150C Oven DUT Bd For D36 Adapters
QualiTau P/N 77N11003A 150C Oven DUT Bd For D36 Adapters
 174 47  
 
1110-01046 / Ntwrk Match 12 56mhz 2 5kw Azx 90 Dome R Ae 3155086-002 / Amat
1110-01046 / Ntwrk Match 12 56mhz 2 5kw Azx 90 Dome R Ae 3155086-002 / Amat
 6,539 27  
 
Telemecanuque  Pzd-r11 Regulatorul
Telemecanuque Pzd-r11 Regulatorul
 88 98  
 
Varian 1103780 1103781 1103782 Communication Pcb
Varian 1103780 1103781 1103782 Communication Pcb
 103 64  
 
Kokusai Converter Spconv10a Rev: A
Kokusai Converter Spconv10a Rev: A
 104 68  
 
AMAT 0190-35426  080 Sapphire Bonded to FE
AMAT 0190-35426  080 Sapphire Bonded to FE
 161 39  
 
135-0201// Amat Applied 0010-24405 (#1) 0021-26854 0021-26855 0021-26857 [asis]
135-0201// Amat Applied 0010-24405 (#1) 0021-26854 0021-26855 0021-26857 [asis]
 8,723 72  
 
135-0201// Amat Applied 0010-24405 0021-27122 0021-26854 0021-26855 [asis]
135-0201// Amat Applied 0010-24405 0021-27122 0021-26854 0021-26855 [asis]
 8,723 72  
 
SMC PSE560-01 Sensor General Fluid Switch
SMC PSE560-01 Sensor General Fluid Switch
 38 73  30   
 
KAIJO VME Board SVZ-502 free ship
KAIJO VME Board SVZ-502 free ship
 287 01  
 
1106005 / Varian Accel Column / Varian
1106005 / Varian Accel Column / Varian
 3,446 72  
 
Airco Temescal Auto Sequence Controller
Airco Temescal Auto Sequence Controller
 436 19  
 
Econodrive Service-Modus 82398 SW 84912 V1 1 free ship
Econodrive Service-Modus 82398 SW 84912 V1 1 free ship
 609 79  
 
Dynax F104-IO/1 In Out I/O Interface Board PCB DNX5191
Dynax F104-IO/1 In Out I/O Interface Board PCB DNX5191
 130 86  
 
Nikon 4S017- 536 I EP - DRV Board
Nikon 4S017- 536 I EP - DRV Board
 436 10  
 
Ae Navigator-1013  /  3155126-042  A   Rf Match
Ae Navigator-1013 / 3155126-042 A Rf Match
 3,053 30  
 
KLA Tencor 5200 SMIF Loader Assy KLA 5200 Overlay Inspection System *for parts
KLA Tencor 5200 SMIF Loader Assy KLA 5200 Overlay Inspection System *for parts
 1,744 74  1,221 32  
 
Asyst Technologies ATR 9100 7500-1106-01 Rev C Hand Tethered RDR Scanner
Asyst Technologies ATR 9100 7500-1106-01 Rev C Hand Tethered RDR Scanner
 232 40  
 
New TC Lufran Probe 1/4 Sheath Part Number RTD-10-SP AMAT DL Controls
New TC Lufran Probe 1/4 Sheath Part Number RTD-10-SP AMAT DL Controls
 62 80  
 
Ae Navigator-1013  /  3155126-014 A  Rf Match
Ae Navigator-1013 / 3155126-014 A Rf Match
 3,053 30  
 
Hitachi Zosen HIMV-924A2  VME Board
Hitachi Zosen HIMV-924A2 VME Board
 260 84  
 
Nippon Electric Glass Material Displays Oa-10g  Glass Size 152 4-7 1830a Pol
Nippon Electric Glass Material Displays Oa-10g Glass Size 152 4-7 1830a Pol
 383 84  
 
Amat 0021-11057 Sst Seal Clamp, Head Assy, Pad Condition
Amat 0021-11057 Sst Seal Clamp, Head Assy, Pad Condition
 218 09  
 
Asm Avx B3 Susceptor Heater Assy 73050-70271, 105556700-002
Asm Avx B3 Susceptor Heater Assy 73050-70271, 105556700-002
 2,067 52  
 
Kaijo 78101 Ultrasonic Generator 600w
Kaijo 78101 Ultrasonic Generator 600w
 3,489 49  
 
Zirconium sputtering target Zr 99 9% 2" diameter x 0 25" thick: ACI ALLOYS
Zirconium sputtering target Zr 99 9% 2" diameter x 0 25" thick: ACI ALLOYS
 218 09  
 
177-0403// Amat Applied 0050-88510 0190-22851 Applied Matrials Components [used]
177-0403// Amat Applied 0050-88510 0190-22851 Applied Matrials Components [used]
 348 95  
 
177-0403// Amat Applied 0050-87467 0190-22850 Applied Matrials Components [used]
177-0403// Amat Applied 0050-87467 0190-22850 Applied Matrials Components [used]
 348 95  
 
Lam Research 12350-2-D-00622135 Outer Gate Assembly 4420 Used Working
Lam Research 12350-2-D-00622135 Outer Gate Assembly 4420 Used Working
 966 69  
 
KELK/Komatsu KHP-3002 Semiconductor Wafer Liquid Temperature Control Heat Plate
KELK/Komatsu KHP-3002 Semiconductor Wafer Liquid Temperature Control Heat Plate
 457 98  
 
IDEC Corporation PS5R-SF24 Power Supply Output 24vdc 5a Used Cut Out (E49)
IDEC Corporation PS5R-SF24 Power Supply Output 24vdc 5a Used Cut Out (E49)
 51 91  
 
AMAT 0020-10122 PLATE PERF 125MM Oxide
AMAT 0020-10122 PLATE PERF 125MM Oxide
 854 92  
 
E17082791 / Pick - Left Hand 200mm / Varian
E17082791 / Pick - Left Hand 200mm / Varian
 1,605 16  
 
162-02-009 / Control Thermocouple / Atmi
162-02-009 / Control Thermocouple / Atmi
 291 84  
 
Motion Engineering 9701-1801-01  Pcb 1007-0085 Rev B2 Board
Motion Engineering 9701-1801-01 Pcb 1007-0085 Rev B2 Board
 392 57  
 
350-0203// Amat Applied 0020-29960 Cover Insulator,comer Vac Cap [used]
350-0203// Amat Applied 0020-29960 Cover Insulator,comer Vac Cap [used]
 31 41  
 
Amat 0010-70836 Drive Assembly Single Wafer Loadlock , Used
Amat 0010-70836 Drive Assembly Single Wafer Loadlock , Used
 697 90  
 
Delvo Dlv7339-bme
Delvo Dlv7339-bme
 87 23  
 
SEREN HR601 9600920004 / Free international Shipping
SEREN HR601 9600920004 / Free international Shipping
 1,570 27  
 
NEW Applied Materials AMAT 0150-18132 Cable Assembly
NEW Applied Materials AMAT 0150-18132 Cable Assembly
 244 24  
 
Watlow Anafaze TMB Board 31060-00 REV C D/C 0651 0210-0310-6100
Watlow Anafaze TMB Board 31060-00 REV C D/C 0651 0210-0310-6100
 261 70  
 
NK DLTF-420-24L-U-SP 0-400A DC Current Transformer
NK DLTF-420-24L-U-SP 0-400A DC Current Transformer
 43 61  
 
Applied Materials Ceramic Insulator 200mm Hdpcvd 0200-18067
Applied Materials Ceramic Insulator 200mm Hdpcvd 0200-18067
 2,180 92  
 
FUJIKIN PNEUMATIC VALVE OP 0 39~0 59MPa TYPE N C
FUJIKIN PNEUMATIC VALVE OP 0 39~0 59MPa TYPE N C
 139 47  
 
Bruce 3130033 Relay Board, PCB Assembly, Working When Removed
Bruce 3130033 Relay Board, PCB Assembly, Working When Removed
 196 28  
 
Varían Titanium Sublimation Pump Control Unit Model 922-0032 UNTESTED AS IS #SA
Varían Titanium Sublimation Pump Control Unit Model 922-0032 UNTESTED AS IS #SA
 267 77  206 19  
 
SMC High Purity Fluororesin Space Save Union Elbow 1/2" x 3/8"  (UK) LQ3E4A-S
SMC High Purity Fluororesin Space Save Union Elbow 1/2" x 3/8" (UK) LQ3E4A-S
 45   
 
Tokyo Electron Tel Analog Comparater Display Board 1381-658719-11
Tokyo Electron Tel Analog Comparater Display Board 1381-658719-11
 130 86  
 
Trazar Srn2 / 02-255013-00  Fixed Match
Trazar Srn2 / 02-255013-00 Fixed Match
 2,006 46  
 
Adixen MAG POWER 796-046752-003 Turbo Controller
Adixen MAG POWER 796-046752-003 Turbo Controller
 5,233 36  
 
317-0301// Amat Applied 0050-91046 Applied Matrials Components [asis]
317-0301// Amat Applied 0050-91046 Applied Matrials Components [asis]
 872 37  
 
128-0903// Amat Applied 3700-02926 (2ea) Oring Id 14 475 Csd  275 Vit 70duro New
128-0903// Amat Applied 3700-02926 (2ea) Oring Id 14 475 Csd  275 Vit 70duro New
 83 75  
 
AMAT Applied Materials 0010-16577 TC FILTER MODULE Centura Enabler ASSEMBLY 002
AMAT Applied Materials 0010-16577 TC FILTER MODULE Centura Enabler ASSEMBLY 002
 1,089 59  762 71  
 
96251-102 Motor With Plate
96251-102 Motor With Plate
 125 62  
 
Applied Materials 19-053642-00 REV F
Applied Materials 19-053642-00 REV F
 157 03  
 
Applied Materials 0190-A3320
Applied Materials 0190-A3320
 83 75  
 
Kokusai Converter D3E01432 SPCONV10A
Kokusai Converter D3E01432 SPCONV10A
 88 98  
 
Amat 0200-a6470
Amat 0200-a6470
 83 75  
 
Brooks TLG-I1-1000-S0-00EB Hermos TLG-RS232 Transponder Reader ASC-I1
Brooks TLG-I1-1000-S0-00EB Hermos TLG-RS232 Transponder Reader ASC-I1
 125 62  
 
LTX Trillium Test System EBM Fan System 853-2575-02 10 Fans Used
LTX Trillium Test System EBM Fan System 853-2575-02 10 Fans Used
 348 94  
 
AMAT 0620-02707, N/F Power Cable Assembly  417077
AMAT 0620-02707, N/F Power Cable Assembly  417077
 218 09  
 
Melles Griot Large Dynamic Range Amplifier, 7201-0101, Shipsameday
Melles Griot Large Dynamic Range Amplifier, 7201-0101, Shipsameday
 183 80  
 
8043083g125  /  3/4" Helium Line 10 Ft /  Cti
8043083g125 / 3/4" Helium Line 10 Ft / Cti
 218 32  
 
Ckd Board 0306-006-a  Isa
Ckd Board 0306-006-a Isa
 218 01  
 
Panel-Tec Operator Terminal ST2000 REL Rev  3 60R Used Working
Panel-Tec Operator Terminal ST2000 REL Rev  3 60R Used Working
 311 54  
 
Applied Materials 3060-01133 AMAT Ball Bearing (Pack Of 2)
Applied Materials 3060-01133 AMAT Ball Bearing (Pack Of 2)
 15 70  
 
Crossing Automation 3200-4454 PCB
Crossing Automation 3200-4454 PCB
 146 56  
 
FEI Company SC-1 Stage Controller with 14 day warranty
FEI Company SC-1 Stage Controller with 14 day warranty
 1,657 51  
 
ADVANCED ENERGY  Apex 1513   RF GENERATOR  3156110-004A
ADVANCED ENERGY Apex 1513 RF GENERATOR 3156110-004A
 4,360   
 
Cabletron Systems MT-800 With Lanview Ethernet Multiport Transceiver Unit
Cabletron Systems MT-800 With Lanview Ethernet Multiport Transceiver Unit
 261 70  
 
849-023686-004 / Kit, Rot, Cat 3,qtz,mwave Strpr / Lam Research Corporation
849-023686-004 / Kit, Rot, Cat 3,qtz,mwave Strpr / Lam Research Corporation
 3,490 08  
 
Festo Fbs-sub-9-bu-2x5pol-b 532219
Festo Fbs-sub-9-bu-2x5pol-b 532219
 52 34  
 
6 KNS Dicing Chuck Table Refurbished
6 KNS Dicing Chuck Table Refurbished
 872 36  
 
YASKAWA ERCR-ND10-C004 Robot Controller
YASKAWA ERCR-ND10-C004 Robot Controller
 1,308 47  
 
Amat 0020-27207 Clamp Ring 6 Smf Padded Hthu Htr, Used
Amat 0020-27207 Clamp Ring 6 Smf Padded Hthu Htr, Used
 959 61  
 
Ion System 5200-IM6T Interface 5225 AeroBar, 3 sets *new surplus
Ion System 5200-IM6T Interface 5225 AeroBar, 3 sets *new surplus
 2,180 93  
 
MPM Magnetic Board Support Tooling Pin - Lot of 5
MPM Magnetic Board Support Tooling Pin - Lot of 5
 109 05  
 
KAIJO VME Board HCS-500 free ship
KAIJO VME Board HCS-500 free ship
 287 01  
 
TEL Tokyo Electron 3D10-201599-V1 Focus Ring New
TEL Tokyo Electron 3D10-201599-V1 Focus Ring New
 174 54  
 
105195001 / Xp Current Integrator / Varian
105195001 / Xp Current Integrator / Varian
 8,288 30  
 
Oriel 77501 Fiber Optic Illuminator *used working
Oriel 77501 Fiber Optic Illuminator *used working
 174 47  
 
ROTEC VISION COMPACT VC1 21-CZAA-0006 free ship
ROTEC VISION COMPACT VC1 21-CZAA-0006 free ship
 574 89  
 
MicroTool Tech EL-Series High Resolution Electronic Level Wafer W/Junction Box
MicroTool Tech EL-Series High Resolution Electronic Level Wafer W/Junction Box
 523 41  
 
SBC industrial board SBC8163 Rev A2 & ATX6022/14 REV A5 free ship
SBC industrial board SBC8163 Rev A2 & ATX6022/14 REV A5 free ship
 205 01  
 
Amat 0190-77181 Scrubber, Servomotor, Brush Position Sgmp-01u314m Sgmp-01u3ap08
Amat 0190-77181 Scrubber, Servomotor, Brush Position Sgmp-01u314m Sgmp-01u3ap08
 601 94  
 
Tokyo keiso MFC TC-1100 N2 5kgf/cm2G
Tokyo keiso MFC TC-1100 N2 5kgf/cm2G
 156 92  
 
Canon BH8-2264-01 PCB BOARD
Canon BH8-2264-01 PCB BOARD
 697 03  
 
AMAT 0020-29367 Upper 8" Clamped Screwless Shield 1 5:1
AMAT 0020-29367 Upper 8" Clamped Screwless Shield 1 5:1
 149 70  
 
20007030 / Temp Controller Aih-124qs-t5 / Komatsu
20007030 / Temp Controller Aih-124qs-t5 / Komatsu
 6,682 08  
 
Siemens SX412A14F020 Sentron Busway *new surplus
Siemens SX412A14F020 Sentron Busway *new surplus
 872 37  610 66  
 
AMAT 0010-03343 WxZ Heater 8" Assy  w/ AMJ Seasoning P5000 Random NHT  029 11hrs
AMAT 0010-03343 WxZ Heater 8" Assy  w/ AMJ Seasoning P5000 Random NHT  029 11hrs
 523 42  
 
AMAT 0010-03324 WxZ Heater 8" Assy  w/ OSCR Seasoning P5000 Random NLT  029
AMAT 0010-03324 WxZ Heater 8" Assy  w/ OSCR Seasoning P5000 Random NLT  029
 523 42  
 
AMAT 0010-03359 WxZ Heater 8" Assy  w/ ROR Seasoning P5000 Random NHT  029
AMAT 0010-03359 WxZ Heater 8" Assy  w/ ROR Seasoning P5000 Random NHT  029
 523 41  
 
tegal 99-173-007 RF Interface PCB
tegal 99-173-007 RF Interface PCB
 479 80  
 
Bertan Pwr Supply Model 2854-8, Kla Tencor, Pn 515671
Bertan Pwr Supply Model 2854-8, Kla Tencor, Pn 515671
 218 09  
 
Fei 17250-e
Fei 17250-e
 261 70  
 
Brooks Automation 145843 Remote Wafer Prealigner
Brooks Automation 145843 Remote Wafer Prealigner
 436 19  
 
TEL Tokyo Electron Mark-2 TRACK,  FV-302 HMDS LEVEL SENSOR
TEL Tokyo Electron Mark-2 TRACK, FV-302 HMDS LEVEL SENSOR
 392 57  314 05  
 
Kayvalve High vacuum output sensor Used 4022 454 65411 SEM-I-743=9G21
Kayvalve High vacuum output sensor Used 4022 454 65411 SEM-I-743=9G21
 156 92  
 
SANKYO robot controller for parts SC3000-300 some parts missing SEM-I-672=9B43
SANKYO robot controller for parts SC3000-300 some parts missing SEM-I-672=9B43
 523 34  
 
Tel Alpha 808c 8" Fork Coorstek Ctk 05-102508
Tel Alpha 808c 8" Fork Coorstek Ctk 05-102508
 348 94  
 
VEECO Model 2800399 Laser Module
VEECO Model 2800399 Laser Module
 436 18  
 
CVC Vacuum Controller  AVC 485
CVC Vacuum Controller AVC 485
 1,308 56  
 
Lam Research Coil and 2 Capacitors RF Match
Lam Research Coil and 2 Capacitors RF Match
 174 47  
 
tylan general mdvx015
tylan general mdvx015
 959 61  
 
Anelva M-430hg/jis Ionization Gauge
Anelva M-430hg/jis Ionization Gauge
 235 45  
 
Newport 340RC
Newport 340RC
 43 62  
 
Amat 0140-08896 Harn Assy Lla Producer T, New
Amat 0140-08896 Harn Assy Lla Producer T, New
 488 53  
 
Amat 0020-10118,  Plate Perf 100mm Oxide
Amat 0020-10118, Plate Perf 100mm Oxide
 599 32  
 
Novellus Type 15-00068-01 Wafer Paddle 150mm
Novellus Type 15-00068-01 Wafer Paddle 150mm
 74 15  
 
AF/ σ SIGMA AF/ σ-M  /  Free International Shipping
AF/ σ SIGMA AF/ σ-M / Free International Shipping
 1,482 16  
 
Smc Lvq30-z11n-1 3/8" Flow Control Valve, Nos
Smc Lvq30-z11n-1 3/8" Flow Control Valve, Nos
 130 86  
 
Smc Vxv3132l-01-5dz-b-q Soleniod Valve, Nos
Smc Vxv3132l-01-5dz-b-q Soleniod Valve, Nos
 130 66  104 53  
 
Morse 1" High Speed Steel Chucking Reamer Straight 8 Flute 10-1/2" OAL
Morse 1" High Speed Steel Chucking Reamer Straight 8 Flute 10-1/2" OAL
 28 25  
 
R D  Mathis Co  PD-1250 Power Divider
R D  Mathis Co  PD-1250 Power Divider
 139 56  
 
CORECO IMAGING OC-VIP0-Q0SV3 VIPERQUAD Board
CORECO IMAGING OC-VIP0-Q0SV3 VIPERQUAD Board
 174 39  
 
AMAT 0200-01803,Ceramic Rib,MSCD,3 75" Dove Tail
AMAT 0200-01803,Ceramic Rib,MSCD,3 75" Dove Tail
 102 06  
 
Groschopp Viersen  1781423
Groschopp Viersen 1781423
 130 85  
 
348-0302// Amat Applied 0040-60925 Plug, Universal Shipping, All Producer [used]
348-0302// Amat Applied 0040-60925 Plug, Universal Shipping, All Producer [used]
 488 53  
 
Rorze Rc-234 Generate Master, Used
Rorze Rc-234 Generate Master, Used
 157 03  
 
Thermco PET-I Manual Torch Controller
Thermco PET-I Manual Torch Controller
 65 43  
 
AMAT 0021-01598 Face plate, Nickel TixZ
AMAT 0021-01598 Face plate, Nickel TixZ
 1,046 85  
 
Nikon 4s019-407-1 Assy
Nikon 4s019-407-1 Assy
 785 13  
 
Melec C-781s Servo System Controller
Melec C-781s Servo System Controller
 260 84  
 
Materials Research Corp  ECLIPSE-Star Installation, Operator's & Service Manual
Materials Research Corp  ECLIPSE-Star Installation, Operator's & Service Manual
 44 96  
 
Lambda Hr10f-12 Dc Power Supply
Lambda Hr10f-12 Dc Power Supply
 136 09  
 
Asml 4022 436 3049
Asml 4022 436 3049
 1,962 84  
 
2301853 LWR Insulating Ring
2301853 LWR Insulating Ring
 43 62  
 
Used 4022 631 14024 4022 637 93931, 4022 631 16043 4022 472 62591 SEM-I-669=9KXX
Used 4022 631 14024 4022 637 93931, 4022 631 16043 4022 472 62591 SEM-I-669=9KXX
 7,851 26  
 
Used 4022 631 14023 4022 637 93931, 4022 631 16573 4022 637 93922 SEM-I-670=9KXX
Used 4022 631 14023 4022 637 93931, 4022 631 16573 4022 637 93922 SEM-I-670=9KXX
 7,851 26  
 
Novellus Type 15-120465-01 Quartz Ring Shield 9 1/4" OD 8 1/8" ID
Novellus Type 15-120465-01 Quartz Ring Shield 9 1/4" OD 8 1/8" ID
 248 63  
 
02-790879-00 Liebert PWA DC Regulator Circuit Broad --SA
02-790879-00 Liebert PWA DC Regulator Circuit Broad --SA
 135 40  
 
Applied Materials AMAT 0051-01138 0051 FC1 PTFE Tube Fitting Flare 301057
Applied Materials AMAT 0051-01138 0051 FC1 PTFE Tube Fitting Flare 301057
 87 23  
 
AMAT 0010-03343 WxZ Heater 8" Assy w/ AMJ Seasoning P5000 Random NHT  029 17hrs
AMAT 0010-03343 WxZ Heater 8" Assy w/ AMJ Seasoning P5000 Random NHT  029 17hrs
 523 42  
 
Amat 0090-77165 Diw Movable Shield Back Sw
Amat 0090-77165 Diw Movable Shield Back Sw
 305 33  
 
Novellus 15-00951-00 Screw Cover Cup Aluminum 00036761 150095100
Novellus 15-00951-00 Screw Cover Cup Aluminum 00036761 150095100
 10 37  
 
Smc Pneumatic Regulator, P/n It2031-n33, Nos
Smc Pneumatic Regulator, P/n It2031-n33, Nos
 243 39  
 
Schlumberger Technologies 97851116 Gas Control PCB w/ P2X Daughterboard
Schlumberger Technologies 97851116 Gas Control PCB w/ P2X Daughterboard
 51 60  
 
Particle Measuring Systems VML1/2VCR1/2SS-CT Viewing Module
Particle Measuring Systems VML1/2VCR1/2SS-CT Viewing Module
 97 34  
 
Cambridge 2T-10055093-A334-NDB Absolute Filter 19 75"L x 5 5"W x 4"H
Cambridge 2T-10055093-A334-NDB Absolute Filter 19 75"L x 5 5"W x 4"H
 100 49  
 
Thermo Electron 009-0088 1 10002010 422 639 26702 RCWC Controller SEM-I-948=9C42
Thermo Electron 009-0088 1 10002010 422 639 26702 RCWC Controller SEM-I-948=9C42
 3,925 59  
 
SCP MCS Power Tap Module 3270191A
SCP MCS Power Tap Module 3270191A
 20 87  
 
Smc Ssq1000-10a-4 (1) Sq1141-5l01-c6-q (4) , Sq1141r-5l01-c6 (11)
Smc Ssq1000-10a-4 (1) Sq1141-5l01-c6-q (4) , Sq1141r-5l01-c6 (11)
 261 71  
 
Smc Ssq1000-10a-4 (1) Sq1141-5l01-c6-q (1) , Sq1141r-5l01-c6 (6)
Smc Ssq1000-10a-4 (1) Sq1141-5l01-c6-q (1) , Sq1141r-5l01-c6 (6)
 218 09  
 
Motorola Mvme 162-01 Mvme16201
Motorola Mvme 162-01 Mvme16201
 1,941 03  
 
EDWARDS VACUUM - Pipe Heater Wrap TMS HTR F 160D 500L MON - Y14M29500 H1 - (UK)
EDWARDS VACUUM - Pipe Heater Wrap TMS HTR F 160D 500L MON - Y14M29500 H1 - (UK)
 1   
 
415-0101// Nsk Js1003fn001 Motor [used/fast]
415-0101// Nsk Js1003fn001 Motor [used/fast]
 436 19  
 
323-0201// Amat Applied 0030-09066 Lipseal,large 200mm,flat Chemr New
323-0201// Amat Applied 0030-09066 Lipseal,large 200mm,flat Chemr New
 261 71  
 
DAIHEN RF AUTO MATCHER AMN-50K1-V, TEL 3D39-000008-V1, 60MHz 5kW, TESTED WORKING
DAIHEN RF AUTO MATCHER AMN-50K1-V, TEL 3D39-000008-V1, 60MHz 5kW, TESTED WORKING
 4,361 86  
 
0040-30144 Rev  010 Carrier Detect Shaft Bellows, Me-2009-07-30-021
0040-30144 Rev  010 Carrier Detect Shaft Bellows, Me-2009-07-30-021
 157 02  
 
TEL Tokyo Electron 3281-000010-1 PCB Bridge Interconnect 1 with Cables P-8 Used
TEL Tokyo Electron 3281-000010-1 PCB Bridge Interconnect 1 with Cables P-8 Used
 396 21  
 
Asyst 3200-1200-01 Loader PCB Rev  E
Asyst 3200-1200-01 Loader PCB Rev  E
 191 92  
 
Tylan Model Fc-280 Sakz Mass Flow Controller, 500 Sccm N2, Vcr, Lot Of 2
Tylan Model Fc-280 Sakz Mass Flow Controller, 500 Sccm N2, Vcr, Lot Of 2
 109 05  
 
177-0103// 54016255 Amat Veriflo 930 316l Vesp 125 Psi Max Valve [used]
177-0103// 54016255 Amat Veriflo 930 316l Vesp 125 Psi Max Valve [used]
 523 42  
 
346-0302// Amat Applied 0020-10758 Housing, Tc Amp [used]
346-0302// Amat Applied 0020-10758 Housing, Tc Amp [used]
 174 47  
 
176-0102// Aptech Ap1510s 2pw Tw4 Tw4 Valve [asis]
176-0102// Aptech Ap1510s 2pw Tw4 Tw4 Valve [asis]
 218 09  
 
320-0302// Amat Applied 0100-20313 Pcb Assembly Chamber Interconnect Used
320-0302// Amat Applied 0100-20313 Pcb Assembly Chamber Interconnect Used
 1,046 85  
 
Berkeley ASM81-A-0/L-00-LB/10 AC Brushless Servo Motor 4 0-lb-in IP65 10000-rpm
Berkeley ASM81-A-0/L-00-LB/10 AC Brushless Servo Motor 4 0-lb-in IP65 10000-rpm
 227 68  
 
E15001031 Rev E Varian Elevator Interface Rev E
E15001031 Rev E Varian Elevator Interface Rev E
 523 42  
 
Ae Navigator-1013  /  3155126-011 C  Rf Match
Ae Navigator-1013 / 3155126-011 C Rf Match
 2,616 24  
 
Ae Navigator-1013  /  3155126-017 B Rf Match
Ae Navigator-1013 / 3155126-017 B Rf Match
 3,053 30  
 
Entegris UE20-12FN-1 1 1/4" Flaretek x 3/4" Flaretek PVDF Elbow Union UE20-12
Entegris UE20-12FN-1 1 1/4" Flaretek x 3/4" Flaretek PVDF Elbow Union UE20-12
 52 33  
 
000-0000// Amat Applied 0010-38070 0190-27579 Applied Matrials Components [asis]
000-0000// Amat Applied 0010-38070 0190-27579 Applied Matrials Components [asis]
 13,085 58  
 
Amat 0090-a4700 Xdc Psu , New
Amat 0090-a4700 Xdc Psu , New
 697 90  
 
Sprectrum  FRU-600-00281
Sprectrum FRU-600-00281
 225 94  
 
Boeckeler 2-mr Microcode Ii
Boeckeler 2-mr Microcode Ii
 218 09  
 
Advantech 8 Port Switch EDG-6528
Advantech 8 Port Switch EDG-6528
 173 60  
 
Brooks Automation 3200-4506-01 TFLS 3001 Node Board
Brooks Automation 3200-4506-01 TFLS 3001 Node Board
 125 62  
 
Signatone Probe Controller S-1049
Signatone Probe Controller S-1049
 327 13  
 
Unit Instruments, Model UTS-2050A, FLOW CONTROLLER, 20 slm H2
Unit Instruments, Model UTS-2050A, FLOW CONTROLLER, 20 slm H2
 43 61  
 
Tamagawa Seiki Ta8170n2190 10kw  37 5amps, Used
Tamagawa Seiki Ta8170n2190 10kw 37 5amps, Used
 1,046 85  
 
Amat 0021-23335 Lock Splash Guard Housing Scrubber
Amat 0021-23335 Lock Splash Guard Housing Scrubber
 52 34  
 
Bertan Pwr Supply Model 2854-22, Kla Tencor Ait
Bertan Pwr Supply Model 2854-22, Kla Tencor Ait
 218 09  
 
AMAT Applied Materials 8 KV Connector VS/SV (Long) 3355725 220550583
AMAT Applied Materials 8 KV Connector VS/SV (Long) 3355725 220550583
 155 98  
 
Amat 3-roller Assembly Brush Module Enhancement From 300mm Reflexion
Amat 3-roller Assembly Brush Module Enhancement From 300mm Reflexion
 8,025 82  
 
MKS MICRONODE I/O MODULE AS00124-01 Rev A 0810 MKS-CIT
MKS MICRONODE I/O MODULE AS00124-01 Rev A 0810 MKS-CIT
 104 58  
 
126-0501// Amat Applied 0680-01142 Jt3250t Cb Frame Only Interchg Trip [used]
126-0501// Amat Applied 0680-01142 Jt3250t Cb Frame Only Interchg Trip [used]
 436 19  
 
1100202 (lot Of 3) / Pneumatic Valve Shutoff Valve For Turbo Pump / Fuji Seiki
1100202 (lot Of 3) / Pneumatic Valve Shutoff Valve For Turbo Pump / Fuji Seiki
 722 35  
 
Kokusai Electric D3E01155B Processor PCB Card AMCR Untested AS-IS
Kokusai Electric D3E01155B Processor PCB Card AMCR Untested AS-IS
 701 54  
 
KOLLMORGEN AKM21g-ANM22-00 3 PM SERVOMOTOR Class F
KOLLMORGEN AKM21g-ANM22-00 3 PM SERVOMOTOR Class F
 218 09  
 
999-9999// Amat Applied 0020-22910 (delivery 21 Days) Applied [2nd Source New]
999-9999// Amat Applied 0020-22910 (delivery 21 Days) Applied [2nd Source New]
 523 42  
 
[Used] Dresden Elektronik / 5 328 01 00 250 02/B / PCB BOARD
[Used] Dresden Elektronik / 5 328 01 00 250 02/B / PCB BOARD
 164 01  
 
APPLIED MATERIALS 0090-03157 Rev 002/ 300mm MEG/SYS INTERLOCK Board
APPLIED MATERIALS 0090-03157 Rev 002/ 300mm MEG/SYS INTERLOCK Board
 392 48  
 
E15000202  /    Pcb, Platen,motion,control /  Varian
E15000202 / Pcb, Platen,motion,control / Varian
 1,919 93  
 
NANOTEK NEFL-309S   / Free Expedited Shipping
NANOTEK NEFL-309S / Free Expedited Shipping
 435 31  
 
Lam Research Ceramic Wafer Pocket 109900-3082
Lam Research Ceramic Wafer Pocket 109900-3082
 872 36  
 
Midwest Control MC EAD-25 Timed Electric Condensate Drain Valve, 451757
Midwest Control MC EAD-25 Timed Electric Condensate Drain Valve, 451757
 130 86  
 
Iicon Series C ID-1561 15-inch Industrial Monitor 05-1620-00 Rev  C working
Iicon Series C ID-1561 15-inch Industrial Monitor 05-1620-00 Rev  C working
 397 03  
 
Hitachi M308 Process Quartz Dome
Hitachi M308 Process Quartz Dome
 1,308 55  
 
Industrial Computer Source 10364-01c Pc Board Pcdi024b/48b-p
Industrial Computer Source 10364-01c Pc Board Pcdi024b/48b-p
 83 70  
 
120-0201// 805-246-ag Aluminum Bowl Shield Vectra [used]
120-0201// 805-246-ag Aluminum Bowl Shield Vectra [used]
 4,361 86  
 
DNS Dainippon Screen HEMG-S01 BP-0167A-S Panel Switch Board BP-0168A-S
DNS Dainippon Screen HEMG-S01 BP-0167A-S Panel Switch Board BP-0168A-S
 322 78  
 
Tel Tokyo Electron Di48d064 Dn Board 1b80-002390-11
Tel Tokyo Electron Di48d064 Dn Board 1b80-002390-11
 322 78  
 
Applied Materials Brooks 0190-24484 TLG-I2-AMAT-R1 Transponder Reader ASC-I1
Applied Materials Brooks 0190-24484 TLG-I2-AMAT-R1 Transponder Reader ASC-I1
 99 45  
 
MITSUBISHI A64GOT-LT21B GRAPHIC OPERATION TERMINAL  /  Free Expedited Shipping
MITSUBISHI A64GOT-LT21B GRAPHIC OPERATION TERMINAL / Free Expedited Shipping
 287 01  
 
ADVANTECH PCI-1734 REV A1 01-2 free ship
ADVANTECH PCI-1734 REV A1 01-2 free ship
 114 11  
 
005-0202// Amat Applied Pfdaul-6b36a Plasmart Path Finder Match Asis
005-0202// Amat Applied Pfdaul-6b36a Plasmart Path Finder Match Asis
 2,617 12  
 
Opal 50312445000 Teach Pendant Controller AMAT SemVision cX Untested As-Is
Opal 50312445000 Teach Pendant Controller AMAT SemVision cX Untested As-Is
 267 09  
 
Cosel Paa75f-24 Power Supply 24v 3 2a, Used
Cosel Paa75f-24 Power Supply 24v 3 2a, Used
 52 34  
 
715011753001 Lam Upper Baffle Plate
715011753001 Lam Upper Baffle Plate
 104 68  
 
Tokyo Electron DS1110-323881-14 SS Pedestal Table SUS316 1110-323881-14
Tokyo Electron DS1110-323881-14 SS Pedestal Table SUS316 1110-323881-14
 231 18  
 
[Used] WATLOW / TLM-8 / ANAFAZE, TLM-5-TC-BTU, 88-21500-204
[Used] WATLOW / TLM-8 / ANAFAZE, TLM-5-TC-BTU, 88-21500-204
 144 46  
 
Tegal PlasmaLine 200 Plasma Barrel Etcher – As Is Power Supply
Tegal PlasmaLine 200 Plasma Barrel Etcher – As Is Power Supply
 915   598 14  
 
VAT 01032-KE21-0002/0098 A-854452 Servo Motor & 1 5" I D  Gate Valve Assembly
VAT 01032-KE21-0002/0098 A-854452 Servo Motor & 1 5" I D  Gate Valve Assembly
 50 55  
 
Claymount Fei Cable Assy Ca136 Uc 5 1m 17462 30kvdc
Claymount Fei Cable Assy Ca136 Uc 5 1m 17462 30kvdc
 3,053 30  
 
MKS 621C13TBFHB 1000Torr Remote Transducer Signal Conditioner *untested
MKS 621C13TBFHB 1000Torr Remote Transducer Signal Conditioner *untested
 239 90  167 93  
 
Genus 2269-01 L Water Temperature Board New
Genus 2269-01 L Water Temperature Board New
 98 38  
 
Asyst 14360-001 14362-001 Top Loader
Asyst 14360-001 14362-001 Top Loader
 83 75  
 
Verteq MCQ-020-04 Process Controller
Verteq MCQ-020-04 Process Controller
 567 04  
 
AMAT 0040-09260 Gas Box, ASM, 010-02333R, surplus inventory
AMAT 0040-09260 Gas Box, ASM, 010-02333R, surplus inventory
 1,308 56  
 
LAM 715-073734-014 G ESC Electrostatic Chuck
LAM 715-073734-014 G ESC Electrostatic Chuck
 436 19  
 
134-0101// Amat Applied 0020-99892 0020-99893 0020-99965 0021-26855 [asis]
134-0101// Amat Applied 0020-99892 0020-99893 0020-99965 0021-26855 [asis]
 1,744 74  
 
135-0201// Amat Applied 0010-11228 0020-01999 Applied Matrials Components [asis]
135-0201// Amat Applied 0010-11228 0020-01999 Applied Matrials Components [asis]
 4,361 86  
 
PERKIN-ELMER 690-8055-003 PCB ASSy A400, 690-5370-004, 103838
PERKIN-ELMER 690-8055-003 PCB ASSy A400, 690-5370-004, 103838
 392 57  
 
Amat 3700-03864 Oring 1  600 Csd 210 Kalrez 8575 , New
Amat 3700-03864 Oring 1  600 Csd 210 Kalrez 8575 , New
 174 47  
 
H140664 Lot of (4) MKS Controller, Signal Conditioner 250B, 250C, 270C
H140664 Lot of (4) MKS Controller, Signal Conditioner 250B, 250C, 270C
 348 95  
 
Asyst Isoport 3200-4236-01 PCB  REV  C
Asyst Isoport 3200-4236-01 PCB REV  C
 191 92  
 
Adtec Plasma Technology FL-BOX-JU2 LOW PASS FILTER
Adtec Plasma Technology FL-BOX-JU2 LOW PASS FILTER
 610 65  
 
Etcher Controller Accs Pc 2800-2000 0705 9193
Etcher Controller Accs Pc 2800-2000 0705 9193
 523 42  418 74  
 
DAIHEN RF AUTO MATCHER RMN-50T-V, 3D39-000002-V2, 13 56MHz 5kW, TESTED WORKING
DAIHEN RF AUTO MATCHER RMN-50T-V, 3D39-000002-V2, 13 56MHz 5kW, TESTED WORKING
 3,489 49  
 
TEL Tokyo Electron MA-15705 LED Panel Board PCB SW-PANEL
TEL Tokyo Electron MA-15705 LED Panel Board PCB SW-PANEL
 392 57  
 
126-0204// Smc Xld-50-m9ba High Vacuum Valve [asis]
126-0204// Smc Xld-50-m9ba High Vacuum Valve [asis]
 218 09  
 
429-0203// Animatics Sm3416ddebrsev (unclean) Motor [used/fast]
429-0203// Animatics Sm3416ddebrsev (unclean) Motor [used/fast]
 218 09  
 
LAM Research 965-096246-075
LAM Research 965-096246-075
 130 86  
 
Bimba Fo-042-3fmvee1 Air Cylinder Wetstation Door
Bimba Fo-042-3fmvee1 Air Cylinder Wetstation Door
 52 34  
 
SSEC - Solid State Equipment Corp 67165017 NOZZLE TIP HPC FAN 65DEG (PKG 5)
SSEC - Solid State Equipment Corp 67165017 NOZZLE TIP HPC FAN 65DEG (PKG 5)
 52 34  
 
Interface Azi-2766 Pcb Board
Interface Azi-2766 Pcb Board
 304 46  
 
Ultratech Stepper 300mm Lithos Glass Test Chuck Excellent Condition
Ultratech Stepper 300mm Lithos Glass Test Chuck Excellent Condition
 261 70  
 
TargeTrack Wafer Processing System User's Guide 1987 Edition MTI
TargeTrack Wafer Processing System User's Guide 1987 Edition MTI
 52 32  
 
Bce-b013-u / Cmos Camera C-cs Mount 2592x1944 Color Rolling Shutter / Mightex
Bce-b013-u / Cmos Camera C-cs Mount 2592x1944 Color Rolling Shutter / Mightex
 1,566 50  
 
LTX Corporation 858-2070-00 Assy 4x16 Matrix Board
LTX Corporation 858-2070-00 Assy 4x16 Matrix Board
 977 06  
 
Mightex BCE-BO13-U CAMERA SETUP
Mightex BCE-BO13-U CAMERA SETUP
 191 92  
 
LAM Research 880-27-000 RF Auto-Tune PCB Board 900A Rev  G MRC Autotune
LAM Research 880-27-000 RF Auto-Tune PCB Board 900A Rev  G MRC Autotune
 170 10  
 
Acces I/O Products IDO-48-S03 Isolated Digital Input PCB 27-166888-00 Used
Acces I/O Products IDO-48-S03 Isolated Digital Input PCB 27-166888-00 Used
 226 06  
 
Fei Board 4022 192 72192
Fei Board 4022 192 72192
 436 10  
 
AMAT 0010-03343 WxZ Heater 8" Assy w/ AMJ Seasoning P5000 Random NHT  029 12 5hr
AMAT 0010-03343 WxZ Heater 8" Assy w/ AMJ Seasoning P5000 Random NHT  029 12 5hr
 523 41  
 
8236) [used] Cos Gc-96r
8236) [used] Cos Gc-96r
 157 04  
 
E19002611 / Ems Power Supply (lambda 004731291) / Varian
E19002611 / Ems Power Supply (lambda 004731291) / Varian
 2,012 28  
 
[Used] HITACHI / BSM-7 / BASE CASE, 33023789-1, Case only
[Used] HITACHI / BSM-7 / BASE CASE, 33023789-1, Case only
 146 56  
 
Ebara Thermal Solutions Heater Control Switch 916708 15vdc
Ebara Thermal Solutions Heater Control Switch 916708 15vdc
 86 36  
 
0020-45231 / Disk Shutter / Amat
0020-45231 / Disk Shutter / Amat
 1,462 73  
 
*PREOWNED* Straatum 02-0015-36 SmartPIM Hitachi 308 System 0082811 + Warranty!
*PREOWNED* Straatum 02-0015-36 SmartPIM Hitachi 308 System 0082811 + Warranty!
 436 19  
 
435-0303// Panasonic Msma082a1e Ac Servo Motor [used/fast]
435-0303// Panasonic Msma082a1e Ac Servo Motor [used/fast]
 436 19  
 
Tel Tyb61s-1/rsc Pcb
Tel Tyb61s-1/rsc Pcb
 173 60  
 
EDWARDS VACUUM - Pipe Heater Wrap TMS HTR PE 100D 300L MON - Y14M44300 - (UK)
EDWARDS VACUUM - Pipe Heater Wrap TMS HTR PE 100D 300L MON - Y14M44300 - (UK)
 1   
 
63107 Module Only  / CHROMA ATE INC
63107 Module Only / CHROMA ATE INC
 239 90  
 
Lam Research LRC AutoEtch Monitor and Control Panel
Lam Research LRC AutoEtch Monitor and Control Panel
 435 31  
 
Q'son Precision BEI Cover Insert Assembly EM Spoiler CB71271-001 MOGCB71271-001
Q'son Precision BEI Cover Insert Assembly EM Spoiler CB71271-001 MOGCB71271-001
 43 61  
 
Maxon  Dc Motor 143211 Bio-rad P/n: 920-4677
Maxon Dc Motor 143211 Bio-rad P/n: 920-4677
 157 03  
 
Rigaku 4259C203 High Voltage X-Ray cable for FR-E SuperBright System
Rigaku 4259C203 High Voltage X-Ray cable for FR-E SuperBright System
 199 77  155 82  
 
E15005872 / Quad Iii Switch Board Upgrade For Pcb E11292270 / Varian
E15005872 / Quad Iii Switch Board Upgrade For Pcb E11292270 / Varian
 1,099 19  
 
Indramat Reco Rme02 2-32-dc024 A02 Input Module
Indramat Reco Rme02 2-32-dc024 A02 Input Module
 83 75  
 
Edwards  7PIN TO 12PIN Power Cable
Edwards 7PIN TO 12PIN Power Cable
 218 09  
 
1d81-0000009-21c / Tyb 121-1/com / Tokyo Electron Tel
1d81-0000009-21c / Tyb 121-1/com / Tokyo Electron Tel
 4,318 96  
 
Toshiba 2n8c2972-b Jvga1 V1 25 Board
Toshiba 2n8c2972-b Jvga1 V1 25 Board
 174 39  
 
PURERON JAPAN PC-310A-VR PRESSURE TRANSMITTER RANGE 1 379MPa VCR 1/4 MALE TYPE
PURERON JAPAN PC-310A-VR PRESSURE TRANSMITTER RANGE 1 379MPa VCR 1/4 MALE TYPE
 125 61  
 
Nippon Pillar Bullous Pump P Series Ps-e40map-t35397
Nippon Pillar Bullous Pump P Series Ps-e40map-t35397
 1,744 74  
 
Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
 418 74  
 
AMAT 0190-04679 REV 001 FLEX MOTION SIGNAL CONDITIONER Board
AMAT 0190-04679 REV 001 FLEX MOTION SIGNAL CONDITIONER Board
 348 86  
 
9024) [used] Toray Engineering Lc-750/pc-120
9024) [used] Toray Engineering Lc-750/pc-120
 349 04  
 
Lam Research 24 Channel Temperature Control Motherboard 810-028296-160
Lam Research 24 Channel Temperature Control Motherboard 810-028296-160
 174 47  
 
Bruce Technologies International Process Control Unit 7351c
Bruce Technologies International Process Control Unit 7351c
 785 13  
 
ASTECH TH-30TFT  / Free international Shipping
ASTECH TH-30TFT / Free international Shipping
 1,743 87  
 
LTX Corporation Analog Control TS80 60Hz PN 865-0010-00
LTX Corporation Analog Control TS80 60Hz PN 865-0010-00
 959 61  
 
Micro-aide Pcb 80-0023 Rev B
Micro-aide Pcb 80-0023 Rev B
 78 51  
 
Nemic - Lambda Zs30-24 24v 1 3a Power Supply
Nemic - Lambda Zs30-24 24v 1 3a Power Supply
 68 05  
 
Internix Profort810 Pf810-asehd4 Teach Pendant
Internix Profort810 Pf810-asehd4 Teach Pendant
 261 62  
 
Amat 0010-17814  Assy  Endpoint Detector
Amat 0010-17814 Assy Endpoint Detector
 1,307 69  
 
August 708028 Encoder Board Rev 01
August 708028 Encoder Board Rev 01
 218 01  
 
DALSA OR-64E0-IPROL Board
DALSA OR-64E0-IPROL Board
 174 39  
 
NPS4200AL, Display, Tem Tech Lab
NPS4200AL, Display, Tem Tech Lab
 261 71  
 
Magnetic 6'' Round Assembly
Magnetic 6'' Round Assembly
 103 64  
 
Applied Materials Precision Etch 8300 Schematics Manual Edition 3 May 1990
Applied Materials Precision Etch 8300 Schematics Manual Edition 3 May 1990
 52 32  
 
Applied Materials Precision Etch 8300 Schematics May 1990 Edition 3 0230-00019
Applied Materials Precision Etch 8300 Schematics May 1990 Edition 3 0230-00019
 52 32  
 
Shinkawa CMU-04 Camera Module
Shinkawa CMU-04 Camera Module
 61 74  
 
Applied Materials 1987 Precision Etch 8300/8300A Systems 1 Course Manual Week 1
Applied Materials 1987 Precision Etch 8300/8300A Systems 1 Course Manual Week 1
 39 76  
 
Novellus 15-120465-01 Ceramic Ring Shield DFE/Damaclean 9 1/4" OD 8 1/8" ID
Novellus 15-120465-01 Ceramic Ring Shield DFE/Damaclean 9 1/4" OD 8 1/8" ID
 283 52  
 
GE Fanuc IC693MDL940J 2A 16PT Output Relay *Used *Missing Door
GE Fanuc IC693MDL940J 2A 16PT Output Relay *Used *Missing Door
 146 56  
 
Mills Specialty Products  Model TS200-1 0 Hydraulic Cylinder
Mills Specialty Products Model TS200-1 0 Hydraulic Cylinder
 270 44  
 
Innowireless VME Board TCD V1 1 free ship
Innowireless VME Board TCD V1 1 free ship
 400 42  
 
TEL Tokyo Electron HTE-OV1-B-11 Oven I/O Board #01 PCB TAB2100 ACT12 Working
TEL Tokyo Electron HTE-OV1-B-11 Oven I/O Board #01 PCB TAB2100 ACT12 Working
 1,319 20  
 
1 Used Phoenix Contact Mcr-s-10-50-ui-sw-dci Current Transducer ***make Offer***
1 Used Phoenix Contact Mcr-s-10-50-ui-sw-dci Current Transducer ***make Offer***
 78 50  
 
346-0403// Amat Applied 0020-26899 (cleaned) Heat Shield Hthu Heater Left [used]
346-0403// Amat Applied 0020-26899 (cleaned) Heat Shield Hthu Heater Left [used]
 218 09  
 
Vexta Stepping Motor 5-phase Pk564aw-a105  Oriental Motor
Vexta Stepping Motor 5-phase Pk564aw-a105  Oriental Motor
 136 09  
 
Lam Research 16-320039-00-01 PRE HEAT PEDISTAL
Lam Research 16-320039-00-01 PRE HEAT PEDISTAL
 3,489 49  
 
Blue power TE1N-700-GR TD-13240 Power Transformer - Free US Shipping
Blue power TE1N-700-GR TD-13240 Power Transformer - Free US Shipping
 261 70  
 
64246-xe52-1108 / Motorized Gate Valve Vat Krlp / Vat
64246-xe52-1108 / Motorized Gate Valve Vat Krlp / Vat
 2,180 93  
 
TOKYO ELECTRON Trias SW300B/DDIST  3M81-024341-14 3M80-002735-12 3M08-024341-12
TOKYO ELECTRON Trias SW300B/DDIST 3M81-024341-14 3M80-002735-12 3M08-024341-12
 260 84  
 
[90-Day Warranty]  Cybor Pump Control Module (4 Pump Outputs) ** Model # 506L **
[90-Day Warranty] Cybor Pump Control Module (4 Pump Outputs) ** Model # 506L **
 1,308 56  
 
Spellman 450044-000 450044-001 Beckplane X3193/x3434  X3548
Spellman 450044-000 450044-001 Beckplane X3193/x3434 X3548
 218 09  
 
LAM 669-801523-001 Pin, M4 Gold Pin
LAM 669-801523-001 Pin, M4 Gold Pin
 4 36  
 
Ushio USH-100FCL Super High Pressure Mercury Lamp USH-1000FCL
Ushio USH-100FCL Super High Pressure Mercury Lamp USH-1000FCL
 39 26  
 
Amat 0020-87595 Bracket
Amat 0020-87595 Bracket
 174 47  
 
LASERVALL Used 0SAW100001 SEM-I-1026=9B34
LASERVALL Used 0SAW100001 SEM-I-1026=9B34
 1,570 18  
 
OMRON G39W-A220EU-S Power Controller 20A
OMRON G39W-A220EU-S Power Controller 20A
 174 39  
 
[Used] HANNA / HI983314 / RESISTIVITY CONTROLLER
[Used] HANNA / HI983314 / RESISTIVITY CONTROLLER
 141 32  
 
15-00003-02 / C1 Plate,top,process 150mm / Novellus Systems Inc
15-00003-02 / C1 Plate,top,process 150mm / Novellus Systems Inc
 13,129 91  
 
Edwards A22304041 Oil Filter
Edwards A22304041 Oil Filter
 88 98  
 
Asyst 3Channel Controller 9700-5819-01 REV 5 free ship
Asyst 3Channel Controller 9700-5819-01 REV 5 free ship
 300 97  
 
Sopra PM2 386 NIR Photomultiplier Module with 14 day warranty
Sopra PM2 386 NIR Photomultiplier Module with 14 day warranty
 828 75  
 
Mills Specialty Products  Model TS200-1 0 Hydraulic Cylinder
Mills Specialty Products Model TS200-1 0 Hydraulic Cylinder
 270 44  
 
Lot of 2 AMAT Applied Materials 8 KV Connector 220550583
Lot of 2 AMAT Applied Materials 8 KV Connector 220550583
 61 76  
 
Hitachi CTE11-01 Interconnect Board PCB M-712E Shallow Trench Etcher System Used
Hitachi CTE11-01 Interconnect Board PCB M-712E Shallow Trench Etcher System Used
 139 73  
 
Grundfos SPK4-5 ANA BQQV 95623438701 with 30 day warranty
Grundfos SPK4-5 ANA BQQV 95623438701 with 30 day warranty
 785 13  
 
Tokyo Electron TEL 2L08-00005-12 2L81-000005-V1 TAB213-1/PC YWP-AH
Tokyo Electron TEL 2L08-00005-12 2L81-000005-V1 TAB213-1/PC YWP-AH
 152 83  
 
Digicon Systems Atvr-36v Pcb97100 Board 010053 Wow!!
Digicon Systems Atvr-36v Pcb97100 Board 010053 Wow!!
 104 67  
 
[7UNITS] PISCO Stainless steel SUS 316 fitting Union elbow SSV6
[7UNITS] PISCO Stainless steel SUS 316 fitting Union elbow SSV6
 104 58  
 
Nsph-55c3, Nisso Tool Chemical Pump Nse Haruna
Nsph-55c3, Nisso Tool Chemical Pump Nse Haruna
 1,745 59  
 
TELEDYNEDALSA LA-CM-08K08A-00-R / Free Expedited Shipping
TELEDYNEDALSA LA-CM-08K08A-00-R / Free Expedited Shipping
 1,743 87  
 
710-404144-00 / Pcb Kla-pztfed, 073-400570-00, 710-400180-000 / Kla Tencor
710-404144-00 / Pcb Kla-pztfed, 073-400570-00, 710-400180-000 / Kla Tencor
 480 52  
 
Drytek / Lam Rf Generator Dual Output Combination Assy, P/n 2800370, Nos
Drytek / Lam Rf Generator Dual Output Combination Assy, P/n 2800370, Nos
 348 95  279 16  
 
433-0403// Toshiba Vlbsv-za06030-b Bs Servo Motor [used/fast]
433-0403// Toshiba Vlbsv-za06030-b Bs Servo Motor [used/fast]
 436 19  
 
435-0202// Panasonic Msm082a1a Motor [used/fast]
435-0202// Panasonic Msm082a1a Motor [used/fast]
 436 19  
 
435-0303// Panasonic Msma082s1a Ac Servo Motor [used/fast]
435-0303// Panasonic Msma082s1a Ac Servo Motor [used/fast]
 436 19  
 
Western Digital, Media Install Ad Amplifier Interface, 2961-001063, Used
Western Digital, Media Install Ad Amplifier Interface, 2961-001063, Used
 130 86  
 
fluoroware 203-1414-415 1/4" 2-way
fluoroware 203-1414-415 1/4" 2-way
 174 47  
 
Teradyne 950-45-00 PCB, XTW 457
Teradyne 950-45-00 PCB, XTW 457
 249 45  
 
7310-2841-02n/isolation Tube Quartz Liner Ag Heatpulse 8800 8108 4108/oem Group
7310-2841-02n/isolation Tube Quartz Liner Ag Heatpulse 8800 8108 4108/oem Group
 4,744 86  
 
PHOENIX CONTACT HC-M-01-AT-M-40-PE Contact insert module
PHOENIX CONTACT HC-M-01-AT-M-40-PE Contact insert module
 161 39  
 
COMIZOA Motion Controller Board COMI-LX534 V7  & COMI-TM534 V7  free ship
COMIZOA Motion Controller Board COMI-LX534 V7  & COMI-TM534 V7  free ship
 151 79  
 
Setech KPB6-90 High Vacuum Apparatus Rebuild Kit KPB6(90) 00152831
Setech KPB6-90 High Vacuum Apparatus Rebuild Kit KPB6(90) 00152831
 130 86  
 
10 Pack of PEAK PBGA4040 NXBG40402 503076REV F IC Trays
10 Pack of PEAK PBGA4040 NXBG40402 503076REV F IC Trays
 62 81  
 
437-0101// Mitsubishi Ha-sc13gk Bm3-10b-01mbsak1 Motor [used/fast]
437-0101// Mitsubishi Ha-sc13gk Bm3-10b-01mbsak1 Motor [used/fast]
 436 19  
 
YASKAWA MOTIONPACK 110 whole unit
YASKAWA MOTIONPACK 110 whole unit
 697 90  
 
123-0302// Amat Applied 0020-20340 Door Transfer Slit Valve [used]
123-0302// Amat Applied 0020-20340 Door Transfer Slit Valve [used]
 174 47  
 
Smc Vxz2230l-03-5dz1-b, Soleniod Valve, Nos
Smc Vxz2230l-03-5dz1-b, Soleniod Valve, Nos
 146 56  
 
316-0201// Amat Applied 0041-89356 Applied Matrials Components [asis]
316-0201// Amat Applied 0041-89356 Applied Matrials Components [asis]
 2,617 12  
 
Nikon 4s013-310 Interface Board Blx3, 4s013310
Nikon 4s013-310 Interface Board Blx3, 4s013310
 104 68  
 
SSEC - Solid State Equipment Corporation 48011109 SEAL ROD BLUE SOAK TANK
SSEC - Solid State Equipment Corporation 48011109 SEAL ROD BLUE SOAK TANK
 52 34  
 
Concurrent Technologies 761-6024-23  PP41203X
Concurrent Technologies 761-6024-23 PP41203X
 414 38  
 
177-0501// Amat Applied 0050-88509 Applied Matrials Components [asis]
177-0501// Amat Applied 0050-88509 Applied Matrials Components [asis]
 261 71  
 
TEL Tokyo Electron Board TYB61B-1/GAS1  3D81-000030-11 for T-3044SS Etcher
TEL Tokyo Electron Board TYB61B-1/GAS1 3D81-000030-11 for T-3044SS Etcher
 418 74  251 24  
 
Ulvac # 1023312 Ring Insulated Fitted For Ulvac Asher
Ulvac # 1023312 Ring Insulated Fitted For Ulvac Asher
 348 95  
 
Hitachi SEM 9300 CDK USG3-X0693 24V Vacuum
Hitachi SEM 9300 CDK USG3-X0693 24V Vacuum
 260 84  
 
Daymarc 90-9600-32 Board Assembly Bin Control 91-6947 MR13467
Daymarc 90-9600-32 Board Assembly Bin Control 91-6947 MR13467
 183 20  
 
Novellus Type 04-731600-12 Plenum DSR Dark Space Ring 15-3/8" OD 1" Height
Novellus Type 04-731600-12 Plenum DSR Dark Space Ring 15-3/8" OD 1" Height
 170 11  
 
Thermionics Laboratory TG-6343 Thermocouple gauge tube 1/8" NPT
Thermionics Laboratory TG-6343 Thermocouple gauge tube 1/8" NPT
 47 98  
 
A/fc-85h/sb256 / Ac 100-240v 50/60hz Pc, Handler, 94w (max 300w, 3 0a) / Nec
A/fc-85h/sb256 / Ac 100-240v 50/60hz Pc, Handler, 94w (max 300w, 3 0a) / Nec
 803 45  
 
AMAT Applied Materials 0040-78199 Air Duct Inlet Dryer
AMAT Applied Materials 0040-78199 Air Duct Inlet Dryer
 157 03  
 
G167849 Celtec CK180 RF Supply
G167849 Celtec CK180 RF Supply
 104 68  
 
Innovationszentrum DARS Simulator DSG2000 LCSG for parts 47-63Hz SEM-I-225
Innovationszentrum DARS Simulator DSG2000 LCSG for parts 47-63Hz SEM-I-225
 872 28  
 
ANALOG DEVICES RTI-1260 / RTI1260 0932501 CL 5 0  PCB Card  71-0932505-A
ANALOG DEVICES RTI-1260 / RTI1260 0932501 CL 5 0 PCB Card 71-0932505-A
 174 47  
 
Setra 2271Z02PCE511ZZFR1 2271Z02PCE511ZZ 227 Digital Pressure Transducer Sensor
Setra 2271Z02PCE511ZZFR1 2271Z02PCE511ZZ 227 Digital Pressure Transducer Sensor
 95 95  
 
AMAT/Applied Materials/IMI 75-3-94940-0002 Liner T, Ring, Adapter, 300mm, SS, WD
AMAT/Applied Materials/IMI 75-3-94940-0002 Liner T, Ring, Adapter, 300mm, SS, WD
 137 39  
 
EDWARDS VACUUM - Pipe Heater Wrap TMS HTR PE 100D 500L MON - Y14M44080 H1 - (UK)
EDWARDS VACUUM - Pipe Heater Wrap TMS HTR PE 100D 500L MON - Y14M44080 H1 - (UK)
 1   
 
Eaton Bussman™  Fusetron™ 250 Amp Duel Element Fuse (UK) ACK-250
Eaton Bussman™ Fusetron™ 250 Amp Duel Element Fuse (UK) ACK-250
 25   
 
Novellus 04-730876-02 Shield Plasma Dome 13" OD
Novellus 04-730876-02 Shield Plasma Dome 13" OD
 295 29  
 
345-0101// Amat Applied 0020-23878 Side Receiver Special Used
345-0101// Amat Applied 0020-23878 Side Receiver Special Used
 252   
 
116-0301// Amat Applied 0270-20144 Tool 6" Precln Pass Thru Calibration Used
116-0301// Amat Applied 0270-20144 Tool 6" Precln Pass Thru Calibration Used
 226 82  
 
111-0502// Amat Applied 0270-20152 Tool Cal 101 Lift To Robot [used]
111-0502// Amat Applied 0270-20152 Tool Cal 101 Lift To Robot [used]
 226 82  
 
UNIT INSTRUMENTS UFC-1260A 100 SCCM N2 MASS FLOW Controller used
UNIT INSTRUMENTS UFC-1260A 100 SCCM N2 MASS FLOW Controller used
 143 94  107 96  
 
Novellus 04-730770-02 Mounting Plate 5" OD 07070445
Novellus 04-730770-02 Mounting Plate 5" OD 07070445
 257 35  
 
CVC Production Inc  GIC-410 Ion Gauge 160/230V Watts 150 50/60Hz
CVC Production Inc  GIC-410 Ion Gauge 160/230V Watts 150 50/60Hz
 109 05  
 
Novellus 19-143807-00 Quartz Heater Kit Lamp Assembly B&H Engineering Rev B
Novellus 19-143807-00 Quartz Heater Kit Lamp Assembly B&H Engineering Rev B
 65 43  
 
8297 Applied Material Cable, 0150-76461
8297 Applied Material Cable, 0150-76461
 436 19  
 
Tazmo EOR05-1656A EOR05-13755 DNS Board
Tazmo EOR05-1656A EOR05-13755 DNS Board
 125 62  
 
St8101-025 Issuf 5 Pcb Board
St8101-025 Issuf 5 Pcb Board
 218 09  
 
A-83439-2 / Low Level Interface / Zmisc
A-83439-2 / Low Level Interface / Zmisc
 436 19  
 
ESI Magnetic Actator BEI LA14-15A free ship
ESI Magnetic Actator BEI LA14-15A free ship
 152 67  
 
0140-08724 / Harness, E-chain Ai/o Head, 200mm, Cable Assy / Applied Materials
0140-08724 / Harness, E-chain Ai/o Head, 200mm, Cable Assy / Applied Materials
 1,418 06  
 
Applied Materials/AMAT 0020-91693 ADAPTER NON-GALLING COVER
Applied Materials/AMAT 0020-91693 ADAPTER NON-GALLING COVER
 261 71  
 
CalMetrics 144 Wafer Plating Special Standard w/ Case (good condition)
CalMetrics 144 Wafer Plating Special Standard w/ Case (good condition)
 174 47  
 
Cyber Research Eci Technology Qlc-5100
Cyber Research Eci Technology Qlc-5100
 785 13  
 
Applied Materials 0240-48504 Spare Power Transisto 0190-19765 1370-00066 5010
Applied Materials 0240-48504 Spare Power Transisto 0190-19765 1370-00066 5010
 83 75  
 
e-Flow, 10-023032-00, Gas Line Assy, Used
e-Flow, 10-023032-00, Gas Line Assy, Used
 218 09  
 
AMAT, 0050-18569, Gas Line Assy, Used
AMAT, 0050-18569, Gas Line Assy, Used
 218 09  
 
Pfeiffer / Balzers TCF 102 Vent Control Plug-In
Pfeiffer / Balzers TCF 102 Vent Control Plug-In
 39 26  
 
STEPPING Motor 102800035 2-P 4-Wire 35 0 9 degree 20mm
STEPPING Motor 102800035 2-P 4-Wire 35 0 9 degree 20mm
 68 05  
 
JANCD-NCU31-E  Board, F352771-1
JANCD-NCU31-E Board, F352771-1
 191 92  
 
Automotion 4009-2 ALC06OR-010-1011 Servo Motor Controller, 1 Phase, 115V, 416541
Automotion 4009-2 ALC06OR-010-1011 Servo Motor Controller, 1 Phase, 115V, 416541
 828 75  
 
Used 4022 486 18103 VACUUM OUTPUT SENSOR SEM-I-749=9G21
Used 4022 486 18103 VACUUM OUTPUT SENSOR SEM-I-749=9G21
 156 92  
 
Aptech Pneumatic Valve, Ap4550s 2pw Fv4 Mv4 Ami/i, New
Aptech Pneumatic Valve, Ap4550s 2pw Fv4 Mv4 Ami/i, New
 78 51  
 
D119223 SST Dark Spacer Shield
D119223 SST Dark Spacer Shield
 226 82  
 
VMTBH3500A PWS,PCB,6V,5V,12V Converter RPR
VMTBH3500A PWS,PCB,6V,5V,12V Converter RPR
 305 33  
 
SEC93-100009-C1 Board, SCE93-100009-C1 / SLPCNA-1 / Shinko
SEC93-100009-C1 Board, SCE93-100009-C1 / SLPCNA-1 / Shinko
 261 71  
 
MBRAUN Oxygen Probe MB-OX-SE1 User Manual
MBRAUN Oxygen Probe MB-OX-SE1 User Manual
 20 92  
 
347-0201// Amat Applied 0020-22995 Side Receiver, Right 6" Used
347-0201// Amat Applied 0020-22995 Side Receiver, Right 6" Used
 244 26  
 
SMC 3D80-002107-V1 XLAQ-40-X925 Vacuum Angle Isolation Valve TEL
SMC 3D80-002107-V1 XLAQ-40-X925 Vacuum Angle Isolation Valve TEL
 157 03  
 
LOT OF 5 Schneider ELECTRIC 19252 DPN N B10 Circuit Breaker
LOT OF 5 Schneider ELECTRIC 19252 DPN N B10 Circuit Breaker
 104 68  
 
Hp Indigo Ca361-00330  Cable
Hp Indigo Ca361-00330 Cable
 51 30  
 
Hp 451889-002 Rev: B Usb Port With 34 Inch Cable For Hp Proliant Sl250s G8
Hp 451889-002 Rev: B Usb Port With 34 Inch Cable For Hp Proliant Sl250s G8
 94 22  
 
LAM Heated 857-A03272-004 Rev A w/ Watlow STLOUIS Firerod 1926 1023565
LAM Heated 857-A03272-004 Rev A w/ Watlow STLOUIS Firerod 1926 1023565
 104 67  
 
SFI Type 18685 Tube Gas Pyrometer 9 1/8" OAL 1/4" Tube OD Swagelok Swivel End
SFI Type 18685 Tube Gas Pyrometer 9 1/8" OAL 1/4" Tube OD Swagelok Swivel End
 82 88  
 
Novellus Type 04-731323-01 Cap Quartz HTR Table 8-1/8" OD GM 1333-04-731323
Novellus Type 04-731323-01 Cap Quartz HTR Table 8-1/8" OD GM 1333-04-731323
 130 86  
 
320-0401// Meiden Jz85z-11 Su18a30127 Board Used
320-0401// Meiden Jz85z-11 Su18a30127 Board Used
 218 09  
 
0035208-001 / Pcb Pdf Es30 Slot 6 / Kla Tencor
0035208-001 / Pcb Pdf Es30 Slot 6 / Kla Tencor
 10,075 92  
 
Nais Relay, Contact AY34002 RT3SP1-24V
Nais Relay, Contact AY34002 RT3SP1-24V
 11 30  
 
50416500100 / Wtc Cage Assy And 4 Pcb / Applied Materials
50416500100 / Wtc Cage Assy And 4 Pcb / Applied Materials
 5,932 98  
 
354-0501// Amat Applied 0040-47311 Hinge Base Assy, Bolted Inductive [used]
354-0501// Amat Applied 0040-47311 Hinge Base Assy, Bolted Inductive [used]
 689 17  
 
AMAT Applied Materials Manifold Left Right 0041-04405 Rev  02
AMAT Applied Materials Manifold Left Right 0041-04405 Rev  02
 86 36  
 
6inCF Components nipple
6inCF Components nipple
 305 33  
 
327-0201// Adtec Amv-2000amt Rf Generator Asis
327-0201// Adtec Amv-2000amt Rf Generator Asis
 1,744 74  
 
Cdk Pneumatic Air Chemical Liquid Pfa Valve, Amd212-ift-s
Cdk Pneumatic Air Chemical Liquid Pfa Valve, Amd212-ift-s
 52 34  
 
Hermes Microvision DDL_X Driver Board
Hermes Microvision DDL_X Driver Board
 157 02  
 
Applied Materials 19200-12917670 (xl) Mfr-96214 0303
Applied Materials 19200-12917670 (xl) Mfr-96214 0303
 785 13  588 84  
 
Cosel PAA300F-24 power supply, AC 100-240V, 24V 14A , USED
Cosel PAA300F-24 power supply, AC 100-240V, 24V 14A , USED
 174 47  
 
SSEC - Solid State Equipment Corp 48020801 SEAL SPIN MOTOR VACUUM SEAL (PKG 4)
SSEC - Solid State Equipment Corp 48020801 SEAL SPIN MOTOR VACUUM SEAL (PKG 4)
 52 34  
 
5012-000062-11, Amc-d Amc-d-x1 / Pcb With Exchange / Tel
5012-000062-11, Amc-d Amc-d-x1 / Pcb With Exchange / Tel
 1,920 07  
 
TEL Tokyo Electron Operator Display Panel P-8 Fully Automatic Wafer Prober Spare
TEL Tokyo Electron Operator Display Panel P-8 Fully Automatic Wafer Prober Spare
 1,052 25  
 
Entegris WE16SP-X WE16SP PFA Elbow Fitting Brand NEW Open Box
Entegris WE16SP-X WE16SP PFA Elbow Fitting Brand NEW Open Box
 43 61  
 
27-166888-00 Board,
27-166888-00 Board,
 261 71  
 
PCA-61200EM Board,
PCA-61200EM Board,
 238 16  
 
Advantech Pca-6775 A1 Circuit Board
Advantech Pca-6775 A1 Circuit Board
 348 08  
 
EAUC MODEL DV-509-2200 Spindle drive
EAUC MODEL DV-509-2200 Spindle drive
 170 11  
 
4691) [used] Fine Suntronix Power Supply Vsf100-24
4691) [used] Fine Suntronix Power Supply Vsf100-24
 130 88  
 
177-0404// Amat Applied 0050-80587 Applied Matrials Components [asis]
177-0404// Amat Applied 0050-80587 Applied Matrials Components [asis]
 261 71  
 
Watlow Controls , Series 988a-20fd-aarg
Watlow Controls , Series 988a-20fd-aarg
 115 15  
 
[NEW] SensArray Corp Process Probe Instrumented Wafers (Part #: 1530A-4-0170)
[NEW] SensArray Corp Process Probe Instrumented Wafers (Part #: 1530A-4-0170)
 1,722 93  
 
Novellus 04-708730-01 Retainer O-Ring WHC LSA Anodic 04-708730-00 10-3/8"x15-5/8
Novellus 04-708730-01 Retainer O-Ring WHC LSA Anodic 04-708730-00 10-3/8"x15-5/8
 313 18  
 
440-0154-001 Board,
440-0154-001 Board,
 168 37  
 
KSOP-06A Board, KSOP-06A
KSOP-06A Board, KSOP-06A
 88 98  
 
KSi/F-24A Board, Ksi/F-24A
KSi/F-24A Board, Ksi/F-24A
 73 28  
 
Novellus 71-260643-00 Fixture Preheat L/lk Blank Off
Novellus 71-260643-00 Fixture Preheat L/lk Blank Off
 157 03  
 
FSI International 290199-400 Electric Shuttle Board PCB 290199-200 Used Working
FSI International 290199-400 Electric Shuttle Board PCB 290199-200 Used Working
 22   
 
Fujikin FUSDM-21M-6 35UGF-S-APD     INCORPORATED NO  80219, USED
Fujikin FUSDM-21M-6 35UGF-S-APD INCORPORATED NO  80219, USED
 218 09  
 
320-0301// Amat Applied 0100-20191 Pcb Assy 486pc P2 Distribution [used]
320-0301// Amat Applied 0100-20191 Pcb Assy 486pc P2 Distribution [used]
 287 88  
 
346-0403// Amat Applied 0040-23559 Bracket, Rf Match Mount [used]
346-0403// Amat Applied 0040-23559 Bracket, Rf Match Mount [used]
 209 37  
 
Daihen RMN-20E2-V RF Auto Matcher 3D80-000143-V7, 13 56MHz, 2kW
Daihen RMN-20E2-V RF Auto Matcher 3D80-000143-V7, 13 56MHz, 2kW
 2,704 35  
 
Smc High Vacuum Valve, Xlc-25
Smc High Vacuum Valve, Xlc-25
 152 67  
 
Amat 0200-09217 Enoch Engineering 8" Quartz
Amat 0200-09217 Enoch Engineering 8" Quartz
 91 60  
 
Blue Cord Co  PWM100F Digital FFU Controller PWM-100F
Blue Cord Co  PWM100F Digital FFU Controller PWM-100F
 78 50  
 
3036) [used] Jai Corporation Cv-a1
3036) [used] Jai Corporation Cv-a1
 157 04  
 
VAT Vale  #28324-GE21-0001/0030
VAT Vale #28324-GE21-0001/0030
 157 03  
 
240-044-0187 Or 27-66286-01 / Up And Down Spindle Motor Dri / Electro Craft
240-044-0187 Or 27-66286-01 / Up And Down Spindle Motor Dri / Electro Craft
 654 31  
 
Motorola Vme Module 1806777 01-w3799b
Motorola Vme Module 1806777 01-w3799b
 65 43  
 
CA454-00391 Board, Module CBB Assy
CA454-00391 Board, Module CBB Assy
 174 47  
 
KSEMG-02B Board, KSEMG-02B
KSEMG-02B Board, KSEMG-02B
 196 28  
 
Parker Compumotor PC23 Adaptor Box  w/ warranty
Parker Compumotor PC23 Adaptor Box w/ warranty
 257 35  
 
Bruker HVC1 HVC2 344-02 01 11884 Spectrometer
Bruker HVC1 HVC2 344-02 01 11884 Spectrometer
 164 88  
 
Fei Board 4022 192 70323
Fei Board 4022 192 70323
 348 86  
 
DESiG Board, / DESiG / Current Board with Lamp / 4PCB / Yaskawa
DESiG Board, / DESiG / Current Board with Lamp / 4PCB / Yaskawa
 157 03  
 
Koyo Osc-0014 Pcb Board
Koyo Osc-0014 Pcb Board
 104 68  
 
ADVANTECH PCI-1713 REV A2 01-2 BOARD free ship
ADVANTECH PCI-1713 REV A2 01-2 BOARD free ship
 199 77  
 
Mohlr006-a / Table, Chuck (disco)(fe5f-2nx4a) / Disco
Mohlr006-a / Table, Chuck (disco)(fe5f-2nx4a) / Disco
 932 74  
 
Disco,code Bvds0191, Dresser, Size 8" X2t (80x1t) Lot Of 5, Nos
Disco,code Bvds0191, Dresser, Size 8" X2t (80x1t) Lot Of 5, Nos
 239 90  191 92  
 
949-9411 /diaphragm Vacuum Pump/ Varian
949-9411 /diaphragm Vacuum Pump/ Varian
 873 23  
 
Silicon Reticles 7''
Silicon Reticles 7''
 75 37  
 
TMC Stacis 2000 p/n 7889-17981 Active Piezoelectric Virbration Control POD
TMC Stacis 2000 p/n 7889-17981 Active Piezoelectric Virbration Control POD
 1,526 65  
 
Brooks Automation 3200-4507-01 TFLS JEDEC LP Board Rev  A
Brooks Automation 3200-4507-01 TFLS JEDEC LP Board Rev  A
 191 92  
 
AMAT Applied Materials 0020-31605 Rev B RING FOCUSING HLZ-464
AMAT Applied Materials 0020-31605 Rev B RING FOCUSING HLZ-464
 261 70  
 
Haskris CD4300ZB Water Temperature Controller
Haskris CD4300ZB Water Temperature Controller
 125 62  
 
Unit Instruments UFC-1660 std , 30%O2/70%HE
Unit Instruments UFC-1660 std , 30%O2/70%HE
 959 61  
 
MKS 5200-IM6T Interface Module, USED
MKS 5200-IM6T Interface Module, USED
 218 09  
 
HP 702139-001 REV:0A SL270S Gen8 LPLOM x24 4u PE3 Board with Bracket
HP 702139-001 REV:0A SL270S Gen8 LPLOM x24 4u PE3 Board with Bracket
 104 68  
 
Edwards Vacuum  - Male Connector 1/4NPT - 1/8 TC  - A55615273 (UK)
Edwards Vacuum - Male Connector 1/4NPT - 1/8 TC - A55615273 (UK)
 25   
 
EDWARDS Pipe Heat Wrap TMS PE 40D 80L MON (UK) Y14M42080 H1 - SEMICONDUCTOR
EDWARDS Pipe Heat Wrap TMS PE 40D 80L MON (UK) Y14M42080 H1 - SEMICONDUCTOR
 1   
 
HP PE3 4UX32 Board Assy 702140-001 671788-001 698886-001
HP PE3 4UX32 Board Assy 702140-001 671788-001 698886-001
 88 98  
 
Quanta Da0f06th4e0 Rev: E 3af06ma0000 Board
Quanta Da0f06th4e0 Rev: E 3af06ma0000 Board
 104 68  
 
Hp 736075-001 Rev: X3 01018f402-756-g Pcb Board
Hp 736075-001 Rev: X3 01018f402-756-g Pcb Board
 130 86  
 
HP 736079-001 738770-001 REV:0A 01018EK0A-613-G PCB BOARD G9 w/ 738508-001 CABLE
HP 736079-001 738770-001 REV:0A 01018EK0A-613-G PCB BOARD G9 w/ 738508-001 CABLE
 136 09  
 
HP 736079-001 738770-001 REV:0A 01018EK0A-613-G PCB BOARD G9 w/738508-001 CABLE
HP 736079-001 738770-001 REV:0A 01018EK0A-613-G PCB BOARD G9 w/738508-001 CABLE
 157 03  
 
Hp 736079-001 Rev: X2 01018ek01-756-g Pcb Board G9
Hp 736079-001 Rev: X2 01018ek01-756-g Pcb Board G9
 104 68  
 
HP 702075-001 REV: 0A SPS-L2 Power Left PCB BOARD
HP 702075-001 REV: 0A SPS-L2 Power Left PCB BOARD
 99 45  
 
172-0301// Mks Fi20161-1 Astex 1 8kw Generator [asis]
172-0301// Mks Fi20161-1 Astex 1 8kw Generator [asis]
 1,744 74  
 
ASML Chuck Parking Lock (CPL) Used SEM-I-863=9B45
ASML Chuck Parking Lock (CPL) Used SEM-I-863=9B45
 3,489 40  
 
Uniwire Uv-250 Vme Interface Board
Uniwire Uv-250 Vme Interface Board
 260 84  
 
KOKUSAI OVERHEAT PROTECTOR DN-130JM DN-I30JM DN-I30JM(TD) /  Free Shipping
KOKUSAI OVERHEAT PROTECTOR DN-130JM DN-I30JM DN-I30JM(TD) / Free Shipping
 348 08  
 
Qdp80 Qdp80/qmb500 / Controller Box / Edwards
Qdp80 Qdp80/qmb500 / Controller Box / Edwards
 1,657 53  
 
Universal Plastics UP 1102 C T  Bath Controller - Model# UP1102
Universal Plastics UP 1102 C T  Bath Controller - Model# UP1102
 436 19  
 
Titanium sputtering target  5% pure, 3 0" diameter x 0 125" thick
Titanium sputtering target  5% pure, 3 0" diameter x 0 125" thick
 146 56  
 
Fujikin P7000d Fluid Hf Mass Flow 1 Slm Fcsp7310dw2-t5-4cc2-file/hf-h3d12
Fujikin P7000d Fluid Hf Mass Flow 1 Slm Fcsp7310dw2-t5-4cc2-file/hf-h3d12
 218 09  
 
Applied Materials Spin Window 0120-91554 -- 0100-00970 -- Used
Applied Materials Spin Window 0120-91554 -- 0100-00970 -- Used
 213 73  
 
Commonwealth Scientific CAF-38 Controller 175 Watts/117 Volts
Commonwealth Scientific CAF-38 Controller 175 Watts/117 Volts
 520 45  234 21  
 
Park Scientific Instruments Assembly# 00-200-2108 REV: F 20 BIT DAC HIGH VOLTA
Park Scientific Instruments Assembly# 00-200-2108 REV: F 20 BIT DAC HIGH VOLTA
 174 47  
 
Plasmatherm Feature plate 11" diameter For SLR type systems
Plasmatherm Feature plate 11" diameter For SLR type systems
 239 90  
 
E11075301 / Ion Source Assembly Rev  F E17136530-d / Varian
E11075301 / Ion Source Assembly Rev  F E17136530-d / Varian
 872 37  
 
Mks 901p-11 Series Load Lock Transducer
Mks 901p-11 Series Load Lock Transducer
 125 62  
 
Applied Materials / AMAT 1021-864-01 7004750-3 500161583
Applied Materials / AMAT 1021-864-01 7004750-3 500161583
 83 75  
 
FESTO 185781 LFR-1/4-D-MINI-KG Series Service Unit Combination with Valves
FESTO 185781 LFR-1/4-D-MINI-KG Series Service Unit Combination with Valves
 218 09  
 
Novellus 03-048848-00 Rev B, Cable, P/N : 5616B1801
Novellus 03-048848-00 Rev B, Cable, P/N : 5616B1801
 174 47  
 
3235X Board, 3235X / HP Processor  HP3235X / HP
3235X Board, 3235X / HP Processor HP3235X / HP
 261 71  
 
Sony CMA-D2 CAMERA ADAPTOR
Sony CMA-D2 CAMERA ADAPTOR
 52 34  
 
SSEC - Solid State Equipment Corp 5099006840 WAFER HOLDER PIN (QTY 48)
SSEC - Solid State Equipment Corp 5099006840 WAFER HOLDER PIN (QTY 48)
 52 34  
 
SSEC - Solid State Equipment Corp NI-4-VCR-2 NOZZLE GASKET HPC 1/4IN VCR (PKG 9)
SSEC - Solid State Equipment Corp NI-4-VCR-2 NOZZLE GASKET HPC 1/4IN VCR (PKG 9)
 52 34  
 
0040-82011 /      Pumping Plate Afeol 300mm / Applied Materials Amat 0040-82011
0040-82011 / Pumping Plate Afeol 300mm / Applied Materials Amat 0040-82011
 3,176 20  
 
108588002 / Dose Processor Assy   Ion Implant System / Varian
108588002 / Dose Processor Assy Ion Implant System / Varian
 6,543 51  
 
ZirChrom-PBD HPLC column 3uM diameter 300 A pore size 150 x 4 6 mm ID
ZirChrom-PBD HPLC column 3uM diameter 300 A pore size 150 x 4 6 mm ID
 68 05  
 
Matrix Integrated Systems Model 105 Operator Interface Module
Matrix Integrated Systems Model 105 Operator Interface Module
 806 94  645 56  
 
0015-09091 Assy , Lamp Driver ASSY TESTED
0015-09091 Assy , Lamp Driver ASSY TESTED
 854 92  
 
NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
 15 69  
 
Novellus Hds10c-0 Vector 02-252395-00
Novellus Hds10c-0 Vector 02-252395-00
 2,617 12  
 
Tas-cnext / Load Port Interface Board     Pcb / Tdk Tas300
Tas-cnext / Load Port Interface Board Pcb / Tdk Tas300
 86 36  
 
Tas-in12 / Backplane Interface Board / Tdk Tas300
Tas-in12 / Backplane Interface Board / Tdk Tas300
 86 36  
 
TDK TAS-RIN16 Backplane Interface Board PCB TAS300 Load Port
TDK TAS-RIN16 Backplane Interface Board PCB TAS300 Load Port
 86 36  
 
Tas-rin8 / Backplane Interface Board / Tdk Tas300
Tas-rin8 / Backplane Interface Board / Tdk Tas300
 86 36  
 
Tas-in8 / Interface Circuit Board / Tdk Tas300
Tas-in8 / Interface Circuit Board / Tdk Tas300
 86 36  
 
853-012160-002 / Cap / Lam Research Corporation
853-012160-002 / Cap / Lam Research Corporation
 480 52  
 
Fei Board 4022 192 71511
Fei Board 4022 192 71511
 436 10  
 
340-0203// Leica 307-072 065 Hg 100w [asis]
340-0203// Leica 307-072 065 Hg 100w [asis]
 436 19  
 
118-0401// Mrc C16-0437-a4528-02 Target [asis]
118-0401// Mrc C16-0437-a4528-02 Target [asis]
 436 19  
 
Amat PN # 0200-36680 Liner Quartz Upper Gas Dist  Ground ASP
Amat PN # 0200-36680 Liner Quartz Upper Gas Dist  Ground ASP
 178 84  
 
LTX Corporation 865-0152-00 Station Controller TS80
LTX Corporation 865-0152-00 Station Controller TS80
 959 61  
 
Amat 0020-79242 Travel Hub Shaft W/ 0020-79244
Amat 0020-79242 Travel Hub Shaft W/ 0020-79244
 348 95  
 
Tegal RF Interface PCB # 99-173-008
Tegal RF Interface PCB # 99-173-008
 601 94  
 
HASSYC809700 Board,
HASSYC809700 Board,
 115 15  
 
81517201 Valve, Solenoid
81517201 Valve, Solenoid
 73 28  
 
TAS-iN12 Board,
TAS-iN12 Board,
 161 21  
 
TAS-LED Board,
TAS-LED Board,
 138 18  
 
F104-i0/1 Board,
F104-i0/1 Board,
 160 17  
 
171-464-1C Board,
171-464-1C Board,
 171 86  
 
Tokyo Electron Tel Act 12 Lithius Fork Cra Load Fork Ct5085-41274-11
Tokyo Electron Tel Act 12 Lithius Fork Cra Load Fork Ct5085-41274-11
 1,308 56  
 
445-0501// Oriental Motor Xg6100s Pulse Generator [used]
445-0501// Oriental Motor Xg6100s Pulse Generator [used]
 174 47  
 
AMAT Applied Materials 0680-00492 CB MAG THERM GHC 3P 480VAC 40A W/SHTRIP
AMAT Applied Materials 0680-00492 CB MAG THERM GHC 3P 480VAC 40A W/SHTRIP
 78 51  
 
Mpu818-n035 0-10 96 / Diaphragm Vacuum Pump / Matheson
Mpu818-n035 0-10 96 / Diaphragm Vacuum Pump / Matheson
 1,222 18  
 
0010-13593 / Insulator Ar, Mixed / Applied Materials Amat
0010-13593 / Insulator Ar, Mixed / Applied Materials Amat
 1,588 93  
 
4812-7000 / Solid State Camera With Exchange / Cohu
4812-7000 / Solid State Camera With Exchange / Cohu
 2,573 52  
 
Piovan material separator Outer diameter: 85 mm +/- Height: 128 mm Stainless
Piovan material separator Outer diameter: 85 mm +/- Height: 128 mm Stainless
 31 20  
 
ASM 03-20227-01 REV M Board EXCELLENT
ASM 03-20227-01 REV M Board EXCELLENT
 261 71  
 
Applied Materials AMAT VCR Tee Weldment, 0050-42819
Applied Materials AMAT VCR Tee Weldment, 0050-42819
 257 35  
 
Rorze RA410-812-101-1 Wafer Aligner
Rorze RA410-812-101-1 Wafer Aligner
 2,268 16  
 
Applied Materials Amat Mks Perlast Atk-8 Valve 100012556
Applied Materials Amat Mks Perlast Atk-8 Valve 100012556
 3,489 49  
 
Thermonics T-2423 Precision Temperature Forcing System 220 VAC - BAD ARM - AS IS
Thermonics T-2423 Precision Temperature Forcing System 220 VAC - BAD ARM - AS IS
 1,831 98  864 70  
 
Tempress PCB Assy Modified Boat Loader Intcon, 93693, Used
Tempress PCB Assy Modified Boat Loader Intcon, 93693, Used
 218 09  
 
Phoenix Contact SBM EN PUSH-IN TERMINALS 5053175
Phoenix Contact SBM EN PUSH-IN TERMINALS 5053175
 157 03  
 
Mks Astex Product Smart Power Generator F120161-1
Mks Astex Product Smart Power Generator F120161-1
 6,978 98  
 
2-vc-34361 / Pcb, Bp-0121a,sk-2000 / Dns Dai Nippon Screen
2-vc-34361 / Pcb, Bp-0121a,sk-2000 / Dns Dai Nippon Screen
 567 76  
 
ASCO Automatic Switch Co  8210D14 Reverse - Acting Solenoids, Series 8014
ASCO Automatic Switch Co  8210D14 Reverse - Acting Solenoids, Series 8014
 130 86  
 
A52850000 QDP Exhaust Pressure, Series 3 Module
A52850000 QDP Exhaust Pressure, Series 3 Module
 252   
 
Applied Materials 0200-36373, Lift Pin, Producer
Applied Materials 0200-36373, Lift Pin, Producer
 69 79  
 
CTI Cryogenics 8113211G001 Goldlink Support
CTI Cryogenics 8113211G001 Goldlink Support
 261 70  117 77  
 
0021-21234 / Upper Shield / Applied Materials Amat
0021-21234 / Upper Shield / Applied Materials Amat
 1,775 08  
 
Vicor 20-011106-01 3 Phase E/D Board PCB 24-011106-01 Used Working
Vicor 20-011106-01 3 Phase E/D Board PCB 24-011106-01 Used Working
 183 36  
 
FSM DC911212 Rev  3 AIODIO Assy board
FSM DC911212 Rev  3 AIODIO Assy board
 828 75  
 
180-0601// Ofs Dcm120 Rightwave Tm Module [used]
180-0601// Ofs Dcm120 Rightwave Tm Module [used]
 436 19  
 
ESI Dual AOM NEOS Driver Rack P/N 166001  ESI 98xx System
ESI Dual AOM NEOS Driver Rack P/N 166001 ESI 98xx System
 1,038 12  
 
TEL Tokyo Electron 3281-000029-1 PCB Power Connector Circuit Board *used working
TEL Tokyo Electron 3281-000029-1 PCB Power Connector Circuit Board *used working
 436 19  305 33  
 
949-9411 /diaphragm Vacuum Pump/ Varian
949-9411 /diaphragm Vacuum Pump/ Varian
 1,622 61  
 
Air Liquide High Purity Gas Panel, CGA 580 w/ Pigtail previously used for Xe
Air Liquide High Purity Gas Panel, CGA 580 w/ Pigtail previously used for Xe
 392 57  
 
[Used] VARIAN / F3879-1 / SCAN SELECTOR, Rev C
[Used] VARIAN / F3879-1 / SCAN SELECTOR, Rev C
 1,919 22  
 
Amat 0200-04840 Lid Liner
Amat 0200-04840 Lid Liner
 248 63  
 
TEQCOM M663W2DFS-HT-312 Solenoid 3-WAY Valve, TYPE  NC-NO-C, NOS
TEQCOM M663W2DFS-HT-312 Solenoid 3-WAY Valve, TYPE NC-NO-C, NOS
 182 33  
 
715-31752-204 / Cap, Lwr Elect 4 Inch, W-vent Grv / Lam Research Corporation
715-31752-204 / Cap, Lwr Elect 4 Inch, W-vent Grv / Lam Research Corporation
 2,181 65  
 
BTU Engineering 1301533,  750 Thermocouple for MRA-6 New
BTU Engineering 1301533,  750 Thermocouple for MRA-6 New
 37 67  
 
402-0501// Tokyo-rikosha Vscp-60-nspy Controller [used/fast]
402-0501// Tokyo-rikosha Vscp-60-nspy Controller [used/fast]
 523 42  
 
ASYST 3200-1071-01 With Z-World Micro-G2
ASYST 3200-1071-01 With Z-World Micro-G2
 257 35  
 
LAM Research 880-82-000 MRC PCB Motion Monitor 603 Rev  B
LAM Research 880-82-000 MRC PCB Motion Monitor 603 Rev  B
 316 14  
 
422-0202// Danaher Ahd70c4-44s Gpl065-1/3 5:1 Ac Servo Motor [used/fast]
422-0202// Danaher Ahd70c4-44s Gpl065-1/3 5:1 Ac Servo Motor [used/fast]
 436 19  
 
Applied Materials Amat 0010-19900 Assembly Waist, Fixed Wing
Applied Materials Amat 0010-19900 Assembly Waist, Fixed Wing
 7,851 35  
 
Tel Tokyo Electron 3d10-101056-v1 Electrode Btm 12y Ht
Tel Tokyo Electron 3d10-101056-v1 Electrode Btm 12y Ht
 2,791 59  
 
Pl System Support  Card 88291003c Sbc-2b Pwb114555-008 7171b-02
Pl System Support Card 88291003c Sbc-2b Pwb114555-008 7171b-02
 174 47  
 
Varian SCH-D883801 D883800 MCW-A  309404575 FAULT CONDITIONING BOARD
Varian SCH-D883801 D883800 MCW-A 309404575 FAULT CONDITIONING BOARD
 174 47  
 
Groschopp Viersen  1781423
Groschopp Viersen 1781423
 130 85  
 
Gas Panel Interface GIB Power Supply, Used Semy Engineering
Gas Panel Interface GIB Power Supply, Used Semy Engineering
 261 71  
 
9713) [used] Bruker Fcu1 Fcu2 Aqx
9713) [used] Bruker Fcu1 Fcu2 Aqx
 1,421 98  
 
EBARA PRECISION - Plate Washer - C-3570-823-0001 (UK)
EBARA PRECISION - Plate Washer - C-3570-823-0001 (UK)
 25   
 
EDWARDS VACUUM - TMS Insulation Jacket TPU Head - Y14109008 (UK)
EDWARDS VACUUM - TMS Insulation Jacket TPU Head - Y14109008 (UK)
 1   
 
Applied Materials Centura 4 0 Fi Controller 0010-24209, Cp3308-s000527 Sbs
Applied Materials Centura 4 0 Fi Controller 0010-24209, Cp3308-s000527 Sbs
 6,542 79  
 
0020-32122 Cap, Teflon / AMAT
0020-32122 Cap, Teflon / AMAT
 218 09  
 
JANCD-NSP30-E Board, F352769-1
JANCD-NSP30-E Board, F352769-1
 174 47  
 
0020-17533 Fitting, 0020-17533 / Rev 001 / from 300mm Chamber Lid Top / Applied
0020-17533 Fitting, 0020-17533 / Rev 001 / from 300mm Chamber Lid Top / Applied
 174 47  
 
Stec Inc Pcu-2000 Controller
Stec Inc Pcu-2000 Controller
 348 86  
 
US Digital Designs USDD-0016 ZBOT1 Vacuum Board VAC PCB Z1V1-R Used Working
US Digital Designs USDD-0016 ZBOT1 Vacuum Board VAC PCB Z1V1-R Used Working
 22   
 
TOKYO KEISO DNS WATER PURGEMETER FLOWMETER 0-3 L/MIN TS2-SH Lot of 3ea
TOKYO KEISO DNS WATER PURGEMETER FLOWMETER 0-3 L/MIN TS2-SH Lot of 3ea
 143 94  
 
FESTO 2 UNITS MS4-LRB-1/4-D6-AS 529479 Valve
FESTO 2 UNITS MS4-LRB-1/4-D6-AS 529479 Valve
 88 98  
 
879-0362-002/chuck, Qml/msxlod
879-0362-002/chuck, Qml/msxlod
 515 55  
 
[Used] HITACHI / 33016136-5 / DC INPUT UNIT, PIM-DH, Module only, 1pcs
[Used] HITACHI / 33016136-5 / DC INPUT UNIT, PIM-DH, Module only, 1pcs
 108 87  
 
68805 / Kla 5200 Illum Assembly Oriel 66330 Power Supply / Kla Tencor
68805 / Kla 5200 Illum Assembly Oriel 66330 Power Supply / Kla Tencor
 479 80  
 
351-0303// Amat Applied 0190-70091 Tc Assy  3 Probe [used]
351-0303// Amat Applied 0190-70091 Tc Assy  3 Probe [used]
 348 95  
 
VTEX  CX12-0000007-V2 V146252 rollcam JAPAN
VTEX CX12-0000007-V2 V146252 rollcam JAPAN
 828 75  
 
AMAT Applied Materials UNIVERSAL ADDRESS PCB P/N 670056 REV D
AMAT Applied Materials UNIVERSAL ADDRESS PCB P/N 670056 REV D
 610 66  488 53  
 
GE/Fanuc Genius Bus Interface Unit IC670GBI102G
GE/Fanuc Genius Bus Interface Unit IC670GBI102G
 904 38  
 
Control Technology Corporation Pcb 50-1042
Control Technology Corporation Pcb 50-1042
 52 34  
 
6846) [used] Ulvac Mds-vib d
6846) [used] Ulvac Mds-vib d
 218 10  
 
Novellus Systems 90-2735 Interlock BD A2000 PCB Rev A & Rev B Lot of 4 Working
Novellus Systems 90-2735 Interlock BD A2000 PCB Rev A & Rev B Lot of 4 Working
 219 10  
 
Rorze Wafer Alignment Ra101a-010 Year 2005
Rorze Wafer Alignment Ra101a-010 Year 2005
 697 81  
 
ASM Heater Emerald 1045-426-01 Susceptor Cleaned with WARRANTY
ASM Heater Emerald 1045-426-01 Susceptor Cleaned with WARRANTY
 2,529 88  2,023 90  
 
Ci Systems, Ntm 500-d, 27-258087-00
Ci Systems, Ntm 500-d, 27-258087-00
 3,925 67  2,944 26  
 
Nikon 4S782-844-1 Working!
Nikon 4S782-844-1 Working!
 1,526 65  
 
Varian E18000306, Roplat Clutch Tool 402873
Varian E18000306, Roplat Clutch Tool 402873
 157 03  
 
Applied Materials / AMAT 0040-21675 PC BIF
Applied Materials / AMAT 0040-21675 PC BIF
 83 75  
 
414-0501// Nsk Edc-ps1006ab502 Driver [used/fast]
414-0501// Nsk Edc-ps1006ab502 Driver [used/fast]
 436 19  
 
414-0501// Nsk Edc-ps1006ab502-b Driver [used/fast]
414-0501// Nsk Edc-ps1006ab502-b Driver [used/fast]
 436 19  
 
Asyst 3200-1170-01 Panel Display Board Rev  G
Asyst 3200-1170-01 Panel Display Board Rev  G
 146 56  
 
NEW FANUC  Purge Module EE-3505-710-001 FREE SHIPPING
NEW FANUC Purge Module EE-3505-710-001 FREE SHIPPING
 173 60  
 
TDK TAS-RIN8 Backplane Interface Board PCB TAS300 300mm Load Port
TDK TAS-RIN8 Backplane Interface Board PCB TAS300 300mm Load Port
 88 98  
 
Sesame PGC50-9 SERVOTRONIX STPGC50-09-P2/MAVILOR LN55-3B Motor‏
Sesame PGC50-9 SERVOTRONIX STPGC50-09-P2/MAVILOR LN55-3B Motor‏
 218 09  
 
4022 456 17083 ASML Part, Unrecognized Name / This Part Only by Part Number
4022 456 17083 ASML Part, Unrecognized Name / This Part Only by Part Number
 222 45  
 
D119017 SS Door Spa Shield D124820
D119017 SS Door Spa Shield D124820
 273 05  
 
EDS-508A Managed Ethernet Switch
EDS-508A Managed Ethernet Switch
 279 16  
 
A1SY81 PLC Module DC12/24v Output Unit
A1SY81 PLC Module DC12/24v Output Unit
 252   
 
F2039A Link, Motorola / F2039A / Rev H/S : 06/1 17
F2039A Link, Motorola / F2039A / Rev H/S : 06/1 17
 261 71  
 
115630-00 Motor, Electric Motor / Leeson / 115~110v / 230~220v / Hp 0 75~ 5 / 60
115630-00 Motor, Electric Motor / Leeson / 115~110v / 230~220v / Hp 0 75~ 5 / 60
 261 71  
 
1977444-501 Board, 1977444-501 / TD6884 / Rev D / Motor DRV Board / Delta Desing
1977444-501 Board, 1977444-501 / TD6884 / Rev D / Motor DRV Board / Delta Desing
 252   
 
AMAT Applied Materials 0050-88992 Weldment Flexible Vacuum Hose NW40 NW16 VCR
AMAT Applied Materials 0050-88992 Weldment Flexible Vacuum Hose NW40 NW16 VCR
 149 27  125 39  
 
Applied Materials AMAT 0040-37133 BELLOWS ASSY 200MM WAFER MOD 1 WITH PURG
Applied Materials AMAT 0040-37133 BELLOWS ASSY 200MM WAFER MOD 1 WITH PURG
 73 27  
 
Varian E17299761 REV D 500105429 Block Outside END 90 Degree HCS
Varian E17299761 REV D 500105429 Block Outside END 90 Degree HCS
 141 32  
 
Applied Materials 0050-86961 Rev 003 9158-34 Rev C 300582-2/3706
Applied Materials 0050-86961 Rev 003 9158-34 Rev C 300582-2/3706
 88 98  
 
Fujikin 0 34-0 49 Mpa Type  N c  With Foojikin 316l 469043
Fujikin 0 34-0 49 Mpa Type N c  With Foojikin 316l 469043
 68 05  
 
Nikon 4S013-013 Interface Board PCB NSR System Used Working
Nikon 4S013-013 Interface Board PCB NSR System Used Working
 263 62  
 
Metal Flex Veeco 03025980000 Bellows Assembly, 0302-59800-00
Metal Flex Veeco 03025980000 Bellows Assembly, 0302-59800-00
 261 70  78 51  
 
1 Used Pl Pwb 104350-001 Rev J, 7102 Motherboard Assembly 105547 **make Offer**
1 Used Pl Pwb 104350-001 Rev J, 7102 Motherboard Assembly 105547 **make Offer**
 136 08  
 
Hanyoung Temp  Controller Dx2-kswnr [ksc 1613]-50'c To 1300'c Lot Of 2 Free Ship
Hanyoung Temp  Controller Dx2-kswnr [ksc 1613]-50'c To 1300'c Lot Of 2 Free Ship
 157 03  
 
Thermco 131660-001, Working When Removed
Thermco 131660-001, Working When Removed
 87 24  
 
Copper Cu Target, Backing Plate, Endura, Chamber, Spatter, PVD, 102076
Copper Cu Target, Backing Plate, Endura, Chamber, Spatter, PVD, 102076
 1,657 51  
 
Lot Of 5 Asco Mp-c-080 238210-032-d 120/60 110/50ft
Lot Of 5 Asco Mp-c-080 238210-032-d 120/60 110/50ft
 68 05  
 
Tempress PCB Assy Boat Loader Intcon, 37571-01, Used
Tempress PCB Assy Boat Loader Intcon, 37571-01, Used
 218 09  
 
54-0451 /    Sbc I-o Expansion Pcb With Exchange / Kla Tencor Corporation
54-0451 / Sbc I-o Expansion Pcb With Exchange / Kla Tencor Corporation
 1,047 07  
 
Applied Materials Pentium Interface Board 0100-77042 Amat Pcb
Applied Materials Pentium Interface Board 0100-77042 Amat Pcb
 567 04  
 
KLA Instruments 710-652697-20 AVC PCB Card 2132 Used Working
KLA Instruments 710-652697-20 AVC PCB Card 2132 Used Working
 228 70  
 
D37310000 iNlM Base, 1 x Card 1 x EGM / Network / Module / Edwards
D37310000 iNlM Base, 1 x Card 1 x EGM / Network / Module / Edwards
 273 05  
 
806000 Board, Power General
806000 Board, Power General
 305 33  
 
Showa Optronics GLS3078 Laser Power Supply, AC200-240V, 50/60Hz, 6A, 452807
Showa Optronics GLS3078 Laser Power Supply, AC200-240V, 50/60Hz, 6A, 452807
 1,308 56  
 
3TF48 Braker,
3TF48 Braker,
 234 67  
 
CM-140 Camera, CM-140 / DC 12V / 1 0A / MCL With Camera LENS ComPutar 50mm 1:1:8
CM-140 Camera, CM-140 / DC 12V / 1 0A / MCL With Camera LENS ComPutar 50mm 1:1:8
 305 33  
 
TDK Load E4A Port PCB TAS-LED Rev 5 40 + TAS-IN6 1 20 + TAS-IN14 1 10 + TAS-SW
TDK Load E4A Port PCB TAS-LED Rev 5 40 + TAS-IN6 1 20 + TAS-IN14 1 10 + TAS-SW
 435 31  
 
TEL Tokyo Electron 1B80-002389-11 Relay DN Board PCB DI80DO80 Used
TEL Tokyo Electron 1B80-002389-11 Relay DN Board PCB DI80DO80 Used
 478 93  
 
348-0101// Amat Applied 0020-23478 Tube Flanged Htr Rf Lower Esc/ht Htr/p [used]
348-0101// Amat Applied 0020-23478 Tube Flanged Htr Rf Lower Esc/ht Htr/p [used]
 174 47  
 
407-0301// Iai Pcon-pl-42pi-np-2-0 Controller [used]
407-0301// Iai Pcon-pl-42pi-np-2-0 Controller [used]
 174 47  
 
409-0201// Hitachi Himv-310 Gcrtc Fa0063b Himz3100 Fa0064b Board [used]
409-0201// Hitachi Himv-310 Gcrtc Fa0063b Himz3100 Fa0064b Board [used]
 174 47  
 
409-0202// Teltec 245023-001 Rev R 245024-001 Rev F Board [used/fast]
409-0202// Teltec 245023-001 Rev R 245024-001 Rev F Board [used/fast]
 174 47  
 
409-0202// Teltec 244288-001 Rev P 244287-001 Rev F Board [used]
409-0202// Teltec 244288-001 Rev P 244287-001 Rev F Board [used]
 174 47  
 
425-0501// Omron R88d-ua10la Ac Servo Driver [used/fast]
425-0501// Omron R88d-ua10la Ac Servo Driver [used/fast]
 174 47  
 
445-0102// Oriental Motor Pk264a1-sg10 Motor [used/fast]
445-0102// Oriental Motor Pk264a1-sg10 Motor [used/fast]
 174 47  
 
445-0203// Oriental Motor Pk564an-tg7 2 Motor [used]
445-0203// Oriental Motor Pk564an-tg7 2 Motor [used]
 174 47  
 
445-0201// Oriental Motor Pk543aw-a31 Motor [used]
445-0201// Oriental Motor Pk543aw-a31 Motor [used]
 174 47  
 
434-0401// Panasonic Msd011p4e Ac Servo Driver [used]
434-0401// Panasonic Msd011p4e Ac Servo Driver [used]
 174 47  
 
TEL - Tokyo Electron Ltd  3M81-040785-11 PCB
TEL - Tokyo Electron Ltd  3M81-040785-11 PCB
 218 09  
 
Vintage Earth Computer Technologies PWB 5030 PC Board Assembly PWB-5030
Vintage Earth Computer Technologies PWB 5030 PC Board Assembly PWB-5030
 56 52  
 
ASML Used 4022 631 02603,4022 631 71541,4022 631 02612 for parts SEM-I-529=9A39
ASML Used 4022 631 02603,4022 631 71541,4022 631 02612 for parts SEM-I-529=9A39
 785 05  
 
UE Precision Sensors PV48W-68 Vacuum Switches - Lot of 5
UE Precision Sensors PV48W-68 Vacuum Switches - Lot of 5
 73 28  
 
431-0301// Toei Vlbst-z04030-gu Vrkf-lb-15c-400 Bs Servo Motor [used/fast]
431-0301// Toei Vlbst-z04030-gu Vrkf-lb-15c-400 Bs Servo Motor [used/fast]
 436 19  
 
Iwashita SHOTMATIC D3 Automatic Dispenser + Parts / Spares
Iwashita SHOTMATIC D3 Automatic Dispenser + Parts / Spares
 117 77  
 
CKD Vacuum Manifold Lot  N4S0-E (8)x N4S030
CKD Vacuum Manifold Lot N4S0-E (8)x N4S030
 26 16  
 
Applied Materials 15 Slot Tray 200mm 0020-13995
Applied Materials 15 Slot Tray 200mm 0020-13995
 523 41  
 
Tel Vesta Tokyo Electron 300mm Esc Chuck 1206b-45812
Tel Vesta Tokyo Electron 300mm Esc Chuck 1206b-45812
 1,744 74  
 
4022 436 58751 cabel with plug ( T2581 ) BP1X8
4022 436 58751 cabel with plug ( T2581 ) BP1X8
 99 45  
 
PPWS Valve, 1/4" BSP  8 Bar
PPWS Valve, 1/4" BSP 8 Bar
 99 45  
 
PNOZX1 Relay, Safety / 24vac / DC 3n / 50~60Hz / New in Box / Pilz
PNOZX1 Relay, Safety / 24vac / DC 3n / 50~60Hz / New in Box / Pilz
 78 51  
 
GSA-H22N DVD, GSA-H22N / LG DVD Super Multi DVD Rewriter / 5V~12V / 1 5A~1 3A /
GSA-H22N DVD, GSA-H22N / LG DVD Super Multi DVD Rewriter / 5V~12V / 1 5A~1 3A /
 97 36  
 
LS-H91 Sensor, LS-H91 / Laser Sensor / SUNX / Panasoic
LS-H91 Sensor, LS-H91 / Laser Sensor / SUNX / Panasoic
 143 94  
 
H-TRONiC/HB220 2 Board, H-TRONiC/HB220 2
H-TRONiC/HB220 2 Board, H-TRONiC/HB220 2
 73 28  
 
CONTROL Control Panel, With Power On~Off Switch MLD-040P / Power Lamp KH-502 / M
CONTROL Control Panel, With Power On~Off Switch MLD-040P / Power Lamp KH-502 / M
 148 30  
 
9602-0200 Detector, 9602-0200 / Gas Detector Digital / FMK Satellite 4-20 mA / A
9602-0200 Detector, 9602-0200 / Gas Detector Digital / FMK Satellite 4-20 mA / A
 115 15  
 
8322-01 Board, 8322-01 / FLAT Panel Control Board / Datalux Corp
8322-01 Board, 8322-01 / FLAT Panel Control Board / Datalux Corp
 146 56  
 
418-001 Board, 418-001 / LCD Adapter Board / Datalux Corp
418-001 Board, 418-001 / LCD Adapter Board / Datalux Corp
 78 51  
 
Y14101010 2 way Habonim Valve INSU JKT / Edwards
Y14101010 2 way Habonim Valve INSU JKT / Edwards
 88 98  
 
140U-H2C3-C80 Breaker, 140U-H2C3-C80 / Allen Bradley
140U-H2C3-C80 Breaker, 140U-H2C3-C80 / Allen Bradley
 141 32  
 
116-0403// Amat Applied 0200-10246 Uni-insert,gdp,liner,88 Hold, 2nd Source Used
116-0403// Amat Applied 0200-10246 Uni-insert,gdp,liner,88 Hold, 2nd Source Used
 305 33  
 
Gespac PIA-2A GESPIA-2A BOARD, WORKING
Gespac PIA-2A GESPIA-2A BOARD, WORKING
 261 71  
 
YM15A-21W Pneumatic Manifold
YM15A-21W Pneumatic Manifold
 107 83  
 
YM18A-21W Pneumatic Manifold
YM18A-21W Pneumatic Manifold
 107 83  
 
9432590 Valve, Air
9432590 Valve, Air
 139 23  
 
TEL - Tokyo Electron Ltd  3M81-040785-11 PCB UNIVERSAL RLY
TEL - Tokyo Electron Ltd  3M81-040785-11 PCB UNIVERSAL RLY
 218 09  
 
ATMI® NOWPAK® ErgoNOW Bottle System Connector Keyed Retainer - (UK) PA-12-201618
ATMI® NOWPAK® ErgoNOW Bottle System Connector Keyed Retainer - (UK) PA-12-201618
 1   
 
Assy Roller Gripper Upper FRU - 9701-2160-01 (UK) ATLAS / Semiconductor / Wafer
Assy Roller Gripper Upper FRU - 9701-2160-01 (UK) ATLAS / Semiconductor / Wafer
 25   
 
FEI COMPANY SEM P/N 4022 296 0048 HTRB Board
FEI COMPANY SEM P/N 4022 296 0048 HTRB Board
 610 57  
 
LIGHT LIGHT Lens Canera Mirror Box
LIGHT LIGHT Lens Canera Mirror Box
 157 03  
 
Oxford 51-KT-01DRT Controller Modules 1128-419 1128-371 1420-069 with warranty
Oxford 51-KT-01DRT Controller Modules 1128-419 1128-371 1420-069 with warranty
 2,529 88  
 
[Used] MITSUBISHI / LM-10PD / TENSION METER
[Used] MITSUBISHI / LM-10PD / TENSION METER
 125 62  
 
438-0401// Oriental Motor 2823-9012 Motor [used]
438-0401// Oriental Motor 2823-9012 Motor [used]
 104 68  
 
177-0503// Lam Gas Line 715-250172-003  [used]
177-0503// Lam Gas Line 715-250172-003 [used]
 104 68  
 
177-0503// Lam Gas Line 715-250173-003  [used]
177-0503// Lam Gas Line 715-250173-003 [used]
 104 68  
 
Daymarc 91-5250-01 Circuit Board Assembly Sensor Distributor MR13476
Daymarc 91-5250-01 Circuit Board Assembly Sensor Distributor MR13476
 126 49  
 
LSA Anodic 04-712094-02 Shield AY, L/L Ram Novellus 0471209402
LSA Anodic 04-712094-02 Shield AY, L/L Ram Novellus 0471209402
 82 88  
 
Novellus Type 15-00494-00 Motor Base Housing Bertolin Engineering Corp
Novellus Type 15-00494-00 Motor Base Housing Bertolin Engineering Corp
 126 49  
 
Asyst Shinko Chiba Precision Bd-225302 Hassyc810200
Asyst Shinko Chiba Precision Bd-225302 Hassyc810200
 218 09  
 
Applied Materials 0041-28493 Top Plate For Diffuser Lid Assy Sic
Applied Materials 0041-28493 Top Plate For Diffuser Lid Assy Sic
 1,744 74  
 
Rorze Wafer Alignment Ra101a-010 Year 2001
Rorze Wafer Alignment Ra101a-010 Year 2001
 610 57  
 
Asyst Atmospheric Robot Smartcourse Manual -For Path Planning -Command Reference
Asyst Atmospheric Robot Smartcourse Manual -For Path Planning -Command Reference
 279 16  
 
Hirata Board Set HPC-784A And HPC-778
Hirata Board Set HPC-784A And HPC-778
 73 25  
 
KLA Instruments 710-658268-20 Y AMP Filter Board PCB 073-658267-00 2132 Used
KLA Instruments 710-658268-20 Y AMP Filter Board PCB 073-658267-00 2132 Used
 227 85  
 
422-0101// Atlas Ahd70e4-44s Gpl065-1/3 5:1 Ac Servo Motor [used/fast]
422-0101// Atlas Ahd70e4-44s Gpl065-1/3 5:1 Ac Servo Motor [used/fast]
 348 95  
 
IC693MDL655F Fannuc InPut 25VDC 32PT POS/NEG Fast
IC693MDL655F Fannuc InPut 25VDC 32PT POS/NEG Fast
 185 82  
 
3281-000174-14 Board, PCB In Out Interface 3298-000174-13
3281-000174-14 Board, PCB In Out Interface 3298-000174-13
 217 22  
 
MG200Q2YS40 Power Module
MG200Q2YS40 Power Module
 209 37  
 
PCSA-300P-X2V Power Supply, DC
PCSA-300P-X2V Power Supply, DC
 174 47  
 
VPW6000-CHRG Charging System Battery in-Stand Charger VPW6000-CHRG and AC Adapte
VPW6000-CHRG Charging System Battery in-Stand Charger VPW6000-CHRG and AC Adapte
 218 09  
 
SU3800PCB001-LFC63912459 Board, SU3800PCB001-LFC63912459 / LFC Control Board / S
SU3800PCB001-LFC63912459 Board, SU3800PCB001-LFC63912459 / LFC Control Board / S
 204 14  
 
Applied Materials 0200-14553, Insert, Ceramic Shadow
Applied Materials 0200-14553, Insert, Ceramic Shadow
 43 62  
 
Tokyo Electron Limited TEL TEB211-15 GRSO EC80-000180-15 free ship
Tokyo Electron Limited TEL TEB211-15 GRSO EC80-000180-15 free ship
 141 32  
 
Tokyo Electron Limited TEL TEB211-12 GRSO EC80-000180-12 free ship
Tokyo Electron Limited TEL TEB211-12 GRSO EC80-000180-12 free ship
 141 32  
 
NEW Applied Materials/AMAT 0242-18644 Mainframe PVD/PC Chamber Mounting Blocks
NEW Applied Materials/AMAT 0242-18644 Mainframe PVD/PC Chamber Mounting Blocks
 170 98  
 
CKD N3E066S0-MA 8-Port Pneumatic Solenoid Valve Manifold 24VDC 2x29 G Air
CKD N3E066S0-MA 8-Port Pneumatic Solenoid Valve Manifold 24VDC 2x29 G Air
 51 60  
 
Svg Thermco Systems 168615-011 Rev 5 Temperature Interface Pcb Assly
Svg Thermco Systems 168615-011 Rev 5 Temperature Interface Pcb Assly
 697 89  
 
8881) [used] Ulvac Gp-1000g
8881) [used] Ulvac Gp-1000g
 109 93  
 
0021-78097 Block / AMAT
0021-78097 Block / AMAT
 222 60  
 
4022 456 01765 ASML Part  4022 456 0176 5
4022 456 01765 ASML Part  4022 456 0176 5
 222 45  
 
879-8077-002C Board
879-8077-002C Board
 229 43  
 
0040-23526 Slit Vale Door
0040-23526 Slit Vale Door
 252   
 
SFC-M Flowmeter
SFC-M Flowmeter
 271 31  
 
220D2-R/1B44 Board, Fiber Optic TCVR
220D2-R/1B44 Board, Fiber Optic TCVR
 261 71  
 
650S-21140010-001P00-A1 Controller, 650 / 650S-21140010-001P00-A1 Parker
650S-21140010-001P00-A1 Controller, 650 / 650S-21140010-001P00-A1 Parker
 305 33  
 
D37370761 IGX Accessory Module, D37370761 / Edwards
D37370761 IGX Accessory Module, D37370761 / Edwards
 305 33  
 
1977372-501 Board, 1977372-501 / Rev D / Board / Delta Desing
1977372-501 Board, 1977372-501 / Rev D / Board / Delta Desing
 252   
 
1977472-501 Board, 1977472-501 / Rev D / Pyramid Thermal PCA / Board / Delta Des
1977472-501 Board, 1977472-501 / Rev D / Pyramid Thermal PCA / Board / Delta Des
 252   
 
223bd-00001aab / 1 Torr Manometer Baratron Input 15vdc Output 0-1vdc / Mks
223bd-00001aab / 1 Torr Manometer Baratron Input 15vdc Output 0-1vdc / Mks
 1,090 49  
 
MJC BAW-0173 Board
MJC BAW-0173 Board
 392 48  
 
416-0401// Yaskawa Cacr-sr05ad1kry101 Servopack [used/fast]
416-0401// Yaskawa Cacr-sr05ad1kry101 Servopack [used/fast]
 523 42  
 
436-0501// Mitsubishi Mr-j2s-10a Ac Servo Driver [used]
436-0501// Mitsubishi Mr-j2s-10a Ac Servo Driver [used]
 174 47  
 
406-0202// Sumitomo Sf3202-a20 Sf-320 Inverter [used]
406-0202// Sumitomo Sf3202-a20 Sf-320 Inverter [used]
 174 47  
 
8016) [used] Twa-6000 No3 Main Controller 89
8016) [used] Twa-6000 No3 Main Controller 89
 436 19  
 
0040-51790 Bracket
0040-51790 Bracket
 173 60  
 
Turck WKU 5711-1 5m Devicenet Cordset U-38114 1 5 Meter
Turck WKU 5711-1 5m Devicenet Cordset U-38114 1 5 Meter
 69 78  
 
SEREN ATM10M P/N 9400330002 13 56MHz 1000W MATCHER BOX
SEREN ATM10M P/N 9400330002 13 56MHz 1000W MATCHER BOX
 1,308 55  
 
IPEC Speedfam Novellus AD2117B1 Thrust Cover
IPEC Speedfam Novellus AD2117B1 Thrust Cover
 161 39  
 
Nickel/Titanium 99 9/0 1 wt%,  % pure, 3 0" dia x 2mm thk
Nickel/Titanium 99 9/0 1 wt%,  % pure, 3 0" dia x 2mm thk
 157 03  
 
340-0202// Amat Applied 0040-03263 Insert, Slit Valve, Emax Used
340-0202// Amat Applied 0040-03263 Insert, Slit Valve, Emax Used
 157 03  
 
Brooks Automation Aeronca 000-7750-37 Rev-A2 Motor Control PCB for VCE Elevator
Brooks Automation Aeronca 000-7750-37 Rev-A2 Motor Control PCB for VCE Elevator
 209 37  
 
Lam Research 852-011061-503-C-CHMN Lower Chamber Assembly 4420 Etcher As-Is
Lam Research 852-011061-503-C-CHMN Lower Chamber Assembly 4420 Etcher As-Is
 876 85  
 
Applied Materials 0140-21569 MUL-P4 BUFFER I/O CABLE
Applied Materials 0140-21569 MUL-P4 BUFFER I/O CABLE
 104 68  
 
Applied Materials 0140-08743 001 MUL-P1 TRANSFER I/O CABLE
Applied Materials 0140-08743 001 MUL-P1 TRANSFER I/O CABLE
 104 68  
 
348-0403// Amat Applied 0040-01304 W/c Adaptor, Halogen Lamp Fixture Low Te Asis
348-0403// Amat Applied 0040-01304 W/c Adaptor, Halogen Lamp Fixture Low Te Asis
 157 03  
 
853-009300-001 /control Assembly, Gap Drive/ Lam Research
853-009300-001 /control Assembly, Gap Drive/ Lam Research
 1,874 32  
 
SCP-Technologies 746-234-1D PCB 171-511-1B  Y-AXIS ENABLE/Z-BRAKE RELAY
SCP-Technologies 746-234-1D PCB 171-511-1B Y-AXIS ENABLE/Z-BRAKE RELAY
 165 75  
 
*preowned & Tested* Sas Air Cleaning Specialist 6" Exhaust + Warranty
*preowned & Tested* Sas Air Cleaning Specialist 6" Exhaust + Warranty
 305 33  
 
321-0102// Amat Applied 0020-32075 Housing,outer,hrtv [asis]
321-0102// Amat Applied 0020-32075 Housing,outer,hrtv [asis]
 366 40  
 
Ametek 5-7004 AMETEK-RTP Fan Control PCB Assembly 5-7006 Used Working
Ametek 5-7004 AMETEK-RTP Fan Control PCB Assembly 5-7006 Used Working
 220 86  
 
Alph Air Ap050 G Pump W/1/2" 1000 Wog 316 E3b E3c
Alph Air Ap050 G Pump W/1/2" 1000 Wog 316 E3b E3c
 261 71  
 
Power-One ABC2001012G Power supply
Power-One ABC2001012G Power supply
 86 36  
 
OEM Group 121R0001-507 Seal Inflat Door (2400) White Viton
OEM Group 121R0001-507 Seal Inflat Door (2400) White Viton
 74 15  
 
Siemens CPST RF Driver 31196/15M00107-01 1954873 (Used Working)
Siemens CPST RF Driver 31196/15M00107-01 1954873 (Used Working)
 392 57  274 80  
 
Algo Alepf03-1 Dai Nippon Screen
Algo Alepf03-1 Dai Nippon Screen
 305 33  
 
429-0103// Pacific Scientific T22nrhk-lsn-ns-02 Step Motor [used/fast]
429-0103// Pacific Scientific T22nrhk-lsn-ns-02 Step Motor [used/fast]
 261 71  
 
429-0103// Pacific Scientific H21nrft-lnn-ns-00 Step Motor  [used/fast]
429-0103// Pacific Scientific H21nrft-lnn-ns-00 Step Motor [used/fast]
 261 71  
 
143-0302// Other Cable Sma1d1-mf141-sma1d1-2m  [used]
143-0302// Other Cable Sma1d1-mf141-sma1d1-2m [used]
 174 47  
 
116-0502// Amat Applied 0200-09478 Plate, Gas Dist, Upper, 200mm  Used
116-0502// Amat Applied 0200-09478 Plate, Gas Dist, Upper, 200mm Used
 174 47  
 
323-0402// Amat Applied 0090-76042 Assembly,300mm Otf Emitter, J3 Used
323-0402// Amat Applied 0090-76042 Assembly,300mm Otf Emitter, J3 Used
 174 47  
 
323-0402// Amat Applied 0090-76048 Assembly,300mm Otf Emitter, J4 Used
323-0402// Amat Applied 0090-76048 Assembly,300mm Otf Emitter, J4 Used
 174 47  
 
323-0402// Amat Applied 0090-76049 Assembly,300mm Otf Emitter, J5 Used
323-0402// Amat Applied 0090-76049 Assembly,300mm Otf Emitter, J5 Used
 174 47  
 
402-0401// Towa Odc-101t Controller [used/fast]
402-0401// Towa Odc-101t Controller [used/fast]
 174 47  
 
406-0202// Iwaki Fd-3 Pump Driver [used/fast]
406-0202// Iwaki Fd-3 Pump Driver [used/fast]
 174 47  
 
407-0102// 1386-452891-12 B07072706 [used]
407-0102// 1386-452891-12 B07072706 [used]
 174 47  
 
407-0301// Iai Acon-pl-30i-np-2-0 Controller [used]
407-0301// Iai Acon-pl-30i-np-2-0 Controller [used]
 174 47  
 
409-0101// Tel 1281-001184-16 1208-001184-15 Board [used/fast]
409-0101// Tel 1281-001184-16 1208-001184-15 Board [used/fast]
 174 47  
 
409-0101// Tel1281-001184-15 1208-001184-15 Board [used/fast]
409-0101// Tel1281-001184-15 1208-001184-15 Board [used/fast]
 174 47  
 
409-0102// Tel 3281-001184-1 3208-001184-14 Board [used/fast]
409-0102// Tel 3281-001184-1 3208-001184-14 Board [used/fast]
 174 47  
 
417-0301// Yaskawa Sgmjv-01ada21 Motor [used/fast]
417-0301// Yaskawa Sgmjv-01ada21 Motor [used/fast]
 174 47  
 
416-0201// Yaskawa Sgm-a3c3cn21 Ac Servo Motor [used/fast]
416-0201// Yaskawa Sgm-a3c3cn21 Ac Servo Motor [used/fast]
 174 47  
 
418-0301// Yaskawa Ugrmem-01ssw14 Minertia Motor [used/fast]
418-0301// Yaskawa Ugrmem-01ssw14 Minertia Motor [used/fast]
 174 47  
 
418-0301// Yaskawa Ugrmem-02ssw14 Minertia Motor [used/fast]
418-0301// Yaskawa Ugrmem-02ssw14 Minertia Motor [used/fast]
 174 47  
 
427-0403// Pro-face St401-ag41-24v Touch Screen [used/fast]
427-0403// Pro-face St401-ag41-24v Touch Screen [used/fast]
 174 47  
 
435-0201// Panasonic Msm021a1a Motor [used/fast]
435-0201// Panasonic Msm021a1a Motor [used/fast]
 174 47  
 
435-0201// Panasonic Msm011p1n Motor [used/fast]
435-0201// Panasonic Msm011p1n Motor [used/fast]
 174 47  
 
435-0201// Panasonic Msm5azp1n Motor [used/fast]
435-0201// Panasonic Msm5azp1n Motor [used/fast]
 174 47  
 
435-0201// Panasonic Msm5aza1q Motor [used/fast]
435-0201// Panasonic Msm5aza1q Motor [used/fast]
 174 47  
 
435-0201// Panasonic Msm5aza1a Motor [used/fast]
435-0201// Panasonic Msm5aza1a Motor [used/fast]
 174 47  
 
435-0501// Panasonic Msda011a1a Ac Servo Driver [used/fast]
435-0501// Panasonic Msda011a1a Ac Servo Driver [used/fast]
 174 47  
 
435-0501// Panasonic Maddt1207 Ac Servo Driver [used/fast]
435-0501// Panasonic Maddt1207 Ac Servo Driver [used/fast]
 174 47  
 
435-0401// Panasonic Msd8a1a1x Ac Servo Driver [used/fast]
435-0401// Panasonic Msd8a1a1x Ac Servo Driver [used/fast]
 174 47  
 
435-0301// Panasonic Msma5azc1q Ac Servo Motor [used/fast]
435-0301// Panasonic Msma5azc1q Ac Servo Motor [used/fast]
 174 47  
 
Entegris™ Flaretek® Straight Adapter Spacesaver 1/2" to 3/8" PFA (UK) SU8-6FN-3
Entegris™ Flaretek® Straight Adapter Spacesaver 1/2" to 3/8" PFA (UK) SU8-6FN-3
 4   
 
^^ Inficon Ag Ll-9496 Balzers Type Peg100 (tf43)
^^ Inficon Ag Ll-9496 Balzers Type Peg100 (tf43)
 104 68  78 51  
 
441-0501// Oriental Motor Ezmc24a-a Limo Controller [used/fast]
441-0501// Oriental Motor Ezmc24a-a Limo Controller [used/fast]
 174 47  
 
441-0501// Oriental Motor Asd13a-ad Driver [used/fast]
441-0501// Oriental Motor Asd13a-ad Driver [used/fast]
 174 47  
 
441-0501// Oriental Motor Asd12a-s Driver [used/fast]
441-0501// Oriental Motor Asd12a-s Driver [used/fast]
 174 47  
 
445-0201// Oriental Motor Pk543aw-a45 Motor [used]
445-0201// Oriental Motor Pk543aw-a45 Motor [used]
 174 47  
 
430-0101// Pacific M22nsxc-lsn-ns-03 Motor [used]
430-0101// Pacific M22nsxc-lsn-ns-03 Motor [used]
 174 47  
 
436-0501// Mitsubishi Mr-sdbu-1c Controller [used]
436-0501// Mitsubishi Mr-sdbu-1c Controller [used]
 174 47  
 
438-0303// Oriental Motor 5850-mdrl (cut Cable) Motor [used]
438-0303// Oriental Motor 5850-mdrl (cut Cable) Motor [used]
 174 47  
 
438-0302// Oriental Motor 5843-mdrl Limo Motor [used]
438-0302// Oriental Motor 5843-mdrl Limo Motor [used]
 174 47  
 
442-0301// Oriental Motor Asm46aa-h100 Motor [used]
442-0301// Oriental Motor Asm46aa-h100 Motor [used]
 174 47  
 
442-0303// Oriental Motor Asm46mk-n7 2 Motor [used]
442-0303// Oriental Motor Asm46mk-n7 2 Motor [used]
 174 47  
 
442-0401// Oriental Motor Asm66ba (cut Cable) Motor [used]
442-0401// Oriental Motor Asm66ba (cut Cable) Motor [used]
 174 47  
 
444-0102// Oriental Motor Ph266-01gk-a16 2gk15k Motor [used]
444-0102// Oriental Motor Ph266-01gk-a16 2gk15k Motor [used]
 174 47  
 
444-0302// Oriental Motor Pv556ar Motor [used]
444-0302// Oriental Motor Pv556ar Motor [used]
 174 47  
 
444-0501// Oriental Motor Udk5114nw2 5-phase Driver [used]
444-0501// Oriental Motor Udk5114nw2 5-phase Driver [used]
 174 47  
 
352-0201// Amat Applied 0020-21482 Plate Contact Dc Source 13 Right [used]
352-0201// Amat Applied 0020-21482 Plate Contact Dc Source 13 Right [used]
 174 47  
 
352-0201// Amat Applied 0020-21485 Plate Contact Dc Source 13" Left [used]
352-0201// Amat Applied 0020-21485 Plate Contact Dc Source 13" Left [used]
 174 47  
 
352-0201// Amat Applied 0020-21486 Plate Contact Dc Source 11 3" Left [used]
352-0201// Amat Applied 0020-21486 Plate Contact Dc Source 11 3" Left [used]
 174 47  
 
352-0201// Amat Applied 0020-21488 Plate Contact, Dc Source 11 3" Right [used]
352-0201// Amat Applied 0020-21488 Plate Contact, Dc Source 11 3" Right [used]
 174 47  
 
141-0401// Amat Applied 0140-09148 Harness Assy , Cont  Sys [used]
141-0401// Amat Applied 0140-09148 Harness Assy , Cont  Sys [used]
 174 47  
 
176-0402// Amat Applied 0050-24855 Adapter Convectron & Baratron W/b Pvd [used]
176-0402// Amat Applied 0050-24855 Adapter Convectron & Baratron W/b Pvd [used]
 209 37  
 
123-0303// Amat Applied 0020-71449 Applied Matrials Components [used]
123-0303// Amat Applied 0020-71449 Applied Matrials Components [used]
 174 47  
 
176-0303// Smc Kka7p-x33 Coupler Stainless Steel [asis]
176-0303// Smc Kka7p-x33 Coupler Stainless Steel [asis]
 174 47  
 
SANKI ENG Co , Ltd MOTOR CONTROLLER PMC2-SAS   /   Free International Shipping
SANKI ENG Co , Ltd MOTOR CONTROLLER PMC2-SAS / Free International Shipping
 871 50  
 
F A S  Technologies TR39000F-FE-R Drawings Volume I
F A S  Technologies TR39000F-FE-R Drawings Volume I
 34 52  
 
MKS, 141AA-00010BA-S, Range : 10 Torr, set Point : 10 Torr, Pressure Gauge
MKS, 141AA-00010BA-S, Range : 10 Torr, set Point : 10 Torr, Pressure Gauge
 174 47  
 
4s019-126 / Wsdrvx4 Pcb / Nikon
4s019-126 / Wsdrvx4 Pcb / Nikon
 1,309 27  
 
Asyst 9700-9961-01 Advantag Rev I ATR Gateway
Asyst 9700-9961-01 Advantag Rev I ATR Gateway
 260 84  
 
KLA-Tencor  760-675965-00 1XBB LEICA GERMANY 76B014 NO 152/T
KLA-Tencor 760-675965-00 1XBB LEICA GERMANY 76B014 NO 152/T
 261 71  
 
348-0103// Amat Applied 0020-25745 Block Mtg Heater 1 18 Dia Sft Pneu Lift Used
348-0103// Amat Applied 0020-25745 Block Mtg Heater 1 18 Dia Sft Pneu Lift Used
 305 33  
 
Noah Precision Probe Control Box Model 553 Made in USA
Noah Precision Probe Control Box Model 553 Made in USA
 261 70  
 
ALEPF03-0 Nippon Screen
ALEPF03-0 Nippon Screen
 101 54  
 
MA26501 Board, MA26501 / 2854 / ( Operator Access ) Shinko
MA26501 Board, MA26501 / 2854 / ( Operator Access ) Shinko
 104 68  
 
Ips Lamps Driver Assembly, 3 Zone, 5200 Amat 0190-36109
Ips Lamps Driver Assembly, 3 Zone, 5200 Amat 0190-36109
 1,046 85  
 
Brother CNC CPU board assembly B521098-5
Brother CNC CPU board assembly B521098-5
 597 57  
 
130-0303// Hybricon 025-052 024-059-01 Board [used]
130-0303// Hybricon 025-052 024-059-01 Board [used]
 218 09  
 
Edwards QDP Series Exhaust Module
Edwards QDP Series Exhaust Module
 138 71  
 
568-5554 / R High Voltage Cntrlr Pcb / Hitachi
568-5554 / R High Voltage Cntrlr Pcb / Hitachi
 854 10  
 
Extender Board Logic FAB 114472-001 Rev D
Extender Board Logic FAB 114472-001 Rev D
 34 89  
 
426-0501// Sankyo Op3000 Touch Screen [used/fast]
426-0501// Sankyo Op3000 Touch Screen [used/fast]
 436 19  
 
E11072981 / Dose Controller Implant /  Varian
E11072981 / Dose Controller Implant / Varian
 1,308 56  
 
[6UNITS] PISCO Stainless steel SUS 316 fitting Union SSU10-8
[6UNITS] PISCO Stainless steel SUS 316 fitting Union SSU10-8
 104 58  
 
Fms Lmgz203 500 17 h16 h21 590500 500n
Fms Lmgz203 500 17 h16 h21 590500 500n
 261 71  
 
Ag4081 Ag4081 U=24v Dc 1=0,71a
Ag4081 Ag4081 U=24v Dc 1=0,71a
 252   
 
A533-55-945 Control Module, A533-55-945 / iL70N New Look Control / Edwards
A533-55-945 Control Module, A533-55-945 / iL70N New Look Control / Edwards
 305 33  
 
CH-1290 Valve, CH-1290 / 9-211E-06-41 / Solenoid Valve / 24V / 50~60Hz / 6,5VA /
CH-1290 Valve, CH-1290 / 9-211E-06-41 / Solenoid Valve / 24V / 50~60Hz / 6,5VA /
 261 71  
 
TEL Tokyo Electron PCB Board 3M80-001585-17 SELL "AS-IS" free ship
TEL Tokyo Electron PCB Board 3M80-001585-17 SELL "AS-IS" free ship
 327 14  
 
Lam 832-038915-203 Rf Match
Lam 832-038915-203 Rf Match
 1,744 74  
 
NEW AMAT 0020-24438 SMF/QTZ Quartz Insulator JMF/EXT Ti 6"/150mm
NEW AMAT 0020-24438 SMF/QTZ Quartz Insulator JMF/EXT Ti 6"/150mm
 68 03  
 
Tem Tech Hyptfv-420p1 Flow Sensors, Used
Tem Tech Hyptfv-420p1 Flow Sensors, Used
 62 81  
 
Tem Tech Hyptfv-420p1 Flow Sensors, Used
Tem Tech Hyptfv-420p1 Flow Sensors, Used
 62 81  
 
Mks 141a-22763  10torr
Mks 141a-22763 10torr
 145 51  
 
Asyst - Hines Design Assembly 853-5293-001 Indexer Servo Controller
Asyst - Hines Design Assembly 853-5293-001 Indexer Servo Controller
 130 86  
 
Applied Materials Centura 4 0 Fi Controller 0010-24209, Cp3308-s000534
Applied Materials Centura 4 0 Fi Controller 0010-24209, Cp3308-s000534
 5,670 42  
 
AMAT Applied Materials 0040-99949 0020-32909  200mm MxP+ ESC Assy, Refurbished
AMAT Applied Materials 0040-99949 0020-32909 200mm MxP+ ESC Assy, Refurbished
 1,831 98  
 
0021-22177 / Cover Ring, Adv  101 300mm Pvd / Applied Materials Amat
0021-22177 / Cover Ring, Adv  101 300mm Pvd / Applied Materials Amat
 811 31  
 
0020-19708 Cover Ring / AMAT
0020-19708 Cover Ring / AMAT
 148 30  
 
0021-26034 Washer Roller / AMAT
0021-26034 Washer Roller / AMAT
 78 51  
 
681-0181-009 Valve Module PCB
681-0181-009 Valve Module PCB
 125 62  
 
ACL-30 Power Screwdriver Professionl, ACL Controler, ACL-30 / 220~240V / 50~60Hz
ACL-30 Power Screwdriver Professionl, ACL Controler, ACL-30 / 220~240V / 50~60Hz
 139 58  
 
MRS16-TUL AC Fan
MRS16-TUL AC Fan
 80 61  
 
U2441-22 Cabel
U2441-22 Cabel
 78 51  
 
VL-5/2-1/8-B Press, 24v DC, 173168 V802
VL-5/2-1/8-B Press, 24v DC, 173168 V802
 99 45  
 
4S013-187 Board, from S204 Reticle Loader Library PCB
4S013-187 Board, from S204 Reticle Loader Library PCB
 157 03  
 
9T51B0508 Transformer, Type QB  / GE
9T51B0508 Transformer, Type QB / GE
 152 67  
 
IC670MDL640J Module, iC670MDL640J / 24 VDC  / POS~NEG in16PT / GE FANUC
IC670MDL640J Module, iC670MDL640J / 24 VDC / POS~NEG in16PT / GE FANUC
 115 15  
 
C60N-C32 Braker,
C60N-C32 Braker,
 76 42  
 
81-53030007-00 Switching Power Supply ( 402075-001 )
81-53030007-00 Switching Power Supply ( 402075-001 )
 115 15  
 
MR-J3-20A-RV035T014 Servo
MR-J3-20A-RV035T014 Servo
 157 03  
 
CFK545AP2 Motor, Stepping Motor With Controller
CFK545AP2 Motor, Stepping Motor With Controller
 146 56  
 
3002C Photohelic, Pressure / Range 0~2" / Gage~Switch / Type 2 Encl / Dwyer
3002C Photohelic, Pressure / Range 0~2" / Gage~Switch / Type 2 Encl / Dwyer
 157 03  
 
RDCO-03C Optical Fiber Adapter, / RDCO-03C / Rev B / ABB AC 800 Inverter
RDCO-03C Optical Fiber Adapter, / RDCO-03C / Rev B / ABB AC 800 Inverter
 139 58  
 
MG50Q1BS11 Mudule Brake / MG50Q1BS11 / 5G / Toshiba
MG50Q1BS11 Mudule Brake / MG50Q1BS11 / 5G / Toshiba
 157 03  
 
XJHAGC Control, XJHAGC / "Explosion Proof BEBCO / Adalet / Electrial Control Uni
XJHAGC Control, XJHAGC / "Explosion Proof BEBCO / Adalet / Electrial Control Uni
 165 75  
 
MNNIFOOLD Valve, Pneumatic / Module Manifold With ( 6 ) VQ110N-5B AND ( 1 ) VQ11
MNNIFOOLD Valve, Pneumatic / Module Manifold With ( 6 ) VQ110N-5B AND ( 1 ) VQ11
 157 03  
 
TD-111 Motor, Driver TD-111 / Tohan Denshikiki
TD-111 Motor, Driver TD-111 / Tohan Denshikiki
 164 01  
 
CN-0FY387-13740-7CL-01RN Board, CN-OFY387-13740 / Rev A00 / With one ( 256MB 1RX
CN-0FY387-13740-7CL-01RN Board, CN-OFY387-13740 / Rev A00 / With one ( 256MB 1RX
 157 03  
 
3235W Board, 3235W / HP Isolated Crossguard HP3235W / HP
3235W Board, 3235W / HP Isolated Crossguard HP3235W / HP
 157 03  
 
S-150F-24 Power supply, S-150F-24 / 50~60Hz 100~120VAC 3 2A / 200~240VAC 1 6A /
S-150F-24 Power supply, S-150F-24 / 50~60Hz 100~120VAC 3 2A / 200~240VAC 1 6A /
 88 98  
 
DV-25A0 Controller, DV-25A0 / 0-500kPa / SURRASS
DV-25A0 Controller, DV-25A0 / 0-500kPa / SURRASS
 104 68  
 
ARG20-F02G1H-1 Valve, ARG20-F02G1H-1 / Gegulatoe Valve With Pressure Gauge 0~0 3
ARG20-F02G1H-1 Valve, ARG20-F02G1H-1 / Gegulatoe Valve With Pressure Gauge 0~0 3
 88 98  
 
PDR-C-2C Panel Front, PDR-C-2C / MKS
PDR-C-2C Panel Front, PDR-C-2C / MKS
 88 98  
 
P100E-24 Power Supply, P100E-24 / Cosel
P100E-24 Power Supply, P100E-24 / Cosel
 125 62  
 
NZM-BA-1KT Vacuum Ejector, NZM-BA-1KT with NZM071MT-K5LZB and with 2x  NVJ114-5L
NZM-BA-1KT Vacuum Ejector, NZM-BA-1KT with NZM071MT-K5LZB and with 2x NVJ114-5L
 78 51  
 
CABLE Cable, SLPCN-CN102 C2 to 102~CN6 and 102-CN5
CABLE Cable, SLPCN-CN102 C2 to 102~CN6 and 102-CN5
 99 45  
 
7511B Board, 7511B / PBP (FP) Contec
7511B Board, 7511B / PBP (FP) Contec
 157 03  
 
PS-303 DC Power Supply, 115 v ~ 230 v / PS-303 / Lodestar
PS-303 DC Power Supply, 115 v ~ 230 v / PS-303 / Lodestar
 125 62  
 
CN-0K2NV1-73307-07J-05iB-A00 CPU Aluminium Cooler / With Cover / Dell
CN-0K2NV1-73307-07J-05iB-A00 CPU Aluminium Cooler / With Cover / Dell
 78 51  
 
Amat 0020-31553 Cover,clear,rf Gen Cb Box
Amat 0020-31553 Cover,clear,rf Gen Cb Box
 226 82  
 
COHERENT Used D88i PP HEAD 0175-216-50 0170-111-01 HC SEM-I-1024=9C35
COHERENT Used D88i PP HEAD 0175-216-50 0170-111-01 HC SEM-I-1024=9C35
 3,053 21  
 
H & S Products Desiccator (Inside diameter 17 5"x 17 5"x 16 5") *used working
H & S Products Desiccator (Inside diameter 17 5"x 17 5"x 16 5") *used working
 436 19  
 
326-0302// Amat Applied 0040-00456 Cable Fiber Optic 20 Ft Asis
326-0302// Amat Applied 0040-00456 Cable Fiber Optic 20 Ft Asis
 174 47  
 
Asyst 9700-5809-01 Cable with Chain Rev-4
Asyst 9700-5809-01 Cable with Chain Rev-4
 139 58  
 
mbraun-psp-counter adcs
mbraun-psp-counter adcs
 348 94  
 
Lam Research Pendulum Pl Gate Anodized 718-09859-1-001
Lam Research Pendulum Pl Gate Anodized 718-09859-1-001
 305 32  
 
Fujitsu Denso Pm-b Bh5-3519 Board
Fujitsu Denso Pm-b Bh5-3519 Board
 261 70  
 
177-0303// Nupro Ss-4bmg Valve [asis]
177-0303// Nupro Ss-4bmg Valve [asis]
 104 68  
 
ECI NOWPak Dispenser TQ716201-53 ACE Chem Y NEW
ECI NOWPak Dispenser TQ716201-53 ACE Chem Y NEW
 348 08  278 46  
 
Preowned AMTECH Ultraweld 200/250 VAC Warranty Fast Shipping
Preowned AMTECH Ultraweld 200/250 VAC Warranty Fast Shipping
 414 38  
 
Meissner® Duraclear® 50 µm Filter PP Depth Type Microfiber - 20" (UK) D50-20BK
Meissner® Duraclear® 50 µm Filter PP Depth Type Microfiber - 20" (UK) D50-20BK
 1   
 
109107-P1-J Board, 109107-P1-J / D 97-18 / Rev T / MKS
109107-P1-J Board, 109107-P1-J / D 97-18 / Rev T / MKS
 88 98  
 
225-20D Valve, 225-20D / 225 20D 7 1141 24DC / Valve / DN10 PN10 G11/4 PVC / EPD
225-20D Valve, 225-20D / 225 20D 7 1141 24DC / Valve / DN10 PN10 G11/4 PVC / EPD
 94 22  
 
ZT17-Z51 Valve, Sensor ZT17-Z51 / Range -0 1~0 5MPa / NKS / Nagano keiki
ZT17-Z51 Valve, Sensor ZT17-Z51 / Range -0 1~0 5MPa / NKS / Nagano keiki
 94 22  
 
142-0602// Amat Applied 0150-08784 Cable Bleed Drain,meg Ldm 300mm Reflexio New
142-0602// Amat Applied 0150-08784 Cable Bleed Drain,meg Ldm 300mm Reflexio New
 226 82  
 
438-0401// Oriental Motor C8741-9912km Motor [used]
438-0401// Oriental Motor C8741-9912km Motor [used]
 157 03  
 
5TK20CGN-A Motor, 5TK20CGN-A / 50~60Hz / With ( Gear Head ) 5GN30K / OM Oriental
5TK20CGN-A Motor, 5TK20CGN-A / 50~60Hz / With ( Gear Head ) 5GN30K / OM Oriental
 305 33  
 
0190-03237, Applied Materials, FI SERIAL DISTRIBUTION BOARD + CABLE  0150-07404
0190-03237, Applied Materials, FI SERIAL DISTRIBUTION BOARD + CABLE 0150-07404
 174 47  
 
329-0501// Amat Applied 0010-08322-10 (#1) Assembly, Local Match, Top,  [asis]
329-0501// Amat Applied 0010-08322-10 (#1) Assembly, Local Match, Top, [asis]
 4,361 86  
 
ULVAC RF GENERATOR RGN-1302 L/N 06170174 200W  / Free international Shipping
ULVAC RF GENERATOR RGN-1302 L/N 06170174 200W / Free international Shipping
 1,307 69  
 
3481) [used] Horiba Sec-e40 500sccm
3481) [used] Horiba Sec-e40 500sccm
 305 35  
 
9641) [used] Canon Bg4-9715 Bh8-0670-05 Adp-ⅱ
9641) [used] Canon Bg4-9715 Bh8-0670-05 Adp-ⅱ
 786   
 
TEL  SPCBUA2-20-40-ZV 3D80-000008-V4 Wafer Transfer Arm Cylinder
TEL SPCBUA2-20-40-ZV 3D80-000008-V4 Wafer Transfer Arm Cylinder
 418 74  
 
435-0101// Panasonic Mqma012a4f (unclean) Motor [used/fast]
435-0101// Panasonic Mqma012a4f (unclean) Motor [used/fast]
 174 47  
 
Lam Research 853-269561-001 Rf Richardson Electronics
Lam Research 853-269561-001 Rf Richardson Electronics
 4,361 86  
 
Tokyo Electron 3z10-100454-v1 Electrode Bottom 4z Used Working
Tokyo Electron 3z10-100454-v1 Electrode Bottom 4z Used Working
 1,744 74  
 
Tel Tokyo Electron 43z10-100454-v1 R3 Electrode Bottom 4z-st (rn) r&d
Tel Tokyo Electron 43z10-100454-v1 R3 Electrode Bottom 4z-st (rn) r&d
 1,831 98  
 
SAM SFC2480FA Mass Flow Controller NH3 100 SCCM, MC-4SAGL , USED
SAM SFC2480FA Mass Flow Controller NH3 100 SCCM, MC-4SAGL , USED
 157 03  
 
New Sealed  Ulvac Sensorhead M-11
New Sealed Ulvac Sensorhead M-11
 392 57  
 
FAZSC16 Breaker, Moeller 230/400
FAZSC16 Breaker, Moeller 230/400
 20 47  
 
Teradyne model H690 Controller Teradyne M118 FOR PARTS ONLY
Teradyne model H690 Controller Teradyne M118 FOR PARTS ONLY
 218 09  
 
Kondoh Kohsya MA3-PCB(KG) Adjustable Amplifier Interface Board PCB Used Working
Kondoh Kohsya MA3-PCB(KG) Adjustable Amplifier Interface Board PCB Used Working
 264 49  
 
ALEPW03-0 Connector, ALEPW03-0 / DC24V / 2A / ALGO
ALEPW03-0 Connector, ALEPW03-0 / DC24V / 2A / ALGO
 104 68  
 
IC200MDL940E PLC Versamax
IC200MDL940E PLC Versamax
 185 82  
 
VIRTUAL  Particle Measuring Systems
VIRTUAL Particle Measuring Systems
 196 28  
 
FBS-20MAR2-AC PLC Controller
FBS-20MAR2-AC PLC Controller
 198 03  
 
6ES5375-0LC21 Simatic S5 Card
6ES5375-0LC21 Simatic S5 Card
 199 77  
 
TAS-Rin8 Board,
TAS-Rin8 Board,
 177 96  
 
27-170463-00 Board,
27-170463-00 Board,
 201 52  
 
94-3435 Board,
94-3435 Board,
 211   
 
853-122883-001 Cabel with 2 Plugs
853-122883-001 Cabel with 2 Plugs
 205 01  
 
SS-BN8T8A-P-C Valve,
SS-BN8T8A-P-C Valve,
 183 20  
 
29075 Board, Intel / CPU Board
29075 Board, Intel / CPU Board
 196 28  
 
P30320B9VUB0CH Board, PCB / FAB / Foxconn / Rev A+B
P30320B9VUB0CH Board, PCB / FAB / Foxconn / Rev A+B
 213 73  
 
101-005-02 Power Supply, 101-005-02 / C24 0 6 / KNiEL
101-005-02 Power Supply, 101-005-02 / C24 0 6 / KNiEL
 218 09  
 
ETP604940 Board, ETP604940 / Q11678-477-114 / YPHT31416-2A
ETP604940 Board, ETP604940 / Q11678-477-114 / YPHT31416-2A
 200 65  
 
ETP604752 Board, ETP604752 / TM09-04 / YPHT31320-2A
ETP604752 Board, ETP604752 / TM09-04 / YPHT31320-2A
 200 65  
 
TWA-6002 Board, TWA-6002 / No 1 / SUB / 50205-0104 / Tokyo World
TWA-6002 Board, TWA-6002 / No 1 / SUB / 50205-0104 / Tokyo World
 218 09  
 
MC4004AK4-SGL Display, MC4004AK4-SGL / Rev 1 / M i T
MC4004AK4-SGL Display, MC4004AK4-SGL / Rev 1 / M i T
 196 28  
 
SELOP12F25-S3020004E Lock Assy Base With NO~OFF and Power ON~OFF and Reset and I
SELOP12F25-S3020004E Lock Assy Base With NO~OFF and Power ON~OFF and Reset and I
 205 01  
 
7993 DataPower Integation Appliance, IBM-XS40(A) 7993 Model 42X / IBM
7993 DataPower Integation Appliance, IBM-XS40(A) 7993 Model 42X / IBM
 200 65  
 
2L86-067794-V1 Cable With Connector, 2L86-067794-V1 / Temp Controller Thermo Cou
2L86-067794-V1 Cable With Connector, 2L86-067794-V1 / Temp Controller Thermo Cou
 201 52  
 
Matrox 7121_00 4Sight II Acquisition Module Board PCB Used Working
Matrox 7121_00 4Sight II Acquisition Module Board PCB Used Working
 222 60  
 
G9S-301 Relay, G9S-301 / Safety Relay Unit / DC24V / Omron
G9S-301 Relay, G9S-301 / Safety Relay Unit / DC24V / Omron
 83 75  
 
ZG0867 Alarm Visual base and Cover+Cabel
ZG0867 Alarm Visual base and Cover+Cabel
 145 51  
 
Hine Design 860 Vacuum Arm with Controller Board PCB 023092 MRC Eclipse Used
Hine Design 860 Vacuum Arm with Controller Board PCB 023092 MRC Eclipse Used
 2,532 60  
 
(BR) Kurt J Lesker sputtering target Ni-Cr target 80-20,  2" - 0 125" THK, 99 9%
(BR) Kurt J Lesker sputtering target Ni-Cr target 80-20, 2" - 0 125" THK, 99 9%
 155 98  
 
127-0401// Vat 02112-ba24-bkn1 Gate Valve Asis
127-0401// Vat 02112-ba24-bkn1 Gate Valve Asis
 348 95  
 
AE Advanced Energy NAVIGATOR 3155193-002 A  / Free international Shipping
AE Advanced Energy NAVIGATOR 3155193-002 A / Free international Shipping
 2,092 82  
 
407-0201// Spg Sud90ib-v12 Speed Controller [used]
407-0201// Spg Sud90ib-v12 Speed Controller [used]
 125 62  
 
Bg9-3500 / Adp-11 / Canon
Bg9-3500 / Adp-11 / Canon
 7,327 92  
 
Hitachi HT98313 BD13 Industrial Power Relay PCB Circuit Board Assembly To parts
Hitachi HT98313 BD13 Industrial Power Relay PCB Circuit Board Assembly To parts
 103 64  
 
FSI International 294025-400 Interface PCB 264025-200 Reseller Lot of 2 Used
FSI International 294025-400 Interface PCB 264025-200 Reseller Lot of 2 Used
 183 36  
 
4022 437 02811 Asml
4022 437 02811 Asml
 157 03  
 
HP SL230s Gen8 ALOM Riser Board 647077-XX1 647077-001 REV: X1 01015G200-613-G
HP SL230s Gen8 ALOM Riser Board 647077-XX1 647077-001 REV: X1 01015G200-613-G
 68 05  
 
Sankyo Sc3150 Robot Controller
Sankyo Sc3150 Robot Controller
 1,308 47  
 
344-0501// Amat Applied 0020-21736 Applied Matrials Components New
344-0501// Amat Applied 0020-21736 Applied Matrials Components New
 226 82  
 
170-0401// Dicon Gp700 Dicon General Purpose Riberoptic Switch [asis]
170-0401// Dicon Gp700 Dicon General Purpose Riberoptic Switch [asis]
 436 19  
 
170-0401// Dicon Gp700m Dicon Gp700 General Purpose Riberoptic Switch [asis]
170-0401// Dicon Gp700m Dicon Gp700 General Purpose Riberoptic Switch [asis]
 436 19  
 
176-0402// Amat Applied 3300-02674 Ftgtee With 2-3/4 Conflat Flanges [used]
176-0402// Amat Applied 3300-02674 Ftgtee With 2-3/4 Conflat Flanges [used]
 218 09  
 
SMC PF2W520-03 Water Flow Switch Remote Display 2 to 16L/min 3/8 Female BSPT
SMC PF2W520-03 Water Flow Switch Remote Display 2 to 16L/min 3/8 Female BSPT
 234 28  
 
Electrotech Cpu Pcb, P/n Cb3971
Electrotech Cpu Pcb, P/n Cb3971
 828 75  663   
 
Festo LR-MI-G1/8-07G 21BAR 300PSI REGULATOR
Festo LR-MI-G1/8-07G 21BAR 300PSI REGULATOR
 104 68  
 
Tel Tokyo Electron  Mc-31050sa Pcb Board
Tel Tokyo Electron Mc-31050sa Pcb Board
 784 26  
 
Kondoh Kohsya SP3-PCB(KG) NAND Gate Interface Board PCB Used Working
Kondoh Kohsya SP3-PCB(KG) NAND Gate Interface Board PCB Used Working
 177 25  
 
NEW Honeywell 10ASA10506D001 Equinox Bayonet Plating Anode LC2Pb5Sn 200mm
NEW Honeywell 10ASA10506D001 Equinox Bayonet Plating Anode LC2Pb5Sn 200mm
 144 45  
 
Air Products, GasGuard GG450 CRSD 1537 Circuit Board, Used
Air Products, GasGuard GG450 CRSD 1537 Circuit Board, Used
 65 43  
 
ASML 4022 422 7588, Philips PG 3652 PCB, PAS 5000/2500 Used
ASML 4022 422 7588, Philips PG 3652 PCB, PAS 5000/2500 Used
 1,177 70  
 
SAM SFC2480FA Mass Flow Controller Ar 2000 SCCM, MC-4SAGL , USED
SAM SFC2480FA Mass Flow Controller Ar 2000 SCCM, MC-4SAGL , USED
 157 03  
 
343-0302// Amat Applied 0020-26829 (cleaned) Connector Heat Shld Hthu Htr Used
343-0302// Amat Applied 0020-26829 (cleaned) Connector Heat Shld Hthu Htr Used
 157 03  
 
143-0302// Amat Applied 0150-20149 Assy,emo/chamber [used]
143-0302// Amat Applied 0150-20149 Assy,emo/chamber [used]
 157 03  
 
426-0401// Omron Nt31-st121b-v2 Interactive Display [used/fast]
426-0401// Omron Nt31-st121b-v2 Interactive Display [used/fast]
 436 19  
 
KALREZ SAHARA ORING, AS-568A O-RING, K# 149, Compound: 8575UP, Dupont Dow
KALREZ SAHARA ORING, AS-568A O-RING, K# 149, Compound: 8575UP, Dupont Dow
 31 39  
 
437-0101// Lust Lsh-097-2-30-320/t1 Ple80 (broken) Motor [asis]
437-0101// Lust Lsh-097-2-30-320/t1 Ple80 (broken) Motor [asis]
 104 68  
 
347-0403// Amat Applied 0020-31659 Cover, Vespel Used
347-0403// Amat Applied 0020-31659 Cover, Vespel Used
 41 87  
 
347-0202// Amat Applied 0040-23543 Clamp,heater,1 574 Shaft,pneumatic/moto Used
347-0202// Amat Applied 0040-23543 Clamp,heater,1 574 Shaft,pneumatic/moto Used
 104 68  
 
Western Digital, AD Amplifier Interface, 2961-001060, New
Western Digital, AD Amplifier Interface, 2961-001060, New
 65 43  
 
04-25-00211 / Assy, Fixed Artifact / Ultratech
04-25-00211 / Assy, Fixed Artifact / Ultratech
 2,577 01  
 
325-0103// Amat Applied 3030-07302 Mfcd980 100sccm Bcl3 1/4vcr Mlt [asis]
325-0103// Amat Applied 3030-07302 Mfcd980 100sccm Bcl3 1/4vcr Mlt [asis]
 261 71  
 
325-0303// UNIT UFC-9660 TiCl4 100CC [ASIS]
325-0303// UNIT UFC-9660 TiCl4 100CC [ASIS]
 261 71  
 
402-0202// Toyo Sokki Dls-5025a Controller [used/fast]
402-0202// Toyo Sokki Dls-5025a Controller [used/fast]
 261 71  
 
111-0303// Amat Applied 0020-32121 Ring Adapter Throttle Valve [used]
111-0303// Amat Applied 0020-32121 Ring Adapter Throttle Valve [used]
 261 71  
 
Omega™ Thermocouple Probe - Mini Quick Disconnect  6" - (UK) HJMQSS-125U-6
Omega™ Thermocouple Probe - Mini Quick Disconnect 6" - (UK) HJMQSS-125U-6
 1   
 
DUPONT Kalrez UltraPure AS568 O-Ring 014 Compound 8900 - T14060682500277 (UK)
DUPONT Kalrez UltraPure AS568 O-Ring 014 Compound 8900 - T14060682500277 (UK)
 29   
 
134-0503// Amat Applied 0020-10192 *ring Wafer Lift 200mm [used]
134-0503// Amat Applied 0020-10192 *ring Wafer Lift 200mm [used]
 287 88  
 
402-0401// Nikki Npsa-zmta-500a Controller [used/fast]
402-0401// Nikki Npsa-zmta-500a Controller [used/fast]
 261 71  
 
402-0501// Kyoto Lsf-l45f Controller [used/fast]
402-0501// Kyoto Lsf-l45f Controller [used/fast]
 261 71  
 
404-0501// Samsung Csd-02bb1p Servo Driver [used/fast]
404-0501// Samsung Csd-02bb1p Servo Driver [used/fast]
 261 71  
 
408-0301// Glentek Smc9915-500-000-1 Controller [used/fast]
408-0301// Glentek Smc9915-500-000-1 Controller [used/fast]
 261 71  
 
407-0301// Iai Acon-cg-30i-np-3-0 Controller [used]
407-0301// Iai Acon-cg-30i-np-3-0 Controller [used]
 261 71  
 
417-0301// Yaskawa Sgmjv-01ada61 Motor [used/fast]
417-0301// Yaskawa Sgmjv-01ada61 Motor [used/fast]
 261 71  
 
418-0301// Yaskawa Ugrmem-01sak0e Motor [used/fast]
418-0301// Yaskawa Ugrmem-01sak0e Motor [used/fast]
 261 71  
 
418-0401// Yaskawa Sgdm-04ada Servopack [used/fast]
418-0401// Yaskawa Sgdm-04ada Servopack [used/fast]
 261 71  
 
426-0203// Taltec 4170 Touch Screen [used/fast]
426-0203// Taltec 4170 Touch Screen [used/fast]
 261 71  
 
433-0103// Toshiba Vlbsv-za02030 (without Connettor) Bs Servo Motor [used]
433-0103// Toshiba Vlbsv-za02030 (without Connettor) Bs Servo Motor [used]
 261 71  
 
435-0201// Panasonic Msm021a1e Ac Servo Motor [used/fast]
435-0201// Panasonic Msm021a1e Ac Servo Motor [used/fast]
 261 71  
 
445-0201// Oriental Motor Pk543aw-h100s Motor [used]
445-0201// Oriental Motor Pk543aw-h100s Motor [used]
 261 71  
 
445-0201// Oriental Motor Pk543an-tg30 Motor [used]
445-0201// Oriental Motor Pk543an-tg30 Motor [used]
 261 71  
 
434-0401// Panasonic Wv-mf212 Driver [used]
434-0401// Panasonic Wv-mf212 Driver [used]
 261 71  
 
434-0401// Panasonic Mss011p1p Driver [used]
434-0401// Panasonic Mss011p1p Driver [used]
 261 71  
 
436-0501// Mitsubishi Mr-j20a Ac Servo Driver [used]
436-0501// Mitsubishi Mr-j20a Ac Servo Driver [used]
 261 71  
 
444-0301// Oriental Motor Pmm33a-mg20 Motor [used]
444-0301// Oriental Motor Pmm33a-mg20 Motor [used]
 261 71  
 
123-0402// Brooks 002-2692-01 Facet Node Breakout [used]
123-0402// Brooks 002-2692-01 Facet Node Breakout [used]
 261 71  
 
Lot Of 2 Delta Brushless Fan Pfr0812dhe-dc3z Rev: 04 Pfr0812dhe Dc12v 2 54a
Lot Of 2 Delta Brushless Fan Pfr0812dhe-dc3z Rev: 04 Pfr0812dhe Dc12v 2 54a
 62 81  
 
Lam Research 810-800031-345 PCB ASSY SYSTEM INTERLOCK VIOP
Lam Research 810-800031-345 PCB ASSY SYSTEM INTERLOCK VIOP
 52 33  
 
414-0501// Nsk Edc-ps1006ab501 Driver [used/fast]
414-0501// Nsk Edc-ps1006ab501 Driver [used/fast]
 436 19  
 
PP30012HS Module ABB Drives 5A   ACS600
PP30012HS Module ABB Drives 5A ACS600
 191 92  
 
ACE650F Power Supply, ACE650F / AC6-02HHV-00 / COSEL
ACE650F Power Supply, ACE650F / AC6-02HHV-00 / COSEL
 192 79  
 
403-0301// Mecapion Apm-hc05hc(c7)h (broken) Motor-4 Used
403-0301// Mecapion Apm-hc05hc(c7)h (broken) Motor-4 Used
 174 47  
 
428-0301// Harmonic Rh-14-50-cc-sp Bc30ca52cabdn Servo Actuator [used]
428-0301// Harmonic Rh-14-50-cc-sp Bc30ca52cabdn Servo Actuator [used]
 261 71  
 
Keyence SR-600, KCC-REM-KEY-0939A, 2D Code Reader 328788
Keyence SR-600, KCC-REM-KEY-0939A, 2D Code Reader 328788
 261 71  
 
Unaxis UV Mask 118 mm 102253799 (New)
Unaxis UV Mask 118 mm 102253799 (New)
 102 59  
 
MKS Used MWH-100,MWH-100-07024 SEM-I-185=6B52
MKS Used MWH-100,MWH-100-07024 SEM-I-185=6B52
 1,308 51  
 
NEW SMC SYJ314-5MZ-M5 Solenoid Valve 3-Port; Normally Closed; Base Mount
NEW SMC SYJ314-5MZ-M5 Solenoid Valve 3-Port; Normally Closed; Base Mount
 69 79  
 
8620) [used] Bruker Tcu1/4 Aqx
8620) [used] Bruker Tcu1/4 Aqx
 916 01  
 
ARCOM Control Systems Board SPIBB J39 V2 13
ARCOM Control Systems Board SPIBB J39 V2 13
 104 58  
 
Alcatel 5401 CP Turbo Pump (5401 CP / 70261 24)
Alcatel 5401 CP Turbo Pump (5401 CP / 70261 24)
 1,744 74  
 
4022 451 04412 Moni log - EdDal
4022 451 04412 Moni log - EdDal
 239 90  
 
607-0 Differential Pressure Transmitter
607-0 Differential Pressure Transmitter
 261 71  
 
859-0940-002 Cable, Rev-C Cable
859-0940-002 Cable, Rev-C Cable
 270 44  
 
C4893-9015HGE Motor 5 Phase
C4893-9015HGE Motor 5 Phase
 259 09  
 
CX1103CH Power Module
CX1103CH Power Module
 239 90  
 
NINP-61C Board,
NINP-61C Board,
 241 65  
 
57619414A Board,
57619414A Board,
 279 16  
 
NPOW-42C Board,
NPOW-42C Board,
 252 12  
 
SH5M016R6 Pump,
SH5M016R6 Pump,
 244 26  
 
AP-8360 Amplifier, AP-8360 / 360W / Tkoko
AP-8360 Amplifier, AP-8360 / 360W / Tkoko
 261 71  
 
TDL-T012449 Board,
TDL-T012449 Board,
 279 16  
 
D1E01294A Board, D1E01294A / KBC CPU9/A1 / Board Vertron / Kokusai
D1E01294A Board, D1E01294A / KBC CPU9/A1 / Board Vertron / Kokusai
 261 71  
 
525A+ Orion  525A+ Advanced pH/mV/ORP/BOD
525A+ Orion 525A+ Advanced pH/mV/ORP/BOD
 225 94  
 
COR-9/230 Controller, Motion Control
COR-9/230 Controller, Motion Control
 305 33  
 
XT13-253-N04 Pneumatic Regulator
XT13-253-N04 Pneumatic Regulator
 62 81  
 
MK310000-E Board,
MK310000-E Board,
 68 05  
 
VZA512-01 Supply Prees
VZA512-01 Supply Prees
 52 34  
 
Applied Materials AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
Applied Materials AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
 73 27  
 
178-0402// Amat Applied 0680-01044 Circuit Breaker 2p 3a [used]
178-0402// Amat Applied 0680-01044 Circuit Breaker 2p 3a [used]
 125 62  
 
0021-17725 Rev 003 Shetter Disk / AMAT
0021-17725 Rev 003 Shetter Disk / AMAT
 157 03  
 
854-0063-001 Bracket
854-0063-001 Bracket
 115 15  
 
AR10-M5B regulator Set Pressure
AR10-M5B regulator Set Pressure
 90 03  
 
NVJ114Y Valve, Solenoid 3-Poet DC24V
NVJ114Y Valve, Solenoid 3-Poet DC24V
 94 22  
 
F5 Heater Fan with Stand, 5Kw,50Hz,400v 3N 5 03Kw
F5 Heater Fan with Stand, 5Kw,50Hz,400v 3N 5 03Kw
 149 70  
 
J3490-5 Cabel with 2 Plugs
J3490-5 Cabel with 2 Plugs
 97 36  
 
112A Baratron Bar Type 112A
112A Baratron Bar Type 112A
 103 64  
 
4022 636 34561 Cable, With Connectors ~ Plugs / ASML
4022 636 34561 Cable, With Connectors ~ Plugs / ASML
 78 51  
 
4022 636 34531 Cable, With Connectors ~ Plugs / ASML
4022 636 34531 Cable, With Connectors ~ Plugs / ASML
 78 51  
 
4022 636 34551 Cable, With Connectors ~ Plugs / ASML
4022 636 34551 Cable, With Connectors ~ Plugs / ASML
 78 51  
 
4022 636 34581 Cable, With Connectors ~ Plugs / ASML
4022 636 34581 Cable, With Connectors ~ Plugs / ASML
 78 51  
 
4022 636 34571 Cable, With Connectors ~ Plugs / ASML
4022 636 34571 Cable, With Connectors ~ Plugs / ASML
 78 51  
 
4022 636 34591 Cable, With Connectors ~ Plugs / ASML
4022 636 34591 Cable, With Connectors ~ Plugs / ASML
 78 51  
 
4022 636 34611 Cable, With Connectors ~ Plugs / ASML
4022 636 34611 Cable, With Connectors ~ Plugs / ASML
 78 51  
 
4022 636 34291 Cable, With Connectors ~ Plugs / ASML
4022 636 34291 Cable, With Connectors ~ Plugs / ASML
 78 51  
 
4022 636 34252 Cable, With Connectors ~ Plugs / ASML
4022 636 34252 Cable, With Connectors ~ Plugs / ASML
 78 51  
 
4022 636 92662 Cable, With Connectors ~ Plugs / ASML
4022 636 92662 Cable, With Connectors ~ Plugs / ASML
 78 51  
 
13-MGPL20-75-A93L Pneumatic Cylinder
13-MGPL20-75-A93L Pneumatic Cylinder
 86 89  
 
57619261E Board,     ACS600
57619261E Board, ACS600
 152 67  
 
63DS(M178) Valve,
63DS(M178) Valve,
 78 51  
 
PBW30F-15 Power Supply +15v(+30v) AC100-240v
PBW30F-15 Power Supply +15v(+30v) AC100-240v
 100 50  
 
9701-17750-1 Mini Motor
9701-17750-1 Mini Motor
 104 68  
 
OL2109 Drive Card
OL2109 Drive Card
 94 22  
 
103H5510-70E2 Motor, Step Motor 0 75a-a0 72/Stat
103H5510-70E2 Motor, Step Motor 0 75a-a0 72/Stat
 157 03  
 
2868648 Powewr Step DC, 24V 1 75A / 22 529 5V
2868648 Powewr Step DC, 24V 1 75A / 22 529 5V
 83 74  
 
352606-FD1 DVD HP Drive
352606-FD1 DVD HP Drive
 130 86  
 
D37420000 Control Unit
D37420000 Control Unit
 136 96  
 
R21-04-R00  Regulatr Air
R21-04-R00 Regulatr Air
 151 79  
 
PV-3-1134 Valve, Pneumatic
PV-3-1134 Valve, Pneumatic
 90 03  
 
R05-200-RNLA Regulator Pressure 250psig / 125psig
R05-200-RNLA Regulator Pressure 250psig / 125psig
 142 20  
 
81513200 Valve, Solenoid
81513200 Valve, Solenoid
 72 23  
 
SS-DLVCR4-PX Valve,
SS-DLVCR4-PX Valve,
 114 11  
 
MSQB20R-DIK00158 Actuator
MSQB20R-DIK00158 Actuator
 73 28  
 
AMC-VL-X6 Valve,
AMC-VL-X6 Valve,
 103 64  
 
MXU16-25-M9NW Slide Table Double Acting 25mm / MXU16-25M9NW
MXU16-25-M9NW Slide Table Double Acting 25mm / MXU16-25M9NW
 104 68  
 
SFC-270 Flowmeter DC24v
SFC-270 Flowmeter DC24v
 141 32  
 
CX-22 Sensor,
CX-22 Sensor,
 157 03  
 
BD-225302-FR Motor
BD-225302-FR Motor
 146 56  
 
71788 Fuchs
71788 Fuchs
 77 47  
 
800T-QH24R Pilot Light RED
800T-QH24R Pilot Light RED
 83 75  
 
TPB-SA Board, 2N8C8140P001-D
TPB-SA Board, 2N8C8140P001-D
 70 14  
 
TAS-iN8 Board,
TAS-iN8 Board,
 161 21  
 
CDQSB16-75DC Pneumatic Cylinder
CDQSB16-75DC Pneumatic Cylinder
 83 75  
 
CXSL20-DCG9731G-30 7 Pneumatic Cylinder
CXSL20-DCG9731G-30 7 Pneumatic Cylinder
 82 70  
 
ABB® - S2-H11 Auxiliary Contact (UK) GH S270 1916 R0001
ABB® - S2-H11 Auxiliary Contact (UK) GH S270 1916 R0001
 2   
 
Bando™ S5M High Torque Timing Belt 319 Teeth (UK) 100 S5M 319 LW2-J
Bando™ S5M High Torque Timing Belt 319 Teeth (UK) 100 S5M 319 LW2-J
 2   
 
68-2260 Board, Rocket 8J
68-2260 Board, Rocket 8J
 86 89  
 
6L-ELD8-22XB-DU Valve,
6L-ELD8-22XB-DU Valve,
 115 15  
 
SS-BN8T8A-C Valve,
SS-BN8T8A-C Valve,
 99 45  
 
SS-DLS4 Valve,
SS-DLS4 Valve,
 73 28  
 
H-TIO-A-FK09-V-3P SE Mini HG System
H-TIO-A-FK09-V-3P SE Mini HG System
 155 98  
 
H-TIO-B-FK09-V-NN SE Mini HG System
H-TIO-B-FK09-V-NN SE Mini HG System
 155 98  
 
WGFG06WB1 Filter, Gas Filter / Max Pre 600 Psi
WGFG06WB1 Filter, Gas Filter / Max Pre 600 Psi
 115 15  
 
MKB16-i0780-4R Cylinder, Rotary Clamp Cylinder / 1 0MPa
MKB16-i0780-4R Cylinder, Rotary Clamp Cylinder / 1 0MPa
 115 15  
 
FBSDL-6 35UGC-2B3-PA-DVD#A Incorporated, Fujikin / 316L-P / OP / 0 039~0 59MPa
FBSDL-6 35UGC-2B3-PA-DVD#A Incorporated, Fujikin / 316L-P / OP / 0 039~0 59MPa
 88 98  
 
A4K-M245 Motor, Stepping Motor / 1 2A/Phase / DC 3 36v / 1 8"/Step
A4K-M245 Motor, Stepping Motor / 1 2A/Phase / DC 3 36v / 1 8"/Step
 146 56  
 
SGDV-R90A01A Servopack, Servo Drive / SGDV-R90A01A / Yaskawa
SGDV-R90A01A Servopack, Servo Drive / SGDV-R90A01A / Yaskawa
 157 03  
 
iOLINK Cable, With 2 Connectors Flat Cable ( 10" )
iOLINK Cable, With 2 Connectors Flat Cable ( 10" )
 69 09  
 
MXQ6-10A Cylinder
MXQ6-10A Cylinder
 115 15  
 
CA356-0032 Board, WLB Board Assy / Red-Yellow-Green / Rev 05 / PCB CA352-00250 /
CA356-0032 Board, WLB Board Assy / Red-Yellow-Green / Rev 05 / PCB CA352-00250 /
 115 15  
 
44521-1060 Switch, Actuated Safety Inerlook Switch / T2007 / Sti
44521-1060 Switch, Actuated Safety Inerlook Switch / T2007 / Sti
 78 51  
 
44507-0100 Switch, Actuated Safety Inerlook Switch / MA Series 9 / 24v DC 1A / S
44507-0100 Switch, Actuated Safety Inerlook Switch / MA Series 9 / 24v DC 1A / S
 83 75  
 
Q23SN6FP Switch, Photoelectric Switch / Banner
Q23SN6FP Switch, Photoelectric Switch / Banner
 148 65  
 
DF60AA160 Bridge Module Rectifier / DF60AA160 / K5G11 / Sanrex
DF60AA160 Bridge Module Rectifier / DF60AA160 / K5G11 / Sanrex
 73 28  
 
CV500-BC051 Board, CV500-BC051 / Omron
CV500-BC051 Board, CV500-BC051 / Omron
 152 67  
 
C500-iD213 PLC Module, C500-iD312 / 3G2A5-iD213 / Omron
C500-iD213 PLC Module, C500-iD312 / 3G2A5-iD213 / Omron
 115 15  
 
SA52RCUL20 Breaker, SA52RCUL / 20Amp / Circuit Auto Breaker SA52RCUL / 20Amp /24
SA52RCUL20 Breaker, SA52RCUL / 20Amp / Circuit Auto Breaker SA52RCUL / 20Amp /24
 78 51  
 
S8PS-30024C Power Supply, S8PS-30024C / Omron
S8PS-30024C Power Supply, S8PS-30024C / Omron
 115 15  
 
SA53RCUL20 Breaker, SA53RCUL / 20Amp / Circuit Auto Breaker SA53RCUL20 / 20Amp /
SA53RCUL20 Breaker, SA53RCUL / 20Amp / Circuit Auto Breaker SA53RCUL20 / 20Amp /
 104 68  
 
CN-0FY387-13740-7CL-022B Board, CN-OFY387-13740 / Rev A00 / With one ( 256MB 1RX
CN-0FY387-13740-7CL-022B Board, CN-OFY387-13740 / Rev A00 / With one ( 256MB 1RX
 157 03  
 
70-40458-01 Board, 70-40458-01 / ULTRA3 / COMPAQ
70-40458-01 Board, 70-40458-01 / ULTRA3 / COMPAQ
 104 68  
 
30-48046-S3 Power Supply, 30-48046-S3 / 1 Phase / 50~60Hz / 100~240VAC / 6/3A /
30-48046-S3 Power Supply, 30-48046-S3 / 1 Phase / 50~60Hz / 100~240VAC / 6/3A /
 146 56  
 
Vi-PU11-EWW Power Supply, Vi-PU11-EWW / 100~120~200~240V / 4 9~2 4A / 47~63Hz /
Vi-PU11-EWW Power Supply, Vi-PU11-EWW / 100~120~200~240V / 4 9~2 4A / 47~63Hz /
 151 79  
 
944AOPLPNCSFSFF Valve, AOPLPNCSFSFF / Diaphragm Valve / VERiFLO
944AOPLPNCSFSFF Valve, AOPLPNCSFSFF / Diaphragm Valve / VERiFLO
 151 79  
 
ASVGA-1 Board, ASVGA-1 / VGA-18B / AXESS Techology
ASVGA-1 Board, ASVGA-1 / VGA-18B / AXESS Techology
 104 68  
 
EAK15-2R0G Power Supply, EAK15-2R0G / TDK
EAK15-2R0G Power Supply, EAK15-2R0G / TDK
 136 09  
 
iDS84 Board, iDS84, 4x8 Port LiS / Assy 22550 / Executone
iDS84 Board, iDS84, 4x8 Port LiS / Assy 22550 / Executone
 73 28  
 
RTW24-2R2C Power Supply, TRW24-2R2C / 100~240v / 0 7~0 35A / 50~60Hz / TDK-Lambd
RTW24-2R2C Power Supply, TRW24-2R2C / 100~240v / 0 7~0 35A / 50~60Hz / TDK-Lambd
 78 51  
 
RTW12-4R3C Power Supply, TRW12-4R3C / 100~240v / 0 7~0 35A / 50~60Hz / TDK-Lambd
RTW12-4R3C Power Supply, TRW12-4R3C / 100~240v / 0 7~0 35A / 50~60Hz / TDK-Lambd
 104 68  
 
C05-0291-2 Board, C05-0291-2 / Environnement S A
C05-0291-2 Board, C05-0291-2 / Environnement S A
 83 75  
 
NV50-SP Breaker, NV50-SP / 40A 30-100-500mA / Mitsubishi
NV50-SP Breaker, NV50-SP / 40A 30-100-500mA / Mitsubishi
 73 28  
 
KSi/f-20A Board, KSi/F-20A
KSi/f-20A Board, KSi/F-20A
 73 28  
 
MC4S07HSV924B Valve, MC4S07HSV924B / CV / Convum
MC4S07HSV924B Valve, MC4S07HSV924B / CV / Convum
 157 03  
 
MHL2-10D Cylinder, Parallel, MHL2-10D / Gripper / Cylinder / With 2x Sensors D-M
MHL2-10D Cylinder, Parallel, MHL2-10D / Gripper / Cylinder / With 2x Sensors D-M
 115 15  
 
MSF15-12 Power Supply, MSF15-12 / Fine Suntronix, MSF15-12 / 100~240V~0 4A / 50~
MSF15-12 Power Supply, MSF15-12 / Fine Suntronix, MSF15-12 / 100~240V~0 4A / 50~
 78 51  
 
701140/8888-888-22 Temperature Limiter, 701140/8888-888-22 / Programmable / TB/T
701140/8888-888-22 Temperature Limiter, 701140/8888-888-22 / Programmable / TB/T
 78 51  
 
DFC5107P Motor Driver, DFC5107P / Vexta / Oriental
DFC5107P Motor Driver, DFC5107P / Vexta / Oriental
 73 28  
 
6ES7321-1BH01-0AA0 Simatic, S7 / 6ES7321-1BH01-0AA0 / D0 16xDC 24V / 0 5A / Siem
6ES7321-1BH01-0AA0 Simatic, S7 / 6ES7321-1BH01-0AA0 / D0 16xDC 24V / 0 5A / Siem
 115 15  
 
6ES7322-1BL00-0AA0 Simatic, S7 / 6ES7322-1BL00-0AA0 / Do 32xDC 24V/0 5A / Siemen
6ES7322-1BL00-0AA0 Simatic, S7 / 6ES7322-1BL00-0AA0 / Do 32xDC 24V/0 5A / Siemen
 139 58  
 
6ES7321-1BH02-0AA0 Simatic, S7 / 6ES7321-1BH02-0AA0 / Di 16xDC 24V / Siemens
6ES7321-1BH02-0AA0 Simatic, S7 / 6ES7321-1BH02-0AA0 / Di 16xDC 24V / Siemens
 88 98  
 
SGMJV-01ADA21 Motor, SGMJV-01ADA21 / AC Servo Motor / YASKAWA
SGMJV-01ADA21 Motor, SGMJV-01ADA21 / AC Servo Motor / YASKAWA
 151 79  
 
AR25-F02H  Valav, AR25-F02H / 0 05~0 85MPa / With Pressure Gauge 0~1 0 Mpa 0~10
AR25-F02H Valav, AR25-F02H / 0 05~0 85MPa / With Pressure Gauge 0~1 0 Mpa 0~10
 78 51  
 
MF901A-01 Blower, MF901A-01 / ION Blower / AC100-240V / 50~60Hz / BiT
MF901A-01 Blower, MF901A-01 / ION Blower / AC100-240V / 50~60Hz / BiT
 125 62  
 
IL55-6500K LED, Camera Light / 12V / IsMedia
IL55-6500K LED, Camera Light / 12V / IsMedia
 104 68  
 
EBS53B Breaker, EBS53B / ELCB 50AF 3P / 40A / MEC
EBS53B Breaker, EBS53B / ELCB 50AF 3P / 40A / MEC
 115 15  
 
PE-7S2-R40 Board, PE-7S2-R40 / Rev 4 0/ / 01EF045-00-401-RS / Interface Modules
PE-7S2-R40 Board, PE-7S2-R40 / Rev 4 0/ / 01EF045-00-401-RS / Interface Modules
 78 51  
 
2-AXiS-BLACK OptoSigma / 2-AXiS / Micrometers / OptoSigma
2-AXiS-BLACK OptoSigma / 2-AXiS / Micrometers / OptoSigma
 152 67  
 
D37370312 Board, D37370312 /  BOC Edwards
D37370312 Board, D37370312 / BOC Edwards
 125 62  
 
D37488262 Board, D37370312 /  BOC Edwards
D37488262 Board, D37370312 / BOC Edwards
 125 62  
 
FS4596-24-07 Filter, FS4596-24-07 / 3x480VAC 50~60Hz / 25~100~21 / 24A / 60c / S
FS4596-24-07 Filter, FS4596-24-07 / 3x480VAC 50~60Hz / 25~100~21 / 24A / 60c / S
 104 68  
 
FXON-24MR-ES Controller, FXON-24MR-ES / Programmable Controller / 100~240VAC / 5
FXON-24MR-ES Controller, FXON-24MR-ES / Programmable Controller / 100~240VAC / 5
 136 09  
 
D37245000 Electrical Supply Continuity Module, D37245000 / Edwards
D37245000 Electrical Supply Continuity Module, D37245000 / Edwards
 148 30  
 
VQ4400-5-X99 Valve, VQ4400-5-X99 / 0 15~1 0MPa / With Module Block / SMC
VQ4400-5-X99 Valve, VQ4400-5-X99 / 0 15~1 0MPa / With Module Block / SMC
 170 11  
 
490-00W-H Board, 490-00W-H / 1F8 P  Lemmens /
490-00W-H Board, 490-00W-H / 1F8 P  Lemmens /
 109 92  
 
DHS-1244-AD PCB Ethernet HUB, DSH-1244-AD / SCREEN
DHS-1244-AD PCB Ethernet HUB, DSH-1244-AD / SCREEN
 115 15  
 
C500-iD219 Model, C500-iD219 / 3G2A5-iD219 / 24VDC / 7mA / Omron
C500-iD219 Model, C500-iD219 / 3G2A5-iD219 / 24VDC / 7mA / Omron
 104 68  
 
S82J-0224 Power Supply, S82J-0224 / AC100~240V / 50~60Hz / 0 8A / Omron
S82J-0224 Power Supply, S82J-0224 / AC100~240V / 50~60Hz / 0 8A / Omron
 73 28  
 
B53413 Valve, B53413 MTX / Parker
B53413 Valve, B53413 MTX / Parker
 88 98  
 
D15471020 Valve, D15471020 / 200~240V / Edwards
D15471020 Valve, D15471020 / 200~240V / Edwards
 135 22  
 
FB-FCB210S Board, FB-FCB210S / Rev 2 a / AD-Board
FB-FCB210S Board, FB-FCB210S / Rev 2 a / AD-Board
 141 32  
 
SG6190 Low Pressure Glass Rurifier, SG6190 / Advance
SG6190 Low Pressure Glass Rurifier, SG6190 / Advance
 146 56  
 
21233311i Regulator, Model assy 21233311i /  With 2 Pressure Gauges / Global 1x
21233311i Regulator, Model assy 21233311i / With 2 Pressure Gauges / Global 1x
 88 98  
 
Q1S13743FSF Board, Q1S13743FSF / PWB / 2x 250V F1 01 / Main Switch / Primary / T
Q1S13743FSF Board, Q1S13743FSF / PWB / 2x 250V F1 01 / Main Switch / Primary / T
 88 98  
 
FIBER Fiber Optic Cable, 12x 62 5/125 / 75M
FIBER Fiber Optic Cable, 12x 62 5/125 / 75M
 164 01  
 
1990316-101 Board, 1990316-101 / 800-1596E / Board / Delta Desing
1990316-101 Board, 1990316-101 / 800-1596E / Board / Delta Desing
 146 56  
 
1974422-101 Board, 1974422-101 / 800-1573D / Board / Delta Desing
1974422-101 Board, 1974422-101 / 800-1573D / Board / Delta Desing
 157 03  
 
275856 275 Mini-Convectron, 275856 / Liner Analog output 0-1 TORR / Cranville-Ph
275856 275 Mini-Convectron, 275856 / Liner Analog output 0-1 TORR / Cranville-Ph
 104 68  
 
80A030G3214 Sensor, 80A030G3214
80A030G3214 Sensor, 80A030G3214
 130 86  
 
EWS150-12 Power supply, EWS150-12 / Nemicl-Lambda
EWS150-12 Power supply, EWS150-12 / Nemicl-Lambda
 161 39  
 
LES-AW Tower Light, LES-AW / Patlite
LES-AW Tower Light, LES-AW / Patlite
 73 28  
 
NF-SFW3060 Breaker, NF-SFW3060 / 60A / AC220V / 100kA / Mitsubishi
NF-SFW3060 Breaker, NF-SFW3060 / 60A / AC220V / 100kA / Mitsubishi
 88 98  
 
VXB06 Rack with back Board with 6 slots / Versalogic
VXB06 Rack with back Board with 6 slots / Versalogic
 135 22  
 
P304PRO-i000 Scanner, P304PRO-i000 / Laser Scanner Handhold Barcode / With Stand
P304PRO-i000 Scanner, P304PRO-i000 / Laser Scanner Handhold Barcode / With Stand
 83 75  
 
CFPRNA10S-280-90-Z04-0670 Valve, CFPRNA10S-180-90-Z04-0670 / Pneumatic Actuator
CFPRNA10S-280-90-Z04-0670 Valve, CFPRNA10S-180-90-Z04-0670 / Pneumatic Actuator
 83 75  
 
SLC150 Expansion Unit, SLC150 / Allen Bradley
SLC150 Expansion Unit, SLC150 / Allen Bradley
 170 11  
 
D37370821 Board, D37370821 / With Panel Assy / BOC Edwards
D37370821 Board, D37370821 / With Panel Assy / BOC Edwards
 157 03  
 
9586A701 Board, 9586A701 / 9586 / 9586-01 / Hioki
9586A701 Board, 9586A701 / 9586 / 9586-01 / Hioki
 157 03  
 
8825A002 Board, 8825A002 / 8825A712 / Hioki
8825A002 Board, 8825A002 / 8825A712 / Hioki
 151 79  
 
8825A707 Board, 8825A707 / Hioki
8825A707 Board, 8825A707 / Hioki
 115 15  
 
8825A702 Board, 8825A702 / CPU TOP Board / Hioki
8825A702 Board, 8825A702 / CPU TOP Board / Hioki
 115 15  
 
8907 Board, 8970 Analog Unit Card Board / Hioki
8907 Board, 8970 Analog Unit Card Board / Hioki
 99 45  
 
8825A708 Board, 8825A708 / Hioki
8825A708 Board, 8825A708 / Hioki
 83 75  
 
YPW-188C Board, YPW-188C / Hioki
YPW-188C Board, YPW-188C / Hioki
 151 79  
 
RCM-A-K09-K09*BZ-271 RKC, RCM-A-K09-K09*BZ-271 / TSRM-56 and TSRM-53 / 24V DC 11
RCM-A-K09-K09*BZ-271 RKC, RCM-A-K09-K09*BZ-271 / TSRM-56 and TSRM-53 / 24V DC 11
 147 61  
 
12R5K Resistor, 12R5K / 220W / JRM
12R5K Resistor, 12R5K / 220W / JRM
 98 40  
 
SCF-150 Capacitor, F-150 5Z / Meivac
SCF-150 Capacitor, F-150 5Z / Meivac
 132 95  
 
ALTMAD499-ViA002 Nippon Screen, ALTMAD499-ViA002 / ALGO
ALTMAD499-ViA002 Nippon Screen, ALTMAD499-ViA002 / ALGO
 139 23  
 
2N8C3276P001-B Board, 2N8C3276P001-B / MDK332C-0 / Toshiba
2N8C3276P001-B Board, 2N8C3276P001-B / MDK332C-0 / Toshiba
 107 83  
 
COM-4CL-Pci Board, COM-4CL-Pci / CONTEC
COM-4CL-Pci Board, COM-4CL-Pci / CONTEC
 128 76  
 
2N8C8188P001-A Board, 2N8C8188P001-A / FRAS3-A / Toshiba
2N8C8188P001-A Board, 2N8C8188P001-A / FRAS3-A / Toshiba
 86 89  
 
2N8C3276P001-A Board, 2N8C3276P001-A / AM-1 / FBPP1 / Toshiba
2N8C3276P001-A Board, 2N8C3276P001-A / AM-1 / FBPP1 / Toshiba
 86 89  
 
7512C Board, 7512C / PKKB (FP) Contec
7512C Board, 7512C / PKKB (FP) Contec
 157 03  
 
Edwards Vacuum - Stainless Elbow IGX600 Port  (UK) P553719
Edwards Vacuum - Stainless Elbow IGX600 Port (UK) P553719
 25   
 
SMC - AF30-02B filter modular - AF MASS PRO - (UK)
SMC - AF30-02B filter modular - AF MASS PRO - (UK)
 25   
 
Plasmatherm 790 PECVD Feature Plate - 14 25" diameter
Plasmatherm 790 PECVD Feature Plate - 14 25" diameter
 213 73  
 
Tokyo Electron 3881-000019-12, 3884-200001-11, Pcb, Lan ttls06-11 Mmi-conv-f Pcb
Tokyo Electron 3881-000019-12, 3884-200001-11, Pcb, Lan ttls06-11 Mmi-conv-f Pcb
 174 47  
 
Applied Materials AMAT Weldment Spool ASP Chamber Process Line, 0050-37424 REV A
Applied Materials AMAT Weldment Spool ASP Chamber Process Line, 0050-37424 REV A
 83 75  50 25  
 
Kokusai CX1307 Controller Unit Vertron Used Working
Kokusai CX1307 Controller Unit Vertron Used Working
 305 33  
 
9959) [used] Line Tech M3030v Ar 100 Sccm
9959) [used] Line Tech M3030v Ar 100 Sccm
 218 11  
 
Asyst 3200-1225-01 Loader PCB Rev  6
Asyst 3200-1225-01 Loader PCB Rev  6
 244 26  
 
9715007 / Uhv-24 Ion Gauge, 2 Fil Thoria/irid 2 75 In, / Agilent Technology
9715007 / Uhv-24 Ion Gauge, 2 Fil Thoria/irid 2 75 In, / Agilent Technology
 506 57  
 
126-0403// Amat Applied 0680-01521 Cb Mag Therm 3p 240vac 60a Bolt-on Ri [used]
126-0403// Amat Applied 0680-01521 Cb Mag Therm 3p 240vac 60a Bolt-on Ri [used]
 125 62  
 
Mydax M1002c Rtd Interface Board Pcb Chiller
Mydax M1002c Rtd Interface Board Pcb Chiller
 261 69  
 
MEC Master Electronic Controls MODEL DMOF12D 5B RELAY 12 VDC, 0 5 SEC  LOT OF 4
MEC Master Electronic Controls MODEL DMOF12D 5B RELAY 12 VDC, 0 5 SEC  LOT OF 4
 157 03  
 
Hermes Microvision DC40503-60606 Board
Hermes Microvision DC40503-60606 Board
 146 55  
 
Hydro - Temp Epc-ri8 Relay Interface
Hydro - Temp Epc-ri8 Relay Interface
 174 47  
 
Thermonics  Inc  1b-137-1d Board
Thermonics Inc  1b-137-1d Board
 522 55  
 
Thermonics  Inc  1b-135-1a Board
Thermonics Inc  1b-135-1a Board
 567 04  
 
401-0201// Idec Sx5a-mbr04 Safety Relay [used]
401-0201// Idec Sx5a-mbr04 Safety Relay [used]
 261 71  
 
326-0401// Amat Applied 0140-09025 Applied Matrials Components Used
326-0401// Amat Applied 0140-09025 Applied Matrials Components Used
 52 34  
 
Lam Research New 03-462472-01 SEM-I-143=2M24
Lam Research New 03-462472-01 SEM-I-143=2M24
 174 43  
 
Turck RKV RSFPV 56-1M U-15690 Mini Fast Cordset 1 Meter
Turck RKV RSFPV 56-1M U-15690 Mini Fast Cordset 1 Meter
 61 06  
 
Matrix Integrated Systems Model 105 Plasma  Asher Dc Mfc Gas Input Module
Matrix Integrated Systems Model 105 Plasma Asher Dc Mfc Gas Input Module
 1,090 46  872 37  
 
Yaskawa Electric Corporation Jusp-ra05 Resistor Unit, Used
Yaskawa Electric Corporation Jusp-ra05 Resistor Unit, Used
 218 09  
 
Fuji Electric EW50AAG-2P015 100-230VAC 2-Pole 15A Circuit Breaker
Fuji Electric EW50AAG-2P015 100-230VAC 2-Pole 15A Circuit Breaker
 104 68  
 
407-0101// Granville-phillips 354001-yg-t 354 Micro-ion Modul [asis]
407-0101// Granville-phillips 354001-yg-t 354 Micro-ion Modul [asis]
 261 71  
 
430-0202// Danaher P22nsxc-lsn-ns-02 Motor [used]
430-0202// Danaher P22nsxc-lsn-ns-02 Motor [used]
 174 47  
 
430-0202// Danaher M22nsxc-lsn-ns-03 Motor [used]
430-0202// Danaher M22nsxc-lsn-ns-03 Motor [used]
 174 47  
 
MCT pcb #501987 rev  O for Sentry 60 MCT 3616 handler  Made in USA
MCT pcb #501987 rev  O for Sentry 60 MCT 3616 handler  Made in USA
 284 35  
 
435-0203// Panasonic Msmz022b1e Ac Servo Motor [used/fast]
435-0203// Panasonic Msmz022b1e Ac Servo Motor [used/fast]
 174 47  
 
435-0201// Panasonic Msm5aza1g Motor [used/fast]
435-0201// Panasonic Msm5aza1g Motor [used/fast]
 174 47  
 
438-0402// Oriental Motor Smk216a-gn 2gn30k Motor [used]
438-0402// Oriental Motor Smk216a-gn 2gn30k Motor [used]
 174 47  
 
442-0301// Oriental Motor Asm46ak-h100 Motor [used]
442-0301// Oriental Motor Asm46ak-h100 Motor [used]
 174 47  
 
Lam Research 4520 Auto Etch Gap Controller 853-090260-004
Lam Research 4520 Auto Etch Gap Controller 853-090260-004
 2,617 12  
 
325-0202// Amat Applied 3030-01115 Ufm-1100 He 3slm Sst Mass Flow Meter [asis]
325-0202// Amat Applied 3030-01115 Ufm-1100 He 3slm Sst Mass Flow Meter [asis]
 261 71  
 
325-0303// Unit Ufc-8160 (#7) He 500sccm [asis]
325-0303// Unit Ufc-8160 (#7) He 500sccm [asis]
 261 71  
 
340-0202// Amat Applied 0020-19003 Insert, Slit Valve Sho Used
340-0202// Amat Applied 0020-19003 Insert, Slit Valve Sho Used
 244 26  
 
336-0402// Sekidenko 951-1100-00 1000f Controller [used]
336-0402// Sekidenko 951-1100-00 1000f Controller [used]
 261 71  
 
425-0201// Omron R88m-u20030ha-bs1 Ac Servo Motor [used/fast]
425-0201// Omron R88m-u20030ha-bs1 Ac Servo Motor [used/fast]
 261 71  
 
IC-712-1 KVM Switch
IC-712-1 KVM Switch
 152 67  
 
22B Motor, 21:1 / KW
22B Motor, 21:1 / KW
 125 62  
 
Disco YEAJ-GA1622 Flow Meter, Switch 412385
Disco YEAJ-GA1622 Flow Meter, Switch 412385
 157 03  
 
Amat 0020-70076, Support, Guide Shaft, 29 Posn Stor Elev
Amat 0020-70076, Support, Guide Shaft, 29 Posn Stor Elev
 436 19  
 
KLA-Tencor 288845 Power Back Plane Motherboard PCB Used Working
KLA-Tencor 288845 Power Back Plane Motherboard PCB Used Working
 220 84  
 
Applied Materials AMAT 0100-09011, AI MUX Board
Applied Materials AMAT 0100-09011, AI MUX Board
 548 81  
 
347-0302// Amat Applied 0040-76076 Manifold Short Facilities I/f Centura [used]
347-0302// Amat Applied 0040-76076 Manifold Short Facilities I/f Centura [used]
 287 88  
 
Mott corporation  GSP11215H3FF33 GasShield 172 4 bar Filter+SMC PSE560-A2-28
Mott corporation GSP11215H3FF33 GasShield 172 4 bar Filter+SMC PSE560-A2-28
 479 80  
 
341-0402// Amat Applied 0020-23367 Chassis Dc Bias Short Monitor [used]
341-0402// Amat Applied 0020-23367 Chassis Dc Bias Short Monitor [used]
 218 09  
 
0190-01973  / Rotary Union-platen Temperature Control / Applied Materials
0190-01973 / Rotary Union-platen Temperature Control / Applied Materials
 1,309 41  
 
Kokusai Electric D3E01195A Processor Board PCB GRAPH Used Working
Kokusai Electric D3E01195A Processor Board PCB GRAPH Used Working
 263 62  
 
1539-S-N Valve, 1539-S-N /  Air Operated Vacuumn Pneumativ / S62324-76765-04 / A
1539-S-N Valve, 1539-S-N / Air Operated Vacuumn Pneumativ / S62324-76765-04 / A
 305 33  
 
8000-4252 AC-GMA Calibrator
8000-4252 AC-GMA Calibrator
 305 33  
 
3200-1251-02A Board
3200-1251-02A Board
 305 33  
 
H-PCP-A34N-M-AB SE Mini HG System / FAREX SRM Mini System
H-PCP-A34N-M-AB SE Mini HG System / FAREX SRM Mini System
 286 14  
 
Brooks Automation Tlg-lon N5gtsg Transponder Reader
Brooks Automation Tlg-lon N5gtsg Transponder Reader
 157 03  
 
Millipore Tylan Fc-280-sav-4v, 100 Sccm N2  "in The Box"
Millipore Tylan Fc-280-sav-4v, 100 Sccm N2  "in The Box"
 257 35  
 
TEL Tokyo Electron Prealigner Lamp Module Lot of 2 Unity II Used Working
TEL Tokyo Electron Prealigner Lamp Module Lot of 2 Unity II Used Working
 443 27  
 
MKS A500213-03 Tool Web Sensor Integration Platform (New Surplus)
MKS A500213-03 Tool Web Sensor Integration Platform (New Surplus)
 218 09  152 67  
 
Varian E17298161 REV D 500105423 Center Block Inside 90DEG Magnet
Varian E17298161 REV D 500105423 Center Block Inside 90DEG Magnet
 141 32  
 
Setra 26710R1WD2ED9CD, Pressure Transducer
Setra 26710R1WD2ED9CD, Pressure Transducer
 104 68  
 
NEW Applied Materials/AMAT 0270-03775 Single Air Bearing Hose Assy  Endura 300mm
NEW Applied Materials/AMAT 0270-03775 Single Air Bearing Hose Assy  Endura 300mm
 146 55  
 
Amat 3800-01125 Regulator Smc It1011-n31-x4 W/ 0140-77198
Amat 3800-01125 Regulator Smc It1011-n31-x4 W/ 0140-77198
 872 37  
 
Amat 3800-01147 Regulator Smc It1001-n31-x6 W/ 0140-77490
Amat 3800-01147 Regulator Smc It1001-n31-x6 W/ 0140-77490
 872 37  
 
Amat 0190-77521 Ac Servo Motor Sgmah-01aaf41 W/ 17pl0220
Amat 0190-77521 Ac Servo Motor Sgmah-01aaf41 W/ 17pl0220
 959 61  
 
Canon 501 Lamp Module , Tested , Working Condition
Canon 501 Lamp Module , Tested , Working Condition
 1,046 85  
 
Microbar Systems 11-0123-00 Power Conversion Board PCB Used Working
Microbar Systems 11-0123-00 Power Conversion Board PCB Used Working
 176 38  
 
Lam Research 853-013610-001-E-LEAN 4420 Solenoid Tray Assembly Missing PCB As-Is
Lam Research 853-013610-001-E-LEAN 4420 Solenoid Tray Assembly Missing PCB As-Is
 530 51  
 
Usb 3 0 Cable Server Board G63319-001
Usb 3 0 Cable Server Board G63319-001
 62 81  
 
Tend RED & GREEN Push Button 3A/240VAC  UI=600VAC  LTH=10A
Tend RED & GREEN Push Button 3A/240VAC UI=600VAC LTH=10A
 41 87  
 
4fa005n3zvs208 / Eqp Global Low Voltage Motor 5hp 3 7kw 1750rpm / Toshiba
4fa005n3zvs208 / Eqp Global Low Voltage Motor 5hp 3 7kw 1750rpm / Toshiba
 327 73  
 
Tokyo Electron Tel Telius Temperature Controller K287-000069-11 Tcu-vg1-8
Tokyo Electron Tel Telius Temperature Controller K287-000069-11 Tcu-vg1-8
 1,526 65  
 
Mltw-a-120f-3 /  Die Pump Saw Assy  / Disco
Mltw-a-120f-3 / Die Pump Saw Assy / Disco
 410 61  
 
Sieger Limited 05700-A-0652 Gas Detection Module RAD-10 working
Sieger Limited 05700-A-0652 Gas Detection Module RAD-10 working
 574 13  
 
005-0201// Mks B-5303-00 (#2) 2l39-000016-22 Generator [asis]
005-0201// Mks B-5303-00 (#2) 2l39-000016-22 Generator [asis]
 3,925 67  
 
133-0501// Adtec Ax-300 Rf Generator Used
133-0501// Adtec Ax-300 Rf Generator Used
 2,180 93  
 
142-0301// Amat Applied 0140-00761 Applied Matrials Components [used]
142-0301// Amat Applied 0140-00761 Applied Matrials Components [used]
 125 62  
 
250C-1-A Controller Type 250-1-A
250C-1-A Controller Type 250-1-A
 160 17  
 
WG2S02WW2 Filter Line Gas, WG2S02WW2 / N7E549856 / SF / Mykrolis
WG2S02WW2 Filter Line Gas, WG2S02WW2 / N7E549856 / SF / Mykrolis
 78 51  
 
AMAT 0020-42316 Screw Vented Perf Plate
AMAT 0020-42316 Screw Vented Perf Plate
 314 05  
 
94-2767 / Hub- Network ( Black Box )- Gasonics / Novellus
94-2767 / Hub- Network ( Black Box )- Gasonics / Novellus
 390 56  
 
Alphasem AG AS420-1-01 Relay Board PCB AS420-1 Used Working
Alphasem AG AS420-1-01 Relay Board PCB AS420-1 Used Working
 265 35  
 
Philips 4022 192 9052 FSDM board
Philips 4022 192 9052 FSDM board
 610 66  
 
442-0401// Oriental Motor Asm66mc-h100 (short Cable) Motor [used]
442-0401// Oriental Motor Asm66mc-h100 (short Cable) Motor [used]
 174 47  
 
Aera Fc7800cd 3870-01306 0190-20015 Assy / Aera Mfc, 100sccm, Gas Ar / Amat
Aera Fc7800cd 3870-01306 0190-20015 Assy / Aera Mfc, 100sccm, Gas Ar / Amat
 86   
 
Air Products, GasGuard GG450 CRSD 1036 Circuit Board, Used
Air Products, GasGuard GG450 CRSD 1036 Circuit Board, Used
 65 43  
 
@@ Aera Fc-d985c 100cmm Ci2(0 856) Mass Flow Controller (c3)
@@ Aera Fc-d985c 100cmm Ci2(0 856) Mass Flow Controller (c3)
 104 68  78 51  
 
Hp 776663-001 Rev:0a 01019vr0a-887-g W/780781-001 784669-001 Cable
Hp 776663-001 Rev:0a 01019vr0a-887-g W/780781-001 784669-001 Cable
 173 60  
 
HP Right Bus Bar Power Interface Board 654519-001 01015M60A-613-G w/ 664186-001
HP Right Bus Bar Power Interface Board 654519-001 01015M60A-613-G w/ 664186-001
 174 47  
 
Xprt 17682
Xprt 17682
 104 67  
 
E15000940 / Oreint Interface Pcb / Varian
E15000940 / Oreint Interface Pcb / Varian
 1,047 54  
 
416-0401// Yaskawa Cacr-sr05bc1es-y278 Servopack [used/fast]
416-0401// Yaskawa Cacr-sr05bc1es-y278 Servopack [used/fast]
 348 95  
 
Fei Board 4022 192 9052
Fei Board 4022 192 9052
 523 41  
 
Tokyo Electron 1B81-001551 PCB LS CN Board
Tokyo Electron 1B81-001551 PCB LS CN Board
 72 55  68 92  
 
MKS INSTRUMENTS M100B / M100B05324CR1BV MFC Mass Flow Controller 20000 SCCM NF3
MKS INSTRUMENTS M100B / M100B05324CR1BV MFC Mass Flow Controller 20000 SCCM NF3
 261 70  
 
HOKUYO BWF-1EB Ethernet DC24V, USED
HOKUYO BWF-1EB Ethernet DC24V, USED
 261 71  
 
440-0402// Oriental Motor Bm206-412 (cut Cable) Motor [used]
440-0402// Oriental Motor Bm206-412 (cut Cable) Motor [used]
 104 68  
 
ASM 64-28340B 03-28340 REV J Board for ASM EAGLE60AP Board
ASM 64-28340B 03-28340 REV J Board for ASM EAGLE60AP Board
 348 86  
 
Orix Md625b-24l Dc Fan 3 Lot , Used
Orix Md625b-24l Dc Fan 3 Lot , Used
 51 30  
 
134-0201// Amat Applied 0240-13068 0020-20676 Kit, Purchased Lid Position Asis
134-0201// Amat Applied 0240-13068 0020-20676 Kit, Purchased Lid Position Asis
 436 19  
 
Applied Materials / IPC -SCM 2000F CONTROLLER
Applied Materials / IPC -SCM 2000F CONTROLLER
 305 33  
 
126-0102// Smc Cdrb1bw80-180s Rotary Actuator [used]
126-0102// Smc Cdrb1bw80-180s Rotary Actuator [used]
 157 03  
 
Shimadzu TMP Power Source EI-281 *Warranty~Fast Shipping*
Shimadzu TMP Power Source EI-281 *Warranty~Fast Shipping*
 745 88  
 
*NEW* Comtrol 94100-2 Interface Module RocketPort 16 Ports RS-232 + Warranty!
*NEW* Comtrol 94100-2 Interface Module RocketPort 16 Ports RS-232 + Warranty!
 196 28  
 
EDWARDS Pipe Heat Wrap TMS BELLOWS 80MMD 100MMLG (UK) Y14053100 HT SEMICONDUCTOR
EDWARDS Pipe Heat Wrap TMS BELLOWS 80MMD 100MMLG (UK) Y14053100 HT SEMICONDUCTOR
 1   
 
VARIAN L9481-313 Valves, USED
VARIAN L9481-313 Valves, USED
 261 71  
 
Balzers Duo 2 5a Pk D41 013 Rotary Vacuum Pump
Balzers Duo 2 5a Pk D41 013 Rotary Vacuum Pump
 436 18  
 
Applied Materials Quartz Insolator 0200-20054
Applied Materials Quartz Insolator 0200-20054
 872 36  
 
SIEMENS Medical Solutions RC Board Rev 02, Free Shipping
SIEMENS Medical Solutions RC Board Rev 02, Free Shipping
 697 81  
 
Seiko Seiki P005Y008Z841-3MM Backplane PCB SCU-H1000C Used Working
Seiko Seiki P005Y008Z841-3MM Backplane PCB SCU-H1000C Used Working
 183 36  
 
6466) [used] Kofloc Psk-1fb
6466) [used] Kofloc Psk-1fb
 104 70  
 
AMAT Lower Magnet Liner - m/n 0040-32509 Copper Contaminated
AMAT Lower Magnet Liner - m/n 0040-32509 Copper Contaminated
 70 91  
 
General Micro Systems GMSV36-01-D + GMSSRPX-01-B Controller Board #2
General Micro Systems GMSV36-01-D + GMSSRPX-01-B Controller Board #2
 758 88  
 
407-0401// Electro-craft Ddm-005 Controller [used]
407-0401// Electro-craft Ddm-005 Controller [used]
 348 95  
 
427-0301// Pro-face Gp2400-tc41-24v Touch Screen [used/fast]
427-0301// Pro-face Gp2400-tc41-24v Touch Screen [used/fast]
 348 95  
 
Lot of 4 Varian 500121985 20KV D2 Short Insulators G17508000
Lot of 4 Varian 500121985 20KV D2 Short Insulators G17508000
 31 41  
 
[Used] KULICKE / N08001-4116-000-05 / C S =39FA, 52641-5216-120, 1pcs
[Used] KULICKE / N08001-4116-000-05 / C S =39FA, 52641-5216-120, 1pcs
 277 41  
 
CA345-32120 Carriage Fi Mechanism W/P
CA345-32120 Carriage Fi Mechanism W/P
 226 82  
 
CSTR-COC02AAY400 Converter, CSTR-COC02AAY400 / Yaskawa
CSTR-COC02AAY400 Converter, CSTR-COC02AAY400 / Yaskawa
 238 16  
 
JZRCH-UZU03B-01-E Capacitor Unit, JZRCH-UZU03B-01-E / Yaskawa
JZRCH-UZU03B-01-E Capacitor Unit, JZRCH-UZU03B-01-E / Yaskawa
 256 48  
 
AMAT Applied Materials 0680-00372 CB MAG THERM 3P 480VAC 20A 22KAIC RING TERM
AMAT Applied Materials 0680-00372 CB MAG THERM 3P 480VAC 20A 22KAIC RING TERM
 209 37  
 
Mcl 3028397  Dg 815-3 Issue 1  Pcb Assy Nby8911
Mcl 3028397 Dg 815-3 Issue 1 Pcb Assy Nby8911
 172 73  
 
417-0401// Yaskawa Sgdv-5r5a11a Servopack [used/fast]
417-0401// Yaskawa Sgdv-5r5a11a Servopack [used/fast]
 436 19  
 
417-0401// Yaskawa Sgds-08a12a Servopack [used/fast]
417-0401// Yaskawa Sgds-08a12a Servopack [used/fast]
 436 19  
 
417-0401// Yaskawa Sgds-08a05a Servopack [used/fast]
417-0401// Yaskawa Sgds-08a05a Servopack [used/fast]
 436 19  
 
418-0501// Yaskawa Sgdh-05ae Jusp-ld001a Servopack [used/fast]
418-0501// Yaskawa Sgdh-05ae Jusp-ld001a Servopack [used/fast]
 436 19  
 
VP342 Valve, Solenoid valve / 0 2~0 8MPs
VP342 Valve, Solenoid valve / 0 2~0 8MPs
 51 30  
 
C60N-C25 Braker,
C60N-C25 Braker,
 52 29  
 
9701-1686-01 Cabel, with 2 plugs
9701-1686-01 Cabel, with 2 plugs
 47 11  
 
VKF332V-5DZ-M5 Valve,
VKF332V-5DZ-M5 Valve,
 62 81  
 
23-0561 Pressure Transducer, NTT200 / 23-0561 / 250 PSiG / Eispan
23-0561 Pressure Transducer, NTT200 / 23-0561 / 250 PSiG / Eispan
 68 05  
 
70846 Valve, 070846 / O P  ( 0 39~0 59MPa ) Type N C / Fujikin
70846 Valve, 070846 / O P  ( 0 39~0 59MPa ) Type N C / Fujikin
 57 58  
 
NSK TS LU09TS Linear 22cm LOT OF 2, USED
NSK TS LU09TS Linear 22cm LOT OF 2, USED
 52 34  
 
ASML Used 4022 636 77924, 4022 639 73993,4022 634 21452 SEM-I-831=9G22
ASML Used 4022 636 77924, 4022 639 73993,4022 634 21452 SEM-I-831=9G22
 1,744 66  
 
Anti-Corrosion IP44 Automatic Pressure Controller Pressure Controller Automatize
Anti-Corrosion IP44 Automatic Pressure Controller Pressure Controller Automatize
 21 68  
 
AMAT Applied Materials 0190-76053 Network Controller Card Used Working
AMAT Applied Materials 0190-76053 Network Controller Card Used Working
 698 87  
 
Kensington Lab Servo Positioning Controller 4000A
Kensington Lab Servo Positioning Controller 4000A
 436 18  327 13  
 
Amat 0020-42237 Clamp,pulley,wxz
Amat 0020-42237 Clamp,pulley,wxz
 62 81  
 
Edwards ATS0012151014 PB Panel 12653-1017-0000
Edwards ATS0012151014 PB Panel 12653-1017-0000
 174 47  78 51  
 
Fujikin FCS-4WS-798-F160#B Mass Flow Controller MFC FCS Ar Nikon NSR Working
Fujikin FCS-4WS-798-F160#B Mass Flow Controller MFC FCS Ar Nikon NSR Working
 264 47  
 
Tazmo EOR05-12703 Board
Tazmo EOR05-12703 Board
 125 62  
 
135-0401// Verteq 3156023-000k Ae Advanced Energy Power Amplifier Used
135-0401// Verteq 3156023-000k Ae Advanced Energy Power Amplifier Used
 523 42  
 
316-0101// Abb Eh450 Breaker [asis]
316-0101// Abb Eh450 Breaker [asis]
 348 95  
 
KEYENCE SJ-B120A Sensor , USED
KEYENCE SJ-B120A Sensor , USED
 114 11  
 
417-0201// Yaskawa Sgmah-a5b1a-yb21 Motor [used/fast]
417-0201// Yaskawa Sgmah-a5b1a-yb21 Motor [used/fast]
 174 47  
 
434-0401// Panasonic Msd023p1e Driver [used]
434-0401// Panasonic Msd023p1e Driver [used]
 174 47  
 
TOKYO ELECTRON 3D80-000053-V1 SMC SZ3A60K-5L0Z-C4-X31 x4 SZ3260K-5L0Z-C6-X31 x1
TOKYO ELECTRON 3D80-000053-V1 SMC SZ3A60K-5L0Z-C4-X31 x4 SZ3260K-5L0Z-C6-X31 x1
 174 47  
 
Varian C-F5216001 Beam Scan Controller PCB Assembly F5216001 C-F5217001 Used
Varian C-F5216001 Beam Scan Controller PCB Assembly F5216001 C-F5217001 Used
 223 47  
 
AMAT Applied Materials 0090-A9871 PMT100-3 DETECTOR ASSY
AMAT Applied Materials 0090-A9871 PMT100-3 DETECTOR ASSY
 125 62  
 
Smc Xld-40 High Vacuum Valve
Smc Xld-40 High Vacuum Valve
 125 62  
 
NEW 100pc Entegris H20E-210-24-66C02 EDM Chip Tray IC
NEW 100pc Entegris H20E-210-24-66C02 EDM Chip Tray IC
 61 96  
 
125-0401// Amat Applied 0020-30917 Tool Centering 150mm Sus/hoop Bse Bwcvd Asis
125-0401// Amat Applied 0020-30917 Tool Centering 150mm Sus/hoop Bse Bwcvd Asis
 261 71  
 
346-0103// Amat Applied 0020-21706 Chuck 6" See [used]
346-0103// Amat Applied 0020-21706 Chuck 6" See [used]
 261 71  
 
341-0402// Amat Applied 0020-29328 Block, Magnet Holder, Rh-1, Rh-2 [used]
341-0402// Amat Applied 0020-29328 Block, Magnet Holder, Rh-1, Rh-2 [used]
 261 71  
 
RiboTech RT-10034 Summit ULT Board PCB RT-10031 Delta Design Used Working
RiboTech RT-10034 Summit ULT Board PCB RT-10031 Delta Design Used Working
 221 73  
 
Xc-77 / Ccd Video Camera / Sony
Xc-77 / Ccd Video Camera / Sony
 249 22  
 
Tazmo EOR05-7586B I/O Board
Tazmo EOR05-7586B I/O Board
 125 62  
 
IC200MDD842E PLC Versamax
IC200MDD842E PLC Versamax
 185 82  
 
IC200MDL650F PLC Versamax
IC200MDL650F PLC Versamax
 185 82  
 
IC200MDD842D PLC Versamax
IC200MDD842D PLC Versamax
 185 82  
 
IC200MDD842C Relay, Input 24V / ESCP IN 24V / POS/NEG / 16PT
IC200MDD842C Relay, Input 24V / ESCP IN 24V / POS/NEG / 16PT
 177 09  
 
NZMH4-80 Breaker, NZMH4-80 / Moeller
NZMH4-80 Breaker, NZMH4-80 / Moeller
 174 47  
 
1912) [used] Mks 41a11dga1aa001
1912) [used] Mks 41a11dga1aa001
 141 33  
 
ACL D13450 REV  D Microwave Control Module
ACL D13450 REV  D Microwave Control Module
 174 47  
 
ADTECH   SL5410SM2PWFV4FV4, 2Port, 1/4VCR
ADTECH SL5410SM2PWFV4FV4, 2Port, 1/4VCR
 174 47  
 
435-0301// Panasonic Msma021a1e Ac Servo Motor [used/fast]
435-0301// Panasonic Msma021a1e Ac Servo Motor [used/fast]
 261 71  
 
417-0501// Yaskawa Sgds-01a72a Servopack [used/fast]
417-0501// Yaskawa Sgds-01a72a Servopack [used/fast]
 174 47  
 
417-0501// Yaskawa Sgda-02ap Servopack [used/fast]
417-0501// Yaskawa Sgda-02ap Servopack [used/fast]
 174 47  
 
417-0501// Yaskawa Sgda-01bpy79 Servopack [used/fast]
417-0501// Yaskawa Sgda-01bpy79 Servopack [used/fast]
 174 47  
 
418-0401// Yaskawa Sgdm-a5ada Servopack [used/fast]
418-0401// Yaskawa Sgdm-a5ada Servopack [used/fast]
 174 47  
 
418-0501// Yaskawa Sgdh-a5ae Servopack [used/fast]
418-0501// Yaskawa Sgdh-a5ae Servopack [used/fast]
 174 47  
 
420-0102// Tamagawa Ts3413n1e4 Bl-dc Motor [used/fast]
420-0102// Tamagawa Ts3413n1e4 Bl-dc Motor [used/fast]
 174 47  
 
MKS Instruments 9620-0591 Rev A HPS Heater Jacket W/ MKS 99H0428 Heater Adapter
MKS Instruments 9620-0591 Rev A HPS Heater Jacket W/ MKS 99H0428 Heater Adapter
 157 02  
 
325-0102// Amat Applied 0225-97984 Applied Matrials Components [asis]
325-0102// Amat Applied 0225-97984 Applied Matrials Components [asis]
 261 71  
 
325-0102// Amat Applied 3030-04981 Applied Matrials Components [asis]
325-0102// Amat Applied 3030-04981 Applied Matrials Components [asis]
 261 71  
 
325-0102// Amat Applied 3030-04982 Mfc 8160 200sccm Cl2 1/4v [asis]
325-0102// Amat Applied 3030-04982 Mfc 8160 200sccm Cl2 1/4v [asis]
 261 71  
 
325-0103// Amat Applied 3030-00142 Applied Matrials Components [asis]
325-0103// Amat Applied 3030-00142 Applied Matrials Components [asis]
 261 71  
 
325-0303// Unit Ufc-8160 (#6) Nf3 50sccm [asis]
325-0303// Unit Ufc-8160 (#6) Nf3 50sccm [asis]
 261 71  
 
325-0501// Lfm 3030-09600 Lf-210a-evd Ticl4  05gpm Fs 1/4 & 1 [asis]
325-0501// Lfm 3030-09600 Lf-210a-evd Ticl4  05gpm Fs 1/4 & 1 [asis]
 261 71  
 
176-0103// Amat Applied 0050-02073 Applied Matrials Components [asis]
176-0103// Amat Applied 0050-02073 Applied Matrials Components [asis]
 261 71  
 
417-0301// Yaskawa Sgmjv-04ada21 (unclean) Ac Servo Motor [used/fast]
417-0301// Yaskawa Sgmjv-04ada21 (unclean) Ac Servo Motor [used/fast]
 261 71  
 
418-0202// Yaskawa Usarem-02de2x Ac Servo Motor [used/fast]
418-0202// Yaskawa Usarem-02de2x Ac Servo Motor [used/fast]
 261 71  
 
425-0202// Omron R88m-u20030la Ac Servo Motor [used/fast]
425-0202// Omron R88m-u20030la Ac Servo Motor [used/fast]
 261 71  
 
425-0201// Omron R88m-u20030h Ac Servo Motor [used/fast]
425-0201// Omron R88m-u20030h Ac Servo Motor [used/fast]
 261 71  
 
431-0203// Toei Vlbst-z04030-gu (unclean) Bs Servo Motor  [used/fast]
431-0203// Toei Vlbst-z04030-gu (unclean) Bs Servo Motor [used/fast]
 261 71  
 
435-0202// Panasonic Msm042a1a Ac Servo Motor [used/fast]
435-0202// Panasonic Msm042a1a Ac Servo Motor [used/fast]
 261 71  
 
435-0301// Panasonic Msma022a1b Ac Servo Motor [used/fast]
435-0301// Panasonic Msma022a1b Ac Servo Motor [used/fast]
 261 71  
 
436-0501// Mitsubishi Ds3alcpu Contoller [used]
436-0501// Mitsubishi Ds3alcpu Contoller [used]
 261 71  
 
438-0301// Oriental Motor 5766-mdrl Limo Motor [used]
438-0301// Oriental Motor 5766-mdrl Limo Motor [used]
 261 71  
 
424-0401// Ae 3152334-000b Display [used]
424-0401// Ae 3152334-000b Display [used]
 261 71  
 
TEL Tokyo FAB 114983-001 REV E 114984-003 Rev K Motherboard
TEL Tokyo FAB 114983-001 REV E 114984-003 Rev K Motherboard
 209 37  
 
Edwards  Abatement Control Base Assembly U20001152p
Edwards Abatement Control Base Assembly U20001152p
 218 09  
 
Amat 0050-10026 Purge Weldment, 8 Slot Stor Elev , Used
Amat 0050-10026 Purge Weldment, 8 Slot Stor Elev , Used
 217 22  
 
HORIBA STEC HC-100 Readout Display Module HC-100V
HORIBA STEC HC-100 Readout Display Module HC-100V
 82 70  
 
6431 Manifold
6431 Manifold
 266 07  
 
7462311C Board,
7462311C Board,
 305 33  
 
879-8076-002C Board
879-8076-002C Board
 307 95  
 
12846-002 Board,
12846-002 Board,
 305 33  
 
EN60034 Pump,
EN60034 Pump,
 305 33  
 
EDWARDS Pipe Heat TMS MONITOR 500MM Cable LG (UK) Y14300500 - SEMICONDUCTOR
EDWARDS Pipe Heat TMS MONITOR 500MM Cable LG (UK) Y14300500 - SEMICONDUCTOR
 1   
 
EBARA PRECISION - Shim 0 1mm - C-3208-191-0001 (UK)
EBARA PRECISION - Shim 0 1mm - C-3208-191-0001 (UK)
 25   
 
SGMAS-08ACA4C Motor Servo, SGMAS-08ACA4C / AC Servo Motor / Yaskawa
SGMAS-08ACA4C Motor Servo, SGMAS-08ACA4C / AC Servo Motor / Yaskawa
 283 52  
 
5XiGX100L/2XiGX100M Module, NRY0G1100 / Edwards
5XiGX100L/2XiGX100M Module, NRY0G1100 / Edwards
 255 60  
 
177-0402// Amat Applied 0050-24715 Applied Matrials Components [used]
177-0402// Amat Applied 0050-24715 Applied Matrials Components [used]
 218 09  
 
347-0303// Amat Applied 0190-21222 Applied Matrials Components Used
347-0303// Amat Applied 0190-21222 Applied Matrials Components Used
 218 09  
 
Mohlr006-b / Chuck Table 6'' / Disco
Mohlr006-b / Chuck Table 6'' / Disco
 414 92  
 
445-0303// Oriental Motor Pk566aw-a36 (broken) Motor [used]
445-0303// Oriental Motor Pk566aw-a36 (broken) Motor [used]
 261 71  
 
Hughes Model 2500 Hybrid Automatic Die Bonder with Operator's Guide
Hughes Model 2500 Hybrid Automatic Die Bonder with Operator's Guide
 68,699 29  4,442 55  
 
AMAT Applied Materials 0020-07515 COVER DRIVE THV ULTIMA HDP-CVD
AMAT Applied Materials 0020-07515 COVER DRIVE THV ULTIMA HDP-CVD
 52 34  
 
2N8C3237P001-A Board, FUi01-A
2N8C3237P001-A Board, FUi01-A
 255 60  
 
331-0201// Amat Applied 0010-02406 Applied Matrials Components [used]
331-0201// Amat Applied 0010-02406 Applied Matrials Components [used]
 5,670 42  
 
439-0101// Smc Xla-80-x741 High Vacuum Valve [asis]
439-0101// Smc Xla-80-x741 High Vacuum Valve [asis]
 174 47  
 
Tylan MFC FC-2950, HCL 500Sccm, Refurbished with Certifications
Tylan MFC FC-2950, HCL 500Sccm, Refurbished with Certifications
 261 71  
 
BROKS VLV SERVICE KIT B9 380091-00 Sporlan 381171 KS-B9/E9 Solenoid Valve Kit
BROKS VLV SERVICE KIT B9 380091-00 Sporlan 381171 KS-B9/E9 Solenoid Valve Kit
 62 81  
 
348-0403// Amat Applied 0020-35213 Cover, Center Finder Bd [used]
348-0403// Amat Applied 0020-35213 Cover, Center Finder Bd [used]
 125 62  
 
KINETICS THERMAL XRII851D01 AirJet Crystal Cooler
KINETICS THERMAL XRII851D01 AirJet Crystal Cooler
 1,046 85  
 
6107) [used] Fluix Force2000s Vacuum Standard Controller
6107) [used] Fluix Force2000s Vacuum Standard Controller
 218 12  
 
8707) [used] Ulvac Gi-pary
8707) [used] Ulvac Gi-pary
 218 14  
 
Entegris Moore ESPY 10 Meter Sensor & Probe VL01C 101973 M004022
Entegris Moore ESPY 10 Meter Sensor & Probe VL01C 101973 M004022
 75 89  
 
2506696-21 / Pcb, Stand Dinbus Tempr / Asm America Inc
2506696-21 / Pcb, Stand Dinbus Tempr / Asm America Inc
 335 85  
 
040-4E2 Valve, Air Valve
040-4E2 Valve, Air Valve
 139 58  
 
1606-XLRED20-30 Dual Redundancy Module
1606-XLRED20-30 Dual Redundancy Module
 139 58  
 
30367073-D Capacitor, 2200y (M) 350- Indice D
30367073-D Capacitor, 2200y (M) 350- Indice D
 170 11  
 
DFM2000 Flow Digital Flow Meter
DFM2000 Flow Digital Flow Meter
 165 75  
 
YM10A-21W Pneumatic Manifold
YM10A-21W Pneumatic Manifold
 107 83  
 
YM12A-21W Pneumatic Manifold
YM12A-21W Pneumatic Manifold
 107 83  
 
8045R4-2 Pendant Handheld Controller Pad
8045R4-2 Pendant Handheld Controller Pad
 125 62  
 
C31315000 Valve,
C31315000 Valve,
 136 09  
 
700-HT22AU120 Time Deley Relay ( With Base )
700-HT22AU120 Time Deley Relay ( With Base )
 83 75  
 
SH200293BNC1B01PN1 Linear Guide Rail ( with H20 on it )
SH200293BNC1B01PN1 Linear Guide Rail ( with H20 on it )
 146 56  
 
3KA1110 Valve, With Air Block
3KA1110 Valve, With Air Block
 115 15  
 
6L-ELD8-11XB-DU Valve,
6L-ELD8-11XB-DU Valve,
 141 32  
 
LCAV-25HKT Valve, Pneumatic Angle Valve MRC
LCAV-25HKT Valve, Pneumatic Angle Valve MRC
 141 32  
 
VQ2301N-5B Valve, Solenoid / Manifold Module With Block and 4 units of VQ2301N-5
VQ2301N-5B Valve, Solenoid / Manifold Module With Block and 4 units of VQ2301N-5
 141 32  
 
MXQ12-50ASFR Cylinder, MXQ12-50ASFR / Cylinder 0 15~0 7MPa / With x2 Sensors D-A
MXQ12-50ASFR Cylinder, MXQ12-50ASFR / Cylinder 0 15~0 7MPa / With x2 Sensors D-A
 151 79  
 
6LV-DAFR4-PX-0 Valve, 6LV-DAFR4-PX-0 / Swagelok
6LV-DAFR4-PX-0 Valve, 6LV-DAFR4-PX-0 / Swagelok
 104 68  
 
DNCB-32-250-PPV-A Cylinder, B-32-250-PPV-A / Pneumatic Cylinder/ 532732 X208 pma
DNCB-32-250-PPV-A Cylinder, B-32-250-PPV-A / Pneumatic Cylinder/ 532732 X208 pma
 99 45  
 
D37280202 Board, D37280202 / Edwards
D37280202 Board, D37280202 / Edwards
 73 28  
 
1254710072 Valve / 34-17 / 110V / 60Hz / 8W / GEM-SOL
1254710072 Valve / 34-17 / 110V / 60Hz / 8W / GEM-SOL
 125 62  
 
104FiNHA-G1 Lamp Assy, 104FiNHA-G1 / Visual Warning Lamp Assy / 24VDC / 77 Amps
104FiNHA-G1 Lamp Assy, 104FiNHA-G1 / Visual Warning Lamp Assy / 24VDC / 77 Amps
 83 75  
 
IC670MDL930J Module, iC670MDL930J / RELAY Out 8PT isolated / GE FANUC
IC670MDL930J Module, iC670MDL930J / RELAY Out 8PT isolated / GE FANUC
 115 15  
 
TEL Tokyo Electron FPC-T0097A-11 IF Fan Control Board #03A PCB TOB1102A Used
TEL Tokyo Electron FPC-T0097A-11 IF Fan Control Board #03A PCB TOB1102A Used
 441 58  
 
Applied Materials Amat Heater Plate Pedestal 0041-10632 Rev 04
Applied Materials Amat Heater Plate Pedestal 0041-10632 Rev 04
 523 42  
 
Asml Used 4022 631 80227, 4022 631 8773 2,4022 636 18911 Sem-i-976=9g22
Asml Used 4022 631 80227, 4022 631 8773 2,4022 636 18911 Sem-i-976=9g22
 3,489 40  
 
Varian Beam Pneumatic Interface 11323960 Rev F2
Varian Beam Pneumatic Interface 11323960 Rev F2
 3,009 68  
 
Mitsubishi Mr-j3-70b-rj004u502 Ac Servo  Used
Mitsubishi Mr-j3-70b-rj004u502 Ac Servo  Used
 348 95  
 
145-0201// CIT 13FT (15Ax3570L) FLEX HE LINE HOSE [ASIS]
145-0201// CIT 13FT (15Ax3570L) FLEX HE LINE HOSE [ASIS]
 174 47  
 
318-0302// Amat Applied 0010-75124 Assy,per Chbr 120vac Power Distribution Used
318-0302// Amat Applied 0010-75124 Assy,per Chbr 120vac Power Distribution Used
 183 20  
 
402-0301// Amat Applied Npr-5l-h1 Np New Power Plasma Used
402-0301// Amat Applied Npr-5l-h1 Np New Power Plasma Used
 174 47  
 
429-0301// Baldor 20-pssh-0 Motor [used/fast]
429-0301// Baldor 20-pssh-0 Motor [used/fast]
 174 47  
 
Aviza Technology PCB, CLPB, Motion CNTL-APL 168050-002
Aviza Technology PCB, CLPB, Motion CNTL-APL 168050-002
 104 67  
 
Vat 01032-ke21-0002 Gate Valve, A-695996
Vat 01032-ke21-0002 Gate Valve, A-695996
 261 71  
 
Y60-0941-000 / Wafer Send Unit (type 4, Lefthand Feed) Y60-0941-r00 / Canon
Y60-0941-000 / Wafer Send Unit (type 4, Lefthand Feed) Y60-0941-r00 / Canon
 3,045 15  
 
Vintage Bruker Board Extension Card for SpectroSpin 250 NMR
Vintage Bruker Board Extension Card for SpectroSpin 250 NMR
 104 67  78 50  
 
Air Liquide Replacement Board, 62963364
Air Liquide Replacement Board, 62963364
 187 56  
 
Coherent, Peltier Elements 55x55x3, 2mm, 22636, New, Lot of 2
Coherent, Peltier Elements 55x55x3, 2mm, 22636, New, Lot of 2
 130 86  
 
Nanochem Bloc Purifier 150 Psig Nitrogen N2
Nanochem Bloc Purifier 150 Psig Nitrogen N2
 57 58  
 
SVG ASML 865-8025-001-D A1300 Power I/O with 30 day warranty
SVG ASML 865-8025-001-D A1300 Power I/O with 30 day warranty
 785 13  
 
TEL Tokyo FUJIKIN 0 34-0 49 MPA TYPE  N C  V62 2L86-051403-V1 N WITH FOOJIKIN
TEL Tokyo FUJIKIN 0 34-0 49 MPA TYPE N C  V62 2L86-051403-V1 N WITH FOOJIKIN
 68 05  
 
Fujikin 0 39-0 59 Mpa Type  N c  With Fujikin 316l-p 080627
Fujikin 0 39-0 59 Mpa Type N c  With Fujikin 316l-p 080627
 52 34  
 
TEL Tokyo FUJIKIN 0 34-0 49 MPA TYPE  N C  V61 2L86-051402-V1 N WITH FOOJIKIN
TEL Tokyo FUJIKIN 0 34-0 49 MPA TYPE N C  V61 2L86-051402-V1 N WITH FOOJIKIN
 68 05  
 
PRI Automation BM05753 Rev B Encoder Interface Board Used Working
PRI Automation BM05753 Rev B Encoder Interface Board Used Working
 398 76  
 
SMC AR25-F02BE-R  Pneumatic Regulator w/ IS10-01-6L PRESSURE SWITCH
SMC AR25-F02BE-R Pneumatic Regulator w/ IS10-01-6L PRESSURE SWITCH
 88 98  
 
Swagelok Cajon 6LV-4-VCR-3-4TB2PX 6LV-4-VCR-3-4 6LV-4-VCR VCR Fitting Adapter
Swagelok Cajon 6LV-4-VCR-3-4TB2PX 6LV-4-VCR-3-4 6LV-4-VCR VCR Fitting Adapter
 15 69  
 
Applied Materials AMAT VCR Weldment, 0050-32172
Applied Materials AMAT VCR Weldment, 0050-32172
 170 11  
 
Hitachi 571-7115 PS Amp 1 Board PCB Assembly 25717110 Used Working
Hitachi 571-7115 PS Amp 1 Board PCB Assembly 25717110 Used Working
 21   
 
Applied Magnetics 559883 SFL Grammer with Linear Slide and Pneumatic Actuators
Applied Magnetics 559883 SFL Grammer with Linear Slide and Pneumatic Actuators
 389 30  182 28  
 
CYMER Controller Used 167140 06-20093-00 Parker E-AC SEM-I-939=9C42
CYMER Controller Used 167140 06-20093-00 Parker E-AC SEM-I-939=9C42
 2,180 84  
 
Wug-022nk13d2urt1-wrst-026x58t1-wtm-02p-40 / Waveguide Assy / Tel Tokyo Electron
Wug-022nk13d2urt1-wrst-026x58t1-wtm-02p-40 / Waveguide Assy / Tel Tokyo Electron
 1,310 33  
 
KEITHLEY KPXI-CON-PM-1 8G+ CPU , Free shipping
KEITHLEY KPXI-CON-PM-1 8G+ CPU , Free shipping
 697 81  
 
Teradyne hand held controller/pendant with cable #877-500-01 Rev  9443
Teradyne hand held controller/pendant with cable #877-500-01 Rev  9443
 261 67  
 
Lot 5 Nikon 4s008-174 Psdx24-sub Board
Lot 5 Nikon 4s008-174 Psdx24-sub Board
 1,046 85  
 
343-0201// Amat Applied 0020-13992 Block Next Cassette 200mm Wbll Cmf Centu Used
343-0201// Amat Applied 0020-13992 Block Next Cassette 200mm Wbll Cmf Centu Used
 165 75  
 
116-0401// Amat Applied 0020-10941 Isolator,teflon Ring Used
116-0401// Amat Applied 0020-10941 Isolator,teflon Ring Used
 200 65  
 
445-0401// Oriental Motor Pk596au-tg10 (unclean) Motor [used]
445-0401// Oriental Motor Pk596au-tg10 (unclean) Motor [used]
 157 03  
 
445-0303// Oriental Motor Pk566bw-n10 Motor [used]
445-0303// Oriental Motor Pk566bw-n10 Motor [used]
 174 47  
 
434-0101// Panasonic Amkb200b10lak Ac Servo Motor [used]
434-0101// Panasonic Amkb200b10lak Ac Servo Motor [used]
 174 47  
 
ADVANTECH PCI-1756 REV A1 01-3 free ship
ADVANTECH PCI-1756 REV A1 01-3 free ship
 109 92  
 
Brooks Instrument 5850-E MFC GAS:5% PH3/95% N2 FS 300 SCCM
Brooks Instrument 5850-E MFC GAS:5% PH3/95% N2 FS 300 SCCM
 157 03  
 
Grundfos Pump Model: A9789354410000082p11645 /ip 65 24 W
Grundfos Pump Model: A9789354410000082p11645 /ip 65 24 W
 610 66  
 
409-0202// Teltec 246713-001 Rev F 246714-001 Rev B Board [used/fast]
409-0202// Teltec 246713-001 Rev F 246714-001 Rev B Board [used/fast]
 174 47  
 
KV Automation 4022 480 63152 Manifold Nikon Reticle Loader Used Working
KV Automation 4022 480 63152 Manifold Nikon Reticle Loader Used Working
 617 74  
 
STEC NPS4200AL, Display , Tem Tech Lab, Range : -0 1~0 5 Mpa
STEC NPS4200AL, Display , Tem Tech Lab, Range : -0 1~0 5 Mpa
 157 03  
 
423-0202// Omron R88m-e08030-b10s1 (without Name) Motor [asis]
423-0202// Omron R88m-e08030-b10s1 (without Name) Motor [asis]
 104 68  
 
324-0103// Ham-let Hm20 4vklq Bw4 (#2) Valve [used]
324-0103// Ham-let Hm20 4vklq Bw4 (#2) Valve [used]
 94 22  
 
420-0102// Tamagawa Ts3617n40e3 Step Motor [used/fast]
420-0102// Tamagawa Ts3617n40e3 Step Motor [used/fast]
 104 68  
 
429-0201// Lin Engineering 5618s-01-18 Step Motor [used/fast]
429-0201// Lin Engineering 5618s-01-18 Step Motor [used/fast]
 104 68  
 
429-0203// Olympus Osm524 Motor [used/fast]
429-0203// Olympus Osm524 Motor [used/fast]
 104 68  
 
445-0102// Oriental Motor Pk266-01b-c60 Motor [used/fast]
445-0102// Oriental Motor Pk266-01b-c60 Motor [used/fast]
 104 68  
 
445-0101// Oriental Motor Pk245m-01b Motor [used/fast]
445-0101// Oriental Motor Pk245m-01b Motor [used/fast]
 104 68  
 
445-0101// Oriental Motor Pk243a1sg7 2c8 Motor [used/fast]
445-0101// Oriental Motor Pk243a1sg7 2c8 Motor [used/fast]
 104 68  
 
445-0202// Oriental Motor Pk545-na (3ea) (unclean) Motor [used]
445-0202// Oriental Motor Pk545-na (3ea) (unclean) Motor [used]
 104 68  
 
445-0201// Oriental Motor Pk543aw1-a7 (broken) Motor [used]
445-0201// Oriental Motor Pk543aw1-a7 (broken) Motor [used]
 104 68  
 
445-0303// Oriental Motor Pk566h-naa Motor [used]
445-0303// Oriental Motor Pk566h-naa Motor [used]
 104 68  
 
428-0102// Ogura Rnb0 2g Motor [used]
428-0102// Ogura Rnb0 2g Motor [used]
 104 68  
 
EDWARDS VACUUM - Pipe Heater Wrap TMS HTR F 100D 300L MON - Y14M24300 H1 (UK)
EDWARDS VACUUM - Pipe Heater Wrap TMS HTR F 100D 300L MON - Y14M24300 H1 (UK)
 1   
 
EDWARDS VACUUM - Pipe Heater Wrap TMS HTR PE 100D 500L MON - Y14M44080 H1 - (UK)
EDWARDS VACUUM - Pipe Heater Wrap TMS HTR PE 100D 500L MON - Y14M44080 H1 - (UK)
 1   
 
431-0203// Toei Vlbst-z04030-gu Bs Servo Motor  [used/fast]
431-0203// Toei Vlbst-z04030-gu Bs Servo Motor [used/fast]
 348 95  
 
435-0302// Panasonic Msma042s1b Ac Servo Motor [used/fast]
435-0302// Panasonic Msma042s1b Ac Servo Motor [used/fast]
 348 95  
 
435-0302// Panasonic Msma042a1e Ac Servo Motor [used/fast]
435-0302// Panasonic Msma042a1e Ac Servo Motor [used/fast]
 348 95  
 
435-0302// Panasonic Msma041a1e Ac Servo Motor [used/fast]
435-0302// Panasonic Msma041a1e Ac Servo Motor [used/fast]
 348 95  
 
436-0501// Mitsubishi Mr-j40a1 Ac Servo Driver [used]
436-0501// Mitsubishi Mr-j40a1 Ac Servo Driver [used]
 348 95  
 
Parker ASML Used 4022 631 24391 RH-PU Mk3 SEM-I-557=9A39
Parker ASML Used 4022 631 24391 RH-PU Mk3 SEM-I-557=9A39
 1,744 66  
 
CI SYSTEM NTM DeLTA-R, CAT  NO A730-100-0012  / Free Expedited Shipping
CI SYSTEM NTM DeLTA-R, CAT  NO A730-100-0012 / Free Expedited Shipping
 1,045 97  
 
Ametek 5-7004 AMETEK-RTP Fan with Control PCB Assembly 5-7006 Used Working
Ametek 5-7004 AMETEK-RTP Fan with Control PCB Assembly 5-7006 Used Working
 357 83  
 
Novellus Hdsioc 0 Vector 02-252395-00 Rev D 007342-0581 Ver 4 72
Novellus Hdsioc 0 Vector 02-252395-00 Rev D 007342-0581 Ver 4 72
 1,875 60  
 
Crossing Automation 3200-4418-03 Control Board
Crossing Automation 3200-4418-03 Control Board
 125 62  
 
OR-851044265 24 Port Cat 49/99 NW T5688
OR-851044265 24 Port Cat 49/99 NW T5688
 20 94  
 
MY2iN Relay, with Base 12Y7C
MY2iN Relay, with Base 12Y7C
 20 47  
 
PM-U24 Sensor, PM-U24 / SUNX / Panasonic
PM-U24 Sensor, PM-U24 / SUNX / Panasonic
 20 47  
 
D4/6-LNTP Connector, D4/6-LNTP / 380~450V / Entrelec
D4/6-LNTP Connector, D4/6-LNTP / 380~450V / Entrelec
 20 47  
 
Brooks Instrument 5850-E  MFC GAS:5% PH3, 95% N2 FR: 100 SCCM
Brooks Instrument 5850-E MFC GAS:5% PH3, 95% N2 FR: 100 SCCM
 157 03  
 
LAM Research 715-08763-001
LAM Research 715-08763-001
 174 47  
 
5024E Controller
5024E Controller
 151 79  
 
1160B-00500RV-SPCAL MFC, 1160B-00500RV-SPCAL / Range 500 SCCM / Gas 02 / MKS
1160B-00500RV-SPCAL MFC, 1160B-00500RV-SPCAL / Range 500 SCCM / Gas 02 / MKS
 152 67  
 
LCE-AW Stack Lamp, LCE-AW / Alarm Lamp / RED,Orange,Green,Blue / Patlite
LCE-AW Stack Lamp, LCE-AW / Alarm Lamp / RED,Orange,Green,Blue / Patlite
 76 42  
 
178-0403// Ls Electric Abs203b 150a Mec 3p 150a Mccb Circuit Breaker [used]
178-0403// Ls Electric Abs203b 150a Mec 3p 150a Mccb Circuit Breaker [used]
 52 34  
 
Applied Materials AMAT Barb to Male Flare, 0190-77580
Applied Materials AMAT Barb to Male Flare, 0190-77580
 196 28  
 
Intel Xeon E5-2660 SR0GZ  8-Cores 2 20 Gz 20M Cache 8  GT/s QPI Processor
Intel Xeon E5-2660 SR0GZ 8-Cores 2 20 Gz 20M Cache 8  GT/s QPI Processor
 52 34  
 
325-0102// Amat Applied 3030-09288 Mfc 8160 200sccm Cl2 1/4vcr Mtl Nc Hov [asis]
325-0102// Amat Applied 3030-09288 Mfc 8160 200sccm Cl2 1/4vcr Mtl Nc Hov [asis]
 261 71  
 
435-0103// Panasonic Mbmh01dzls1 Motor [used/fast]
435-0103// Panasonic Mbmh01dzls1 Motor [used/fast]
 261 71  
 
Tokyo Electron 3881-000019-11 Pcb, Lan   Ttls06-11 Mmi-conv-f Pcb, Used
Tokyo Electron 3881-000019-11 Pcb, Lan   Ttls06-11 Mmi-conv-f Pcb, Used
 174 47  
 
Advantest BLS-014873 PLS-81487388 T6671E Probe Card Performance Card Memory Test
Advantest BLS-014873 PLS-81487388 T6671E Probe Card Performance Card Memory Test
 2,180 92  
 
2506769-21 / Pcb, In And Output Interface / Asm
2506769-21 / Pcb, In And Output Interface / Asm
 539 66  
 
100254 / P s And Dampener / Electroglas
100254 / P s And Dampener / Electroglas
 466 44  
 
AMAT Applied Materials 0021-39935 IPS Upper TV Port Liner Used Working
AMAT Applied Materials 0021-39935 IPS Upper TV Port Liner Used Working
 266 23  
 
VINTAGE Bruker SpectroSpin 250 PFP-Shimautomatic 0-510P0091
VINTAGE Bruker SpectroSpin 250 PFP-Shimautomatic 0-510P0091
 174 47  157 02  
 
VINTAGE Bruker B-CN 5 / 50 8302/IV Control Board SpectroSpin
VINTAGE Bruker B-CN 5 / 50 8302/IV Control Board SpectroSpin
 174 47  157 02  
 
VINTAGE Bruker B-CN5/50 B303III Board
VINTAGE Bruker B-CN5/50 B303III Board
 174 47  157 02  
 
Fusion UV Curing Irradiator Model I 300B (200185) [#2]
Fusion UV Curing Irradiator Model I 300B (200185) [#2]
 173 60  
 
Fusion Systems Display Interface Board PWB Assy, 22060
Fusion Systems Display Interface Board PWB Assy, 22060
 872 37  
 
110-0602// AMAT APPLIED 0020-70487 Manifold, water, Anodized Alum NEW
110-0602// AMAT APPLIED 0020-70487 Manifold, water, Anodized Alum NEW
 453 63  
 
PILLAR TYPE : UNION ELBOW W8  4PCS free ship
PILLAR TYPE : UNION ELBOW W8 4PCS free ship
 99 45  
 
Amat 0050-10029    N2 Vent Weldment, Loadlack Side , Used
Amat 0050-10029 N2 Vent Weldment, Loadlack Side , Used
 217 22  
 
lot of 2 INA 97-k-08 linear bearing carriages KWVE15BS G3 V1 4281057-7
lot of 2 INA 97-k-08 linear bearing carriages KWVE15BS G3 V1 4281057-7
 157 03  
 
Lam Research 853-054148-001 Liquid Pump 100ml
Lam Research 853-054148-001 Liquid Pump 100ml
 573 31  
 
IBM 00E0969 6-Slot SAS SFF DASD Media Backplane SATA With HH Bay 8z 00E0968
IBM 00E0969 6-Slot SAS SFF DASD Media Backplane SATA With HH Bay 8z 00E0968
 174 47  
 
999-9999// Amat Applied 0010-20000 (delivery 42 Days) Assy Pwr Supply 15v
999-9999// Amat Applied 0010-20000 (delivery 42 Days) Assy Pwr Supply 15v
 12,213 21  
 
Applied Materials AMAT -- 0050-41308 -- New
Applied Materials AMAT -- 0050-41308 -- New
 170 11  
 
115-0401// Amat Applied 0010-21665 Assy, Ac Box, Asyst Smif, W/b Ll [used]
115-0401// Amat Applied 0010-21665 Assy, Ac Box, Asyst Smif, W/b Ll [used]
 505 98  
 
300MM Etcher ESC CONTROLLER PSESC-C5000J
300MM Etcher ESC CONTROLLER PSESC-C5000J
 785 05  
 
325-0103// Amat Applied 3030-07431 Mfc D980 50sccm N2 1/4vcr Mtl N/c 20p- [asis]
325-0103// Amat Applied 3030-07431 Mfc D980 50sccm N2 1/4vcr Mtl N/c 20p- [asis]
 261 71  
 
NOS QualiTau Oven Component Module
NOS QualiTau Oven Component Module
 174 47  
 
Bgr-024443 / Subclk Gen Pcb / Advantest
Bgr-024443 / Subclk Gen Pcb / Advantest
 1,047 39  
 
411-0101// Dkm 9srdg1-60fw 9wd10br Motor [used/fast]
411-0101// Dkm 9srdg1-60fw 9wd10br Motor [used/fast]
 104 68  
 
627b11tbc2b / Baratron Capacitance Manometer Range 10 Pa -133 32 / Mks
627b11tbc2b / Baratron Capacitance Manometer Range 10 Pa -133 32 / Mks
 1,129 75  
 
AMAT Applied Materials 0200-10415 Focus Ring Quartz MXP+ Poly Refurbished Spare
AMAT Applied Materials 0200-10415 Focus Ring Quartz MXP+ Poly Refurbished Spare
 355 21  
 
Advanced Technology Materials, Sparta 150SA, CVD Liquid Delivery System, Used
Advanced Technology Materials, Sparta 150SA, CVD Liquid Delivery System, Used
 305 33  
 
Tel Tokyo Electron Ring Shield Cover Si-10-hr Mx-150k
Tel Tokyo Electron Ring Shield Cover Si-10-hr Mx-150k
 1,046 85  
 
331-0401// Amat Applied 0040-07287 Plate, Rf Emissions Grounding [asis]
331-0401// Amat Applied 0040-07287 Plate, Rf Emissions Grounding [asis]
 200 65  
 
Matrix Lower Pump Ring P/n 099-60426, Nos
Matrix Lower Pump Ring P/n 099-60426, Nos
 907 27  725 81  
 
Plasmart Rf Filter Raf-01
Plasmart Rf Filter Raf-01
 523 34  
 
Cos Gc-96rw Power Supply Dc24v, Used
Cos Gc-96rw Power Supply Dc24v, Used
 261 71  
 
Ulvac B d-ⅲb Heater Ctrl Board, Used
Ulvac B d-ⅲb Heater Ctrl Board, Used
 261 71  
 
H6800SSL1 Valve,
H6800SSL1 Valve,
 173 60  
 
Fc-2979mep5  ( 20 ) Mfc, N2, 20 Sccm
Fc-2979mep5 ( 20 ) Mfc, N2, 20 Sccm
 203 26  
 
EX140-SSD1-X16 Valve, Pneumatic / Module Manifold With ( 3 ) SZ3260-5-M5-X15  /
EX140-SSD1-X16 Valve, Pneumatic / Module Manifold With ( 3 ) SZ3260-5-M5-X15 /
 218 09  
 
9286032-M Board, PCBM-9286032-M0 / PS66-24-BO / D37370026 / PSU
9286032-M Board, PCBM-9286032-M0 / PS66-24-BO / D37370026 / PSU
 174 47  
 
24324-KA41-0001/1392 Valve, 2432-KA41-0001/1392A-257475 / Vacuum Valve / VAT
24324-KA41-0001/1392 Valve, 2432-KA41-0001/1392A-257475 / Vacuum Valve / VAT
 174 47  
 
SS-4P4T4 Valve,
SS-4P4T4 Valve,
 38 73  
 
S273 Breaker, S273 / K6A  ( LOT of 2 Units ) ABB
S273 Breaker, S273 / K6A ( LOT of 2 Units ) ABB
 41 87  
 
Delphi Engineering MRC3000 Processor Unit for Curtiss-Wright System Board
Delphi Engineering MRC3000 Processor Unit for Curtiss-Wright System Board
 458   270 22  
 
dresden elektronik 5 328 01 00 250 02/B Processor Board PCB Used Working
dresden elektronik 5 328 01 00 250 02/B Processor Board PCB Used Working
 262 75  
 
Interface  Ibx-4205 P/924/11-001[11]
Interface Ibx-4205 P/924/11-001[11]
 348 08  
 
Amat Applied 0040-48594 Esc, Tested, 300mm Dual He, Hd
Amat Applied 0040-48594 Esc, Tested, 300mm Dual He, Hd
 3,925 67  
 
ASML 4022 471 4716 Interface Board PCB Module 4022 471 4717 1 Used Working
ASML 4022 471 4716 Interface Board PCB Module 4022 471 4717 1 Used Working
 226 97  
 
Brooks Automation Aeronca 7750-37 Rev-A Motor Control PCB for VCE Elevator
Brooks Automation Aeronca 7750-37 Rev-A Motor Control PCB for VCE Elevator
 209 37  
 
417-0401// Yaskawa Sgdv-1r6a11a Servopack [used/fast]
417-0401// Yaskawa Sgdv-1r6a11a Servopack [used/fast]
 261 71  
 
417-0401// Yaskawa Sgdv-1r6a01a Servopack [used/fast]
417-0401// Yaskawa Sgdv-1r6a01a Servopack [used/fast]
 261 71  
 
417-0401// Yaskawa Sgds-02a12a Servopack [used/fast]
417-0401// Yaskawa Sgds-02a12a Servopack [used/fast]
 261 71  
 
417-0501// Yaskawa Sgda-a5bp Servopack [used/fast]
417-0501// Yaskawa Sgda-a5bp Servopack [used/fast]
 261 71  
 
417-0501// Yaskawa Sgd-01bpy10 Servopack [used/fast]
417-0501// Yaskawa Sgd-01bpy10 Servopack [used/fast]
 261 71  
 
420-0201// Tamagawa 4602n1520e500 Motor [used/fast]
420-0201// Tamagawa 4602n1520e500 Motor [used/fast]
 261 71  
 
315427 / Keybd Pnl Assy S8000 / Kla-ait1 Only
315427 / Keybd Pnl Assy S8000 / Kla-ait1 Only
 218 09  
 
403-0201// Metronix Apm-hc05hc(d2)h (unclean) Motor-4-02 Used
403-0201// Metronix Apm-hc05hc(d2)h (unclean) Motor-4-02 Used
 173 60  
 
344-0502// Amat Applied 0090-20225 Valve Assy, Htr Auto Shutoff,  Used
344-0502// Amat Applied 0090-20225 Valve Assy, Htr Auto Shutoff, Used
 331 50  
 
Amat 0050-10863 Plumbing Weldment Adapter Single He In , Used
Amat 0050-10863 Plumbing Weldment Adapter Single He In , Used
 217 22  
 
Cd Automation Controls Revo Rm1040-45acuh0021
Cd Automation Controls Revo Rm1040-45acuh0021
 305 33  
 
4s050-557an / Switching Regulator / Nikon
4s050-557an / Switching Regulator / Nikon
 423 82  
 
HP 776658-001 REV: 0A PCB BOARD w/ HP 780783-001 784669-001 &  780784-001 784669
HP 776658-001 REV: 0A PCB BOARD w/ HP 780783-001 784669-001 & 780784-001 784669
 141 32  
 
426-0101// Samsung Srcp-otp1a Fara Robocon Touch Screen [used/fast]
426-0101// Samsung Srcp-otp1a Fara Robocon Touch Screen [used/fast]
 348 95  
 
442-0501// Oriental Motor Kbld30-a Ac Servo Driver [used]
442-0501// Oriental Motor Kbld30-a Ac Servo Driver [used]
 348 95  
 
442-0501// Oriental Motor Kxsd200-alz2 Ac Servo Driver [used]
442-0501// Oriental Motor Kxsd200-alz2 Ac Servo Driver [used]
 348 95  
 
141-0701// Amat Applied 0150-09146 Cable Assy N2 Flow Swit New
141-0701// Amat Applied 0150-09146 Cable Assy N2 Flow Swit New
 209 37  
 
324-0203// Amat Applied 0200-20342 Isolator, Hv Terminal, 8" [new]
324-0203// Amat Applied 0200-20342 Isolator, Hv Terminal, 8" [new]
 174 47  
 
324-0203// Amat Applied 0200-20343 Isolator,heater Terminal, 8" Ht Esc Rf [new]
324-0203// Amat Applied 0200-20343 Isolator,heater Terminal, 8" Ht Esc Rf [new]
 174 47  
 
134-0504// Amat Applied 0020-22838 Lift Hoop, Prelean [2nd New]
134-0504// Amat Applied 0020-22838 Lift Hoop, Prelean [2nd New]
 174 47  
 
CA397-03990 Belt, Stacker Belt Drive 9mm-W  3MM-PIT
CA397-03990 Belt, Stacker Belt Drive 9mm-W 3MM-PIT
 47 11  
 
D2475-10 Relay, Solid State
D2475-10 Relay, Solid State
 52 34  
 
ZSE4-T1-25 Pressure Switch 12~24VDC / With Desplay / SMC
ZSE4-T1-25 Pressure Switch 12~24VDC / With Desplay / SMC
 52 34  
 
PNOZX1224VAC Relay, PNOZX124VAC / Safety Relay / PNOZX1 / Pilz
PNOZX1224VAC Relay, PNOZX124VAC / Safety Relay / PNOZX1 / Pilz
 62 81  
 
821-03 Board, 8021-03 / CCFL Control Board / Datalux Corp
821-03 Board, 8021-03 / CCFL Control Board / Datalux Corp
 52 34  
 
EDWARDS VACUUM - Pipe Heater Wrap TMS HTR PE 100D 100L MON - Y14M44100 H1 - (UK)
EDWARDS VACUUM - Pipe Heater Wrap TMS HTR PE 100D 100L MON - Y14M44100 H1 - (UK)
 1   
 
EDWARDS VACUUM - Pipe Heater Wrap TMS HTR PE 100D 500L MON - Y14M44500 H1 - (UK)
EDWARDS VACUUM - Pipe Heater Wrap TMS HTR PE 100D 500L MON - Y14M44500 H1 - (UK)
 1   
 
PSD1209PLV2-A Fan, PSD1209PLV2-A / DC 12v / 4 2A / Dell WC236-A00 / Maglev / Sun
PSD1209PLV2-A Fan, PSD1209PLV2-A / DC 12v / 4 2A / Dell WC236-A00 / Maglev / Sun
 47 11  
 
CLD4H1G-RAY39 Valve, D4H1G-RAY39 / SAM / Hitachi Metals
CLD4H1G-RAY39 Valve, D4H1G-RAY39 / SAM / Hitachi Metals
 68 05  
 
Qac 9015862  Rev 0/0 Power Cable 10 Ft Extracted From Bruce Bdf 200 Lpcvd Furnc
Qac 9015862 Rev 0/0 Power Cable 10 Ft Extracted From Bruce Bdf 200 Lpcvd Furnc
 392 57  
 
Lam Research LOWER ELECTRODE CAPS 8" DOMED  050, P/N 715-018611-115, NOS
Lam Research LOWER ELECTRODE CAPS 8" DOMED  050, P/N 715-018611-115, NOS
 1,046 85  837 48  
 
Bir-027916 / Pps Board (5593) Pcb / Advantest
Bir-027916 / Pps Board (5593) Pcb / Advantest
 1,047 39  
 
Ac1793 / E p d 1 End Point Detector Module / Sts
Ac1793 / E p d 1 End Point Detector Module / Sts
 436 19  
 
Mitsubishi Nf100-swu 50a No-fuse Breaker Pole 3p, Used
Mitsubishi Nf100-swu 50a No-fuse Breaker Pole 3p, Used
 52 34  
 
Akt Cvd Ups Power  P/n 0242-72503 Maraton Power Vault 1000tl Ups
Akt Cvd Ups Power P/n 0242-72503 Maraton Power Vault 1000tl Ups
 1,744 66  
 
Mks Sa86918
Mks Sa86918
 348 08  
 
Asyst Shinko 3FC120A006400 Assembly Core E-Coil Transmission Intel# 233386031
Asyst Shinko 3FC120A006400 Assembly Core E-Coil Transmission Intel# 233386031
 165 75  
 
Amat 0040-77174 Cover,platen Belt, Used
Amat 0040-77174 Cover,platen Belt, Used
 218 09  
 
MKS Instruments Inc 100760520 Seal CTR ASSY NW200 SS/V
MKS Instruments Inc 100760520 Seal CTR ASSY NW200 SS/V
 52 34  
 
418-0501// Yaskawa Sgdh-08ae-s Servopack [used/fast]
418-0501// Yaskawa Sgdh-08ae-s Servopack [used/fast]
 436 19  
 
Hitachi HTA 1-814575 A Ergo Loader Used Working
Hitachi HTA 1-814575 A Ergo Loader Used Working
 1,749 21  
 
Gun Anode Insulator, Used
Gun Anode Insulator, Used
 174 47  
 
GEMS Stainless Steel Float Sensor 217317 NEW AMAT Applied Materials
GEMS Stainless Steel Float Sensor 217317 NEW AMAT Applied Materials
 280 02  
 
854-5870-001 Unrecognized Name / This Part Only by Part Number
854-5870-001 Unrecognized Name / This Part Only by Part Number
 115 15  
 
Brooks Instrument 5850-E  MFC GAS: NITROGEN FS FLOW 1 SLPM PN: 9041701
Brooks Instrument 5850-E MFC GAS: NITROGEN FS FLOW 1 SLPM PN: 9041701
 157 03  
 
BF-1 125U-30-M Nippon Seisen Filter IGS Panel
BF-1 125U-30-M Nippon Seisen Filter IGS Panel
 83 75  
 
4022 636 34541 Cable, With Connectors ~ Plugs / ASML
4022 636 34541 Cable, With Connectors ~ Plugs / ASML
 78 51  
 
4022 472 47671 Cable, With Connectors ~ Plugs / ASML
4022 472 47671 Cable, With Connectors ~ Plugs / ASML
 78 51  
 
4022 636 92641 Cable, With Connectors ~ Plugs / ASML
4022 636 92641 Cable, With Connectors ~ Plugs / ASML
 78 51  
 
A50QS75 Fuse, Type 4 Y / 75 Amps / 500VAC 200KA / Shawmut
A50QS75 Fuse, Type 4 Y / 75 Amps / 500VAC 200KA / Shawmut
 104 68  
 
ZAG2001 Board, ZAG2001 / Zero Air Generator / Board Display /  Environnement S A
ZAG2001 Board, ZAG2001 / Zero Air Generator / Board Display / Environnement S A
 157 03  
 
A55001072 Temperature Management System Control Unit, A55001072 / Edwards
A55001072 Temperature Management System Control Unit, A55001072 / Edwards
 125 62  
 
150-3 Motor, 150-3 / VARi-Q Drive Motor / Meivac
150-3 Motor, 150-3 / VARi-Q Drive Motor / Meivac
 115 15  
 
Leybold Inficon Transpector Hpr-1100 Board Aw 911-1030 Rev G
Leybold Inficon Transpector Hpr-1100 Board Aw 911-1030 Rev G
 261 62  
 
Novellus 02-252395-00 Rev  D Hdsioc 0 Vector
Novellus 02-252395-00 Rev  D Hdsioc 0 Vector
 1,744 74  
 
FEI COMPANY DGBS Supply P/N 4022 293 1400
FEI COMPANY DGBS Supply P/N 4022 293 1400
 1,046 76  
 
ENI Power Systems A230d Control Boar Assy OEM Generator
ENI Power Systems A230d Control Boar Assy OEM Generator
 331 50  
 
Amat 0020-79192 Gear,sweep , Used
Amat 0020-79192 Gear,sweep , Used
 235 54  
 
439-0101// Burks 1303232103 Bluffton Pump [used]
439-0101// Burks 1303232103 Bluffton Pump [used]
 1,744 74  
 
233270003 Caramic Lid Liner
233270003 Caramic Lid Liner
 327 14  
 
425-0501// Omron R88d-ua12la Ac Servo Driver [used/fast]
425-0501// Omron R88d-ua12la Ac Servo Driver [used/fast]
 261 71  
 
442-0403// Oriental Motor Asm98ac Motor [used]
442-0403// Oriental Motor Asm98ac Motor [used]
 261 71  
 
CKD AGD21V-6RM-GWL4 O P  0 4~0 6MPA, 3/8" Valve
CKD AGD21V-6RM-GWL4 O P  0 4~0 6MPA, 3/8" Valve
 218 09  
 
126-0203// Nc E32000129 Valve [asis]
126-0203// Nc E32000129 Valve [asis]
 174 47  
 
348-0202// Amat Applied 0020-13337 Plate Blankoff Degas/orienter [used]
348-0202// Amat Applied 0020-13337 Plate Blankoff Degas/orienter [used]
 191 92  
 
346-0501// Holaday Hi-1801 Microwave Surver Meter [used]
346-0501// Holaday Hi-1801 Microwave Surver Meter [used]
 174 47  
 
0136440-000 / Tstd, Pcb Assy, Flat Finder Pwr Drvr / Kla Tencor
0136440-000 / Tstd, Pcb Assy, Flat Finder Pwr Drvr / Kla Tencor
 1,823 69  
 
402-0301// Amat Applied Crb12 Cci Computer Keyboard Interface Used
402-0301// Amat Applied Crb12 Cci Computer Keyboard Interface Used
 174 47  
 
402-0301// Amat Applied Crv05 Cci Computer Keyboard Interface Used
402-0301// Amat Applied Crv05 Cci Computer Keyboard Interface Used
 174 47  
 
407-0401// Nc 3930-00015 Adaptive Pressure Controller Used
407-0401// Nc 3930-00015 Adaptive Pressure Controller Used
 174 47  
 
429-0401// Fuji Gra1100bz (unclean) Ac Servo Motor [used/fast]
429-0401// Fuji Gra1100bz (unclean) Ac Servo Motor [used/fast]
 174 47  
 
431-0202// Toei Vlbst-z04030-bu (unclean) Bs Servo Motor [used/fast]
431-0202// Toei Vlbst-z04030-bu (unclean) Bs Servo Motor [used/fast]
 174 47  
 
445-0103// Oriental Motor Pk299-02a Motor [used]
445-0103// Oriental Motor Pk299-02a Motor [used]
 174 47  
 
438-0103// Oriental Motor Drl60pa4-05m (unclean) (2ea) [asis]
438-0103// Oriental Motor Drl60pa4-05m (unclean) (2ea) [asis]
 174 47  
 
438-0101// Oriental Motor Drl60pb4-05m (unclean) Motor [used]
438-0101// Oriental Motor Drl60pb4-05m (unclean) Motor [used]
 174 47  
 
118-0701// Holaday Hi-1801 Microwave Surver Meter [used]
118-0701// Holaday Hi-1801 Microwave Surver Meter [used]
 174 47  
 
Lot Of 2 Hp Indigo 3101-4116 Integrated Blue Led 24v Module
Lot Of 2 Hp Indigo 3101-4116 Integrated Blue Led 24v Module
 61 76  
 
Hp Indigo Ca340-24501 Cam Follower Spring Fixed Pin
Hp Indigo Ca340-24501 Cam Follower Spring Fixed Pin
 52 34  
 
Simco Delta Design Power Supply 112010 9249-1-Delta
Simco Delta Design Power Supply 112010 9249-1-Delta
 157 02  
 
Drytek / Lam ASIQ/384T, RF AMN MARK 111 CONTROL PCB, P/N 027355 REV 9 / 601240
Drytek / Lam ASIQ/384T, RF AMN MARK 111 CONTROL PCB, P/N 027355 REV 9 / 601240
 1,046 85  837 48  
 
314 Valve, 314 PVC P10 d32 DN25 1''
314 Valve, 314 PVC P10 d32 DN25 1''
 144 46  
 
Applied Materials 0200-09716 Lift Pin, Heater, WxZ
Applied Materials 0200-09716 Lift Pin, Heater, WxZ
 43 62  
 
[AS IS] VARIAN / F3500001 / VACUUM CONTROL, Rev N, HOOPER, Partial breakage
[AS IS] VARIAN / F3500001 / VACUUM CONTROL, Rev N, HOOPER, Partial breakage
 6,961 53  
 
Applied Materials AMAT Flow Restirctor, 3510-00274
Applied Materials AMAT Flow Restirctor, 3510-00274
 170 11  
 
Festo Mpa-fb-vi/3 X 53342/1 X 533343/533354/533370/2 X 195708/195740
Festo Mpa-fb-vi/3 X 53342/1 X 533343/533354/533370/2 X 195708/195740
 261 71  
 
402-0401// Amat Applied 853-800749-007 Lam Module Used
402-0401// Amat Applied 853-800749-007 Lam Module Used
 261 71  
 
427-0101// Pro-face Gp2301h-sc41-24v (without Cable) Touch Screen [used]
427-0101// Pro-face Gp2301h-sc41-24v (without Cable) Touch Screen [used]
 261 71  
 
429-0102// Electro Craft E240 0240-03-017-009 8923-2331 (broken) [used]
429-0102// Electro Craft E240 0240-03-017-009 8923-2331 (broken) [used]
 261 71  
 
347-0302// Amat Applied 0021-06739 Manifold, Extension, Mainframe Water [used]
347-0302// Amat Applied 0021-06739 Manifold, Extension, Mainframe Water [used]
 252   
 
137-0403// AMAT APPLIED 0050-33825 WELDMENT,TEE EX,VLV-THROTTLE,TxZ MCVD [USED]
137-0403// AMAT APPLIED 0050-33825 WELDMENT,TEE EX,VLV-THROTTLE,TxZ MCVD [USED]
 115 15  
 
141-0603// Amat Applied 0150-09681 Cable Assembly  Integrtd End Point Power Used
141-0603// Amat Applied 0150-09681 Cable Assembly Integrtd End Point Power Used
 125 62  
 
ASML Used 4022 486 55124,30428112A SEM-I-845=9G15
ASML Used 4022 486 55124,30428112A SEM-I-845=9G15
 872 28  
 
344-0402// Amat Applied 3060-01563 Brg   Lin Ball 47mmodx30 Mmidx68mml 6row New
344-0402// Amat Applied 3060-01563 Brg Lin Ball 47mmodx30 Mmidx68mml 6row New
 174 47  
 
Semi-Gas Cylinder Retention Bracket With Standoff, 013-0015 Rev E NFI
Semi-Gas Cylinder Retention Bracket With Standoff, 013-0015 Rev E NFI
 52 34  
 
439-0402// Oriental Motor Kblm5120gd-am 5gd5k (unclean)  Motor [used]
439-0402// Oriental Motor Kblm5120gd-am 5gd5k (unclean) Motor [used]
 261 71  
 
Lam Research Air Lift Cylinder 715-007595-004
Lam Research Air Lift Cylinder 715-007595-004
 261 71  
 
417-0501// Yaskawa Sgdf-a2cp Servopack [used/fast]
417-0501// Yaskawa Sgdf-a2cp Servopack [used/fast]
 174 47  
 
Amat 0022-77040 Release End , Used
Amat 0022-77040 Release End , Used
 261 71  
 
130862-05 / Pcb Board / Idi Integrated Designs Inc
130862-05 / Pcb Board / Idi Integrated Designs Inc
 476 04  
 
Dalsa TA-C1-04K30-50E Video Controller  Used Working
Dalsa TA-C1-04K30-50E Video Controller Used Working
 437 21  
 
325-0103// Amat Applied 3030-01138 Mfc 4400 100sccm N2 1/4vc [asis]
325-0103// Amat Applied 3030-01138 Mfc 4400 100sccm N2 1/4vc [asis]
 261 71  
 
407-0201// Ls Electric Dmp60 Mec 60a Relay [used]
407-0201// Ls Electric Dmp60 Mec 60a Relay [used]
 139 58  
 
ADAM ADAM-4017 Data Acquisition Module, Used
ADAM ADAM-4017 Data Acquisition Module, Used
 217 22  
 
ADAM ADAM-4021 Data Acquisition Module, Used
ADAM ADAM-4021 Data Acquisition Module, Used
 217 22  
 
Asyst Technologies 3200-1242-01 PCB BOARD SHOULDER / ELBOW INTERFACE
Asyst Technologies 3200-1242-01 PCB BOARD SHOULDER / ELBOW INTERFACE
 392 57  
 
Semifusion 311 Motor Driver PCB Card Ultratech UltraStep 1000 Used Working
Semifusion 311 Motor Driver PCB Card Ultratech UltraStep 1000 Used Working
 182 47  
 
Yz07z-11 / Dns, Pcb Connector Block / Meiden
Yz07z-11 / Dns, Pcb Connector Block / Meiden
 354 78  
 
Hitachi SEM 9300 Electronic NL313901 NL819504 NL820305 Working!!!
Hitachi SEM 9300 Electronic NL313901 NL819504 NL820305 Working!!!
 610 66  
 
Smc Pneumatic Assy
Smc Pneumatic Assy
 161 94  
 
CA356-0114 Board, CA356-0114 / Rev 01 / PCB Assy UFiC / HP
CA356-0114 Board, CA356-0114 / Rev 01 / PCB Assy UFiC / HP
 174 47  
 
TYLAN GENERAL PRESSURE GAUGE/ CMH4-M11  / RANGE : 0~0 1 Torr
TYLAN GENERAL PRESSURE GAUGE/ CMH4-M11 / RANGE : 0~0 1 Torr
 174 47  
 
Lam Research 490 Electrode 6” Upper Anodized
Lam Research 490 Electrode 6” Upper Anodized
 784 35  
 
401-0202// Horiba Cm-210-dc Hf Monitor Used
401-0202// Horiba Cm-210-dc Hf Monitor Used
 173 60  
 
325-0403// Sam Sfc1480fpd2 N2 20/60sccm [asis]
325-0403// Sam Sfc1480fpd2 N2 20/60sccm [asis]
 174 47  
 
134-0501// Amat Applied 0020-22242 Lift Hoop 8 [used]
134-0501// Amat Applied 0020-22242 Lift Hoop 8 [used]
 157 03  
 
137-0404// Amat Applied 0050-09025 Manifold, Llc Vacuum [used]
137-0404// Amat Applied 0050-09025 Manifold, Llc Vacuum [used]
 165 75  
 
322-0202// Amat Applied 0190-35145 Water Flow Switch 1 0 Gpm [asis]
322-0202// Amat Applied 0190-35145 Water Flow Switch 1 0 Gpm [asis]
 200 65  
 
176-0103// Amat Applied 0050-38454 Applied Matrials Components [asis]
176-0103// Amat Applied 0050-38454 Applied Matrials Components [asis]
 174 47  
 
176-0104// Amat Applied 0242-13176 Is/af/i+, Purge Tree, Std [asis]
176-0104// Amat Applied 0242-13176 Is/af/i+, Purge Tree, Std [asis]
 200 65  
 
322-0103// Amat Applied 0090-00412 Motor, Stepper Assy [asis]
322-0103// Amat Applied 0090-00412 Motor, Stepper Assy [asis]
 174 47  
 
116-0502// Amat Applied 0020-24363 Cover Safety Orienter @ Pos # Used
116-0502// Amat Applied 0020-24363 Cover Safety Orienter @ Pos # Used
 218 09  
 
125-0202// Amat Applied 0020-31492 Gas Dist plate,101 Holes Used
125-0202// Amat Applied 0020-31492 Gas Dist plate,101 Holes Used
 200 65  
 
418-0301// Yaskawa Ugrmem-02msw11 Minertia Motor [used/fast]
418-0301// Yaskawa Ugrmem-02msw11 Minertia Motor [used/fast]
 174 47  
 
431-0101// Toei Vlbst-z01030-gu Vrkf-lb-15b-100 Bs Servo Motor [used/fast]
431-0101// Toei Vlbst-z01030-gu Vrkf-lb-15b-100 Bs Servo Motor [used/fast]
 174 47  
 
Block Pillow Merge Diverge FRT CL700 - (UK)
Block Pillow Merge Diverge FRT CL700 - (UK)
 2   
 
ENTEGRIS - Flaretek Tube with Cap and Nut  ¼"  BCD - CP4FN-1 (UK) M2D248437
ENTEGRIS - Flaretek Tube with Cap and Nut  ¼" BCD - CP4FN-1 (UK) M2D248437
 15   
 
441-0102// Oriental Motor 2rk6gn-a 2lb25n-3 (unclean) Motor [used/fast]
441-0102// Oriental Motor 2rk6gn-a 2lb25n-3 (unclean) Motor [used/fast]
 174 47  
 
441-0202// Oriental Motor 3rk15rgn-c 3gn75k Motor [used/fast]
441-0202// Oriental Motor 3rk15rgn-c 3gn75k Motor [used/fast]
 157 03  
 
443-0402// Oriental Motor Fblm220c-gf Gf2g10 (2ea) (unclean) Motor [used]
443-0402// Oriental Motor Fblm220c-gf Gf2g10 (2ea) (unclean) Motor [used]
 157 03  
 
443-0302// Oriental Motor Bl230gd-amk (unclean) Motor [used]
443-0302// Oriental Motor Bl230gd-amk (unclean) Motor [used]
 174 47  
 
443-0202// Oriental Motor K0366-m (cut Cable) Motor [used/fast]
443-0202// Oriental Motor K0366-m (cut Cable) Motor [used/fast]
 174 47  
 
445-0301// Oriental Motor Pk564aw-p50 Motor [used]
445-0301// Oriental Motor Pk564aw-p50 Motor [used]
 174 47  
 
434-0203// Panasonic M8ma25gb4y (unclean) Motor [used]
434-0203// Panasonic M8ma25gb4y (unclean) Motor [used]
 157 03  
 
440-0401// Oriental Motor Mbm315-412 3gn30k Motor [used]
440-0401// Oriental Motor Mbm315-412 3gn30k Motor [used]
 174 47  
 
440-0401// Oriental Motor Mbm315-412 3gn5k Control Motor [used]
440-0401// Oriental Motor Mbm315-412 3gn5k Control Motor [used]
 174 47  
 
440-0402// Oriental Motor Bm315-412 Motor [used]
440-0402// Oriental Motor Bm315-412 Motor [used]
 174 47  
 
442-0402// Oriental Motor Asm69mc (cut Cable) Motor [used]
442-0402// Oriental Motor Asm69mc (cut Cable) Motor [used]
 174 47  
 
442-0403// Oriental Motor Asm98aa (unclean) Motor [used]
442-0403// Oriental Motor Asm98aa (unclean) Motor [used]
 174 47  
 
332-0301// Amat Applied 0020-40162 Bezel, Control Panel [asis]
332-0301// Amat Applied 0020-40162 Bezel, Control Panel [asis]
 157 03  
 
123-0303// Amat Applied 0020-31687 Adapter A&b Pos, Servicable Gate Valve [used]
123-0303// Amat Applied 0020-31687 Adapter A&b Pos, Servicable Gate Valve [used]
 165 75  
 
137-0403// Amat Applied 0050-25242 Gasline, Rough Axz @3 [used]
137-0403// Amat Applied 0050-25242 Gasline, Rough Axz @3 [used]
 157 03  
 
137-0403// Amat Applied 0050-33171 Reducer, R2, Seiko Seiki, 316l, Common [used]
137-0403// Amat Applied 0050-33171 Reducer, R2, Seiko Seiki, 316l, Common [used]
 218 09  
 
322-0202// Amat Applied 0150-09648 Cable Assy, Flow Switch [asis]
322-0202// Amat Applied 0150-09648 Cable Assy, Flow Switch [asis]
 174 47  
 
Brooks Instrument 5850-E  MFC GAS: TMB FS FLOW 100 SCCM PN: 9041292
Brooks Instrument 5850-E MFC GAS: TMB FS FLOW 100 SCCM PN: 9041292
 157 03  
 
438-0102// Oriental Motor Drl60pa4-05m (unclean) Limo Motor [used]
438-0102// Oriental Motor Drl60pa4-05m (unclean) Limo Motor [used]
 174 47  
 
176-0104// Amat Applied 0050-34787 Line, 1/4 Manifold Ar-wf6 [asis]
176-0104// Amat Applied 0050-34787 Line, 1/4 Manifold Ar-wf6 [asis]
 174 47  
 
322-0102// Amat Applied 0140-09881 Applied Matrials Components [used]
322-0102// Amat Applied 0140-09881 Applied Matrials Components [used]
 157 03  
 
322-0102// Amat Applied 0150-10746 Applied Matrials Components [used]
322-0102// Amat Applied 0150-10746 Applied Matrials Components [used]
 157 03  
 
176-0303// Smc Kka7s-x33 Coupler Stainless Steel [asis]
176-0303// Smc Kka7s-x33 Coupler Stainless Steel [asis]
 174 47  
 
Applied Materials AMAT Weldment N2 Vent LN, ASP POS D 10RA 0050-33736
Applied Materials AMAT Weldment N2 Vent LN, ASP POS D 10RA 0050-33736
 94 22  56 53  
 
Novellus 03-00033-03 REV F, Cable Assy, CA 51
Novellus 03-00033-03 REV F, Cable Assy, CA 51
 174 47  
 
135-0401// Goldfinger 3156023-000l Ae Advanced Energy Rf Amplifier Asis
135-0401// Goldfinger 3156023-000l Ae Advanced Energy Rf Amplifier Asis
 436 19  
 
Mitsubishi electric earth leakage relays  nv-zla 120-240v
Mitsubishi electric earth leakage relays nv-zla 120-240v
 115 15  
 
340-0202// Amat Applied 0040-54160 Applied Matrials Components [used]
340-0202// Amat Applied 0040-54160 Applied Matrials Components [used]
 261 71  
 
Hirata HPC-531C Circuit Board
Hirata HPC-531C Circuit Board
 243 39  
 
VINTAGE Bruker Board DEC W4P3042 for SpectroSpin 250
VINTAGE Bruker Board DEC W4P3042 for SpectroSpin 250
 104 67  78 50  
 
VINTAGE Bruker Board W4P3043 for SpectroSpin 250
VINTAGE Bruker Board W4P3043 for SpectroSpin 250
 104 67  78 50  
 
325-0401// AERA FC-780CHT (#1) TiCl4 100SCCM [ASIS]
325-0401// AERA FC-780CHT (#1) TiCl4 100SCCM [ASIS]
 104 68  
 
405-0201// Rockwell Samsung Csmz-a5da1anm3 Motor [used/fast]
405-0201// Rockwell Samsung Csmz-a5da1anm3 Motor [used/fast]
 104 68  
 
126-0404// Amat Applied 0680-01169 Cb Mag Thrm 3p 240vac 15a 50/6 [asis]
126-0404// Amat Applied 0680-01169 Cb Mag Thrm 3p 240vac 15a 50/6 [asis]
 104 68  
 
327-0402// Amat Applied 0050-10048 Adapter, Valve,unishield [used]
327-0402// Amat Applied 0050-10048 Adapter, Valve,unishield [used]
 104 68  
 
177-0402// Amat Applied 0050-76990 Gas Line, Ch 2&3, Mfc, Coh Ti/tin [used]
177-0402// Amat Applied 0050-76990 Gas Line, Ch 2&3, Mfc, Coh Ti/tin [used]
 94 22  
 
176-0402// Amat Applied 0050-13127 Adapter,weldment,vent Line, 5ra [used]
176-0402// Amat Applied 0050-13127 Adapter,weldment,vent Line, 5ra [used]
 104 68  
 
137-0403// Amat Applied 0050-20202 Applied Matrials Components [used]
137-0403// Amat Applied 0050-20202 Applied Matrials Components [used]
 94 22  
 
177-0104// Nupro 6lv-bnbw4-c Valve [asis]
177-0104// Nupro 6lv-bnbw4-c Valve [asis]
 104 68  
 
177-0302// Amat Applied 3870-01700 Valve Mnl Diaph 145psig 1/4vcr-f/f [asis]
177-0302// Amat Applied 3870-01700 Valve Mnl Diaph 145psig 1/4vcr-f/f [asis]
 104 68  
 
177-0202// Swagelok Ss-bn8fr8-du-o Valve [asis]
177-0202// Swagelok Ss-bn8fr8-du-o Valve [asis]
 104 68  
 
177-0202// Swagelok Ss-bnv51-du-o Valve [asis]
177-0202// Swagelok Ss-bnv51-du-o Valve [asis]
 104 68  
 
142-0501// Amat Applied 0150-06408 Applied Matrials Components [used]
142-0501// Amat Applied 0150-06408 Applied Matrials Components [used]
 104 68  
 
177-0503// Lam Gas Line 715-250176-003  [used]
177-0503// Lam Gas Line 715-250176-003 [used]
 104 68  
 
143-0302// Lam Cable 853-149424-010  [used]
143-0302// Lam Cable 853-149424-010 [used]
 104 68  
 
143-0302// Lam Cable 853-149424-019  [used]
143-0302// Lam Cable 853-149424-019 [used]
 104 68  
 
344-0502// Amat Applied 0090-40011 Reflex Sensor Assy, Load Lock [used]
344-0502// Amat Applied 0090-40011 Reflex Sensor Assy, Load Lock [used]
 94 22  
 
346-0401// Amat Applied 0020-40101 Applied Matrials Components Used
346-0401// Amat Applied 0020-40101 Applied Matrials Components Used
 104 68  
 
346-0401// Amat Applied 0020-40102 Applied Matrials Components Used
346-0401// Amat Applied 0020-40102 Applied Matrials Components Used
 104 68  
 
318-0303// Amat Applied 0040-09020 Exhaust Duct Gas Panel Used
318-0303// Amat Applied 0040-09020 Exhaust Duct Gas Panel Used
 104 68  
 
328-0401// Amat Applied 0020-20123 Heater, Isolator Ceramic Asis
328-0401// Amat Applied 0020-20123 Heater, Isolator Ceramic Asis
 94 22  
 
320-0403// Amat Applied 900204-a Applied Lcfa Do Board Used
320-0403// Amat Applied 900204-a Applied Lcfa Do Board Used
 104 68  
 
320-0403// Amat Applied 900205-a Applied Lcfa Do Board Used
320-0403// Amat Applied 900205-a Applied Lcfa Do Board Used
 104 68  
 
320-0403// Amat Applied 0100-20265 (#1) Pcb Assy, Filter Board Used
320-0403// Amat Applied 0100-20265 (#1) Pcb Assy, Filter Board Used
 104 68  
 
404-0101// Samsung Csm-01bb1abt3 (unclean) Motor [asis]
404-0101// Samsung Csm-01bb1abt3 (unclean) Motor [asis]
 104 68  
 
404-0202// Samsung Csmz-a5da1anm3 Motor [used/fast]
404-0202// Samsung Csmz-a5da1anm3 Motor [used/fast]
 104 68  
 
404-0303// Samsung Csmq-01bh1anm3 (unclean) Motor [used/fast]
404-0303// Samsung Csmq-01bh1anm3 (unclean) Motor [used/fast]
 104 68  
 
407-0201// Oriental Us206-11 (cut Cable) Unit [used]
407-0201// Oriental Us206-11 (cut Cable) Unit [used]
 104 68  
 
407-0201// Spg Sua60ib-v12 Speed Controller[used]
407-0201// Spg Sua60ib-v12 Speed Controller[used]
 104 68  
 
409-0302// As00009-02 Tenta Board[used/fast]
409-0302// As00009-02 Tenta Board[used/fast]
 104 68  
 
417-0201// Yaskawa Sgmah-01aaagb61 (unclean) Motor [used/fast]
417-0201// Yaskawa Sgmah-01aaagb61 (unclean) Motor [used/fast]
 104 68  
 
421-0201// Sanyo Denki 103-4507-7046 3281-000016-1 Step Motor [used/fast]
421-0201// Sanyo Denki 103-4507-7046 3281-000016-1 Step Motor [used/fast]
 104 68  
 
420-0102// Tamagawa Ts3212n30 Step Motor [used/fast]
420-0102// Tamagawa Ts3212n30 Step Motor [used/fast]
 104 68  
 
420-0102// Tamagawa Ts3212n31 Step Motor [used/fast]
420-0102// Tamagawa Ts3212n31 Step Motor [used/fast]
 104 68  
 
420-0102// Tamagawa Ts3641n2e3 Step Motor [used/fast]
420-0102// Tamagawa Ts3641n2e3 Step Motor [used/fast]
 104 68  
 
424-0201// Omron R88m-s05030-cs1 (unclean) Ac Servo Motor [used/fast]
424-0201// Omron R88m-s05030-cs1 (unclean) Ac Servo Motor [used/fast]
 104 68  
 
429-0103// Mcg Ib23000-e1 (cut Cable) Motor [used/fast]
429-0103// Mcg Ib23000-e1 (cut Cable) Motor [used/fast]
 104 68  
 
429-0103// Jenaer 23s16-0560-003j0-21 (broken) Motor [used/fast]
429-0103// Jenaer 23s16-0560-003j0-21 (broken) Motor [used/fast]
 104 68  
 
429-0103// Japan Servo Kh56km2u088 Motor [used/fast]
429-0103// Japan Servo Kh56km2u088 Motor [used/fast]
 104 68  
 
429-0202// Quatro 010-4372 Motor [used/fast]
429-0202// Quatro 010-4372 Motor [used/fast]
 104 68  
 
441-0501// Oriental Motor Asd24a-a Driver [used/fast]
441-0501// Oriental Motor Asd24a-a Driver [used/fast]
 104 68  
 
443-0303// Oriental Motor Axum425-gn Motor [used/fast]
443-0303// Oriental Motor Axum425-gn Motor [used/fast]
 104 68  
 
445-0102// Oriental Motor Pk264-02b-c7 Motor [used/fast]
445-0102// Oriental Motor Pk264-02b-c7 Motor [used/fast]
 104 68  
 
445-0102// Oriental Motor Pk256-02a Motor [used/fast]
445-0102// Oriental Motor Pk256-02a Motor [used/fast]
 104 68  
 
445-0101// Oriental Motor Pk244m-02b Motor [used/fast]
445-0101// Oriental Motor Pk244m-02b Motor [used/fast]
 104 68  
 
445-0101// Oriental Motor Pk244-02a (2ea) (unclean) Motor [used]
445-0101// Oriental Motor Pk244-02a (2ea) (unclean) Motor [used]
 104 68  
 
445-0202// Oriental Motor Pk545bw Motor [used]
445-0202// Oriental Motor Pk545bw Motor [used]
 104 68  
 
445-0202// Oriental Motor Pk544naw-c3 Motor [used]
445-0202// Oriental Motor Pk544naw-c3 Motor [used]
 104 68  
 
445-0201// Oriental Motor Pk543bn-tg7 2 Motor [used]
445-0201// Oriental Motor Pk543bn-tg7 2 Motor [used]
 104 68  
 
445-0201// Oriental Motor Pk543aw-a31 (unclean) Motor [used]
445-0201// Oriental Motor Pk543aw-a31 (unclean) Motor [used]
 104 68  
 
428-0103// Faulhaber 9701-0135-01 Motor [used]
428-0103// Faulhaber 9701-0135-01 Motor [used]
 104 68  
 
430-0103// Pacific P21nsxc-lnn-ns-03 (broken) Motor [used]
430-0103// Pacific P21nsxc-lnn-ns-03 (broken) Motor [used]
 104 68  
 
430-0303// Cmc Mh2105-057e Motor [used]
430-0303// Cmc Mh2105-057e Motor [used]
 104 68  
 
430-0302// Nsd Vre-p028-s030 Motor [used]
430-0302// Nsd Vre-p028-s030 Motor [used]
 104 68  
 
438-0402// Oriental Motor Vhi206a-gv Gv2g9 Motor [used]
438-0402// Oriental Motor Vhi206a-gv Gv2g9 Motor [used]
 104 68  
 
438-0401// Oriental Motor C7826-9012k Motor [used]
438-0401// Oriental Motor C7826-9012k Motor [used]
 104 68  
 
438-0401// Oriental Motor C6669-9212 Motor [used]
438-0401// Oriental Motor C6669-9212 Motor [used]
 104 68  
 
438-0401// Oriental Motor C6591-9212 Motor [used]
438-0401// Oriental Motor C6591-9212 Motor [used]
 104 68  
 
438-0401// Oriental Motor C6190-9212 Motor [used]
438-0401// Oriental Motor C6190-9212 Motor [used]
 104 68  
 
438-0401// Oriental Motor C6140-9012gm (unclean) Motor [used]
438-0401// Oriental Motor C6140-9012gm (unclean) Motor [used]
 104 68  
 
438-0401// Oriental Motor C5871-9015 (unclean) Motor [used]
438-0401// Oriental Motor C5871-9015 (unclean) Motor [used]
 104 68  
 
438-0303// Oriental Motor 5858-mdrl (no Cable) Limo Motor [used]
438-0303// Oriental Motor 5858-mdrl (no Cable) Limo Motor [used]
 104 68  
 
440-0101// Oriental Motor Uph566h-a Motor [used]
440-0101// Oriental Motor Uph566h-a Motor [used]
 104 68  
 
Proximity Sensor Threaded - (UK) 19-1800142-001
Proximity Sensor Threaded - (UK) 19-1800142-001
 14   
 
ULTRATECH Standoff Track 300mm 83-18-01240  (UK)
ULTRATECH Standoff Track 300mm 83-18-01240 (UK)
 15   
 
442-0202// Orienatal Motor A6166-9015ktg Motor [used]
442-0202// Orienatal Motor A6166-9015ktg Motor [used]
 104 68  
 
442-0203// Oriental Motor B2048-d01ascm Motor [used]
442-0203// Oriental Motor B2048-d01ascm Motor [used]
 104 68  
 
442-0301// Oriental Motor Asm46ba (short Cable) Motor [used]
442-0301// Oriental Motor Asm46ba (short Cable) Motor [used]
 104 68  
 
442-0302// Oriental Motor Asm46ak-n7 2 Motor [used]
442-0302// Oriental Motor Asm46ak-n7 2 Motor [used]
 104 68  
 
442-0303// Oriental Motor Asm46mk-n7 2 (short Cable) Motor [used]
442-0303// Oriental Motor Asm46mk-n7 2 (short Cable) Motor [used]
 104 68  
 
444-0102// Oriental Motor Ph266-01gk 2gk15k Motor [used]
444-0102// Oriental Motor Ph266-01gk 2gk15k Motor [used]
 104 68  
 
444-0102// Oriental Motor Ph266-01gk 2gk18k Motor [used]
444-0102// Oriental Motor Ph266-01gk 2gk18k Motor [used]
 104 68  
 
444-0102// Oriental Motor Ph266-01gk-a16 (unclean) Motor [used]
444-0102// Oriental Motor Ph266-01gk-a16 (unclean) Motor [used]
 104 68  
 
444-0202// Oriental Motor Ph566-a-a40 (unclean) Motor [used]
444-0202// Oriental Motor Ph566-a-a40 (unclean) Motor [used]
 104 68  
 
352-0102// Amat Applied 0015-20002 Pulley, Modified Source [asis]
352-0102// Amat Applied 0015-20002 Pulley, Modified Source [asis]
 104 68  
 
116-0404// Amat Applied 0270-20044 Tool Calib  Orienter 8 See [used]
116-0404// Amat Applied 0270-20044 Tool Calib  Orienter 8 See [used]
 104 68  
 
322-0103// Amat Applied 0090-09027 Motor Assy Cvd Lifts [asis]
322-0103// Amat Applied 0090-09027 Motor Assy Cvd Lifts [asis]
 104 68  
 
177-0402// Amat Applied 0040-00540 He Supply Line, Chamber B [used]
177-0402// Amat Applied 0040-00540 He Supply Line, Chamber B [used]
 104 68  
 
322-0403// Amat Applied 0020-10134 Manifold Output Pl [used]
322-0403// Amat Applied 0020-10134 Manifold Output Pl [used]
 104 68  
 
126-0203// Leybold 28901 Valve [asis]
126-0203// Leybold 28901 Valve [asis]
 104 68  
 
176-0103// Amat Applied 0050-07959 Applied Matrials Components [asis]
176-0103// Amat Applied 0050-07959 Applied Matrials Components [asis]
 104 68  
 
177-0503// Lam Gas Line 715-250174-004  [used]
177-0503// Lam Gas Line 715-250174-004 [used]
 104 68  
 
143-0402// Lam Cable 853-075948-281  [used]
143-0402// Lam Cable 853-075948-281 [used]
 104 68  
 
1489-A1D050 Breaker
1489-A1D050 Breaker
 20 47  
 
EX-13P Sensor, SUNX EX-13P
EX-13P Sensor, SUNX EX-13P
 20 94  
 
486206-001 Fan, Cooling Server / Generic 486206-001 / T35696-HP / HP
486206-001 Fan, Cooling Server / Generic 486206-001 / T35696-HP / HP
 20 47  
 
325-0401// Aera Fc-780cht (#2) C5h5n 500sccm [asis]
325-0401// Aera Fc-780cht (#2) C5h5n 500sccm [asis]
 104 68  
 
SANG-A Valve, 5/32 / 4 / Pneumatic Push Connector Regulator Valve / SANG-A
SANG-A Valve, 5/32 / 4 / Pneumatic Push Connector Regulator Valve / SANG-A
 20 47  
 
SZR-MY2-N1 Relay, SZR-MY2-N1 / 24 VDC  / With Base / Honeywell
SZR-MY2-N1 Relay, SZR-MY2-N1 / 24 VDC / With Base / Honeywell
 20 47  
 
BMF9-02 Relay, BMF9-02 / Green Power Relay / Type FT-20 / Matsushita
BMF9-02 Relay, BMF9-02 / Green Power Relay / Type FT-20 / Matsushita
 20 94  
 
BMF9-005 Relay, BMF9-005 / Green Power Relay / Type FT-20 / Matsushita
BMF9-005 Relay, BMF9-005 / Green Power Relay / Type FT-20 / Matsushita
 20 94  
 
G3NA-205B Relay, G3NA-205B / Solid State Relay / 240 VAC / 5~24VDC / Omron
G3NA-205B Relay, G3NA-205B / Solid State Relay / 240 VAC / 5~24VDC / Omron
 20 94  
 
715G2659-1 Board, 715G2659-1 / REV A01 / DELL
715G2659-1 Board, 715G2659-1 / REV A01 / DELL
 20 47  
 
716018002 MFC Connector Piggyback DB9 7 Pin
716018002 MFC Connector Piggyback DB9 7 Pin
 20 47  
 
Patlite EMB-24 Speaker,USED
Patlite EMB-24 Speaker,USED
 261 71  
 
42600719 Valve, 944AOP
42600719 Valve, 944AOP
 152 67  
 
78165-20 Cole Parmer, 78165-20
78165-20 Cole Parmer, 78165-20
 104 68  
 
DA405M0RR Disply Alarm  mm H2O
DA405M0RR Disply Alarm mm H2O
 153 54  
 
SMT-8000 Horiba Stec    ????
SMT-8000 Horiba Stec ????
 135 22  
 
DPAM500 Valve, 250 PSI Max / 17 BarsDPAM500-500-10PV1FSM-TO
DPAM500 Valve, 250 PSI Max / 17 BarsDPAM500-500-10PV1FSM-TO
 157 03  
 
44-2262-942-191 Valve, 44-2262-949-191 / 27 bar ~ 400 PSiG / With Pressure Gauge
44-2262-942-191 Valve, 44-2262-949-191 / 27 bar ~ 400 PSiG / With Pressure Gauge
 157 03  
 
CM-450A Monitor, CM-450A / Industrial LCD Monitor / AC100C~220V / 50~60Hz
CM-450A Monitor, CM-450A / Industrial LCD Monitor / AC100C~220V / 50~60Hz
 157 03  
 
107527 Board, 107527 / Assy Board Display with 106860 and 106857B / MKS
107527 Board, 107527 / Assy Board Display with 106860 and 106857B / MKS
 152 67  
 
Novellus 03-108078-01N REV A Cable 75ft
Novellus 03-108078-01N REV A Cable 75ft
 136 09  
 
Hitachi DT-01A Circuit Board PCB Hitachi MU-712E Used Working
Hitachi DT-01A Circuit Board PCB Hitachi MU-712E Used Working
 261 83  
 
Hitachi RYY-1 Circuit Board PCB Hitachi MU-712E Used Working
Hitachi RYY-1 Circuit Board PCB Hitachi MU-712E Used Working
 261 83  
 
325-0102// Amat Applied 0226-00714 Mfc Unit 8160 500sccm O2 1/4vc [asis]
325-0102// Amat Applied 0226-00714 Mfc Unit 8160 500sccm O2 1/4vc [asis]
 261 71  
 
325-0102// Amat Applied 0227-11914 Mfc 8160 20 Sccm Cf4 1/4vcr Mtl Nc [asis]
325-0102// Amat Applied 0227-11914 Mfc 8160 20 Sccm Cf4 1/4vcr Mtl Nc [asis]
 261 71  
 
325-0102// Amat Applied 3030-01886 Mfc 1660 100sccm He 1/4vcr Mtl Nc Hov [asis]
325-0102// Amat Applied 3030-01886 Mfc 1660 100sccm He 1/4vcr Mtl Nc Hov [asis]
 261 71  
 
325-0102// AMAT APPLIED 3030-01912 wMFC 1660 2SLM H2 1/4VCR MTL [ASIS]
325-0102// AMAT APPLIED 3030-01912 wMFC 1660 2SLM H2 1/4VCR MTL [ASIS]
 261 71  
 
325-0102// Amat Applied 3030-02655 Applied Matrials Components [asis]
325-0102// Amat Applied 3030-02655 Applied Matrials Components [asis]
 261 71  
 
325-0102// Amat Applied 3030-03512 Applied Matrials Components [asis]
325-0102// Amat Applied 3030-03512 Applied Matrials Components [asis]
 261 71  
 
325-0102// Amat Applied 3030-04975 Mfc 8160 100sccm Sf6 1/4vcr Mtl [asis]
325-0102// Amat Applied 3030-04975 Mfc 8160 100sccm Sf6 1/4vcr Mtl [asis]
 261 71  
 
325-0102// Amat Applied 3030-06944 Applied Matrials Components [asis]
325-0102// Amat Applied 3030-06944 Applied Matrials Components [asis]
 261 71  
 
325-0201// Amat Applied 0225-02259 Ufc-1100 N2 1slm Mass Flow Controller [asis]
325-0201// Amat Applied 0225-02259 Ufc-1100 N2 1slm Mass Flow Controller [asis]
 261 71  
 
325-0201// Amat Applied 0225-15080 Ufc-1560 500sccm Mass Flow Controller [asis]
325-0201// Amat Applied 0225-15080 Ufc-1560 500sccm Mass Flow Controller [asis]
 261 71  
 
325-0201// Amat Applied 0225-15085 Mfc Kit, Unit 1260a, H2 500sccm 10ra [asis]
325-0201// Amat Applied 0225-15085 Mfc Kit, Unit 1260a, H2 500sccm 10ra [asis]
 261 71  
 
325-0201// AMAT APPLIED 0225-33916 wUNIT UFC1160A HBR 200 SCCM [ASIS]
325-0201// AMAT APPLIED 0225-33916 wUNIT UFC1160A HBR 200 SCCM [ASIS]
 261 71  
 
325-0201// Amat Applied 0225-33918 Unit Mfc1160a Cf4 100sccm [asis]
325-0201// Amat Applied 0225-33918 Unit Mfc1160a Cf4 100sccm [asis]
 261 71  
 
325-0201// AMAT APPLIED 0225-33920 wUNIT MFC1160A HE/O2 20SCCM [ASIS]
325-0201// AMAT APPLIED 0225-33920 wUNIT MFC1160A HE/O2 20SCCM [ASIS]
 261 71  
 
325-0201// Amat Applied 0225-33921 Unit Mfc1160a Chf3 100sccm [asis]
325-0201// Amat Applied 0225-33921 Unit Mfc1160a Chf3 100sccm [asis]
 261 71  
 
325-0201// AMAT APPLIED 0225-33922 wUNIT MFC1160A AR, 200SCCM 7RA [ASIS]
325-0201// AMAT APPLIED 0225-33922 wUNIT MFC1160A AR, 200SCCM 7RA [ASIS]
 261 71  
 
325-0201// AMAT APPLIED 0225-33923 wUNIT MFC1160A CF4 50SCCM [ASIS]
325-0201// AMAT APPLIED 0225-33923 wUNIT MFC1160A CF4 50SCCM [ASIS]
 261 71  
 
325-0201// Amat Applied 0225-33924 Unit Mfc1160a 02 200sccm [asis]
325-0201// Amat Applied 0225-33924 Unit Mfc1160a 02 200sccm [asis]
 261 71  
 
325-0202// AMAT APPLIED 0225-33925 wUNIT MFC1160A O2 20SCCM [ASIS]
325-0202// AMAT APPLIED 0225-33925 wUNIT MFC1160A O2 20SCCM [ASIS]
 261 71  
 
325-0202// Amat Applied 0226-33622 Unit Ufc-1161a O2 10sccm [asis]
325-0202// Amat Applied 0226-33622 Unit Ufc-1161a O2 10sccm [asis]
 261 71  
 
325-0202// Amat Applied 0226-48732 Unit Ufc-1100a N2 5slm [asis]
325-0202// Amat Applied 0226-48732 Unit Ufc-1100a N2 5slm [asis]
 261 71  
 
325-0202// Amat Applied 3030-01053 Ufx-1100 3slm Mass Flow Controller [asis]
325-0202// Amat Applied 3030-01053 Ufx-1100 3slm Mass Flow Controller [asis]
 261 71  
 
325-0203// AMAT APPLIED 3030-01062 wAFC MASS FLOW CONT 100S **DEPOTS [ASIS]
325-0203// AMAT APPLIED 3030-01062 wAFC MASS FLOW CONT 100S **DEPOTS [ASIS]
 261 71  
 
325-0203// AMAT APPLIED 3030-01064 wMFC 1100 N2 500SCCM 1/4VCR-M N/C [ASIS]
325-0203// AMAT APPLIED 3030-01064 wMFC 1100 N2 500SCCM 1/4VCR-M N/C [ASIS]
 261 71  
 
325-0203// AMAT APPLIED 3030-01066 wAFC MASS FLOW CONTROLLE [ASIS]
325-0203// AMAT APPLIED 3030-01066 wAFC MASS FLOW CONTROLLE [ASIS]
 261 71  
 
325-0203// AMAT APPLIED 3030-01067 wAFC 100 SCCM NH3 [ASIS]
325-0203// AMAT APPLIED 3030-01067 wAFC 100 SCCM NH3 [ASIS]
 261 71  
 
325-0203// Amat Applied 3030-01662 Mfc Unit 300 Sccm Nf3 15 Pin D-con [asis]
325-0203// Amat Applied 3030-01662 Mfc Unit 300 Sccm Nf3 15 Pin D-con [asis]
 261 71  
 
325-0303// Kinetics Unit 8100 (#2) O2 20cc [asis]
325-0303// Kinetics Unit 8100 (#2) O2 20cc [asis]
 261 71  
 
325-0303// Kinetics Unit 8100 (#3) Cf4 50sccm [asis]
325-0303// Kinetics Unit 8100 (#3) Cf4 50sccm [asis]
 261 71  
 
325-0303// UNIT UFC-8160 (#1) Cl2 100SCCM [ASIS]
325-0303// UNIT UFC-8160 (#1) Cl2 100SCCM [ASIS]
 261 71  
 
325-0401// Aera Fc-7810cd C3h9n 2slm [asis]
325-0401// Aera Fc-7810cd C3h9n 2slm [asis]
 261 71  
 
325-0401// Aera Fc-980n Clf3 500sccm [asis]
325-0401// Aera Fc-980n Clf3 500sccm [asis]
 261 71  
 
325-0401// Aera Fc-p201-gf O2 10slm [asis]
325-0401// Aera Fc-p201-gf O2 10slm [asis]
 261 71  
 
417-0101// Yaskawa Sgmjv-08a3a6c (unclean) Motor [used/fast]
417-0101// Yaskawa Sgmjv-08a3a6c (unclean) Motor [used/fast]
 261 71  
 
422-0101// Atlas Ahd55c4-22s Gpl052-3s/64:1 Ac Servo Motor [used/fast]
422-0101// Atlas Ahd55c4-22s Gpl052-3s/64:1 Ac Servo Motor [used/fast]
 261 71  
 
429-0203// Animatics Sm3416ddebrsev Motor [used/fast]
429-0203// Animatics Sm3416ddebrsev Motor [used/fast]
 261 71  
 
433-0201// Toshiba Vlbst-z04030-bu (broken) Bs Servo Motor [used/fast]
433-0201// Toshiba Vlbst-z04030-bu (broken) Bs Servo Motor [used/fast]
 261 71  
 
431-0202// Toei Vlbst-z04030-bu (broken) Bs Servo Motor [used/fast]
431-0202// Toei Vlbst-z04030-bu (broken) Bs Servo Motor [used/fast]
 261 71  
 
428-0403// Oriental Motor 5lf45u-2 Reducer [used]
428-0403// Oriental Motor 5lf45u-2 Reducer [used]
 261 71  
 
436-0403// Mitsubishi Hc-uf13 Vrsf-25c-100 Ac Servo Motor [used]
436-0403// Mitsubishi Hc-uf13 Vrsf-25c-100 Ac Servo Motor [used]
 261 71  
 
141-0401// Amat Applied 0020-30872 Penel Mini Controller 110 7 Amp [used]
141-0401// Amat Applied 0020-30872 Penel Mini Controller 110 7 Amp [used]
 261 71  
 
IPEC Speedfam 0230-737543 Device Net Send Unit Assembly, SMC EX121-SDN1, 408799
IPEC Speedfam 0230-737543 Device Net Send Unit Assembly, SMC EX121-SDN1, 408799
 305 33  
 
Cha Industries Motor Speed Controller
Cha Industries Motor Speed Controller
 218 09  
 
VLL-3-M5B Valve, VLL-3-M5B / FESTO
VLL-3-M5B Valve, VLL-3-M5B / FESTO
 139 58  
 
Patlite WME-FB  WMEFB Signal Tower  24V AC/DC  2W/1Stack,USED
Patlite WME-FB WMEFB Signal Tower  24V AC/DC  2W/1Stack,USED
 83 75  
 
03-141845-00, Cable
03-141845-00, Cable
 174 47  
 
Applied Materials AMAT Kalrez Centering Ring 3700-03904
Applied Materials AMAT Kalrez Centering Ring 3700-03904
 283 52  
 
Omron Sysmac Cpm2a Cpm2a-60cdr-a  Programmable Controller
Omron Sysmac Cpm2a Cpm2a-60cdr-a Programmable Controller
 218 09  
 
78g2076 (lot Of 4) / Varian Dss 3 34 2m3 / Varian
78g2076 (lot Of 4) / Varian Dss 3 34 2m3 / Varian
 1,222 04  
 
350-0402// Amat Applied 0020-99241 Flange,pda Support, Std [new]
350-0402// Amat Applied 0020-99241 Flange,pda Support, Std [new]
 488 53  
 
Hitachi SEM 9300 Electronic NL802201 Working!!!
Hitachi SEM 9300 Electronic NL802201 Working!!!
 680 45  
 
Millipore Filter -- C5DM9056, WG2F32PR1 -- Used
Millipore Filter -- C5DM9056, WG2F32PR1 -- Used
 88 98  
 
YASKAWA ELECTRIC SGMPH-01AAE-YA11 100W 200V 300 r/min AC SERVO MOTOR
YASKAWA ELECTRIC SGMPH-01AAE-YA11 100W 200V 300 r/min AC SERVO MOTOR
 348 95  
 
AMAT 0022-77033 Drain Retainer Reflection
AMAT 0022-77033 Drain Retainer Reflection
 418 74  
 
EBARA PRECISION - Collar Roller - C-3115-143-0001 (UK)
EBARA PRECISION - Collar Roller - C-3115-143-0001 (UK)
 15   
 
EDWARDS VACUUM - Pipe Heater Wrap TMS 80MM ID 200MM LG MON - Y14M23200 H1 (UK)
EDWARDS VACUUM - Pipe Heater Wrap TMS 80MM ID 200MM LG MON - Y14M23200 H1 (UK)
 14   
 
SCI Solid Controls 428-406 System Controller PCB Card 428-405 Used Working
SCI Solid Controls 428-406 System Controller PCB Card 428-405 Used Working
 703 29  
 
ASE Used AMV-5000PSK REV D Automatic Impedance Matching Unit SEM-I-556=9D42
ASE Used AMV-5000PSK REV D Automatic Impedance Matching Unit SEM-I-556=9D42
 2,617 03  
 
Fairchild control box type: DISP CONT, MOD Assy# 97231299 Rev 1A  PCB:40044631-2
Fairchild control box type: DISP CONT, MOD Assy# 97231299 Rev 1A  PCB:40044631-2
 284 35  
 
Amat 1040-01143 Meter Dig Ldm Press Xdcr 0-60psia Top Bn Ldm-14794
Amat 1040-01143 Meter Dig Ldm Press Xdcr 0-60psia Top Bn Ldm-14794
 479 80  
 
VZ312M-5G-M5 Valve, Solenoid 24V DC Supply Press
VZ312M-5G-M5 Valve, Solenoid 24V DC Supply Press
 20 47  
 
Unit 8560 Celerity c-seal 1000 sccm h2 MFC celerity brooks amat - VCR
Unit 8560 Celerity c-seal 1000 sccm h2 MFC celerity brooks amat - VCR
 157 03  
 
Alpha Automation Intermittent Operating Life (IOL) Test Systems  IOL40T
Alpha Automation Intermittent Operating Life (IOL) Test Systems IOL40T
 3,489 40  
 
142-0703// Amat Applied 0140-21221 H/a, Front Serial Breakout New
142-0703// Amat Applied 0140-21221 H/a, Front Serial Breakout New
 157 03  
 
7X152-1X Valve,
7X152-1X Valve,
 42 92  
 
SaginoMIYA ONE-2NIO
SaginoMIYA ONE-2NIO
 103 64  
 
Compact Automation Products QJM02-3738 w/Reed Switches
Compact Automation Products QJM02-3738 w/Reed Switches
 148 29  
 
Semy Engineering Cage w/ Four PCB Slots, Used Mypro II
Semy Engineering Cage w/ Four PCB Slots, Used Mypro II
 130 86  
 
Nanochem, Bloc Purifier, Oxygen
Nanochem, Bloc Purifier, Oxygen
 174 47  
 
Hitachi ZVL428 PCP, USED
Hitachi ZVL428 PCP, USED
 261 71  
 
Shinko 3ASSYC806300 Operator Interface PCB no Key M173A 2/2 Asyst VHT5-1-1 Used
Shinko 3ASSYC806300 Operator Interface PCB no Key M173A 2/2 Asyst VHT5-1-1 Used
 226 10  
 
Power supply used INRENATIONAL POWER IHTAA-16W SEM-I-520=8F21
Power supply used INRENATIONAL POWER IHTAA-16W SEM-I-520=8F21
 26 07  
 
Brand new yaskawa linear motor SGLTW 80A400B
Brand new yaskawa linear motor SGLTW 80A400B
 2,180 93  
 
Talon Cdv4a-tsts-03khu Diaphragm Valve
Talon Cdv4a-tsts-03khu Diaphragm Valve
 157 03  
 
Applied Materials Amat 0090-06421 0090-07029 Controller
Applied Materials Amat 0090-06421 0090-07029 Controller
 348 95  
 
Novellus Systems 90-2735 Interlock Board A2000 PCB Rev  B Lot of 4 Working
Novellus Systems 90-2735 Interlock Board A2000 PCB Rev  B Lot of 4 Working
 219 10  
 
ZZ-1097 Air Flow Meter
ZZ-1097 Air Flow Meter
 36 64  
 
GEM-B-71 Valve, GEM-B-71 / Solenoid / 230v / 50Hz / 8W / Gem-Sol
GEM-B-71 Valve, GEM-B-71 / Solenoid / 230v / 50Hz / 8W / Gem-Sol
 36 64  
 
A53318108 Cable, A53318108 / to Inverter VS-606V7
A53318108 Cable, A53318108 / to Inverter VS-606V7
 36 64  
 
325-0303// Unit Ufc-8160 (#2) Cf4 100sccm [asis]
325-0303// Unit Ufc-8160 (#2) Cf4 100sccm [asis]
 261 71  
 
325-0401// Aera Fc-780cht (#4) C5h5n 500sccm [asis]
325-0401// Aera Fc-780cht (#4) C5h5n 500sccm [asis]
 261 71  
 
Genus Aixtron 23284-03 Gas Box Interlock PCB Rev  4
Genus Aixtron 23284-03 Gas Box Interlock PCB Rev  4
 52 34  
 
343-0201// Amat Applied 0040-23558 Clamp, Rf Match Mount [used]
343-0201// Amat Applied 0040-23558 Clamp, Rf Match Mount [used]
 104 68  
 
439-0202// Oriental Motor Bxm460m-gfh2 Gfh4g50 (short Cable) Motor [used]
439-0202// Oriental Motor Bxm460m-gfh2 Gfh4g50 (short Cable) Motor [used]
 174 47  
 
141-0402// Sartorius Bb-8886443 B2045069 Sartorius Elcab Cable [used]
141-0402// Sartorius Bb-8886443 B2045069 Sartorius Elcab Cable [used]
 174 47  
 
141-0402// Sartorius Bb-8886972 B2045068 Sartorius Elcab Cable [used]
141-0402// Sartorius Bb-8886972 B2045068 Sartorius Elcab Cable [used]
 174 47  
 
Watlow Controls 988a-20fd-aarg
Watlow Controls 988a-20fd-aarg
 73 28  
 
151-0201// Amat Applied 0020-10112 Applied Matrials Components [asis]
151-0201// Amat Applied 0020-10112 Applied Matrials Components [asis]
 348 95  
 
404-0501// Samsung Csdj-10bx2 Driver [used/fast]
404-0501// Samsung Csdj-10bx2 Driver [used/fast]
 348 95  
 
409-0401// Allen-bradley 2092-kap8 Kinetix 3 Axis Module [used]
409-0401// Allen-bradley 2092-kap8 Kinetix 3 Axis Module [used]
 348 95  
 
418-0301// Yaskawa Ugrmem-02ssw24 4gn9k Minertia Motor [used/fast]
418-0301// Yaskawa Ugrmem-02ssw24 4gn9k Minertia Motor [used/fast]
 348 95  
 
423-0201// Omron R88m-w20030h-bs1 Ac Servo Motor [used/fast]
423-0201// Omron R88m-w20030h-bs1 Ac Servo Motor [used/fast]
 348 95  
 
442-0501// Oriental Motor Kxsd150-alz Ac Servo Driver [used]
442-0501// Oriental Motor Kxsd150-alz Ac Servo Driver [used]
 348 95  
 
ASML Used 4022 472 7466 ROSR RH OPTION SUPPLY RACK SEM-G-440
ASML Used 4022 472 7466 ROSR RH OPTION SUPPLY RACK SEM-G-440
 1,308 47  
 
345-0103// Amat Applied 0190-35198 (#1) Assy,opt Snsr/cable,specificat New
345-0103// Amat Applied 0190-35198 (#1) Assy,opt Snsr/cable,specificat New
 174 47  
 
345-0103// Amat Applied 0190-40092  Seal Assy, Peek New
345-0103// Amat Applied 0190-40092 Seal Assy, Peek New
 174 47  
 
142-0601// Amat Applied 0227-09928 Applied Matrials Components New
142-0601// Amat Applied 0227-09928 Applied Matrials Components New
 174 47  
 
142-0703// Amat Applied 0150-03439 Cable, Cha Pneu/igl, Interconn New
142-0703// Amat Applied 0150-03439 Cable, Cha Pneu/igl, Interconn New
 174 47  
 
323-0201// Amat Applied 0226-30009 O-ring 1 975 Id X  210w Kalrez New
323-0201// Amat Applied 0226-30009 O-ring 1 975 Id X  210w Kalrez New
 174 47  
 
176-0301// Amat 12-1b11aaee-011 Valve [new/fast]
176-0301// Amat 12-1b11aaee-011 Valve [new/fast]
 174 47  
 
Hitachi EC1 TEMP Right Temperature Control Module M-712E CTE11-01 REX-F7 Used
Hitachi EC1 TEMP Right Temperature Control Module M-712E CTE11-01 REX-F7 Used
 358 65  
 
Novellus PVD Universal Stage Shield (16-126664-01), 15 Lb ; cleaned by Cleanpart
Novellus PVD Universal Stage Shield (16-126664-01), 15 Lb ; cleaned by Cleanpart
 558 31  
 
SS-8BK-VCRVP-10 Valve, Bellows Sealed High
SS-8BK-VCRVP-10 Valve, Bellows Sealed High
 57 58  
 
SS-8BK-VCRVP-1C Valve, Bellows Sealed High
SS-8BK-VCRVP-1C Valve, Bellows Sealed High
 57 58  
 
Philips FEI XL40 4022 192 71114 SCDR Power Supply module
Philips FEI XL40 4022 192 71114 SCDR Power Supply module
 1,308 56  
 
Amat 0140-03410 H/a Comm Port Server-fabs Microscan,used
Amat 0140-03410 H/a Comm Port Server-fabs Microscan,used
 261 71  
 
iC60N-C6A Braker,
iC60N-C6A Braker,
 20 47  
 
417-0501// Yaskawa Sgda-03bp Servopack [used/fast]
417-0501// Yaskawa Sgda-03bp Servopack [used/fast]
 348 95  
 
Kokusai Electric D3E01450 Processor Board PCB EL-I/F Used Working
Kokusai Electric D3E01450 Processor Board PCB EL-I/F Used Working
 269 72  
 
441-0102// Oriental Motor 2rk6gn-a 2gn120k Motor [used/fast]
441-0102// Oriental Motor 2rk6gn-a 2gn120k Motor [used/fast]
 73 28  
 
441-0103// Oriental Motor 2rk6rgn-a 2gn100k Motor [used/fast]
441-0103// Oriental Motor 2rk6rgn-a 2gn100k Motor [used/fast]
 73 28  
 
441-0103// Oriental Motor 2rk6gn-cw 2gn36k Reversible Motor [used]
441-0103// Oriental Motor 2rk6gn-cw 2gn36k Reversible Motor [used]
 73 28  
 
GaSonics A-2000LL Wafer Loader Transfer Arm Used Working
GaSonics A-2000LL Wafer Loader Transfer Arm Used Working
 1,661 09  
 
Neles / Metso Automation S426434 Board Vat 65426436a / 65426436b
Neles / Metso Automation S426434 Board Vat 65426436a / 65426436b
 55 43  
 
2123XBT Fan Blower 220/240~50/60Hz 0 14A
2123XBT Fan Blower 220/240~50/60Hz 0 14A
 41 87  
 
MU1225S-51N Fan, AC
MU1225S-51N Fan, AC
 41 87  
 
BFX1020 Contact, BFX1020 / 24v / 6kv / 10a / Lovato
BFX1020 Contact, BFX1020 / 24v / 6kv / 10a / Lovato
 41 87  
 
CLD4H1G-C2Y Valve, CLD4H1G-C2Y / Pressure Valve / SAM
CLD4H1G-C2Y Valve, CLD4H1G-C2Y / Pressure Valve / SAM
 41 87  
 
348-0303// Amat Applied 0020-22910 Applied Matrials Components [2nd Source New]
348-0303// Amat Applied 0020-22910 Applied Matrials Components [2nd Source New]
 523 42  
 
Sankyo Robot Controller Used SC3150 ASML 4022 636 32221 SEM-I-536=9B47
Sankyo Robot Controller Used SC3150 ASML 4022 636 32221 SEM-I-536=9B47
 1,744 66  
 
miControl mcDSA-E30-HC
miControl mcDSA-E30-HC
 82 70  
 
SMC AR20-02BE regulator, USED
SMC AR20-02BE regulator, USED
 26 17  
 
Ferraz Shawmut Z330026 Protistor FUSE, 660-690V AC, 160A, LOT 2, USED
Ferraz Shawmut Z330026 Protistor FUSE, 660-690V AC, 160A, LOT 2, USED
 83 75  
 
Brooks Hermos N5gtsg Tlg-s1-axc-01 Tlg-rs232  Transponder Reader
Brooks Hermos N5gtsg Tlg-s1-axc-01 Tlg-rs232 Transponder Reader
 152 67  
 
SVG Silicon Valley Group 85-19607-003 Short Stroke Arm 90S Working
SVG Silicon Valley Group 85-19607-003 Short Stroke Arm 90S Working
 444 12  
 
ASML Used 4022 658 39112, 4022 631 52272,4022 631 52291 SEM-I-900=9B32
ASML Used 4022 658 39112, 4022 631 52272,4022 631 52291 SEM-I-900=9B32
 2,180 84  
 
145-0201// CIT 5FT (15Ax1 4M) FLEX HE LINE HOSE [ASIS]
145-0201// CIT 5FT (15Ax1 4M) FLEX HE LINE HOSE [ASIS]
 125 62  
 
434-0202// Panasonic M6ra6gv4y M6ga5m Motor [used]
434-0202// Panasonic M6ra6gv4y M6ga5m Motor [used]
 136 09  
 
AMAT GO Regulator, PR5-2A11D5C111, 844993
AMAT GO Regulator, PR5-2A11D5C111, 844993
 183 20  
 
FEI Company 150-002630 SEM Electrostatic Optics CLM Backplane PCB CLM-3D Used
FEI Company 150-002630 SEM Electrostatic Optics CLM Backplane PCB CLM-3D Used
 225 22  
 
Dage BS23-LC200 *For Parts*
Dage BS23-LC200 *For Parts*
 87 24  
 
685-801852-012 / Spectrometer Control Unit / Lam
685-801852-012 / Spectrometer Control Unit / Lam
 306 19  
 
317-0201// AMAT APPLIED 0010-21631 ASSY, CH A OR B LID with VIEWPORTS [ASIS]
317-0201// AMAT APPLIED 0010-21631 ASSY, CH A OR B LID with VIEWPORTS [ASIS]
 872 37  
 
MOELLER Contact DIL M250 110-250V DC Universal, #2100-256-0550-01
MOELLER Contact DIL M250 110-250V DC Universal, #2100-256-0550-01
 125 61  
 
325-0103// Amat Applied 3030-07413 Mfc D980 5slm O2 1/4vcr Mtl N/c 20p-d [asis]
325-0103// Amat Applied 3030-07413 Mfc D980 5slm O2 1/4vcr Mtl N/c 20p-d [asis]
 261 71  
 
AMAT Applied Materials 0680-03059 CB MAG THERM 3P 240VAC 70AMP 22KAIC RING LUF
AMAT Applied Materials 0680-03059 CB MAG THERM 3P 240VAC 70AMP 22KAIC RING LUF
 52 34  
 
Quartz Autofill Ball Joint, ME66-000011-00-00, New, Lot of 2
Quartz Autofill Ball Joint, ME66-000011-00-00, New, Lot of 2
 305 33  
 
407-0201// Hanyoung 1000-pkmnr07 Hy-1000 Thermostat [used]
407-0201// Hanyoung 1000-pkmnr07 Hy-1000 Thermostat [used]
 20 94  
 
AMAT Applied Materials 0020-22647 Upper Shield Used Working
AMAT Applied Materials 0020-22647 Upper Shield Used Working
 354 34  
 
Prodrive Paac 500/65 Asml 4022 636 65066, Pn:6107-0800-6706 Sem-i-921=9a49
Prodrive Paac 500/65 Asml 4022 636 65066, Pn:6107-0800-6706 Sem-i-921=9a49
 1,134   
 
VC-1410-UC Valve, Injection / 150c set Temp / Gas BTBAS /
VC-1410-UC Valve, Injection / 150c set Temp / Gas BTBAS /
 340 23  
 
F104-iO/1 Processor Assy DNX5191 / Dynax
F104-iO/1 Processor Assy DNX5191 / Dynax
 327 14  
 
Pro-face 3280007-12, Touch Panel Display, Rating : Class2 24V DC 0 98A
Pro-face 3280007-12, Touch Panel Display, Rating : Class2 24V DC 0 98A
 261 71  
 
WG2FT1RR2 Inline Filter
WG2FT1RR2 Inline Filter
 51 30  
 
C60N-C16 Braker,
C60N-C16 Braker,
 50 25  
 
9700-8948-02 Cabel, with 2 plugs
9700-8948-02 Cabel, with 2 plugs
 47 11  
 
ZZ-1048 Air Flow Meter
ZZ-1048 Air Flow Meter
 57 58  
 
2050 Gauge Water Pressure 15 PSIG 0 To 50
2050 Gauge Water Pressure 15 PSIG 0 To 50
 62 81  
 
8556N Fan,
8556N Fan,
 62 81  
 
RL65-21/14H Fan,
RL65-21/14H Fan,
 62 80  
 
31021 Valve, Solenoid / 24v / 5 Watts / 0~125 Psi
31021 Valve, Solenoid / 24v / 5 Watts / 0~125 Psi
 47 11  
 
MFS2-PPC22-Z08-074 Valve, Solenoid / 24VDC / with block / Kuroda / Parker
MFS2-PPC22-Z08-074 Valve, Solenoid / 24VDC / with block / Kuroda / Parker
 68 05  
 
6J30D Fuse, 6J30D With Module R6J30A3S / 600V /Marathon
6J30D Fuse, 6J30D With Module R6J30A3S / 600V /Marathon
 62 81  
 
SD-N12 Contactor, SD-N12 / Magnetic Contactor / Mitsubishi
SD-N12 Contactor, SD-N12 / Magnetic Contactor / Mitsubishi
 62 81  
 
*tc*  Leybold Turbovac 50 Turbo Vacuum Pump   (tfw2)
*tc* Leybold Turbovac 50 Turbo Vacuum Pump (tfw2)
 872 37  
 
(188) NEW- Swagelok JC40T50 Teflon Clamp Seal Gaskets || Fast Shipped ????
(188) NEW- Swagelok JC40T50 Teflon Clamp Seal Gaskets || Fast Shipped ????
 104 68  
 
EAF2000-F02D Valve, EAF2000-F02D / Air Regulator Valve / SMC
EAF2000-F02D Valve, EAF2000-F02D / Air Regulator Valve / SMC
 68 05  
 
LR-D-MiMi Valve, LR-D-MiMi / 159624 N743 / With Pressure Gauge 345 395 N3 / FEST
LR-D-MiMi Valve, LR-D-MiMi / 159624 N743 / With Pressure Gauge 345 395 N3 / FEST
 62 81  
 
MR9ML Linear Bearing Rail, MR9ML / N+3ZZ  / CPC
MR9ML Linear Bearing Rail, MR9ML / N+3ZZ / CPC
 57 58  
 
PV5EK Valve, PV5EK / Vacuum Valve / 230V / 50Hz / 8 Watts / Automation Systems /
PV5EK Valve, PV5EK / Vacuum Valve / 230V / 50Hz / 8 Watts / Automation Systems /
 68 05  
 
NUGA Relay, NUGA GmbH Typ NP / Niveausteuerung / With Base 90 112 010A / 400VAC
NUGA Relay, NUGA GmbH Typ NP / Niveausteuerung / With Base 90 112 010A / 400VAC
 47 11  
 
C500-BC051 Board, C500-BC051, Back Board / 3G2A5-BC051 / Omron
C500-BC051 Board, C500-BC051, Back Board / 3G2A5-BC051 / Omron
 57 58  
 
E5-1250-197-I-S-H-D-B Motor, E5-1250-197-I-S-H-D-B / 211-18-01D-10RO / Mini Step
E5-1250-197-I-S-H-D-B Motor, E5-1250-197-I-S-H-D-B / 211-18-01D-10RO / Mini Step
 68 05  
 
Applied Materials 500091414 PLATE QUARTZ 300MM ATM
Applied Materials 500091414 PLATE QUARTZ 300MM ATM
 157 03  
 
Eni Mw-25d-02m1
Eni Mw-25d-02m1
 2,180 93  
 
Thermalogic PCB 718-525 Working
Thermalogic PCB 718-525 Working
 445 86  
 
Semes Rf Filter
Semes Rf Filter
 436 10  
 
KLA Instruments 710-650204-20 Y Flex Board PCB 2132 200mm Wafer Used Working
KLA Instruments 710-650204-20 Y Flex Board PCB 2132 200mm Wafer Used Working
 708 52  
 
Schlumberger Systems Servo Interface PCB Rev  A Used Working
Schlumberger Systems Servo Interface PCB Rev  A Used Working
 226 91  
 
Amat 0021-79841 Shield Mount , Used
Amat 0021-79841 Shield Mount , Used
 261 71  
 
436-0301// Mitsubishi Hc-kf13bg1d-ue K6505da Motor [used]
436-0301// Mitsubishi Hc-kf13bg1d-ue K6505da Motor [used]
 261 71  
 
436-0201// Mitsubishi Ha-fe13d (unclean) Ac Servo Motor [used]
436-0201// Mitsubishi Ha-fe13d (unclean) Ac Servo Motor [used]
 261 71  
 
123-0302// Amat Applied 0020-21826 Clamp Viewport Detector [used]
123-0302// Amat Applied 0020-21826 Clamp Viewport Detector [used]
 261 71  
 
323-0403// Cutler-hammer 13104r6517 Sensor [used]
323-0403// Cutler-hammer 13104r6517 Sensor [used]
 174 47  
 
Andover Corporation 400FS10-25, AM-42833, GaSoncis International
Andover Corporation 400FS10-25, AM-42833, GaSoncis International
 36 64  
 
Idec Izumi HG-MK1027 CC Hand Pendant Controller Advantest T6671E
Idec Izumi HG-MK1027 CC Hand Pendant Controller Advantest T6671E
 1,744 74  
 
RECIF Technologies DISDH0132A Interface Board PCB PCB0132A Used Working
RECIF Technologies DISDH0132A Interface Board PCB PCB0132A Used Working
 222 60  
 
Varian 1104770-01 1104771 1104772 Cr Timer Console Pcb
Varian 1104770-01 1104771 1104772 Cr Timer Console Pcb
 173 60  
 
Ewal 56-632-4tw2 Connector
Ewal 56-632-4tw2 Connector
 41 87  
 
346-0103// Amat Applied 0020-20524 Hub Left, Corner Cover  Lamp Bake Out [asis]
346-0103// Amat Applied 0020-20524 Hub Left, Corner Cover Lamp Bake Out [asis]
 125 62  
 
322-0202// Amat Applied 0190-09332 Flow Switch Assy, Lamp Module [asis]
322-0202// Amat Applied 0190-09332 Flow Switch Assy, Lamp Module [asis]
 146 56  
 
325-0303// Unit Ufc-8160 (#3) N2 100sccm [asis]
325-0303// Unit Ufc-8160 (#3) N2 100sccm [asis]
 261 71  
 
325-0401// AERA FC-780CHT (#3) TiCl4 100SCCM [ASIS]
325-0401// AERA FC-780CHT (#3) TiCl4 100SCCM [ASIS]
 261 71  
 
434-0202// Panasonic M6ra6gv4y M6ga3m Motor [used]
434-0202// Panasonic M6ra6gv4y M6ga3m Motor [used]
 136 09  
 
123-0202// Amat Applied 0040-09036 Support, Bus Bar [used]
123-0202// Amat Applied 0040-09036 Support, Bus Bar [used]
 136 09  
 
141-0701// Amat Applied 0150-09057 Cable Assy Rf Generator Used
141-0701// Amat Applied 0150-09057 Cable Assy Rf Generator Used
 146 56  
 
143-0503// Amat Applied 0150-00275 Cable Assy,pc Based Mono Used
143-0503// Amat Applied 0150-00275 Cable Assy,pc Based Mono Used
 125 62  
 
405-0202// Autonics A2k-s544 (unclean) (3ea) Motor [used]
405-0202// Autonics A2k-s544 (unclean) (3ea) Motor [used]
 136 09  
 
350-0202// Amat Applied 0020-27136 Support, Actuator Shutter Linkage [used]
350-0202// Amat Applied 0020-27136 Support, Actuator Shutter Linkage [used]
 136 09  
 
114-0501// Amat Applied 0224-45163 Ril,front Mount [used]
114-0501// Amat Applied 0224-45163 Ril,front Mount [used]
 125 62  
 
340-0403// Amat Applied 0040-00887 Bracket, Status Lamp Dual-wall Mtg [used]
340-0403// Amat Applied 0040-00887 Bracket, Status Lamp Dual-wall Mtg [used]
 125 62  
 
177-0402// Amat Applied 0050-38361 Weldment,chmb A, Pump,foreline, 1/4 Od [used]
177-0402// Amat Applied 0050-38361 Weldment,chmb A, Pump,foreline, 1/4 Od [used]
 136 09  
 
176-0402// Amat Applied 0050-25238 Applied Matrials Components [used]
176-0402// Amat Applied 0050-25238 Applied Matrials Components [used]
 125 62  
 
322-0403// Amat Applied 0020-31016 Housing Quartz Tube Bwcvd [used]
322-0403// Amat Applied 0020-31016 Housing Quartz Tube Bwcvd [used]
 125 62  
 
142-0501// Amat Applied 0150-06686 Applied Matrials Components [used]
142-0501// Amat Applied 0150-06686 Applied Matrials Components [used]
 125 62  
 
Elmo Motion Control G-DCWHI2 5/100EE
Elmo Motion Control G-DCWHI2 5/100EE
 348 95  
 
EA6021 / EA6021 AC100 /110 /120V 50-60Hz / 4w
EA6021 / EA6021 AC100 /110 /120V 50-60Hz / 4w
 261 71  
 
Panasonic Ac Servo Motor, Msmd5azs1s
Panasonic Ac Servo Motor, Msmd5azs1s
 314 05  
 
344-0401// Amat Applied 0020-18884 Applied Matrials Components New
344-0401// Amat Applied 0020-18884 Applied Matrials Components New
 174 47  
 
Lot of 2 Banner Engineering MGR616A Light Curtain Receiver Beam Array
Lot of 2 Banner Engineering MGR616A Light Curtain Receiver Beam Array
 261 71  
 
320-0303// Amat Applied 0010-09292 0100-09032 0100-09033 [2nd Source New]
320-0303// Amat Applied 0010-09292 0100-09032 0100-09033 [2nd Source New]
 697 90  
 
Novellus 03-00039-00 REV C, TP INT CABLE
Novellus 03-00039-00 REV C, TP INT CABLE
 157 03  
 
Asyst Technologies 3200-1251-02  PCB BOARD REV A
Asyst Technologies 3200-1251-02 PCB BOARD REV A
 392 57  
 
346-0302// Amat Applied 0020-09432 0020-09434 Cover,housing,plug 2nd Source New
346-0302// Amat Applied 0020-09432 0020-09434 Cover,housing,plug 2nd Source New
 157 03  
 
Daifuku CTV-3484A Interface Board PCB KK1984V-0 Used Working
Daifuku CTV-3484A Interface Board PCB KK1984V-0 Used Working
 135 37  
 
Infotrend 9270CmSASCab3 Cable for Raid System, 452339
Infotrend 9270CmSASCab3 Cable for Raid System, 452339
 94 22  
 
Gasonics 90-1036-01 MFC/MFM Interface PCB Revision C Lot of 2 Used Working
Gasonics 90-1036-01 MFC/MFM Interface PCB Revision C Lot of 2 Used Working
 218 22  
 
Dek 181014 Pcb Cognex Vision Adaptor Issue V1
Dek 181014 Pcb Cognex Vision Adaptor Issue V1
 191 92  
 
H3DE-M2 Timer Relay
H3DE-M2 Timer Relay
 68 05  
 
136-0401// Amat Applied 0090-77327 Assy, Electronic Flow Meters C [used]
136-0401// Amat Applied 0090-77327 Assy, Electronic Flow Meters C [used]
 401 29  
 
Tachikawa TC-Converter TC-2000K Lot of 3 Used Working
Tachikawa TC-Converter TC-2000K Lot of 3 Used Working
 264 43  
 
Varian SCH-D883801-01(A) D883802-01-A  FAULT CONDITIONING BOARD D883800-01(A)
Varian SCH-D883801-01(A) D883802-01-A FAULT CONDITIONING BOARD D883800-01(A)
 174 47  
 
AMAT 0010-03345 WxZ Heater Wafer Pedestal 8"/200mm JHT
AMAT 0010-03345 WxZ Heater Wafer Pedestal 8"/200mm JHT
 436 18  
 
126-0203// Mdc Av-150m-p-03 (#1) Valve [asis]
126-0203// Mdc Av-150m-p-03 (#1) Valve [asis]
 436 19  
 
brooksnet DN devicenet vacuum gauge automation AMAT novellus lam
brooksnet DN devicenet vacuum gauge automation AMAT novellus lam
 104 68  
 
Tegal 99-207-004/K MBE-4 PCB
Tegal 99-207-004/K MBE-4 PCB
 94 22  
 
141-0601// Amat Applied 0140-21176 Harness, Vectra Imp Rf Match T New
141-0601// Amat Applied 0140-21176 Harness, Vectra Imp Rf Match T New
 183 20  
 
Tokyo Electron 1110-206236-11 Flange Water Cooling Under DS1110-206236-11
Tokyo Electron 1110-206236-11 Flange Water Cooling Under DS1110-206236-11
 1,701 13  
 
Hermes Microvision F4DDC_X13 4 Driver Board
Hermes Microvision F4DDC_X13 4 Driver Board
 157 02  
 
200mm Silicon Top Ring Super E
200mm Silicon Top Ring Super E
 719 71  
 
PILLAR TYPE : UNION PANEL MOUNT W8 free ship
PILLAR TYPE : UNION PANEL MOUNT W8 free ship
 99 45  
 
Schaffner Fs5409-12-06 Current Filter
Schaffner Fs5409-12-06 Current Filter
 94 22  
 
SMAR PRESSURE SENSOR PART NO LD301 MODEL M3 NEMA 4X/6 4   20mA 2300 PSI
SMAR PRESSURE SENSOR PART NO LD301 MODEL M3 NEMA 4X/6 4   20mA 2300 PSI
 392 57  
 
Fx-7p / Automatic Sensitivity Setting Fiber Optic Sensor / Sunx
Fx-7p / Automatic Sensitivity Setting Fiber Optic Sensor / Sunx
 105 72  
 
Amat 3310-00114 Gauge Press 0-100 Psi 1 5 D 1/8 Npt Ctr-back Pnl U-clamp
Amat 3310-00114 Gauge Press 0-100 Psi 1 5 D 1/8 Npt Ctr-back Pnl U-clamp
 104 68  
 
Varian     E15005012 Cryo Purge Control Pcb Rev-a
Varian E15005012 Cryo Purge Control Pcb Rev-a
 196 28  
 
Keyence N-400, Multi-Drop Link Unit
Keyence N-400, Multi-Drop Link Unit
 125 62  
 
AMAT 0190-07450 REV 5 Digital I/O  PCB
AMAT 0190-07450 REV 5 Digital I/O PCB
 435 31  
 
Shinko Electric 3ASSYC806200 Interface PCB M172 Asyst VHT5-1-1 Used Working
Shinko Electric 3ASSYC806200 Interface PCB M172 Asyst VHT5-1-1 Used Working
 269 72  
 
Hitachi Controller Module IOTU-01N Used
Hitachi Controller Module IOTU-01N Used
 680 45  
 
Fusion Systems AC ACU - 310401R
Fusion Systems AC ACU - 310401R
 70 91  
 
LTX Trillium 865-7116-05-01 Manipulator Test Head Printed Circuit Board
LTX Trillium 865-7116-05-01 Manipulator Test Head Printed Circuit Board
 1,221 31  
 
TEL - Tokyo Electron Ltd  3M81-040785-11 PCB UNIVERSAL RLY
TEL - Tokyo Electron Ltd  3M81-040785-11 PCB UNIVERSAL RLY
 218 09  
 
General Micro System Gmssrpx-01-b & Gmsv36-01-f Srpx2266,v36f5761
General Micro System Gmssrpx-01-b & Gmsv36-01-f Srpx2266,v36f5761
 959 52  
 
DAINIPPON Screen SWCS-MNC-4 UC6SWCSMNC1Y1
DAINIPPON Screen SWCS-MNC-4 UC6SWCSMNC1Y1
 435 31  
 
Festo Manifold Assy Cpv18-vi
Festo Manifold Assy Cpv18-vi
 106 77  
 
EXONE 2819132v004 INDUSTRIAL COMPUTER CONTROLLER
EXONE 2819132v004 INDUSTRIAL COMPUTER CONTROLLER
 2,180 93  
 
VARIAN AP&F Board 991978-02A 87195813-00H     3B
VARIAN AP&F Board 991978-02A 87195813-00H 3B
 104 68  94 22  
 
AMAT Applied Materials 8 KV Connector 220550583
AMAT Applied Materials 8 KV Connector 220550583
 36 63  
 
Proteus Industries 9203SS24F3P5 24V/60mA Flow Meter
Proteus Industries 9203SS24F3P5 24V/60mA Flow Meter
 27 21  
 
322-0403// Amat Applied 0021-09720 Plate,motor,heater Lift Dxz [used]
322-0403// Amat Applied 0021-09720 Plate,motor,heater Lift Dxz [used]
 165 75  
 
344-0403// Amat Applied 3690-01624 *screw Cptv Hex Hd 4-40 [used]
344-0403// Amat Applied 3690-01624 *screw Cptv Hex Hd 4-40 [used]
 20 94  
 
7500-01 GM Associates Quartz Polished Disc 1" x 1/16" Clear Annealed 2100°F
7500-01 GM Associates Quartz Polished Disc 1" x 1/16" Clear Annealed 2100°F
 9 46  
 
416-0401// Yaskawa Cacr-pr03ah3fu Servopack [used/fast]
416-0401// Yaskawa Cacr-pr03ah3fu Servopack [used/fast]
 872 37  
 
Tokyo Electron Uc4gsrs1y1 Formula Pcb, Used
Tokyo Electron Uc4gsrs1y1 Formula Pcb, Used
 261 71  
 
ASML Used BR-AUTOMATION 4PP21 00-95 REV  O0, 4022 630 50321 SEM-I-926=9A37
ASML Used BR-AUTOMATION 4PP21 00-95 REV  O0, 4022 630 50321 SEM-I-926=9A37
 610 57  
 
BOC Edwards D37215232 Board for D37310000
BOC Edwards D37215232 Board for D37310000
 157 03  
 
325-0402// Mks 1479a22cr1am-s Cl2 100sccm Baratron [asis]
325-0402// Mks 1479a22cr1am-s Cl2 100sccm Baratron [asis]
 261 71  
 
Harness Assy, Turbo Pump, 93-1211-01, 451808
Harness Assy, Turbo Pump, 93-1211-01, 451808
 257 35  
 
Harness Assy, Turbo Pump, 93-1315-01, 451809
Harness Assy, Turbo Pump, 93-1315-01, 451809
 257 35  
 
Harness Assy, Turbo Pump, 93-1214-001, 451807
Harness Assy, Turbo Pump, 93-1214-001, 451807
 257 35  
 
Cole Parmer Masterflex C/l 77120-60 Pump (no Adapter)
Cole Parmer Masterflex C/l 77120-60 Pump (no Adapter)
 392 57  
 
Novellus ER2138, Cable
Novellus ER2138, Cable
 174 47  
 
SAM  SFC2480FAPD Mass Flow Controller NH3 0 6/2SLM MC-4SAGL , USED
SAM SFC2480FAPD Mass Flow Controller NH3 0 6/2SLM MC-4SAGL , USED
 157 03  
 
^^ Agilent Model X3502-64003 Twistorr 84fs Pump -new? (sma3)
^^ Agilent Model X3502-64003 Twistorr 84fs Pump -new? (sma3)
 1,308 56  981 42  
 
*PREOWNED* GE Panametrics MTS5-351-10 Moisture Target Meter Series 5 + Warranty!
*PREOWNED* GE Panametrics MTS5-351-10 Moisture Target Meter Series 5 + Warranty!
 654 28  
 
Diter Elektroniikka Frequency Ultrasonic S3004
Diter Elektroniikka Frequency Ultrasonic S3004
 567 04  
 
0100-02195 / Pwba Sds Gas Interlock Pcb / Applied Materials Amat
0100-02195 / Pwba Sds Gas Interlock Pcb / Applied Materials Amat
 645 56  
 
ASML 4022 634 15924 GBSL Board
ASML 4022 634 15924 GBSL Board
 1,046 85  
 
Quartz 16942-01 Quartz Joint Socket 1 5" Branson Type
Quartz 16942-01 Quartz Joint Socket 1 5" Branson Type
 406 09  
 
SVG Silicon Valley Group 99-80323-01 Vacuum Sensor Board PCB Rev  C Used Working
SVG Silicon Valley Group 99-80323-01 Vacuum Sensor Board PCB Rev  C Used Working
 159 32  
 
SILICON VALLEY GROUP SVG 90S 80089A Control Board ASML
SILICON VALLEY GROUP SVG 90S 80089A Control Board ASML
 261 70  
 
Lam 716-031453-001
Lam 716-031453-001
 872 37  
 
RTE-111 Neslab Instruments 134103200101 Refrigerated Bath Used Tested As-Is
RTE-111 Neslab Instruments 134103200101 Refrigerated Bath Used Tested As-Is
 441 55  
 
145-0201// CIT 7FT (15Ax2070L) FLEX HE LINE HOSE [ASIS]
145-0201// CIT 7FT (15Ax2070L) FLEX HE LINE HOSE [ASIS]
 146 56  
 
Hitachi ZVL877 PCP, USED
Hitachi ZVL877 PCP, USED
 261 71  
 
Hitachi ZVL999 PCP, USED
Hitachi ZVL999 PCP, USED
 261 71  
 
Hitachi ZVL613 PCP, USED
Hitachi ZVL613 PCP, USED
 261 71  
 
342-0303// Amat Applied 0020-23315 Bracket, Coherent ,w/b Ch [used]
342-0303// Amat Applied 0020-23315 Bracket, Coherent ,w/b Ch [used]
 209 37  
 
420-0102// Tamagawa Ts3617n360 Step Motor [used/fast]
420-0102// Tamagawa Ts3617n360 Step Motor [used/fast]
 174 47  
 
420-0201// Tamagawa Ts3653n12e6 Step Motor [used/fast]
420-0201// Tamagawa Ts3653n12e6 Step Motor [used/fast]
 174 47  
 
430-0202// Kollmorgen P22nsxc-lsn-ns-02 Motor [used]
430-0202// Kollmorgen P22nsxc-lsn-ns-02 Motor [used]
 174 47  
 
324-0203// Amat Applied 0020-20514 Clr Clamp Htr Isolater [asis]
324-0203// Amat Applied 0020-20514 Clr Clamp Htr Isolater [asis]
 174 47  
 
Vicor 20-130065 4kW Mother Board PCB Backplane 24-130065 Used Working
Vicor 20-130065 4kW Mother Board PCB Backplane 24-130065 Used Working
 181 62  
 
S&C Electric 5-153-2 DS Disconnect Receiver Board PCB 004-153-3 Used Working
S&C Electric 5-153-2 DS Disconnect Receiver Board PCB 004-153-3 Used Working
 270 58  
 
ASML Chuck Parking Lock (CPL) Used SEM-I-862=9B45
ASML Chuck Parking Lock (CPL) Used SEM-I-862=9B45
 1,744 66  
 
ASML Used 4022 635 17672 SEM-I-494=8F21
ASML Used 4022 635 17672 SEM-I-494=8F21
 1,744 66  
 
ASML Used 4022 636 57283 4022 472 20885 4022 470 23341 SEM-I-558=9A39
ASML Used 4022 636 57283 4022 472 20885 4022 470 23341 SEM-I-558=9A39
 1,744 66  
 
ASML Used 4022 472 42562 SEM-I-665=9B48
ASML Used 4022 472 42562 SEM-I-665=9B48
 3,489 40  
 
Cymer Used P/n 168885,164926 8 6045 Sensor Assy,plasma Trigger Sem-i-408=7b13
Cymer Used P/n 168885,164926 8 6045 Sensor Assy,plasma Trigger Sem-i-408=7b13
 610 57  
 
Muratec HASSYC812002 Power Relay Board PCB OHT-POW-S-B M214C Used Working
Muratec HASSYC812002 Power Relay Board PCB OHT-POW-S-B M214C Used Working
 359 57  
 
Proteus 9101c24np1 Flow Switch 34-112094-00 Rev b
Proteus 9101c24np1 Flow Switch 34-112094-00 Rev b
 139 57  
 
325-0303// Unit Ufc-8160 (#4) N2 50sccm [asis]
325-0303// Unit Ufc-8160 (#4) N2 50sccm [asis]
 261 71  
 
Gespac PCB Card Wafer Sandbar -- MDC-1 -- Used
Gespac PCB Card Wafer Sandbar -- MDC-1 -- Used
 170 11  
 
Applied Materials AMAT Chemraz O-Ring, 3700-01818
Applied Materials AMAT Chemraz O-Ring, 3700-01818
 344 59  
 
9960) [used] Line Tech M3030v O2 50 Sccm
9960) [used] Line Tech M3030v O2 50 Sccm
 218 11  
 
436-0301// Mitsubishi Hc-kfs13bg1k K6505ka Ac Servo Motor [used]
436-0301// Mitsubishi Hc-kfs13bg1k K6505ka Ac Servo Motor [used]
 261 71  
 
345-0103// Amat Applied 0190-20168 Cable Assy 1/8 Od 7x19 Coated New
345-0103// Amat Applied 0190-20168 Cable Assy 1/8 Od 7x19 Coated New
 165 75  
 
141-0501// Amat Applied 0150-01446 Cable Assy  Dnet I/o Backplane New
141-0501// Amat Applied 0150-01446 Cable Assy  Dnet I/o Backplane New
 165 75  
 
141-0602// Amat Applied 0225-09442 Ces Harness Assy,thermal Switc New
141-0602// Amat Applied 0225-09442 Ces Harness Assy,thermal Switc New
 157 03  
 
142-0701// Amat Applied 0140-09206 Cable Assy Ts New
142-0701// Amat Applied 0140-09206 Cable Assy Ts New
 157 03  
 
324-0203// Amat Applied 0200-18085 Nozzle, Cermanic 3 05l, Hdpcvd, Ultima [new]
324-0203// Amat Applied 0200-18085 Nozzle, Cermanic 3 05l, Hdpcvd, Ultima [new]
 157 03  
 
[Used] NOVELLUS / 26-370720-00 / ESC, LF FILTER BOX, INEXT PVD
[Used] NOVELLUS / 26-370720-00 / ESC, LF FILTER BOX, INEXT PVD
 259 97  
 
Brooks Automation 002-6878-02 Connection Board PCB 002-8276-02 Used Working
Brooks Automation 002-6878-02 Connection Board PCB 002-8276-02 Used Working
 264 48  
 
435-0202// Panasonic Msm041a1f Ac Servo Motor [used/fast]
435-0202// Panasonic Msm041a1f Ac Servo Motor [used/fast]
 348 95  
 
Iwaki EHB30R1-PE Metering Pump 115V, 3 2 GPH, 30 PSI Max, 0010251947
Iwaki EHB30R1-PE Metering Pump 115V, 3 2 GPH, 30 PSI Max, 0010251947
 28 78  
 
A030E1-L Valve, Solenoid DC24V
A030E1-L Valve, Solenoid DC24V
 36 64  
 
VZ3123 Valve, Solenoid 24V DC Supply Press
VZ3123 Valve, Solenoid 24V DC Supply Press
 36 64  
 
28422 Fan
28422 Fan
 36 64  
 
FAZN-C16 Braker,
FAZN-C16 Braker,
 36 64  
 
81513011 Valve, Solenoid
81513011 Valve, Solenoid
 34 02  
 
03-169680-13 Cabel, With 50-Polig Plugs
03-169680-13 Cabel, With 50-Polig Plugs
 34 55  
 
03-169680-14 Cabel, With 50-Polig Plugs
03-169680-14 Cabel, With 50-Polig Plugs
 34 55  
 
03-169680-01 Cabel, With 50-Polig Plugs
03-169680-01 Cabel, With 50-Polig Plugs
 34 55  
 
03-169680-02 Cabel, With 50-Polig Plugs
03-169680-02 Cabel, With 50-Polig Plugs
 34 55  
 
03-169680-10 Cabel, With 50-Polig Plugs
03-169680-10 Cabel, With 50-Polig Plugs
 34 55  
 
03-169680-08 Cabel, With 50-Polig Plugs
03-169680-08 Cabel, With 50-Polig Plugs
 34 55  
 
03-169680-12 Cabel, With 50-Polig Plugs
03-169680-12 Cabel, With 50-Polig Plugs
 34 55  
 
03-169680-19 Cabel, With 50-Polig Plugs
03-169680-19 Cabel, With 50-Polig Plugs
 34 55  
 
03-169680-20 Cabel, With 50-Polig Plugs
03-169680-20 Cabel, With 50-Polig Plugs
 34 55  
 
979276 Board,
979276 Board,
 36 64  
 
AS1200 Valve, Controller / Air Speed Flow Elbow Controlled / AS1200 / SMC
AS1200 Valve, Controller / Air Speed Flow Elbow Controlled / AS1200 / SMC
 35 59  
 
722-0004 Hour Meter, 722-0004 / 90-264 VAC / 50~60Hz / RoHS / Redington
722-0004 Hour Meter, 722-0004 / 90-264 VAC / 50~60Hz / RoHS / Redington
 36 64  
 
R4T-16P-S Relay, R4T-16P-S / DC24V / 5A / 250V AC / 30VDC / Iolonk / Samwon
R4T-16P-S Relay, R4T-16P-S / DC24V / 5A / 250V AC / 30VDC / Iolonk / Samwon
 36 64  
 
P1-25 Switch, P1-25 / 25A / 690Vac / 50~60Hz / Moeller
P1-25 Switch, P1-25 / 25A / 690Vac / 50~60Hz / Moeller
 36 64  
 
OSDKEY Board, OSDKEY / VER2 0 / Sunglim
OSDKEY Board, OSDKEY / VER2 0 / Sunglim
 36 64  
 
GEM-B-334 Valve, GEM-B-334 / 24V DC / 100W / GEM-SOL
GEM-B-334 Valve, GEM-B-334 / 24V DC / 100W / GEM-SOL
 36 64  
 
592-JOV16 Relay, 592-JOV16 / Overload Relay / Allen Bradley
592-JOV16 Relay, 592-JOV16 / Overload Relay / Allen Bradley
 36 64  
 
430-0501// Pan World Nh-150ps Magnet Pump [used]
430-0501// Pan World Nh-150ps Magnet Pump [used]
 261 71  
 
RECIF Technologies PWRAH0117A Power Interface Board PCB PCB0117A Used Working
RECIF Technologies PWRAH0117A Power Interface Board PCB PCB0117A Used Working
 222 60  
 
Allen-Bradley, 100-B250N*3, 250A, Working condition
Allen-Bradley, 100-B250N*3, 250A, Working condition
 348 95  
 
CDUJB6-4D Cylinder, CDUJB6-4D / Cylinder 0 7MPa / With Sensors D-F8N / SMC
CDUJB6-4D Cylinder, CDUJB6-4D / Cylinder 0 7MPa / With Sensors D-F8N / SMC
 31 41  
 
Hine Design 860 Vacuum Arm Assembly Overshoot Trip Dismounted A-2000LL As-Is
Hine Design 860 Vacuum Arm Assembly Overshoot Trip Dismounted A-2000LL As-Is
 1,057 44  
 
National Instruments NPB-536C PCB Board Reseller Lot of 3 Used Working
National Instruments NPB-536C PCB Board Reseller Lot of 3 Used Working
 268 82  
 
HP 704974-001 HSTNS-PR35 704969-301 716931-001 7001652-J000 REV: CZ Power Suppl
HP 704974-001 HSTNS-PR35 704969-301 716931-001 7001652-J000 REV: CZ Power Suppl
 157 03  
 
417-0202// Yaskawa Sgmas-04aca4c Vrsf-lb-s9c-400 (broken) Motor [used]
417-0202// Yaskawa Sgmas-04aca4c Vrsf-lb-s9c-400 (broken) Motor [used]
 261 71  
 
Amat 0020-26967 Gas Trench Cover 200mm, Pc-iie, Refurbished
Amat 0020-26967 Gas Trench Cover 200mm, Pc-iie, Refurbished
 697 90  
 
Semitool Solenoid Valve Manifold 20 Stage 3-Way 61593-09 Working
Semitool Solenoid Valve Manifold 20 Stage 3-Way 61593-09 Working
 263 56  
 
349-0201// Amat Applied 0010-70441 Pvd Hp Lift [used]
349-0201// Amat Applied 0010-70441 Pvd Hp Lift [used]
 4,361 86  
 
Yz07z-11 / Dns, Pcb Connector Block / Meiden
Yz07z-11 / Dns, Pcb Connector Block / Meiden
 354 78  
 
Amat 0020-41627 Bracket, Clamp, 6-port High-speed Spindle, 300mm , Used
Amat 0020-41627 Bracket, Clamp, 6-port High-speed Spindle, 300mm , Used
 174 47  
 
340-0201// Amat Applied 0020-21779 Flange, Blankoff Ccd New
340-0201// Amat Applied 0020-21779 Flange, Blankoff Ccd New
 261 71  
 
324-0203// Amat Applied 0200-20344 Bracket [new]
324-0203// Amat Applied 0200-20344 Bracket [new]
 261 71  
 
ASML CSSA Used 4022 654 73002, 6107-1604-5400 SEM-I-782=9G11
ASML CSSA Used 4022 654 73002, 6107-1604-5400 SEM-I-782=9G11
 1,308 47  
 
405-0101// Rockwell Automation Csmt-a5bb1ant3 (unclean) Motor [used/fast]
405-0101// Rockwell Automation Csmt-a5bb1ant3 (unclean) Motor [used/fast]
 157 03  
 
433-0102// Toshiba Vlbst-z02030-u Bs Servo Motor [used/fast]
433-0102// Toshiba Vlbst-z02030-u Bs Servo Motor [used/fast]
 174 47  
 
433-0102// Toshiba Vlbst-z02030-u (cut Cable) Bs Servo Motor [used/fast]
433-0102// Toshiba Vlbst-z02030-u (cut Cable) Bs Servo Motor [used/fast]
 174 47  
 
431-0103// Toei Vlbst-z02030-u Bs Servo Motor [used/fast]
431-0103// Toei Vlbst-z02030-u Bs Servo Motor [used/fast]
 174 47  
 
431-0103// Toei Vlbst-z02030-u (without Conncetor) Bs Servo Motor [used/fast]
431-0103// Toei Vlbst-z02030-u (without Conncetor) Bs Servo Motor [used/fast]
 174 47  
 
330-0201// Amat Applied 0010-08217 (#2) Applied Matrials Components [asis]
330-0201// Amat Applied 0010-08217 (#2) Applied Matrials Components [asis]
 4,187 39  
 
Applied Materials AMAT Hose HX Supply CHMB C SP1 Centura CMF 0190-35683
Applied Materials AMAT Hose HX Supply CHMB C SP1 Centura CMF 0190-35683
 83 75  50 25  
 
109P0924H402 Fan, DC Mini Ace 25
109P0924H402 Fan, DC Mini Ace 25
 20 47  
 
GST40A15 AC/DC Switching Adaptor
GST40A15 AC/DC Switching Adaptor
 20 47  
 
MKPC,4B Capacitor
MKPC,4B Capacitor
 20 94  
 
791041500000R Board, 791041500000R / Key pad button board / HP
791041500000R Board, 791041500000R / Key pad button board / HP
 20 47  
 
343-0401// Amat Applied 0020-10193 Plug Shank Thrtl Vlve Used
343-0401// Amat Applied 0020-10193 Plug Shank Thrtl Vlve Used
 104 68  
 
Shinko Electric 3ASSYC806300 OHT-Panel PCB OHT-PANEL 1/2 Asyst VHT5-1-1 Used
Shinko Electric 3ASSYC806300 OHT-Panel PCB OHT-PANEL 1/2 Asyst VHT5-1-1 Used
 269 72  
 
Tokyo Electron DS2110-223598-11Flange Water Cooling Upper SUS304 2110-223598-11
Tokyo Electron DS2110-223598-11Flange Water Cooling Upper SUS304 2110-223598-11
 1,701 13  
 
96024502-A01 Board, 96024502-A01 / 4 Slot Back Board for 5110-A4A / Allen Bradle
96024502-A01 Board, 96024502-A01 / 4 Slot Back Board for 5110-A4A / Allen Bradle
 327 14  
 
C41103000 Valve, C41103000 / PV10EKA / EDWAEDS
C41103000 Valve, C41103000 / PV10EKA / EDWAEDS
 143 94  
 
ASTEK AHT3-LAI-01 HT3 Packet Analyzer
ASTEK AHT3-LAI-01 HT3 Packet Analyzer
 878 64  
 
AP3550S Valve
AP3550S Valve
 40 83  
 
C60H-C63 Braker,
C60H-C63 Braker,
 39 26  
 
EDWARDS VACUUM - Pipe Heater Wrap TMS HTR PE 100D 50L MON - Y14M44050 H1 - (UK)
EDWARDS VACUUM - Pipe Heater Wrap TMS HTR PE 100D 50L MON - Y14M44050 H1 - (UK)
 14   
 
EDWARDS VACUUM - Pipe Heater Wrap TMS HTR F 080D 300L MON - Y14M23300 - (UK)
EDWARDS VACUUM - Pipe Heater Wrap TMS HTR F 080D 300L MON - Y14M23300 - (UK)
 14   
 
Vi-26b-cu / For 90v Pwr Supply / Vicor
Vi-26b-cu / For 90v Pwr Supply / Vicor
 393 16  
 
CHCC1 30A-600V  Fuse Holder
CHCC1 30A-600V Fuse Holder
 20 47  
 
2BH-19B Connector, 2BH-19B Pneumatic Manifold / Connect / Twintec
2BH-19B Connector, 2BH-19B Pneumatic Manifold / Connect / Twintec
 20 94  
 
MB3510 Bridge, MB3510 / Single phase ( Lot of 4 Units )
MB3510 Bridge, MB3510 / Single phase ( Lot of 4 Units )
 20 47  
 
VZ312M Valve, Solenoid 24V DC
VZ312M Valve, Solenoid 24V DC
 39 78  
 
VV3Z5-21-041 Block
VV3Z5-21-041 Block
 39 78  
 
325-0303// Unit Ufc-8160 (#8) Cl2 200sccm [asis]
325-0303// Unit Ufc-8160 (#8) Cl2 200sccm [asis]
 261 71  
 
193-EA4DB Over Load Relay
193-EA4DB Over Load Relay
 38 73  
 
PPD-S-V01AHN-HS-FL368997 Sensor, Vacuum PPD
PPD-S-V01AHN-HS-FL368997 Sensor, Vacuum PPD
 41 87  
 
EAL4000-F04 Lubricator, EAL4000-F04 / SMC
EAL4000-F04 Lubricator, EAL4000-F04 / SMC
 41 87  
 
VAL-ME230/FM Contact, VAL-ME230/FM / ( LOT of 4 Units ) Phoenix Contact
VAL-ME230/FM Contact, VAL-ME230/FM / ( LOT of 4 Units ) Phoenix Contact
 41 87  
 
E5132-0004 Board, E5132-0004
E5132-0004 Board, E5132-0004
 41 87  
 
3RK1402-3CE01-0AA2 Module Interface, 3RK1402-3CE01-0AA2 / Siemens
3RK1402-3CE01-0AA2 Module Interface, 3RK1402-3CE01-0AA2 / Siemens
 41 87  
 
416-0201// Yaskawa Sgm-a2c3cn12 (broken) Motor [used/fast]
416-0201// Yaskawa Sgm-a2c3cn12 (broken) Motor [used/fast]
 104 68  
 
441-0501// Oriental Motor Asd13a-a Driver [used/fast]
441-0501// Oriental Motor Asd13a-a Driver [used/fast]
 104 68  
 
434-0201// Panasonic M41a3g2y M4ga15f Motor [used]
434-0201// Panasonic M41a3g2y M4ga15f Motor [used]
 104 68  
 
434-0201// Panasonic M41a3g2y M4ga100f Motor [used]
434-0201// Panasonic M41a3g2y M4ga100f Motor [used]
 104 68  
 
434-0201// Panasonic M41a3g2w M4ga50f Motor [used]
434-0201// Panasonic M41a3g2w M4ga50f Motor [used]
 104 68  
 
325-0303// KINETICS UNIT 8100 (#4) HBr 100CC [ASIS]
325-0303// KINETICS UNIT 8100 (#4) HBr 100CC [ASIS]
 261 71  
 
404-0301// Samsung Csmz-08bh6asm3 Motor [used/fast]
404-0301// Samsung Csmz-08bh6asm3 Motor [used/fast]
 261 71  
 
433-0303// Toshiba Vlbsv-za04030 Vrsf-lb-15c-sbb (broken) Motor [used/fast]
433-0303// Toshiba Vlbsv-za04030 Vrsf-lb-15c-sbb (broken) Motor [used/fast]
 261 71  
 
430-0303// Cmc Jght-4921-2 Nt23-010-poo-q Motor [used]
430-0303// Cmc Jght-4921-2 Nt23-010-poo-q Motor [used]
 261 71  
 
Oriental Motor PX245-01AA Vexta Stepping Motor 2-PH W/ SPINDLE HOLDER
Oriental Motor PX245-01AA Vexta Stepping Motor 2-PH W/ SPINDLE HOLDER
 157 02  117 76  
 
MKS DOFBC2-078 AC Power Distribution 000-1103-R085
MKS DOFBC2-078 AC Power Distribution 000-1103-R085
 697 89  
 
E15002060 / Pcb Assy, Interface Logic / Varian
E15002060 / Pcb Assy, Interface Logic / Varian
 2,237 48  
 
Muratec HASSYC810301 Operator Interface PCB with Key M197 2/2 Used Working
Muratec HASSYC810301 Operator Interface PCB with Key M197 2/2 Used Working
 272 34  
 
325-0102// Amat Applied 3030-05875 Mfc 8160 5slm N2o 1/4vcr Mtl No Viu [asis]
325-0102// Amat Applied 3030-05875 Mfc 8160 5slm N2o 1/4vcr Mtl No Viu [asis]
 261 71  
 
325-0202// AMAT APPLIED 3030-01054 wAFC N2 1SLM MASS FLOW CONTROLLER [ASIS]
325-0202// AMAT APPLIED 3030-01054 wAFC N2 1SLM MASS FLOW CONTROLLER [ASIS]
 261 71  
 
325-0202// Amat Applied 3030-01059 Mfc 1660 300sccm N2 1/4vc [asis]
325-0202// Amat Applied 3030-01059 Mfc 1660 300sccm N2 1/4vc [asis]
 261 71  
 
325-0203// AMAT APPLIED 3030-01060 wAFC MFC 100 SCCM N2 SST [ASIS]
325-0203// AMAT APPLIED 3030-01060 wAFC MFC 100 SCCM N2 SST [ASIS]
 261 71  
 
325-0203// AMAT APPLIED 3030-01654 (#2) wAFC MFC UNIT N2 1SLM PIN D-CON [ASIS]
325-0203// AMAT APPLIED 3030-01654 (#2) wAFC MFC UNIT N2 1SLM PIN D-CON [ASIS]
 261 71  
 
TEL Tokyo Electron 3T08-000008-12 TVB9004-1 TST PCB Circuit Board *used working
TEL Tokyo Electron 3T08-000008-12 TVB9004-1 TST PCB Circuit Board *used working
 1,744 74  1,221 32  
 
Hitachi BBDP2-01 Circuit Board PCB Hitachi MU-712E Used Working
Hitachi BBDP2-01 Circuit Board PCB Hitachi MU-712E Used Working
 261 83  
 
Genus Mp 25657-00 Mfm Set Pt , Used
Genus Mp 25657-00 Mfm Set Pt , Used
 217 22  
 
351-0303// Amat Applied 0020-34482 Insulator [2nd Source New]
351-0303// Amat Applied 0020-34482 Insulator [2nd Source New]
 218 09  
 
SHIMADZU NIB EI-1502 MOLECULAR PUMP Power Unit SEM-I-133=9B46
SHIMADZU NIB EI-1502 MOLECULAR PUMP Power Unit SEM-I-133=9B46
 1,482   
 
Stec Mfc Mass Flow Controller Sec-4400mc-ug-g2 Ar Gas 300 Sccm 631208 406361
Stec Mfc Mass Flow Controller Sec-4400mc-ug-g2 Ar Gas 300 Sccm 631208 406361
 305 33  
 
Wallac Interface Board DIC 1055 3760 C
Wallac Interface Board DIC 1055 3760 C
 130 85  
 
Tylan MFC FC-260, 5% B2H6/H2  200Sccm, Refurbished with Certifications
Tylan MFC FC-260, 5% B2H6/H2 200Sccm, Refurbished with Certifications
 261 71  
 
0190-15211 Ring / Rev 03
0190-15211 Ring / Rev 03
 143 94  
 
AMAT Applied Materials 0620-01744 CABLE ASSY DNET DROP 1 7METER 300V 80C RSC-WKC
AMAT Applied Materials 0620-01744 CABLE ASSY DNET DROP 1 7METER 300V 80C RSC-WKC
 57 58  
 
Shinko HASSYC806402 Recovery Board PCB M174-1 OHT-CAP2 Single Module Used
Shinko HASSYC806402 Recovery Board PCB M174-1 OHT-CAP2 Single Module Used
 272 34  
 
60141 Bracke   Multi 9 C60
60141 Bracke Multi 9 C60
 46 06  
 
SVB24SC24 Part is???   DC24V
SVB24SC24 Part is??? DC24V
 58 62  
 
VKF332Y-5D-M5 Press, 24v DC, S'pore
VKF332Y-5D-M5 Press, 24v DC, S'pore
 62 81  
 
DPNNViGi Breker C20 Multi9
DPNNViGi Breker C20 Multi9
 57 58  
 
S281K3A Bracker
S281K3A Bracker
 62 81  
 
3UA7021-1A Contactor Thermal Overload Relay
3UA7021-1A Contactor Thermal Overload Relay
 47 11  
 
9700-8949-02 Cabel, with 2 plugs
9700-8949-02 Cabel, with 2 plugs
 47 11  
 
9701-2442-01 Cabel, with 2 plugs
9701-2442-01 Cabel, with 2 plugs
 47 11  
 
R07-200-RNKA Regulator Pressure 300psig / 100psig
R07-200-RNKA Regulator Pressure 300psig / 100psig
 56 53  
 
PX-22AS Obstacle Detection Sensor
PX-22AS Obstacle Detection Sensor
 47 11  
 
EX-13EP Sensor
EX-13EP Sensor
 55 48  
 
MKB16R-2-DCH4472H Rotary Clamp Cylinder
MKB16R-2-DCH4472H Rotary Clamp Cylinder
 51 30  
 
EX-13AD-PN Sensor
EX-13AD-PN Sensor
 49 20  
 
43S49 UTP, Voyager Extender / Dakota
43S49 UTP, Voyager Extender / Dakota
 59 67  
 
SDS60US24 Board,
SDS60US24 Board,
 67   
 
AMDZ1-6-2 Valve, Air
AMDZ1-6-2 Valve, Air
 52 34  
 
A25J1 Plug, With Cable and EE-2002 Omron
A25J1 Plug, With Cable and EE-2002 Omron
 57 58  
 
DE-1 Board,
DE-1 Board,
 55 48  
 
CN-0NC074-25960 Cable, CN-0NC074-25960 / Rev A01 / Dell Internal Cable With Plug
CN-0NC074-25960 Cable, CN-0NC074-25960 / Rev A01 / Dell Internal Cable With Plug
 62 81  
 
E16123310 Cable, E16123310 / VSEA / Rev B /  Cable With Switch 30-3UL and Plugs
E16123310 Cable, E16123310 / VSEA / Rev B / Cable With Switch 30-3UL and Plugs
 57 58  
 
279934-001 Board, 279934-001 / Rev 03 / Power Board Module / HP
279934-001 Board, 279934-001 / Rev 03 / Power Board Module / HP
 62 81  
 
305450-001 Board, 305450-001 / Rev C01 / Circuit Board / HP
305450-001 Board, 305450-001 / Rev C01 / Circuit Board / HP
 68 05  
 
N16B-0558-0201 Board, N16B-0558-0201 / F T YKCN86V-0
N16B-0558-0201 Board, N16B-0558-0201 / F T YKCN86V-0
 47 11  
 
AR20-01BE Valve, AR20-01BE / Modular Regulator / 0 05~0 85 MPa / SMC
AR20-01BE Valve, AR20-01BE / Modular Regulator / 0 05~0 85 MPa / SMC
 62 81  
 
MU925S-21 Fan, MU925S-21 / AC115V / AC Fan / Orix
MU925S-21 Fan, MU925S-21 / AC115V / AC Fan / Orix
 47 11  
 
iDLAH9316 Board, iDLAH9316 / STD1293-16B / RECiF SA
iDLAH9316 Board, iDLAH9316 / STD1293-16B / RECiF SA
 68 05  
 
KPFHT000 Valve, 316L-P / KPFHT000 / 053801 / O P  4~6kgf/cm2G( 0 39~0 59MPa ) Ty
KPFHT000 Valve, 316L-P / KPFHT000 / 053801 / O P  4~6kgf/cm2G( 0 39~0 59MPa ) Ty
 52 34  
 
IFM Sensor, IFM
IFM Sensor, IFM
 52 34  
 
BAC202105 Breaker, Circuit Protector / BAC202105 / AC 220V / 2 5Ka / 10A / Matsu
BAC202105 Breaker, Circuit Protector / BAC202105 / AC 220V / 2 5Ka / 10A / Matsu
 57 58  
 
EDWARDS VACUUM - Pipe Heater Wrap TMS HTR DDPE 80D 80L MON - Y14M93080 H1 (UK)
EDWARDS VACUUM - Pipe Heater Wrap TMS HTR DDPE 80D 80L MON - Y14M93080 H1 (UK)
 14   
 
EDWARDS VACUUM - Pipe Heater Wrap TMS HTR DDPE 40D 300L MON - Y14M92300 H1 (UK)
EDWARDS VACUUM - Pipe Heater Wrap TMS HTR DDPE 40D 300L MON - Y14M92300 H1 (UK)
 14   
 
100
P02028J49P0001 Strip Heater, 750W/480V
114A8650P004 F9 B1 Locking Pin
149D2022G004 Oil Deflector
169D3493G001 Crossfire tube, male
169D3494G001 Crossfire tube, female
172D7207G001 Liner Bearing #2
178C6048P001 Retainer Spring
178C6049P002 Fr6B Cross Fire Tube Male
178C6050P002 Fr6B Cross Fire Tube Female
184A9773P010 Gear IGV
196D1985G004 197C3449G001 202D8204G023 21508-02-12-10-02 216A4501P0001 221A3132P001 225A9643P001 225A9643P001 226A1087P001 226A1363P003 226A1413P005 226A1413P005 226A1430P003 226A1706P026 226A1706P101 226A1706P101 646GE976 226A2511P002 232B8982G001 5485C-008( PLUG ) 235A5998P001 235A8873P002 235A9761P003 235A9761P003 235A9761P005 235A9761P007 242B9166G035 248A4123P031 248A4123P091 248A4123P091 249A4202P0001 259A9266P16 261A1301P006 261A1812P012 261A1812P012 ITS 2
185A1117P011 Magnetic Pickup
AMAT 0021-03739 PUMPING CHANNEL
1 0040-09136 CHAMBER 200MM BW
2 0020-09999 PLATE, FRONT, THROTTLE DRIVE
3 0020-30335 QUARTZ TUBE HOUSING
4 0020-10135 MANIFOLD-WETER SUPPLY
5 0020-30102 OUTPUT MANIFOLD
ACCEL CORP    15-0016-001    SEAL, KIT MICROCEL 2    3
ACCEL CORP    50-0008-011A    PCB    2
ACOPIAN    A75HT560    REGULATED POWER SUPPLY    1
ACOPIAN    U28Y2000    A/C TO D/C POWER SUPPLY    1
ADE CORP    020010-01    PCB, RES STA PROC    1
ADE CORP    020040-01    PCB    1
ADE CORP    020881-01    OPTO HI LIMIT    5
ADE CORP    020881-02    ASSY OPTO LO LIMIT EM327    5
ADE CORP    020974-03    MOTOR W/CRIMP  4V 1 5A PINS 9300    2
ADE CORP    021008-01    CABLE    2
ADE CORP    021097-01    CABLE    2
ADE CORP    021533-01    ASSY, OPTO STATION 8300    3
ADE CORP    021533-01    ASSY, OPTO STATION 8300    2
ADE CORP    021534-01    STEPPING MOTOR 5 1V 4PH    1
ADE CORP    021683-01    WIRE  GROUND WIRE X MOTOR E STA    1
ADE CORP    021786-01    ASSY PCB MCU 1 5MHZ    1
ADE CORP    022093-02    ASSY BLADE END EFFECTOR 9300    1
ADE CORP    022093-02    ASSY BLADE END EFFECTOR 9300    2
ADE CORP    025988-01    PCB, VAC INTERFACE, SINGLE END EFFECTOR    2
ADE CORP    23898    ASSY, R, ADE 352 SERIES SEE ROB, 7-IN LI    1
ADE CORP    498413-01    PWRSUP, 175W    1
ADE CORP    498414-01    PWRSUP, 100W    1
ADTEC    AX-1000LF    RF GENERATOR 400K Ver 1 17    1
ADVANCE    AV-3235-11    SOLENOID VALVE FOR KURABO    5
ADVANCE    AV-3635-11    SOLENOID VALVE FOR KURABO    4
ADVANCE    DS-7270-131    PNEUMATIC VALVE    1
ADVANCE    DS-7270-131-PP-4    VALVE    2
ADVANCE    PCV-4240-42RVDE    REGULATOR, N2    1
ADVANCE    SAV-2240-CIZ6B5R-01    AIR OPERATE VALVE    1
ADVANCE    SAV-3240-131-10    AIR OPERATING VALVE    3
ADVANCE    SAV-3240-131CI    AIR OPERATING VALVE    1
ADVANCE    SAV-3240-131PI    DIAPHRAGM VALVE    2
ADVANCE    SAV-3240-131PIZ    AIR OPERATING VALVE    3
ADVANCE    SAV-3240-V11Z    PUMP    2
ADVANCE    SAV-3240-V19    AIR OPERATED VALVE    1
ADVANCE    SAV-3240-V27    AIR OPERATING VALVE    3
ADVANCE    SAV-3240-V5    3 CHANNEL DIAPHRAM VALVE-PENCI    2
ADVANCE    SAV-3240-V72CIZ5B5R    CORROSION RESISTANT DIAPHRAGM    2
ADVANCE    SAV-3250-131CIZ    AIR CONTROL VALVE    1
ADVANCE    SAV-3250-131PIZ    DIAPHRAGM VALVE    2
ADVANCE    SAV-3250-V110STIZ    CORROSION RESISTANT DIAPHRAGM    2
ADVANCE ELECTRIC COMPANY    AS-5269-131-PM-D    VALVE    1
ADVANCE ELECTRIC COMPANY    AS-5269-31PI-D    VALVE    1
ADVANCE ELECTRIC COMPANY    AV-5250-31P    PNEUMATIC VALVE    1
ADVANCED ENERGY    1905200    FC-781H-TC-6U-SI(OC2H5)4-500SCCM    1
ADVANCED ENERGY    2194-0013-R    POWER-SPLY, DC, 10KW MASTER,    1
ADVANCED ENERGY    2194-004-B    POWER-SPLY, MAGNETRON DRIVE    1
ADVANCED ENERGY    2194-005-A    DC POWER SUPPLY MDX    1
ADVANCED ENERGY    3152012-041    PWRSUP,DC,10KW    3
ADVANCED ENERGY    3152012-043    POWER,SUPPLY,DC,10KW,SLAV    1
ADVANCED ENERGY    3152012-043Z    POWER,SUPPLY,DC,10KW,SLAVE    2
ADVANCED ENERGY    3152194-008    POWER-SPLY, DC, 10KW, 20A, SLAVE AE    1
ADVANCED ENERGY    3152223-003    PWRSUP,DC,20KW,MAS    1
ADVANCED ENERGY    3152412-116    RFG,AE,PINNACLE-116,10/6 KW DC P S    1
ADVANCED ENERGY    3155077-001    FIXED RF MATCH    1
ADVANCED ENERGY    3155168-001    SOURCE, RF MATCH    1
ADVANCED ENERGY    A3M3K000EA120B001A    APEX3513 GENERATOR    2
ADVANCED ENERGY    A3M5K000EA120B001A    APEX3513 GENERATOR    3
ADVANCED ENERGY    ATL-100RA    MATCHING NETWORK    1
ADVANCED ENERGY    Atlas 2012    RF Generator    1
ADVANCED ENERGY    FC-260J-4V-N2-1SLM    MFC,N2 1SLM FC-260J-4V    1
ADVANCED ENERGY    FC-260J-4V-N2-200SCCM    MFC, N2 200SCCM FC-260J-4V    1
ADVANCED ENERGY    FC-260J-4V-N2-50SCCM    MFC, N2 50SCCM FC-260J-4V    1
ADVANCED ENERGY    FC-781L-TC-4V-O2-15SLM    MFC, O2 15SLM FC-781L 4V    4
ADVANCED ENERGY    FC-D980C-TC-4V-SIH4-30SCCM    MFC, SIH4 30SCCM FC-D980C 4V    3
ADVANCED ENERGY    FC7800CD    FC-7800CD-4V-5%PH3/N2-2SLM    1
ADVANCED ENERGY    LF-5    RF GENERATOR    1
ADVANCED ENERGY    MDX-10K    MDX POWER SUPPLY    4
ADVANCED ENERGY    N63584683    FC-7800CD-AR-200SCCM1/4VCR MFC    1
ADVANCED ENERGY    PC-302A-VR-100G-M    PRESSURE SENSOR    1
ADVANCED ENERGY    PSD01A    P2 INDICATOR FOR RS90    1
ADVANCED ENERGY    PSD01A-SPO2    P2 GAUGE PART FOR RS-90B    1
ADVANCED SEMI    AV-3335-43B    SOLENOID VALVE    1
ADVANTEST    A09320    IC SKT SCSP 8X10    16
ADVANTEST    BG4-010824X01    PCB    1
ADVANTEST    BGH-017261    PCB    1
ADVANTEST    BGK-010845    PCB, PE    20
ADVANTEST    BGQ-016976    PCB    1
ADVANTEST    BGQ-017088    PCB    1
ADVANTEST    BGR-010414    PCB    1
ADVANTEST    BGR-010418    PCB, 16 NS BOARD    1
ADVANTEST    BGR-010421    PCB, DIG BOARD    1
ADVANTEST    BGR-010431    PCB, DISTRIBUTOR    1
ADVANTEST    BGR-012190    PCB, CLOCK SELECT    1
ADVANTEST    BGR-015466    PCB    1
ADVANTEST    BGR-016794    PCB    1
ADVANTEST    BGR-016979    PCB    1
ADVANTEST    BGR-016984    PCB    1
ADVANTEST    BGR-016985    PCB    1
ADVANTEST    BGR-017773M    PCB    1
ADVANTEST    BGR-017775    PCB    1
ADVANTEST    BGR-018125    PCB    1
ADVANTEST    BIR-014324    PCB    1
ADVANTEST    H3-5046    CONTROL BOX    3
ADVANTEST    T11805-TI    PCB    1
ADVANTEST    T19439    SKT GUIDE VFBGA JAGCR8M    8
ADVANTEST    VL-16MSYHSX4-8    PROBE CARD    3
ADVANTEST    VL-2972-4    PROBE CARD    2
AERA    CA-98DU    ADAPTOR    6
AERA    FC-2960M-261R-4V 8 SLPM O2    MFC, O2 8SLM UFC-2960    2
AERA    FC-2960MEP5    MFC, N2 20SLM FC-2960MEP5 DE33P8NEF014    1
AERA    FC-770A    MFC    1
AERA    FC-770AC    MASS FLOW CONTROLLER, CHF3 50sccm    2
AERA    FC-780C He    MASS FLOW CONTROLLER, He 1SLM    1
AERA    FC-780C N2    MASS FLOW CONTROLLER, N2 1SLM    1
AERA    FC-781    MASS FLOW CONTROLLER    4
AERA    FC-980C    MFC MC-2100NC-CH3F-20SCCM    1
AERA    FC261J    MASS FLOW CONTROLLER    31
AERA    FM-391    MASS FLOW CONTROLLER, N2 10SLM    2
AERA    PS-76DA    POWER SUPPLY    1
AG ASSOCIATES    0641-0102-01    KIT, QUARTZ TRAY PIN HEIGHT CHECK    1
AG ASSOCIATES    1550-0026-00    ELECTRODE, ACCELERATOR    2
AG ASSOCIATES    2100-0012-01    MODULE MOTION CONTROL    1
AG ASSOCIATES    2100-0090    PCB    1
AG ASSOCIATES    2100-0120    PCB,MIO,24CH,41XX    1
AG ASSOCIATES    2100-0160    PCB    1
AG ASSOCIATES    2100-0160-01    PCB BROOKS ROBOT    2
AG ASSOCIATES    2100-0211-01    BOARD, I/O, CONTROL, EQUIPE    1
AG ASSOCIATES    2100-0212-01    PCB, VGA, DISPLAY STD    1
AG ASSOCIATES    2100-0253-02    P C B  ASSY (DSIO BOARD)    3
AG ASSOCIATES    2100-0305-03    PCB, BREAKOUT BOARD    1
AG ASSOCIATES    2100030503    PCB    1
AG ASSOCIATES    4502-9040-01    VALVE,DUAL,V-BLACK DIAPHRAM(AP3550S DUAL V)    1

首页 | 产品中心 | 服务中心 | 新闻中心 | 关于我们 | 联系我们
Top